diff --git a/cpld/CS.v b/cpld/CS.v index 672ca7c..0e43d03 100644 --- a/cpld/CS.v +++ b/cpld/CS.v @@ -16,6 +16,8 @@ module CS( always @(posedge CLK, negedge nRES) begin if (~nRES) nOverlay0 <= 0; else if (BACT && ODCS) nOverlay0 <= 1; + end + always @(posedge CLK) begin if (~BACT) nOverlay1 <= nOverlay0; end diff --git a/cpld/IOBM.v b/cpld/IOBM.v index 7910548..bebbec3 100644 --- a/cpld/IOBM.v +++ b/cpld/IOBM.v @@ -1,22 +1,14 @@ module IOBM( /* PDS interface */ - input C16M, input C8M, input E, + input C16M, input C8M, input E, input Er, output reg nASout, output reg nLDS, output reg nUDS, output reg nVMA, - input nASin, input nBG, input nDTACK, input nVPA, input nBERR, input nRES, + input nDTACK, input nVPA, input nBERR, input nRES, /* PDS address and data latch control */ input AoutOE, output nDoutOE, output reg ALE0, output reg nDinLE, /* IO bus slave port interface */ output reg IOACT, output reg IOBERR, input IOREQ, input IOLDS, input IOUDS, input IOWE); - /* Bus grant recognition */ - reg nASr; - reg BG = 0; - always @(posedge C16M) begin - nASr <= nASin; - if (nASr) BG <= !nBG; - end - /* I/O bus slave port input synchronization */ reg IOREQr = 0; always @(negedge C16M) begin IOREQr <= IOREQ; end @@ -42,9 +34,7 @@ module IOBM( /* E clock state */ reg [4:0] ES; - reg Er; reg Er2; - always @(negedge C8M) begin Er <= E; end always @(posedge C16M) begin Er2 <= Er; end always @(posedge C16M) begin if (Er2 && ~Er) ES <= 1; @@ -64,7 +54,7 @@ module IOBM( reg [2:0] IOS = 0; always @(posedge C16M) begin if (IOS==0) begin - if (~C8M && IOREQr && BG) IOS <= 1; + if (~C8M && IOREQr && AoutOE) IOS <= 1; else IOS <= 0; IOACT <= IOREQr; ALE0 <= IOREQr; diff --git a/cpld/WarpSE.v b/cpld/WarpSE.v index 472ac88..d25eda1 100644 --- a/cpld/WarpSE.v +++ b/cpld/WarpSE.v @@ -38,13 +38,16 @@ module WarpSE( output nDinLE, input [3:1] SW, output C20MEN, - output C25MEN); + output C25MEN); /* Reset input and open-drain output */ wire nRESin = nRES; wire nRESout; assign nRES = !nRESout ? 1'b0 : 1'bZ; + /* E clock registration */ + reg Er; always @(negedge C8M) begin Er <= E; end + /* AS cycle detection */ wire BACT; @@ -103,32 +106,32 @@ module WarpSE( ALE1); wire AoutOE; + assign nAoutOE = !AoutOE; wire nAS_IOBout, nLDS_IOBout, nUDS_IOBout, nVMA_IOBout; - assign nAS_IOB = AoutOE ? 1'bZ : nAS_IOBout; - assign nLDS_IOB = AoutOE ? 1'bZ : nLDS_IOBout; - assign nUDS_IOB = AoutOE ? 1'bZ : nUDS_IOBout; - assign nVMA_IOB = AoutOE ? 1'bZ : nVMA_IOBout; + assign nAS_IOB = AoutOE ? nAS_IOBout : 1'bZ; + assign nLDS_IOB = AoutOE ? nLDS_IOBout : 1'bZ; + assign nUDS_IOB = AoutOE ? nUDS_IOBout : 1'bZ; + assign nVMA_IOB = AoutOE ? nVMA_IOBout : 1'bZ; IOBM iobm( /* PDS interface */ - C16M, C8M, E, + C16M, C8M, E, Er, nAS_IOBout, nLDS_IOBout, nUDS_IOBout, nVMA_IOBout, - nAS_IOB, nBG_IOB, nDTACK_IOB, nVPA_IOB, nBERR_IOB, nRESin, + nDTACK_IOB, nVPA_IOB, nBERR_IOB, nRESin, /* PDS address and data latch control */ AoutOE, nDoutOE, ALE0M, nDinLE, /* IO bus slave port interface */ IOACT, IOBERR, IOREQ, IOL0, IOU0, IORW0); - CNT cnt( /* C8M and E clocks */ - C8M, E, + C8M, E, Er, /* Refresh request */ RefReq, RefUrgent, /* Reset, switch, button */ SW[3:1], nRESout, nIPL2, /* Mac PDS bus master control outputs */ - nAoutOE, AoutOE, nBR_IOB, + AoutOE, nBR_IOB, /* Configuration outputs */ FastROMEN, C20MEN, C25MEN); diff --git a/cpld/XC95144XL/WarpSE.bld b/cpld/XC95144XL/WarpSE.bld index 09a190b..89d0346 100644 --- a/cpld/XC95144XL/WarpSE.bld +++ b/cpld/XC95144XL/WarpSE.bld @@ -30,7 +30,7 @@ NGDBUILD Design Results Summary: Number of errors: 0 Number of warnings: 0 -Total memory usage is 155236 kilobytes +Total memory usage is 154596 kilobytes Writing NGD file "WarpSE.ngd" ... Total REAL time to NGDBUILD completion: 3 sec diff --git a/cpld/XC95144XL/WarpSE.cmd_log b/cpld/XC95144XL/WarpSE.cmd_log index 1cd9427..8d7980e 100644 --- a/cpld/XC95144XL/WarpSE.cmd_log +++ b/cpld/XC95144XL/WarpSE.cmd_log @@ -219,3 +219,60 @@ cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt verilog -optimize speed -htmlr XSLTProcess WarpSE_build.xml tsim -intstyle ise WarpSE WarpSE.nga hprep6 -s IEEE1149 -n WarpSE -i WarpSE +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt verilog -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 50 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +taengine -intstyle ise -f WarpSE -w --format html1 -l WarpSE_html/tim/timing_report.htm +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt verilog -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 50 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt verilog -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 50 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt verilog -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 50 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt verilog -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 50 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt verilog -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 50 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt verilog -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 50 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt verilog -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 50 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt verilog -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 50 -unused float -power std -terminate keeper WarpSE.ngd +XSLTProcess WarpSE_build.xml +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE diff --git a/cpld/XC95144XL/WarpSE.gise b/cpld/XC95144XL/WarpSE.gise index 02f5ed0..51a4c06 100644 --- a/cpld/XC95144XL/WarpSE.gise +++ b/cpld/XC95144XL/WarpSE.gise @@ -79,7 +79,7 @@ - + @@ -100,41 +100,50 @@ - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + - - - + - - - - - - - - - - - - - - - - - - - - + - + - - diff --git a/cpld/XC95144XL/WarpSE.gyd b/cpld/XC95144XL/WarpSE.gyd index 6a2529e..8d88775 100644 --- a/cpld/XC95144XL/WarpSE.gyd +++ b/cpld/XC95144XL/WarpSE.gyd @@ -30,7 +30,6 @@ E S:PIN25 FCLK S:PIN27 nAS_FSB S:PIN32 nBERR_IOB S:PIN76 -nBG_IOB S:PIN73 nDTACK_IOB S:PIN78 nIPL2 S:PIN92 nLDS_FSB S:PIN30 @@ -52,7 +51,6 @@ RA<6> S:PIN46 RA<7> S:PIN52 RA<8> S:PIN54 RA<9> S:PIN56 -nAoutOE S:PIN87 nBR_IOB S:PIN72 nDTACK_FSB S:PIN28 nAS_IOB S:PIN81 @@ -63,6 +61,7 @@ nVMA_IOB S:PIN74 nBERR_FSB S:PIN70 nADoutLE0 S:PIN85 nADoutLE1 S:PIN82 +nAoutOE S:PIN87 nDinOE S:PIN90 nDoutOE S:PIN89 nOE S:PIN37 @@ -78,43 +77,42 @@ nCAS S:PIN36 ;The remaining section of the .gyd file is for documentation purposes only. ;It shows where your internal equations were placed in the last successful fit. -PARTITION FB1_1 iobm/nASr iobm/VPArr iobm/VPArf iobm/RESrr - iobm/RESrf iobm/IOREQr iobm/Er2 iobm/Er - iobm/DTACKrr iobm/DTACKrf iobm/BERRrr iobm/BERRrf - cnt/IPL2r iobm/IOS_FSM_FFd1 iobm/BG iobs/IOU0 - iobs/IOL0 iobm/IOS_FSM_FFd3 -PARTITION FB2_7 ram/RegUrgentSync ram/RefUrgent ram/RefReqSync ram/RefReq - ram/RefRAS ram/BACTr iobs/IOACTr iobs/ALE0 - fsb/ASrf iobs/PS_FSM_FFd1 iobs/IOU1 iobs/IOL1 - -PARTITION FB3_1 EXP14_ fsb/VPA EXP15_ -PARTITION FB3_5 iobs/Clear1 fsb/Ready0r iobs/IOReady EXP16_ - fsb/nDTACK fsb/Ready1r EXP17_ iobs/IORW1 - EXP18_ EXP19_ iobs/IORW0 EXP20_ - nROMWE_OBUF EXP21_ -PARTITION FB4_1 cnt/nRESout cnt/AoutOE cnt/TimerTC cnt/Timer<0> - nDoutOE_OBUF nDinOE_OBUF cnt/PORS_FSM_FFd2 N0 - cnt/LTimer<0> cnt/Timer<3> nVPA_FSB_OBUF cnt/Timer<2> - cnt/Timer<1> cnt/RefUrgent cnt/RefReq cnt/LTimer<3> - cnt/LTimer<2> cnt/LTimer<1> +PARTITION FB1_1 ram/RegUrgentSync ram/RefUrgent ram/RefReqSync ram/RefReq + ram/RefRAS iobs/IOACTr fsb/ASrf iobs/IOU1 + iobs/IOL1 cnt/TimerTC iobs/IOU0 iobs/IOL0 + cnt/Timer<3> cnt/Timer<2> cnt/Timer<1> cnt/RefUrgent + iobs/IOReady cnt/RefReq +PARTITION FB2_9 iobm/VPArr iobm/VPArf iobm/RESrr iobm/RESrf + iobm/IOREQr iobm/Er2 iobm/Er iobm/DTACKrr + iobm/DTACKrf cnt/Timer<0> +PARTITION FB3_1 fsb/VPA EXP14_ EXP15_ +PARTITION FB3_5 EXP16_ EXP17_ iobs/IOREQ EXP18_ + fsb/nDTACK fsb/Ready1r EXP19_ iobs/IORW1 + EXP20_ EXP21_ iobs/IORW0 EXP22_ + nROMWE_OBUF EXP23_ +PARTITION FB4_1 EXP24_ nAoutOE_OBUF iobm/BERRrr iobm/BERRrf + nDoutOE_OBUF nDinOE_OBUF cnt/PORS_FSM_FFd1 N0 + cnt/IPL2r iobm/IOS_FSM_FFd1 nVPA_FSB_OBUF cnt/nRESout + cnt/PORS_FSM_FFd2 cnt/LTimer<0> cnt/LTimer<3> cnt/LTimer<2> + cnt/LTimer<1> iobm/IOBERR PARTITION FB5_1 ram/RASEL nROMCS_OBUF ram/RefDone cs/nOverlay1 - ram/nCAS nOE_OBUF ram/RS_FSM_FFd1 cs/nOverlay0 + ram/nCAS nOE_OBUF fsb/Ready0r cs/nOverlay0 RA_4_OBUF ram/RAMEN RA_3_OBUF RA_5_OBUF - ram/RS_FSM_FFd2 RA_2_OBUF RA_6_OBUF EXP22_ - ram/RAMReady EXP23_ + ram/RS_FSM_FFd2 RA_2_OBUF RA_6_OBUF EXP25_ + ram/RAMReady EXP26_ PARTITION FB6_1 iobm/ETACK iobm/nVMA iobm/DoutOE iobm/ALE0 - iobm/ES<3> iobm/ES<1> iobm/ES<0> iobm/ES<4> - iobm/nLDS iobm/IOS_FSM_FFd2 iobm/nUDS iobm/nASout - iobm/ES<2> nADoutLE1_OBUF nADoutLE0_OBUF iobm/IOACT - iobm/nDinLE iobm/IOBERR + iobm/IOS_FSM_FFd3 iobm/ES<3> iobm/ES<1> iobm/ES<0> + iobm/nLDS iobm/ES<4> iobm/nUDS iobm/nASout + iobm/IOS_FSM_FFd2 nADoutLE1_OBUF nADoutLE0_OBUF iobm/ES<2> + iobm/nDinLE iobm/IOACT PARTITION FB7_1 cnt/LTimer<13> RA_1_OBUF cnt/LTimer<9> cnt/LTimer<8> RA_7_OBUF RA_0_OBUF cnt/LTimer<7> RA_8_OBUF A_FSB_21_IBUF$BUF0 cnt/LTimer<6> RA_9_OBUF N1 cnt/LTimer<5> N1$BUF0 cnt/LTimer<4> cnt/LTimer<12> cnt/LTimer<11> cnt/LTimer<10> -PARTITION FB8_1 cnt/PORS_FSM_FFd1 A_FSB_19_IBUF$BUF0 ram/RS_FSM_FFd3 -PARTITION FB8_5 nRAS_OBUF nRAMLWE_OBUF iobs/PS_FSM_FFd2 nRAMUWE_OBUF - EXP24_ iobs/Load1 EXP25_ iobs/nBERR_FSB - EXP26_ iobs/IOREQ cnt/nBR_IOB EXP27_ - iobs/Once EXP28_ +PARTITION FB8_1 iobs/Once A_FSB_19_IBUF$BUF0 iobs/Clear1 iobs/ALE0 + nRAS_OBUF nRAMLWE_OBUF iobs/PS_FSM_FFd1 nRAMUWE_OBUF + ram/RS_FSM_FFd1 EXP27_ ram/RS_FSM_FFd3 iobs/nBERR_FSB + EXP28_ iobs/PS_FSM_FFd2 cnt/nBR_IOB iobs/Load1 + ram/BACTr EXP29_ diff --git a/cpld/XC95144XL/WarpSE.jed b/cpld/XC95144XL/WarpSE.jed index 4d5e8fe..a1b9a1c 100644 --- a/cpld/XC95144XL/WarpSE.jed +++ b/cpld/XC95144XL/WarpSE.jed @@ -1,5 +1,5 @@ Programmer Jedec Bit Map -Date Extracted: Mon Mar 20 23:54:20 2023 +Date Extracted: Sat Mar 25 00:52:43 2023 QF93312* QP100* @@ -90,546 +90,546 @@ N PPMAP 153 95* N PPMAP 155 96* N PPMAP 157 97* N PPMAP 160 99* -L0000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0000064 00000000 00000000 00000000 00100100 00000000 00000000 00000000 00000000* -L0000128 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0000192 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0000256 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0000320 00000000 00000000 00000000 00000100 01011000 00000000 00000000 00000000* -L0000384 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0000448 00000000 00000000 00000000 00000000 00010000 00000000 00000000 00000000* -L0000512 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0000576 000000 000000 000000 000000 000000 000000 000000 000000* -L0000624 000000 000001 000000 000000 001010 000000 000000 000000* -L0000672 000000 000000 000000 000000 000000 000000 000000 000000* -L0000720 000000 000000 000100 000000 000000 000000 000000 000000* -L0000768 000000 000000 000000 000000 000000 000000 000000 000000* -L0000816 000000 000000 000000 000000 000000 000000 000000 000000* -L0000864 00000000 00000000 00000100 10100100 00000000 00000000 00000000 10000000* -L0000928 00000000 00000000 00000100 00000000 00000000 00010000 00000000 00000000* -L0000992 00000000 00000000 00010100 00000000 00000000 00000100 00000000 00000000* -L0001056 00000000 00000000 10110100 00000000 00000000 00000000 00000000 00000000* -L0001120 00000000 00000000 10100100 00000000 00000000 00000000 00000000 00000000* -L0001184 00000000 00000000 00000000 00000000 00000000 00000000 00010000 00000000* -L0001248 00000000 00000000 00101100 00000000 00011000 00000000 00000000 00000000* -L0001312 00000000 00000000 00001100 00000100 00001000 00000000 00000000 00000000* -L0001376 00000000 00000000 10101100 00000000 00110000 00000000 00000000 00000000* -L0001440 000000 000000 101010 000000 000000 000000 000000 000000* -L0001488 000000 000000 101001 001000 000000 000000 000000 000000* -L0001536 000000 000000 101001 000000 000000 000001 000000 000000* -L0001584 000000 000000 101001 000001 000000 000001 000000 000000* -L0001632 000010 000000 101001 000000 000000 000000 000000 000000* -L0001680 000000 000000 101001 000000 000000 000000 000000 000000* -L0001728 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0001792 00000010 00000000 00000000 00000000 00000000 00000000 00000000 10000000* +L0000000 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* +L0000064 00000000 00000000 00000100 00000000 00000000 00000000 01111100 00000000* +L0000128 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* +L0000192 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* +L0000256 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* +L0000320 00000000 00000000 01000000 00000000 01011000 00000000 00000000 00000000* +L0000384 00000000 00000000 01000100 00000000 00000000 00000000 00000100 00000100* +L0000448 00000000 00000000 01001100 00000000 00010000 00000000 00000000 00000000* +L0000512 00000000 00000000 01001000 00000000 00000000 00000000 00000000 00000100* +L0000576 000000 000000 010010 000000 000000 000000 000000 000000* +L0000624 000000 000000 010000 000000 001010 000000 000000 000000* +L0000672 000000 000000 010000 000000 000000 000000 100011 000000* +L0000720 000000 000000 010000 000000 000000 000000 000000 000000* +L0000768 000000 000000 010000 000000 000000 000000 000000 000000* +L0000816 000000 000000 010000 000000 000000 000000 000000 000000* +L0000864 00000000 00000000 00000000 00000000 00000000 00000000 11111100 00000000* +L0000928 00000000 00000000 00000000 00000000 00000000 00001000 00000000 00000000* +L0000992 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0001056 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00001000* +L0001120 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10001000* +L0001184 10000000 00000000 00000000 00010000 00000000 00000000 00000100 10000000* +L0001248 00000000 00000000 00000000 00000000 00011000 00000000 00000000 10000000* +L0001312 00000000 00000000 00000000 00000000 00001000 00000000 00000000 10000000* +L0001376 00000000 00000000 00000000 00000000 00110000 00000000 00000000 10000000* +L0001440 000000 000000 000000 000000 000000 000000 000000 100000* +L0001488 000000 000000 000100 000000 000000 000000 100011 000000* +L0001536 000000 000000 000000 000000 000000 000000 000000 000101* +L0001584 000000 000000 000010 000000 000000 000001 000000 000101* +L0001632 000000 000000 000000 000000 000000 000000 000000 000101* +L0001680 000000 000000 000000 000000 000000 000000 000000 000101* +L0001728 00000000 00000000 00000000 00000000 00000000 10000000 00000000 00000000* +L0001792 00000010 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0001856 00000010 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0001920 00000010 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0001984 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0002048 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0001920 00000010 00000000 00000000 00000000 00000000 00000000 00000000 00010000* +L0001984 00000000 00000000 00010000 00000000 00000000 00000000 00000000 00000000* +L0002048 00000000 00000000 00000000 01000000 00000000 10000000 00000000 00000000* L0002112 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0002176 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0002240 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00110000* +L0002240 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0002304 000000 000000 000000 000000 000000 000000 000000 000000* L0002352 000000 000000 000000 000000 000000 000000 000000 000000* L0002400 000000 000000 000000 000000 000000 000000 000000 000000* L0002448 000000 000000 000000 000000 000000 000000 000000 000000* L0002496 000000 000000 000000 000000 000000 000000 000000 000000* -L0002544 000000 000000 000000 000000 000000 000000 000000 000100* -L0002592 10000000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* -L0002656 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* -L0002720 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* -L0002784 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* -L0002848 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* -L0002912 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* -L0002976 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* -L0003040 00000000 00000000 10000000 00000000 00000000 10000000 00000000 00000000* -L0003104 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* -L0003168 000000 000000 100000 000000 000000 000000 000000 000000* -L0003216 000000 000000 100001 000000 000000 000000 000000 000000* -L0003264 000000 000000 100000 000000 000000 000000 000000 000000* -L0003312 000000 000000 100000 000000 000000 000000 000000 000000* -L0003360 000000 000000 100000 000000 000000 000000 000000 000000* -L0003408 000000 000000 100000 000000 000000 000000 000000 000000* -L0003456 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00001000* -L0003520 00000000 00000000 00000000 01001000 00000000 00000000 00000000 00000000* -L0003584 00000000 00000000 00000000 00011100 00000000 00000000 00000000 00000000* -L0003648 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* -L0003712 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0003776 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0003840 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00000000* +L0002544 000000 000000 000000 000000 000000 000000 000000 001000* +L0002592 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0002656 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0002720 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0002784 00000000 00000000 00100100 00000000 00000000 00000000 00000000 00000000* +L0002848 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* +L0002912 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00100000* +L0002976 00000000 00000000 11000100 00000000 00000000 00000000 00000000 00100000* +L0003040 00000000 00000000 01000000 00000000 00000000 10000000 00000000 00100000* +L0003104 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100000* +L0003168 000000 000000 100000 000000 000000 000000 000000 001000* +L0003216 000000 000000 100000 000000 000000 000000 000000 000000* +L0003264 000000 000000 101000 000000 000000 000000 000000 000000* +L0003312 000000 000000 100000 000000 000000 000000 000000 001000* +L0003360 000000 000000 001000 000000 000000 000000 000000 000000* +L0003408 000000 000000 000000 000000 000000 000000 000000 000000* +L0003456 01000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0003520 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0003584 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0003648 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0003712 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00010000* +L0003776 10000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0003840 00000000 00000000 00000000 00000000 00000000 00001000 00000000 00000000* L0003904 00000000 00000000 00000000 00000000 00000000 00000000 00100000 00000000* -L0003968 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0003968 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* L0004032 000000 000000 000000 000000 000000 000000 000000 000000* -L0004080 000000 000000 000000 000000 000000 000000 000000 011000* +L0004080 000000 000000 000000 000000 000000 000000 000000 000010* L0004128 000000 000000 000000 000000 000000 000000 000000 000000* -L0004176 000000 000000 000000 000100 000000 000000 000000 100000* +L0004176 000000 000000 000000 000000 000000 000000 000000 000000* L0004224 000000 000000 000000 000000 000000 000000 000000 000000* L0004272 000000 000000 000000 000000 000000 000000 000000 000000* -L0004320 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00000000* -L0004384 00000000 00000000 00000000 00010000 00000000 00000000 00000000 10000000* -L0004448 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10001000* -L0004512 00000000 00000000 00100000 00000100 00000000 00000000 00000000 00001000* -L0004576 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00001000* -L0004640 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00010000* -L0004704 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00110000* -L0004768 00000000 00000000 00000000 00000100 00000000 10000000 00000000 01110000* -L0004832 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00110000* -L0004896 000000 000000 000000 000000 000000 000000 000000 001100* -L0004944 000000 000000 000000 001000 100000 000000 001000 000001* -L0004992 000000 000000 000001 000100 000000 000000 001000 000001* -L0005040 000000 000000 000001 000001 000000 000000 000000 011011* -L0005088 000000 000000 000001 001001 000000 000000 000000 011011* -L0005136 000000 000000 000001 000000 000000 000000 000000 010111* -L0005184 00000010 00000000 00000100 00000000 00000000 00000000 00000000 00000000* -L0005248 00000010 00000000 10000100 01001000 00000000 00000000 00000000 00001000* -L0005312 00000010 00000000 10000100 00000000 00000000 00000000 00000000 00000000* -L0005376 00000011 00000000 10010100 00000000 00000000 00000000 00000000 00000000* -L0005440 00000010 00000000 10000000 00000000 00000000 00000000 00000000 00000100* -L0005504 00000001 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0005568 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0005632 00000010 00000000 00100000 00001000 00000000 00000000 00000000 01000000* -L0005696 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* -L0005760 000000 000000 001100 000000 000000 000000 001000 000000* -L0005808 000000 000000 100000 000000 000000 000000 000000 000000* -L0005856 000000 000000 110000 100000 000000 000000 001000 011000* -L0005904 000000 000000 001000 000000 000000 000000 000000 000000* -L0005952 000000 000000 000000 000010 000000 000000 000000 000000* -L0006000 000000 000000 000000 000000 000000 000000 000000 100000* -L0006048 00000010 00000000 10000000 00000000 00000000 00000000 00000000 00000000* -L0006112 00000011 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0006176 00000000 00000000 00000000 00011000 00000000 00000000 00000000 10000000* -L0006240 00000001 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0006304 00000010 00000000 00001100 00000000 00000000 00010000 00100000 00000000* -L0006368 00000011 00000000 00100000 00000000 00000000 00000000 00000000 00000000* -L0006432 00000000 00000000 00000100 00000000 00000000 00000100 00000000 01000000* -L0006496 00000000 00000000 10000100 00000000 00010000 00000000 00000000 00000000* -L0006560 00000000 00000000 00000100 00000000 00000000 00000000 00100000 00000000* -L0006624 000000 000000 000000 000000 000000 000001 000000 001100* -L0006672 000000 000000 011001 000000 000000 000000 000000 000000* -L0006720 000000 000000 001000 000000 000000 000010 000000 000000* -L0006768 000000 000000 100000 000100 000000 000000 000000 000000* -L0006816 000000 000000 101000 000000 000000 000000 000000 100000* -L0006864 000000 000000 101000 000000 000000 000000 000000 000010* -L0006912 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00001000* -L0006976 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00001000* +L0004320 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0004384 00000000 00000000 00000000 10000000 00000000 00000000 00000000 00001000* +L0004448 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00001000* +L0004512 00000000 00000000 00100000 00000000 00000000 00000000 00000000 10000000* +L0004576 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* +L0004640 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10000000* +L0004704 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10000000* +L0004768 00000000 00000000 00000000 00000000 00000000 00000000 00000000 11000000* +L0004832 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10100000* +L0004896 000000 000000 100000 000000 000000 000000 000000 101000* +L0004944 000000 000000 100000 000000 100000 000000 001000 000001* +L0004992 000000 000000 100000 000000 100000 000000 001000 000100* +L0005040 000000 000000 100000 000000 000000 000000 000000 000101* +L0005088 000000 000000 000000 000001 000000 000000 000000 000101* +L0005136 000000 000000 000000 000000 000000 000000 000000 000101* +L0005184 00000010 00000000 00000000 00000000 00000100 00000000 00000000 00000000* +L0005248 00000010 00000000 00000000 00000000 00000100 00000000 00000000 00000000* +L0005312 00000010 00000000 00000000 00000000 00010000 00000000 00000000 00000000* +L0005376 00000011 00000000 00000000 00000000 00001000 00000000 00000000 00000000* +L0005440 00000010 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0005504 00000001 00000000 00000000 00000000 10000000 00000000 00000000 00000000* +L0005568 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* +L0005632 00000010 00000000 00000000 00000000 10000000 00000000 00000000 00000000* +L0005696 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0005760 000000 000000 000100 000000 000000 000000 001000 000000* +L0005808 000000 000000 001000 000000 000001 000000 000000 000000* +L0005856 000000 000000 000000 000000 000010 000000 001000 000000* +L0005904 000000 000000 000000 000000 000010 000000 000000 000000* +L0005952 000000 000000 000001 000000 000000 000000 000000 000000* +L0006000 000000 000000 000001 000000 000000 000000 000000 000000* +L0006048 00000010 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0006112 00000011 00000000 00000000 10000000 00000000 00000000 00000000 00000000* +L0006176 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0006240 00000001 00000000 00000100 00000000 00000000 00001000 00000000 00000000* +L0006304 00000010 00000000 00000100 00000000 00000000 00000000 00100000 00000000* +L0006368 00000011 00000000 10000000 00000000 00000000 00000000 00000000 00000000* +L0006432 00000000 00000000 11000100 00000000 00000000 00000000 00000000 00000000* +L0006496 00000000 00000000 11000100 00000000 00000000 00000000 00000000 00000000* +L0006560 00000000 00000000 11000000 00000000 00000000 00000000 00100000 00000000* +L0006624 000000 000000 011000 000000 000000 000000 000000 000000* +L0006672 000000 000000 000000 000000 000000 000000 000000 000000* +L0006720 000000 000000 001001 000000 000000 000000 000000 000000* +L0006768 000000 000000 001001 000000 000000 000000 000000 000000* +L0006816 000000 000000 001000 000001 000000 000001 000000 000000* +L0006864 000000 000000 001000 000000 000000 000000 000000 000000* +L0006912 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0006976 00000000 00000000 00000000 00010000 00000000 01000000 00000000 00000000* L0007040 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0007104 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* -L0007168 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* -L0007232 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0007296 00000000 00000000 00000000 00000000 00000000 00000000 00000000 01000000* +L0007104 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0007168 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0007232 00000000 00010000 00000000 00000000 00000000 00010000 00000000 00000000* +L0007296 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0007360 00000000 00000000 00000000 00000000 00100000 00000000 00000000 00000000* L0007424 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0007488 000000 000000 000000 000000 000000 000000 000000 000000* -L0007536 000000 000000 000000 000000 000000 000000 000000 011000* -L0007584 000000 000000 000000 000000 000000 000000 000000 011000* +L0007536 000000 000100 000000 000000 000000 001100 000000 000000* +L0007584 000000 000000 000000 000000 000000 000001 000000 000000* L0007632 000000 000000 000000 000000 000000 000000 000000 000000* L0007680 000000 000000 000000 000000 000000 000000 000000 000000* -L0007728 000000 000000 000000 000000 000000 000000 000000 100000* -L0007776 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0007840 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0007904 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10000000* -L0007968 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* -L0008032 00000000 00000000 00100000 00000000 00000000 00010000 00000000 00000000* -L0008096 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0008160 00000000 00000000 00000000 00000000 00000000 00000100 10000000 00000000* -L0008224 00000000 00000000 00000000 00000000 00000000 00000000 00000000 01000000* -L0008288 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* -L0008352 000000 000000 000000 000000 000000 000001 000000 001101* -L0008400 000000 000000 000000 000000 000000 000000 000000 000000* -L0008448 000000 000000 000001 000000 000000 000010 000000 000000* -L0008496 000000 000000 000001 000000 000000 000000 000000 100000* -L0008544 000000 000000 000001 000000 000000 000000 000000 100000* -L0008592 000000 000000 000001 000000 000000 000000 000000 000010* -L0008640 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* -L0008704 00000000 00000000 10000000 00000000 00000000 01000100 00000000 00000000* -L0008768 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* -L0008832 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00001000* -L0008896 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0008960 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* -L0009024 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0009088 00000000 00000000 10100000 00000000 00000000 00000000 00000000 00010000* -L0009152 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0007728 000000 000000 000000 000000 000000 000000 000000 000000* +L0007776 00000000 00000000 00000000 10010000 00000000 00001000 00000000 00000000* +L0007840 00000000 00000000 00000000 10000000 00000000 00001000 00000000 00000000* +L0007904 00000000 00000000 00000000 10000000 00000000 00001000 00000000 00000000* +L0007968 00000000 00000000 00100000 00000000 00000000 00001000 00000000 00000000* +L0008032 00000000 00000000 00100000 00000000 00000000 00001000 00000000 00000000* +L0008096 00000000 00000000 00000000 00000000 00000000 00000000 00000000 01000000* +L0008160 00000000 00000000 00000000 00000000 00000000 01000100 10000000 00000000* +L0008224 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0008288 00000000 00000000 00000000 00000000 00000000 00010000 00000000 00000000* +L0008352 000000 000000 100000 000001 000000 000000 000000 000000* +L0008400 000000 000000 100000 000001 000000 100000 000000 000000* +L0008448 000000 000000 100000 000001 000000 000000 000000 000000* +L0008496 000000 000000 100000 000001 000000 000001 000000 000000* +L0008544 000000 000000 000000 000001 000000 001001 000000 000000* +L0008592 000000 000000 000000 000001 000000 000001 000000 000000* +L0008640 00000000 00000000 00000000 00000000 00000000 10000000 00000000 00000000* +L0008704 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* +L0008768 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0008832 00000000 00000000 00000000 00000000 00000000 00010000 00000000 00000000* +L0008896 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* +L0008960 00000000 00000000 00000000 00000000 00000000 10000000 00000000 00000000* +L0009024 00000100 00000000 00000000 00000000 00000000 00100000 00000000 00000000* +L0009088 00000100 00000000 00000000 00000000 00000000 10100000 00000000 00000000* +L0009152 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0009216 000000 000000 000000 000000 000000 000000 000000 000000* -L0009264 000000 000000 010000 000000 000000 000000 000000 000000* -L0009312 000000 000000 010000 000000 000000 000000 000000 000000* +L0009264 000000 000000 000000 000000 000000 000000 000000 000000* +L0009312 000000 000000 000000 000000 000000 000000 000000 000000* L0009360 000000 000000 000000 000000 000000 000000 000000 000000* -L0009408 000000 000000 000000 000000 000000 000000 000000 010001* +L0009408 000000 000000 000000 000000 000000 000000 000000 000010* L0009456 000000 000000 000000 000000 000000 000000 000000 000000* L0009504 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0009568 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0009632 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0009568 00000000 00000000 00000000 00000000 00000000 00010000 00000000 00000000* +L0009632 00000000 00000000 00000000 00000000 00000000 00010000 00000000 00000000* L0009696 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0009760 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0009824 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0009888 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0009952 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0010016 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0010080 000000 000000 000000 000000 000000 000000 000000 000000* -L0010128 000000 000000 000000 000000 000000 000000 000000 000000* -L0010176 000000 000000 000000 000000 000000 000000 000000 000000* -L0010224 000000 000000 000000 000000 000000 000000 000000 000000* -L0010272 000000 000000 000000 000000 000000 000000 000000 000000* -L0010320 000000 000000 000000 000000 000000 000000 000000 000000* -L0010368 00000011 00000001 00000001 00000011 00000011 00000011 00000011 00000011* -L0010432 00000011 00000001 00010010 00000011 00100001 00000011 00000011 00000010* -L0010496 00000011 00000001 00110001 00000011 00010010 00100011 00000010 00000011* -L0010560 00000011 00000001 10010000 00000011 00010011 00000011 00000011 00000000* -L0010624 00000011 00000001 10000010 00000011 00100011 00000011 00000010 00000011* -L0010688 00000011 00000001 10000011 00000011 00000011 00000011 00000011 00000001* -L0010752 00000011 00000011 10100010 00000011 00000010 00000011 00000011 00000010* -L0010816 00000011 00000011 00000001 00000001 00000011 01100011 00000011 00000011* -L0010880 00000011 00000011 10100010 00000011 00000010 00000011 00000011 00000000* -L0010944 000000 000000 101000 000000 000000 000000 000000 000000* -L0010992 000000 000000 101001 000000 000000 000000 000000 000010* -L0011040 000000 000000 101000 000000 111000 000000 000000 000000* -L0011088 000000 000000 101000 000000 011000 000000 000000 000000* -L0011136 000000 000000 111000 000000 011000 000000 000000 000000* -L0011184 000000 000000 111000 000000 001000 000000 000000 000000* -L0011232 00000000 00000000 00100010 00000001 10101000 00100000 00100000 10000000* -L0011296 00000000 00000000 00000001 00000000 00001010 00000000 11000100 00000001* -L0011360 00000000 00000000 00000010 00000011 10101001 00001000 01000100 00000000* -L0011424 00000100 00000000 00100001 00000011 10100000 00000000 00000000 00000001* -L0011488 00000000 00000000 00000001 00000001 00000000 00000000 00000000 00000000* -L0011552 00000000 00100000 00000000 00000001 00000100 01100000 00000000 00000010* -L0011616 00000000 00000000 00000001 00000000 00000001 00000000 00000100 00000001* -L0011680 00000000 00000000 00000010 00000000 00000000 00000000 00000100 00000000* -L0011744 00000100 00000000 00000001 00000000 00000001 00000000 00000000 00000011* -L0011808 000000 000000 000000 000000 000000 000000 000000 000000* -L0011856 000000 000000 000000 000000 000000 000000 000000 000000* -L0011904 000000 000000 000001 000000 000000 000000 100001 000010* -L0011952 000000 000000 010000 000000 000000 010000 100001 000000* -L0012000 000000 000000 000001 000000 000000 000000 000000 000000* -L0012048 000000 000000 000000 000000 000000 000000 000000 000000* -L0012096 00010000 00000000 00000001 00000011 00000011 00100001 00000011 00000001* -L0012160 00000000 00000000 00000010 00000000 00000001 00000011 00000011 00000000* -L0012224 00000000 00000000 00001001 00000011 00000010 00001011 00000010 00000011* -L0012288 00000000 00000000 00000000 00000011 00000001 00000011 00000011 00000000* -L0012352 00000001 00000000 00000000 00000001 00000001 00000011 00000010 00000011* -L0012416 00000000 00000000 00000011 00000011 00100000 01100010 00000011 00000001* -L0012480 00000001 00000001 00000000 00000011 00100010 00000011 00000011 00000010* -L0012544 00000001 00000000 00000000 00000011 00000011 00000010 00000011 10000001* -L0012608 00000001 00000000 00000010 00000001 00000000 00000011 00000001 00000000* +L0009824 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10000000* +L0009888 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10000000* +L0009952 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10000000* +L0010016 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10000000* +L0010080 000000 000000 000000 000000 000000 000000 000000 100000* +L0010128 000000 000000 000000 000000 000010 010000 000000 000000* +L0010176 000000 000000 000000 000000 000000 000000 000000 000100* +L0010224 000000 000000 000000 000000 000000 000000 000000 000101* +L0010272 000000 000000 000000 000000 000000 000000 000000 000101* +L0010320 000000 000000 000000 000000 000000 000000 000000 000101* +L0010368 00000011 00000001 00010011 00101001 00100011 10000011 00000011 01001010* +L0010432 00000011 00000001 00000000 00000011 00000001 00100111 00000011 10001001* +L0010496 00000011 00000001 00000001 00000011 00000010 00000011 00000010 10001011* +L0010560 00000011 00000001 00000000 00000011 01000011 00000011 00000011 10001110* +L0010624 00000011 00000001 00000000 00000011 10010011 00000011 00000010 10001011* +L0010688 00000011 00000001 00110001 00000011 00000011 10000011 00000011 10000010* +L0010752 00000011 00000001 00000010 00000011 00000010 00100011 00000011 00001011* +L0010816 00000011 00000001 00000001 00000001 00000111 00100011 00000011 00001001* +L0010880 00000011 00000011 00000010 00000011 00000010 00000011 00000011 00000010* +L0010944 000000 000000 000000 000000 000000 000000 000000 000000* +L0010992 000000 000000 000000 000000 000100 000000 000000 100001* +L0011040 000000 000000 000000 000000 000000 000000 000000 000101* +L0011088 000000 000000 000000 000000 000000 000000 000000 000101* +L0011136 000000 000000 000000 000000 000000 000000 000000 000101* +L0011184 000000 000000 000010 000000 000000 000000 000000 000101* +L0011232 00000001 00001000 00000100 00000010 00000000 00100000 00100000 00000001* +L0011296 00000000 00000000 10000011 00000000 00000010 00010000 11000100 00100010* +L0011360 00000000 00000000 00000110 00000000 00001001 00010000 01000100 00000000* +L0011424 00000001 00000000 10000001 00000000 10000000 00010100 00000000 00000001* +L0011488 00000001 00000000 00000011 00000000 00000000 00000000 00000000 00000000* +L0011552 00000001 00000000 01000010 10000000 00000000 00000000 00000000 00001001* +L0011616 00000001 00000000 10000101 00000000 00100001 00000000 00000100 00000000* +L0011680 00000000 00000000 01000110 00000000 00000000 10000000 00000100 00000010* +L0011744 00000001 00000001 10001001 00000000 00000001 00000000 00000000 00000001* +L0011808 000000 000000 010000 000000 100001 000000 000000 000000* +L0011856 000000 000000 000001 000100 000000 000000 000000 000000* +L0011904 000000 000000 110000 000100 000000 010000 100001 000000* +L0011952 000000 000000 100001 000000 001100 010000 100001 000000* +L0012000 000000 000000 010000 000000 000000 000000 000000 000000* +L0012048 000000 000000 000001 000000 001100 000000 000000 000000* +L0012096 00000001 00000100 00000011 00000001 00000011 10000101 00000011 00000010* +L0012160 00000001 00000000 00000000 00000000 00000001 00100011 00000011 00000001* +L0012224 00000001 00000000 00001001 00000001 00000010 00000011 00000010 00000000* +L0012288 00000001 00000000 00000000 00000001 00000001 00000011 00000011 00000000* +L0012352 00000001 00000000 00000000 00000000 00000001 00000011 00000010 00000011* +L0012416 00000001 00000100 00000001 00000011 00100000 10000010 00000011 00000000* +L0012480 00000001 00000000 00000010 00000001 00100010 00100011 00000011 00000011* +L0012544 00000001 00000000 00000000 00000011 00000011 00100010 00000011 00000000* +L0012608 00000001 00000001 00000010 00000001 00000000 00000011 00000001 00000010* L0012672 000000 000000 000000 000000 000000 000000 000000 000000* -L0012720 001000 000000 000000 000000 000000 000000 000000 000000* -L0012768 000000 000000 000000 000000 000000 000000 000000 100000* -L0012816 000000 000000 000000 000000 000000 010000 000000 000000* +L0012720 000000 000000 000000 000000 000000 000000 000000 000000* +L0012768 000000 000000 000000 000000 000000 000000 000000 000000* +L0012816 000000 000000 000000 000000 000000 000000 000000 000010* L0012864 000000 000000 000000 000000 000000 000000 000000 000000* L0012912 000000 000000 000000 000000 000000 000000 000000 000000* -L0012960 00000000 00000000 00000110 00000000 01000000 00000000 00000000 00000010* -L0013024 00000000 00000000 00000101 00000000 00000010 00100011 00000000 00000011* -L0013088 00000000 00000000 00000110 00000000 00000001 00000001 00000000 00000000* -L0013152 00000000 00000000 10110101 00000000 00000000 00000000 00000000 00000001* -L0013216 00000000 00000000 10100111 00000000 00000000 00000000 00000000 00000000* -L0013280 00000000 00000000 00000000 00000000 00000011 00000001 00000000 00000010* -L0013344 00000000 00000000 00000111 00000000 00000001 01100000 01000000 00000001* -L0013408 00000000 00000000 00000111 00000010 00000000 00000001 00000000 00000010* -L0013472 00000000 00000000 00100101 00000000 00000011 00000010 00000010 00000011* -L0013536 000000 000000 001000 000000 000000 000000 000000 000000* -L0013584 000000 000000 101001 000000 000000 000000 000000 000000* -L0013632 000000 000000 101001 000000 000000 000000 000000 000000* -L0013680 000000 000000 101001 000000 000000 000000 000000 000000* -L0013728 000000 000000 101001 000000 000000 000000 000000 000000* -L0013776 000000 000000 101001 000000 000000 000000 000000 000000* -L0013824 00000000 00000000 00000001 00000001 00000011 00000001 00000001 00000001* -L0013888 00000000 00000000 00000010 00000000 00000000 00000011 00000000 00000000* -L0013952 00000000 00000000 00000001 00000001 00000000 00000001 00000010 00000011* -L0014016 00000000 00000000 00000000 00000001 00000001 00000001 00000011 00000000* -L0014080 00000000 00000000 00000000 00000001 00000000 00000010 00000000 00000011* -L0014144 00000000 00000000 00000001 00010001 00000000 00000010 00000001 00100000* -L0014208 00000000 00000000 00000000 00000001 00000010 00000011 00000011 01000010* -L0014272 00000000 00000000 00000000 00000001 00000011 00000010 00000011 01000001* -L0014336 00000001 00000000 00000000 00000001 00000000 00000011 00000001 01000000* -L0014400 000000 000000 000000 000000 000000 000000 000000 000000* -L0014448 000000 000010 000000 010000 000000 000000 000000 000000* -L0014496 000000 000000 000000 010000 000000 000000 000000 000000* -L0014544 000000 000000 000000 000000 000000 000000 000000 000100* +L0012960 00000000 00000000 00000000 00000010 01000000 00100000 00000000 00000001* +L0013024 00000000 00000000 00000011 00000000 00000010 00010011 00000000 00000010* +L0013088 00000000 00000000 00000010 00000000 00000001 00010001 00000000 00000011* +L0013152 00000000 00000000 00100101 00000000 00000000 00010100 00000000 00000001* +L0013216 00000000 00000000 00100111 00000000 00000000 00000000 00000000 00000000* +L0013280 00000000 00000000 10000010 00000000 00000001 00100000 00000000 10000001* +L0013344 00010000 00000000 11000101 00000000 00000001 00000000 01000000 10000000* +L0013408 00000000 00000000 11000111 00000010 00000000 10000001 00000000 10000011* +L0013472 00000000 00000000 11000001 00000000 00000011 00000010 00000010 10000001* +L0013536 000000 000000 110000 000000 000000 000000 000000 100000* +L0013584 000000 000000 100000 000000 000000 000000 000000 000000* +L0013632 000000 000000 101000 000000 000000 010000 000000 000100* +L0013680 000000 000000 101000 000000 000000 010000 000000 000101* +L0013728 000000 000000 001000 000000 000000 000000 000000 000101* +L0013776 000000 000000 001000 000000 000000 000000 000000 000101* +L0013824 00000000 00000000 00000011 00100000 00000011 00000001 00000001 00010010* +L0013888 00000000 00000000 00000000 00000000 00000000 00000011 00000000 00010000* +L0013952 00000000 00000000 00000001 00000000 00000000 00000001 00000010 00000000* +L0014016 00000001 00000000 00000000 00000000 00000001 00000001 00000011 00000000* +L0014080 00000001 00000000 00000000 00000000 00000000 00000010 00000000 00010011* +L0014144 00000001 00000000 00000001 00000011 00000000 00000010 00000001 00010000* +L0014208 00000001 00000000 00000010 00000001 00000010 00000011 00000011 00110001* +L0014272 00000001 00000000 00000000 00000001 00000011 00000010 00000011 00100000* +L0014336 00000001 00000000 00000010 00000001 00000000 00000011 00000001 00100010* +L0014400 000000 000000 000000 000000 000000 000000 000000 001000* +L0014448 000000 000000 000000 000000 000000 000000 000000 000000* +L0014496 000000 000000 000000 000000 000000 000000 000000 001000* +L0014544 000000 000000 000000 000000 000000 000000 000000 000000* L0014592 000000 000000 000000 000000 000000 000000 000000 000000* L0014640 000000 000000 000000 000000 000000 000000 000000 000000* -L0014688 00000000 00000000 00000010 00000000 00000000 00000000 00000000 00000010* -L0014752 00000000 00000000 00000001 00000000 00000011 00000000 11111100 00000011* -L0014816 00000000 00000000 00100010 00000000 00000011 00000000 01111100 00000000* -L0014880 00000000 00000000 00000001 00000000 00000000 00010000 00000000 00010001* -L0014944 00000000 00000000 00000001 00000000 00000001 00000100 00000000 00100000* -L0015008 00000000 00000000 00100010 00000000 00000011 00000000 00000000 00000111* -L0015072 00000000 00000000 00100011 00000000 00000001 00000000 00000100 00000001* -L0015136 00000000 00000000 00100011 00000000 00000010 00000001 00000100 00000010* -L0015200 00000000 00000000 00100011 00000000 00000011 00000100 00000000 00000011* -L0015264 000000 000000 001000 000000 000000 000000 000000 100010* -L0015312 000000 000100 001000 000000 100000 000000 000000 000100* -L0015360 000000 000000 001000 000000 100000 000000 100011 000000* -L0015408 000000 000000 000000 000000 000000 000000 100011 000000* -L0015456 000000 000000 000000 000000 000000 000000 000000 000000* -L0015504 000000 000000 000000 000000 000000 000001 000000 000000* -L0015552 00000000 00000000 00000001 00000000 00000111 00000001 00000011 00000001* -L0015616 00000000 00000000 00010010 00000000 00000100 00000001 00000000 00000000* -L0015680 00000000 00000000 00110001 00000000 00010000 00000000 00000010 00000011* -L0015744 00000000 00000000 10010000 00000000 00001011 00000001 00000011 00000000* -L0015808 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000001* -L0015872 00000001 00000000 10000001 00000001 10000000 00000000 00000001 00000000* -L0015936 00000001 00000000 10100000 00001001 10000010 00000001 00000011 00000010* -L0016000 00000001 00000001 00000000 00000001 10000000 00000010 00000011 00000001* -L0016064 00000000 00000001 10100000 00000011 00000000 00000011 00000001 00000000* -L0016128 000000 000000 101000 000000 000000 000000 000000 000000* -L0016176 000000 000000 101001 000000 000001 000000 000000 000000* -L0016224 000001 000000 101000 000010 000010 000000 000000 100000* -L0016272 000001 000000 101000 000010 000010 000000 000000 000000* -L0016320 000000 000000 101000 000000 000000 000000 000000 000000* -L0016368 000000 000000 101000 000000 000000 000000 000000 000000* -L0016416 00000000 00000000 00000010 00000000 00000000 00000000 00000011 00000000* -L0016480 00000000 00000000 00000001 00010000 00000011 00000000 00000000 00000011* -L0016544 00000000 00000000 00000010 00000000 00000011 00000000 00000010 00000000* -L0016608 00000000 00000000 00000001 00000000 00000010 00010000 00000011 00000001* -L0016672 00000000 00000000 00100001 00000000 00000000 00000100 00000000 00000010* -L0016736 00000001 00000000 00000010 00000000 00000011 00000000 00100001 00100011* -L0016800 00001001 00000000 00000011 00000001 00000001 00000000 00100011 01000001* -L0016864 00000001 00000001 00000011 00001001 00000011 00000001 00100001 01000010* -L0016928 00000000 00000101 00000011 00000011 00000011 00000100 00100001 01000011* -L0016992 000000 000000 000000 000000 000000 000000 001000 000000* -L0017040 000001 000000 000000 000000 000000 000000 000000 000000* -L0017088 000000 000000 000000 100000 000000 000000 000000 000000* -L0017136 000000 000000 000001 000000 000000 000000 000000 000000* -L0017184 000000 000001 000000 000010 000000 000000 000000 000000* -L0017232 000000 000000 000001 000000 000000 000001 000000 000000* -L0017280 00000000 00000000 00000000 00000000 00000011 00000001 00000000 00000001* -L0017344 00000000 00000000 00000010 00000000 00000000 00000000 00000000 00000000* -L0017408 00000000 00000000 00000001 00000000 00000000 00000000 00000000 00000010* -L0017472 00000000 00000000 00000000 00000000 00000001 00000001 00000000 00000000* -L0017536 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000001* -L0017600 00000000 00000000 00000001 00000000 00000000 00000000 00000000 00000000* -L0017664 00000000 00000000 00000000 00000000 00000010 00000001 00000000 00000010* -L0017728 00000000 00000000 00000000 00000000 00000000 00000000 00000010 00000001* -L0017792 00000000 00000000 00000000 00000000 00000000 00000001 00000000 00000000* -L0017856 000000 000000 000000 000000 000000 000000 000000 000000* -L0017904 000000 000000 000000 000000 000000 000000 000000 000000* -L0017952 000000 000000 000000 000000 000000 000000 000000 000000* -L0018000 000000 000000 000000 000000 000000 000000 000000 000000* -L0018048 000000 000000 000000 000000 000000 000000 000000 000000* -L0018096 000000 000000 000000 000000 000000 000000 000000 000000* -L0018144 00000000 00000000 00000011 00000000 00000000 00000000 00000000 00000000* -L0018208 00000000 00000000 00000001 00000000 00000010 00000000 00000000 00000011* -L0018272 00000000 00000000 00000010 00000000 00000001 00010000 00000000 00000001* -L0018336 00000000 00000000 00100001 00000000 00000000 00000100 00000000 00000001* -L0018400 00000000 00000000 00100001 00000000 00000000 00000000 00000000 00000000* -L0018464 00000000 00000000 00000010 00000000 00000001 00000000 00000000 01000011* -L0018528 00000000 00000000 00000011 00000000 00000001 00000000 00000010 00000001* -L0018592 00000000 00000000 00000010 00000000 00000001 00000101 00000000 00000010* -L0018656 00000000 00000000 00000011 00000000 00010011 00000000 00000000 00000001* -L0018720 000000 000000 000000 000000 000000 000000 000000 000000* -L0018768 000000 000000 000000 000000 000000 000000 000000 000000* -L0018816 000000 000000 000001 000000 000000 000000 000000 000000* -L0018864 000000 000000 000001 000000 000000 000000 000000 000000* -L0018912 000000 000000 000001 000000 000000 000001 000000 000000* -L0018960 000000 000000 000001 000000 000000 000000 000000 000000* -L0019008 10000010 00000000 00000001 00000000 00000010 00000000 00000000 00000001* -L0019072 00000000 00000000 00000000 00000001 00000010 00000001 00000000 00000000* -L0019136 00000000 00000001 00000001 00000000 00000000 00000000 00000001 00000010* -L0019200 00000000 00000000 00000000 00000000 00000001 00000011 00000000 00000000* -L0019264 00000000 00000000 00000000 00000010 00000000 00000001 00000001 00000011* -L0019328 00000000 00000000 00010010 00000000 00000010 00000010 00000000 00000010* -L0019392 00000000 00000000 00000000 00000000 00000000 00000011 00000000 00000010* -L0019456 00000000 00000000 00000001 00001000 00000000 00000000 00000000 00000011* -L0019520 00000000 00000000 00000000 00000011 00000000 00000010 00000000 00000000* +L0014688 00000000 00000000 00000000 00000010 00000000 00000000 00000000 00000001* +L0014752 00000000 00000000 00000011 00000000 00000011 00000000 00000000 00000011* +L0014816 00000000 00000000 00000010 00000000 00000011 00000000 00000000 00000001* +L0014880 00000000 00000000 00000001 00000000 00000000 00000000 00000000 00000001* +L0014944 00000000 00000000 00000011 00000000 00000001 00000000 00000000 00000000* +L0015008 00000000 00000000 00000010 00000000 00000001 00000000 00000000 00100001* +L0015072 00000000 00000000 00100001 00000000 00001001 00000000 00000000 00000010* +L0015136 00000000 00000000 00100011 00000000 00000010 10000000 00000000 00000011* +L0015200 00000000 00000000 00100001 00000000 00000011 00000000 00000000 00000001* +L0015264 000000 000000 001000 000000 000000 000000 000000 000000* +L0015312 000000 000000 001000 000000 000000 000000 000000 001000* +L0015360 000100 000000 001000 000000 000000 000000 000000 000000* +L0015408 000000 000000 001000 000000 000000 000000 000000 001000* +L0015456 000000 000000 000000 000000 000000 000000 000000 001000* +L0015504 000000 000000 000000 000000 000000 000000 000000 001000* +L0015552 00000000 00000000 10000011 00000000 00000011 00000001 00000011 00000010* +L0015616 00000001 00000000 10010000 00000100 00000000 00000001 00000000 00000000* +L0015680 00001001 00000000 10010001 00000000 00000000 00000000 00000010 00000000* +L0015744 00000000 00000000 10010000 00000000 00000011 00000001 00000011 00010000* +L0015808 00000000 00000000 10000000 00000001 00000000 00000000 00000000 00000000* +L0015872 00000000 00000000 10000001 00000001 00000000 00000000 00000001 00000000* +L0015936 00000000 00000000 10100000 00000001 00000000 00000001 00000011 00100001* +L0016000 00001011 00000000 10100000 00000001 00000000 00000000 00000011 00100000* +L0016064 00000011 00000000 10100000 00000001 00000000 00000011 00000001 00100000* +L0016128 000000 000000 000000 000000 000000 000000 000000 001000* +L0016176 000000 000000 000001 000000 000000 000000 000000 000000* +L0016224 000010 000000 001000 000000 000000 000000 000000 000000* +L0016272 000010 000000 001000 000010 000000 000000 000000 000000* +L0016320 000000 000000 001000 000000 000000 000000 000000 000000* +L0016368 000000 000000 001000 000000 000000 000000 000000 000000* +L0016416 00000000 00000000 00000000 00000000 00000000 00000000 00010011 00000001* +L0016480 00001001 00000000 00000011 00000000 00000011 00000000 11100100 00000011* +L0016544 00000001 00000000 00000000 00000100 00000011 00000000 01100110 00100001* +L0016608 00000000 00000000 00100001 00000100 00000010 00000000 00000011 00000001* +L0016672 00000000 00000000 00000011 00000001 00000000 00000000 00000000 00000001* +L0016736 00000000 00000000 00000010 00001001 00000001 00000000 00000001 00000001* +L0016800 00001000 00000000 00000011 00000001 00000001 00000000 00000111 01000000* +L0016864 00000010 00000000 00000010 00000101 00000011 10000000 00000101 01000011* +L0016928 00000010 00000000 00000011 00001100 00000011 00000000 00000001 00000011* +L0016992 000000 000000 000000 000000 000000 000000 000000 000000* +L0017040 000000 000000 100000 000010 000000 000000 000000 010000* +L0017088 000000 000000 100000 000000 000000 000000 100001 000000* +L0017136 000000 000000 000000 000000 000000 000000 100001 000000* +L0017184 000000 000000 000000 000010 000000 000000 000000 000000* +L0017232 000000 000000 000000 000000 000000 000000 000000 000000* +L0017280 00000000 00000000 00000010 00000000 00000111 00000000 00000000 00000010* +L0017344 00000000 00000000 00000000 00000000 00001100 00000000 00000000 00010000* +L0017408 00000000 00000000 00000001 00000000 10001100 00000000 00000000 00010000* +L0017472 00000000 00000000 00000000 00000000 10001101 00000001 00000000 00010000* +L0017536 00000000 00000000 00000000 00000000 10011100 00000000 00000000 00010000* +L0017600 00000000 00000000 00000001 00000000 10000100 00000000 00000000 00110000* +L0017664 00000000 00000000 00000000 00000000 10000100 00000001 00000000 00010001* +L0017728 00000000 00000000 00000000 00000000 10000100 00000000 00000010 00000000* +L0017792 00000000 00000000 00000000 00000001 10000100 00000001 00000000 00000000* +L0017856 000000 000000 000000 000000 100001 000000 000000 000000* +L0017904 000000 000000 000000 000000 000101 000000 000000 000000* +L0017952 000000 000000 000000 000000 000111 000000 000000 000000* +L0018000 000000 000000 000000 000000 000111 000000 000000 001000* +L0018048 000000 000000 000000 000000 000111 000000 000000 001000* +L0018096 000000 000000 000000 000000 000111 000000 000000 001000* +L0018144 00000000 00000000 00000001 10000000 00000000 00000000 00000000 00010001* +L0018208 00000000 00000000 00000011 00000000 10010010 00000000 00000000 00000011* +L0018272 00000000 00000000 00000000 00000000 00000001 00000000 00000000 00000001* +L0018336 00000000 00000000 00100001 00000000 00000000 00000000 00000000 00000001* +L0018400 00000000 00000000 00100011 00000000 00000000 00000000 00000000 00000001* +L0018464 00000000 00000000 00000010 00000000 00000001 00010000 00000000 00000001* +L0018528 00000000 00000000 00000011 00000000 00000001 00000000 00000010 00000000* +L0018592 00000000 00000000 00000010 00000001 00000001 00010000 00000000 00000011* +L0018656 00000000 00000000 00000011 00000000 00000011 00010000 00000000 00000011* +L0018720 000000 000000 100000 000001 000000 000000 000000 000000* +L0018768 000000 000000 100000 000000 000000 000000 000000 000000* +L0018816 000000 000000 100000 000000 000000 000000 000000 001000* +L0018864 000000 000000 100000 000000 000000 000000 000000 000000* +L0018912 000000 000000 000000 000000 000000 000000 000000 000000* +L0018960 000000 000000 000000 000000 000000 000000 000000 000000* +L0019008 00000000 00010000 00000001 00000000 00000010 00000000 00000000 00000000* +L0019072 00000000 00000000 00000000 00000011 00000010 00000001 00000000 00000001* +L0019136 00000000 00000000 00000001 00000000 00000000 00000000 00000001 00000000* +L0019200 00000000 00000000 00000000 00000000 00000001 00000010 00000000 00000000* +L0019264 00000000 00000000 00000000 00000011 00000000 00000001 00000001 00000010* +L0019328 00000000 00000000 00001001 00000000 00000010 00000000 00000000 00000010* +L0019392 00000000 00000000 00000000 00000000 00000010 00000011 00000000 00000001* +L0019456 00000000 00000000 00000001 00000001 00000000 00000010 00000000 00010011* +L0019520 00000000 00000000 00000000 00000000 00000000 00000011 00000000 00000000* L0019584 000000 000000 000000 000000 000000 000000 000000 000000* -L0019632 000000 000000 000010 000000 000000 000000 000000 000100* -L0019680 000000 000000 000000 100000 000000 000000 000000 000100* +L0019632 000000 001000 000000 000000 000000 000000 000000 000100* +L0019680 000000 000000 000000 000000 000000 000000 000000 000000* L0019728 000000 000000 000000 000000 000000 000000 000000 000000* -L0019776 000000 000000 000000 000010 000000 000000 000000 000000* -L0019824 000000 000000 000100 000000 000000 000000 000000 000000* -L0019872 00000000 00000000 00000001 00000000 00000011 00000000 00000000 00000001* -L0019936 00000000 00000000 00000010 00000000 00000000 00000000 00000000 00000000* -L0020000 00000000 00000000 00000001 00010000 00000101 00010000 00000000 00000010* -L0020064 00000000 00000000 00000000 00000000 00010101 00000100 00000000 00000000* -L0020128 00000000 00000000 00000000 00000000 00001100 00000000 00000000 00000001* -L0020192 00000000 00000000 00000011 00000000 00000001 00000000 00000000 00000010* -L0020256 00000000 00000000 00000010 00001000 00000110 00000001 00000000 00000010* -L0020320 00000000 00000000 00000000 00000000 00000001 00000100 00000010 00000001* -L0020384 00000000 00000000 00000010 00000000 00000010 00000001 00000000 00000000* -L0020448 000000 000000 000000 000000 000000 000000 000000 000000* -L0020496 000000 000000 000000 000000 000000 000000 000000 000000* -L0020544 000000 000000 000010 000100 000001 000000 000000 000000* -L0020592 000000 000000 000000 000010 000001 000000 000000 000100* -L0020640 000000 000000 000100 000000 000001 000001 000000 000100* -L0020688 000000 000000 000000 000000 000001 000000 000000 000000* -L0020736 00000000 00000000 00000000 00000000 00000110 10001000 00000000 10000001* -L0020800 00000000 00000000 00000010 00000000 00000110 00101000 00000000 00000000* -L0020864 00000000 00000000 00000001 00001000 00000100 00100000 00000000 00000000* -L0020928 00000000 00000000 00000000 00000000 00001100 00000000 00000000 00000000* -L0020992 00000000 00000000 00000000 00000000 10111100 00001000 00000000 00000001* -L0021056 00000000 00000000 00000001 00000000 10000100 10000000 00000000 00000000* -L0021120 00000000 00000000 00000000 00000000 10000100 00000000 00000000 00000010* -L0021184 00000000 00000000 00000000 00001000 10000100 00000000 00000010 00000001* -L0021248 00000000 00000000 00000000 00000000 10000100 00100000 00000000 00000000* -L0021312 000000 000000 000000 000000 100001 000000 000000 000000* -L0021360 000000 000000 000000 000000 000101 000000 000000 000000* -L0021408 000000 000000 000000 100000 010111 000000 000000 000000* -L0021456 000001 000000 010000 000000 010111 000000 000000 000000* -L0021504 000000 000000 010000 000010 010111 000000 000000 000000* -L0021552 000000 000000 010000 000000 000111 000000 000000 000000* -L0021600 00000000 00000000 00000000 00000000 10111000 00000000 00001000 00000010* -L0021664 00000000 00000100 00000000 00001000 10000010 00000000 11110100 00000001* -L0021728 00000000 00000000 00000010 00010000 00000010 00000000 01110100 00000000* -L0021792 00000000 00000000 00000001 00000000 00000000 00001000 00000000 00000000* +L0019776 000000 000000 000100 000000 000000 000000 000000 000000* +L0019824 000000 000000 000000 000000 000000 000000 000000 000000* +L0019872 00000000 00000000 00000011 10000000 00000011 00000000 00000000 00000010* +L0019936 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000001* +L0020000 00000000 00000000 00000001 00000000 00000001 00000000 00000000 00000001* +L0020064 00000000 00000000 00000000 00000000 00000001 00000000 00000000 00000000* +L0020128 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000001* +L0020192 00000000 00000000 00000001 00000000 00000001 00000000 00000000 00000001* +L0020256 00000000 00000000 00000010 00000000 00000000 00000000 00000000 00000001* +L0020320 00000000 00000000 00000000 00000000 00000001 00000000 00000010 00000010* +L0020384 00000000 00000000 00000010 00000001 00000010 00000001 00000000 00010010* +L0020448 000000 000000 000000 000001 000000 000000 000000 000100* +L0020496 000000 000000 000000 000000 000000 001000 000000 000000* +L0020544 000000 000000 000000 000000 000000 000000 000000 000000* +L0020592 000000 000000 000100 000000 000000 001000 000000 000000* +L0020640 000000 000000 000000 000000 000000 001000 000000 000000* +L0020688 000000 000000 000000 000000 000000 000000 000000 000000* +L0020736 00000000 00000000 00000010 00000000 00000010 00000000 00000000 00000010* +L0020800 00000000 00000000 00000000 00000000 00000010 01000000 00000000 00010010* +L0020864 00000000 00000000 00000001 00000000 00000000 00000000 00000000 00010000* +L0020928 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00010000* +L0020992 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00010000* +L0021056 00110000 00000000 00000001 10000000 00000000 00000000 00000000 00010000* +L0021120 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00110001* +L0021184 00000000 00000000 00000000 00000000 00000000 00000000 00000010 00100000* +L0021248 00000000 00000000 00000000 00000001 00000000 00000000 00000000 00100000* +L0021312 000000 000000 000000 000000 000000 000000 000000 001000* +L0021360 000000 000000 000000 000100 000000 000000 000000 000000* +L0021408 000000 000000 000000 000100 000000 000001 000000 000000* +L0021456 000000 000000 000000 000000 000000 000000 000000 000000* +L0021504 000000 000000 000000 000000 000000 000000 000000 000000* +L0021552 000000 000000 000000 000000 000000 000000 000000 000000* +L0021600 00000000 00000000 00000000 00100010 00000000 00000000 00001000 00010000* +L0021664 00000000 00000000 00000010 00001000 00000010 00000000 11110100 00000010* +L0021728 00000000 00000000 00000010 00000000 00000010 00000000 01110100 00000010* +L0021792 00000000 00000000 00000001 00000000 00000000 00000000 00000000 00000000* L0021856 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0021920 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000001* -L0021984 00000000 00000000 00000001 00001000 00000000 01100000 00000100 00000000* -L0022048 00000000 00000000 00000001 00000000 00000000 11100000 00000100 00000010* -L0022112 00000000 00000000 00000000 00000000 00000000 00000000 00000010 00000001* +L0021920 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0021984 00000000 00000000 00000001 00000000 00010000 00000000 00000100 00000000* +L0022048 00000000 00000000 00000001 00000000 00000000 01000000 00000100 00000001* +L0022112 00000000 00000000 00000000 00000000 00000000 00000000 00000010 00000000* L0022176 000000 000000 000000 000000 000000 000000 000000 000000* -L0022224 000000 000000 000000 000000 000000 000000 000000 000000* -L0022272 000000 000000 000000 000010 100000 000000 100001 000010* -L0022320 000000 000000 000000 000100 000000 000000 100001 000000* -L0022368 000000 000000 000000 000000 000000 000000 000000 000000* +L0022224 000000 000000 000000 000000 000000 000000 000000 001000* +L0022272 000000 000000 000000 000000 000000 000000 100001 000000* +L0022320 000000 000000 000000 000000 000000 000000 100001 000000* +L0022368 000000 000000 000000 000000 000000 000000 000000 001000* L0022416 000000 000000 000000 000000 000000 000000 000000 000000* -L0022464 00000011 00000001 00000001 00000011 00000011 00010011 00000011 00000011* -L0022528 00000011 00000001 00000010 00000011 00000011 00000011 00000011 00010110* -L0022592 00000011 00000001 00000001 00000011 00000011 00000011 00000011 00000111* -L0022656 00000011 00000001 00000000 00000011 00000011 00000011 00000011 00000000* -L0022720 00000011 00000001 00000010 00000011 00000011 00000011 00000011 00000011* -L0022784 00000011 00000001 01000011 00000011 00000011 00000011 00000011 00000011* -L0022848 00000011 00000011 00000010 00000011 00000010 00000011 00000011 00000010* -L0022912 00000011 00000011 00000001 00000011 00000011 00000011 00000011 00000011* -L0022976 00000011 00000011 00000010 00000011 00000010 00010011 00000011 00000000* +L0022464 00000011 00000001 00000011 00000001 00000011 00000011 00000011 00000010* +L0022528 00010011 00000001 00000000 00000011 00000011 00000011 00000011 00000111* +L0022592 00000111 00000001 00100001 00000011 00000011 00010011 00000011 00000011* +L0022656 00000011 00000001 00000000 00000011 00000011 00000011 00000011 00000010* +L0022720 00000011 00000001 00000000 00000011 00000011 00000011 00000011 00000011* +L0022784 00000011 00000001 10001001 00001011 00000011 00000011 00000011 00000011* +L0022848 00000011 00000001 10000010 00000111 00000010 00000011 00000011 00001011* +L0022912 00000011 00000001 10000001 00000011 00000011 00100011 00000011 00000011* +L0022976 00000011 00000011 10000010 00000011 00000010 00000011 00000011 00000010* L0023040 000000 000000 000000 000000 000000 000000 000000 000000* -L0023088 000000 000000 001011 000000 000000 000001 000000 000000* -L0023136 000000 000000 001110 000000 000000 000000 000000 000000* -L0023184 000000 000000 100000 000000 000000 000000 000000 000000* -L0023232 000000 000000 101000 000000 000000 001000 000000 000000* -L0023280 000000 000000 101000 000000 000000 000000 000000 000000* -L0023328 00000000 00100000 00000100 00000000 00000000 01000100 00000000 00001000* -L0023392 00000000 00000000 00000100 00000000 00000000 00010000 00000000 00001000* -L0023456 00000000 00000000 00000100 00000000 00000000 00010100 00000000 00101000* -L0023520 00000000 00000000 00000100 00000000 00000000 00010100 00000000 00101000* -L0023584 00000000 00000000 00000100 00000000 00000000 00010100 00000000 00101000* -L0023648 00000000 00000000 00001000 00000000 00000000 00010100 00000000 00000000* -L0023712 00000000 00000000 00001100 00000000 00000000 00000100 00010000 00100000* -L0023776 00000000 00000000 00001100 00000000 00000000 00000100 00000000 00101000* -L0023840 00000000 00000000 00001100 00000000 00000000 00000100 00000000 00101000* -L0023904 000000 000000 000010 000000 000000 000001 000000 001010* -L0023952 010000 000000 000000 000000 010000 001100 000000 010000* -L0024000 000000 000000 000000 000000 000000 000011 000000 010100* -L0024048 000000 000000 010010 000000 000000 000001 000000 010110* -L0024096 000000 000000 010010 000000 000000 000001 000000 010110* -L0024144 000000 000000 010010 000000 000000 000001 000000 010110* -L0024192 00000000 00000000 00110000 00000000 00000000 00000000 00000000 00000000* -L0024256 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* -L0024320 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0024384 00000000 00000000 00000000 00000000 01000000 00000000 00000000 00000000* -L0024448 00000000 00000000 00000000 00000000 10010000 00000000 00000000 00000000* -L0024512 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0024576 00000000 00000000 01010000 00000000 00000000 00000000 00000000 00000000* -L0024640 00000000 00000000 00000000 00000000 00000100 00000000 00000000 00000000* -L0024704 00000000 00000000 00000000 00000000 00000000 00010000 00000000 00000000* -L0024768 000000 000000 000000 000000 000000 000000 000000 000000* -L0024816 000000 000000 000000 000000 000100 000000 000000 000000* -L0024864 000000 000000 000000 000000 000000 000000 000000 000010* -L0024912 000000 000000 000000 000000 000000 000000 000000 000000* -L0024960 000000 000000 000000 000000 000000 001000 000000 000000* -L0025008 000000 000000 000000 000000 000000 000000 000000 000000* -L0025056 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* -L0025120 00000000 00000000 00000100 00000000 00000000 00000000 11111100 00000000* -L0025184 00000000 00000000 10000000 00000000 00001000 00000000 01111100 00000000* -L0025248 00000000 00000000 00000100 00000000 10100000 00000000 00000000 00000000* +L0023088 000000 000000 000000 000000 000000 000000 000000 100000* +L0023136 000000 000000 001100 000000 000000 000000 000000 000000* +L0023184 000000 000000 001000 000000 000000 010000 000000 000000* +L0023232 000001 000000 001000 000000 000000 000000 000000 000000* +L0023280 000000 000000 001000 000000 000000 000000 000000 000000* +L0023328 00000000 00000000 00000100 00000100 00000000 00010000 00000000 00000000* +L0023392 00000100 00000000 00000100 00000100 00000000 00000000 00000000 00000000* +L0023456 00001000 00000000 00000100 00000000 00000000 00100100 00000000 00000000* +L0023520 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* +L0023584 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* +L0023648 00000000 00000000 00000000 00000100 00000000 00100000 00000000 00000000* +L0023712 00001000 00000000 00001100 00000000 00000000 00000000 00010000 10000000* +L0023776 00000000 00000000 00001100 00000000 00000000 00000000 00000000 10010000* +L0023840 00000000 00000000 00001000 00000000 00000000 00000000 00000000 10010000* +L0023904 000000 000000 000010 000000 000000 000000 000000 100100* +L0023952 000000 000000 000000 000000 010000 010000 000000 000000* +L0024000 000000 000000 000000 000010 000000 000000 000000 000000* +L0024048 000001 000000 000010 000010 000000 000000 000000 000000* +L0024096 000000 000000 000010 000000 000000 000000 000000 000000* +L0024144 000000 000000 000010 000000 000000 000000 000000 000000* +L0024192 00000000 00000000 00000000 00000000 00000000 00010000 00000000 00000000* +L0024256 00010000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0024320 00000100 00000000 00000000 00000000 00000000 00100100 00000000 00000000* +L0024384 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0024448 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0024512 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* +L0024576 00000000 00000000 00001000 00000000 00000000 00000000 00000000 00000000* +L0024640 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0024704 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0024768 000000 000000 000000 000000 000000 000000 000000 000010* +L0024816 000000 000000 000010 000000 000000 010000 000000 000000* +L0024864 000000 000000 000010 000000 000000 000000 000000 000000* +L0024912 000010 000000 000010 000000 000000 000000 000000 000000* +L0024960 000001 000000 000010 000000 000000 000000 000000 000000* +L0025008 000000 000000 000010 000000 000000 000000 000000 000000* +L0025056 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0025120 00000100 00000000 00000000 00000000 00000000 00010000 11111100 00000000* +L0025184 00001000 00000000 00000000 00000000 00000000 00000000 01111100 00000000* +L0025248 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0025312 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0025376 00000000 00000000 00001000 00000000 00000000 00000000 00000000 00000000* -L0025440 00000000 00000000 10000100 00000000 00100000 00000000 00000100 00000000* -L0025504 00000000 00000000 00001000 00000000 00000000 00000000 00000100 00000000* -L0025568 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* -L0025632 000000 000000 100010 000000 100001 000000 000000 000000* -L0025680 000000 001000 100001 010000 000000 100000 000010 000010* -L0025728 000000 000000 100000 000000 010000 100000 100001 000000* -L0025776 000001 000000 000000 000000 001100 000000 100001 000000* -L0025824 000000 000000 000011 000000 010000 000000 000000 000000* -L0025872 000000 000000 110001 000000 001100 000000 000000 000000* -L0025920 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* -L0025984 00000000 00000000 00000100 00000011 00000011 00000000 00000011 00000010* -L0026048 00000000 00000000 00000100 00000000 00000001 00000000 00000001 00000001* -L0026112 00000100 00000000 00000100 00000000 00000000 00000000 00000000 00000000* -L0026176 00000000 00000000 00000100 00000010 00000011 00000001 00000011 00000010* -L0026240 00000000 00000000 01001000 00000010 00000011 00000001 00000010 00000011* -L0026304 00000000 00000000 00001100 00000000 00000000 00000000 00000000 00000000* -L0026368 00000000 00000000 00011101 00000000 00000000 00000001 00000010 00000010* -L0026432 00000100 00000000 00001110 00000000 00000010 00000000 00000010 00000000* -L0026496 000000 000000 000010 000000 000000 000000 000000 000000* -L0026544 000000 000000 000010 000000 000000 000000 000000 000000* -L0026592 000000 000000 000010 000000 000000 000000 000000 000000* -L0026640 000000 000000 000010 000000 000000 000000 000000 000000* -L0026688 000000 000000 000010 000000 000000 000000 000000 000000* -L0026736 000000 000000 000010 000000 000000 000000 000000 000000* -L0026784 00000000 00001000 00000000 00000000 00000000 00000000 00000000 00000000* -L0026848 00000000 00000000 00000000 00000000 00000000 00000000 11111100 00000000* -L0026912 00000000 00000000 00000000 00000000 00000000 00000000 01111100 00000000* -L0026976 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0025376 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0025440 00000000 00000000 00000000 00000000 00000000 00100000 00000100 00000000* +L0025504 00001000 00000000 00000000 00000000 00001000 00000000 00000100 00000000* +L0025568 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00001000* +L0025632 000000 000000 000000 000000 000000 000000 000000 000000* +L0025680 000000 000000 000000 000010 000000 000000 000010 000000* +L0025728 000011 000000 000000 000000 000000 010000 100001 000000* +L0025776 000000 000000 000000 000000 000000 000000 100001 000000* +L0025824 000000 000000 000000 000000 000000 000000 000000 000000* +L0025872 000000 000000 000000 000000 000000 000000 000000 000000* +L0025920 00000000 00000000 00000000 00000000 00000000 10000100 00000000 00000000* +L0025984 00000000 00000000 00000000 00000011 00000011 00000100 00000011 00000010* +L0026048 00000000 00000000 00000000 00000000 00000001 00000000 00000001 00000001* +L0026112 00000000 00000000 00000000 00000000 00000000 00010000 00000000 00000000* +L0026176 00000000 00000000 00000000 00000010 00000011 00000101 00000011 00000010* +L0026240 00000000 00000000 00000000 00000010 00000011 10000001 00000010 00000011* +L0026304 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* +L0026368 00000000 00000000 00000001 00000000 00000000 00100001 00000010 00000010* +L0026432 00000000 00000000 00000010 00000000 00000010 00000000 00000010 00000000* +L0026496 000000 000000 000000 000000 000000 000000 000000 000000* +L0026544 000000 000000 000000 000000 000000 000000 000000 000000* +L0026592 000000 000000 000000 000000 000000 000000 000000 000000* +L0026640 000000 000000 000000 000000 000000 000000 000000 000000* +L0026688 000000 000000 000000 000000 000000 000000 000000 000000* +L0026736 000000 000000 000000 000000 000000 000000 000000 000000* +L0026784 10000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0026848 00000000 00000000 00000000 00000000 00000000 00010000 11111100 00000000* +L0026912 00000000 00000000 00000000 10000000 00000000 00010000 01111100 00000000* +L0026976 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* L0027040 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0027104 00000000 00000000 00000000 00000000 00000000 00010000 00000000 00000000* +L0027104 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0027168 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* -L0027232 00000000 00000000 00000000 00000000 00000000 00010000 00000100 00000000* -L0027296 00000000 00000000 00000000 00000000 00000000 00010000 00000000 00000000* +L0027232 00000000 00000000 00000000 00000000 00000000 10000000 00000100 00000000* +L0027296 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0027360 000000 000000 000000 000000 000000 000000 000000 000000* L0027408 000000 000000 000000 000000 000000 000000 000000 000000* -L0027456 000000 000000 000000 000000 000000 000000 100011 000000* -L0027504 000000 000000 000000 000000 000000 000000 100011 000000* +L0027456 000000 000000 000000 000000 000000 010000 100011 000000* +L0027504 000000 000000 000000 000000 000000 010000 100011 000000* L0027552 000000 000000 000000 000000 000000 000000 000000 000000* -L0027600 000000 000000 000000 000000 000000 000000 000000 000000* -L0027648 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000100* -L0027712 00000000 00000000 10000000 00000001 00000011 00000000 00000011 10000110* -L0027776 00000000 00000000 10000000 00000000 00000001 00000000 00000001 10000100* -L0027840 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* -L0027904 00000000 00000000 00000000 00000010 00000001 00000000 00000011 00000110* -L0027968 00000000 00000000 00100000 00000010 00000011 00000001 00000010 00000010* -L0028032 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* -L0028096 00000000 00000000 10100001 00000010 00000000 00000000 00000010 00000110* -L0028160 00000000 00000000 00000000 00000000 00000010 00000000 00000010 00000100* +L0027600 000000 000000 000000 000001 000000 000000 000000 000000* +L0027648 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* +L0027712 00000000 00000000 10010000 00000001 00100011 00000000 00000011 00000010* +L0027776 00000000 00000000 10010000 00000000 00100001 00000000 00000001 00000000* +L0027840 00000000 00000000 10010000 00000000 00000000 00000000 00000000 00000000* +L0027904 00000000 00000000 10000000 00000010 00000001 00000000 00000011 00000010* +L0027968 00000000 00000000 10000000 00000010 00000011 00000001 00000010 00000010* +L0028032 00000000 00000000 10100000 00000000 00000000 00000000 00000000 00000000* +L0028096 00000000 00000000 10100001 00000010 00000000 00000000 00000010 00000010* +L0028160 00000000 00000000 10100000 00000000 00000010 00000000 00000010 00000000* L0028224 000000 000000 000000 000000 000000 000000 000000 000001* -L0028272 000000 000000 010000 000000 000000 000000 000000 000001* -L0028320 000000 000000 010000 000000 000000 000000 000000 000001* -L0028368 000000 000000 000000 000000 000000 000000 000000 000001* -L0028416 000000 000000 000000 000000 000000 000000 000000 000001* -L0028464 000000 000000 000000 000000 000000 000000 000000 000001* -L0028512 00000000 00000001 00000001 01011001 00000011 00000000 00000000 00010001* -L0028576 00000100 00000001 00000010 00000000 00000000 00000000 11111100 00000000* -L0028640 00000000 00000001 00000001 00000011 00000010 00000000 01111100 00100011* -L0028704 00000000 00000001 00000000 00000011 00000011 00000000 00000000 00000000* -L0028768 00000000 00000001 00000010 00000001 00000010 00000001 00000000 00000001* -L0028832 00000000 00000001 00000011 00001001 00010000 00000000 00000000 00000000* -L0028896 00000001 00000011 00000010 00000000 00000010 00000000 00000100 00000010* -L0028960 00000001 00000011 00000000 00000000 00000011 00000000 00000100 00001001* -L0029024 00000000 00000011 00000010 00000000 00000000 00000000 00000000 00000000* -L0029088 000000 000000 000000 000000 000000 000000 000000 000000* -L0029136 000000 000000 000000 100110 000000 001000 000000 000000* -L0029184 000000 000000 000000 000000 000000 000000 100011 000100* -L0029232 000000 000000 000000 000000 000000 001000 100011 000100* -L0029280 000000 000000 000000 000000 000000 001000 000000 000100* -L0029328 000000 000000 000000 000000 000000 000000 000000 000000* -L0029376 00000011 00000000 00000000 00000001 00000000 00000011 00000000 00000000* -L0029440 00000011 00000000 00000000 00000000 00000000 01000111 00000000 00000000* -L0029504 00000011 00000000 00000000 00000011 00000000 00000011 00000000 00000000* -L0029568 00000010 00000000 00000000 00000011 00000000 00000011 00000000 00000000* -L0029632 00000011 00000000 00000000 00000001 00000000 00000010 00000000 00000000* -L0029696 00000011 00000000 00000000 00000001 00000000 00000010 00000000 00000000* -L0029760 00000010 00000000 00000000 00000000 00000000 00000011 00000000 00000000* -L0029824 00000000 00000000 00000000 00000000 00000000 00010011 00000000 00000000* -L0029888 00000011 00000000 00000000 00000000 00000000 00000011 00000000 00000000* +L0028272 000000 000000 000001 000000 000000 000000 000000 000000* +L0028320 000000 000000 001000 000000 000000 000000 000000 000000* +L0028368 000000 000000 001000 000000 000000 000000 000000 000000* +L0028416 000000 000000 001000 000000 000000 000000 000000 000000* +L0028464 000000 000000 001000 000000 000000 000000 000000 000000* +L0028512 00011111 00000000 00000011 00000000 00000011 00000000 00000000 00000010* +L0028576 00000011 00000000 00000000 00000000 00000000 00000000 11111100 00000001* +L0028640 00000011 00000000 00000001 10000000 00000010 00000000 01111100 00000011* +L0028704 00000011 00000000 00000000 00000000 00000011 00000000 00000000 00000010* +L0028768 00000011 00000000 00100000 00000000 00000010 00000001 00000000 00000001* +L0028832 00001011 00000000 00000001 00000000 00000000 00000000 00000000 10000000* +L0028896 00000011 00000000 00000010 00000000 00000010 00000000 00000100 00000011* +L0028960 00000011 00000000 00000000 00000000 00000011 00000000 00000100 00000001* +L0029024 00000011 00000001 00000010 00000000 00000000 00000000 00000000 10000010* +L0029088 000000 000000 100000 000000 000000 000000 000000 100000* +L0029136 000011 000000 000000 000000 000000 000000 000000 000000* +L0029184 000000 000000 000000 000000 000000 000000 100011 000000* +L0029232 000000 000000 100000 000000 000000 000000 100011 000000* +L0029280 000000 000000 000000 000000 000000 000000 000000 000100* +L0029328 000000 000000 000000 000001 000000 000000 000000 000101* +L0029376 00000001 00000001 00000000 10000001 00000000 00000011 00000000 00000000* +L0029440 00000000 00000001 00000000 10000000 00000000 00000011 00000000 00000000* +L0029504 00000000 00000001 00000000 10000010 00000000 00000011 00000000 00000000* +L0029568 00000001 00000001 00000000 00000010 00000000 00000011 00000000 00000000* +L0029632 00000001 00000001 00000000 00000000 00000000 00000010 00000000 00000000* +L0029696 00000001 00000000 00000000 00000000 00000000 00000010 00000000 00000000* +L0029760 00000001 00000001 00000000 00000000 00000000 00000011 00000000 00000000* +L0029824 00000000 00000001 00000000 00000000 00000000 00000011 00000000 00000000* +L0029888 00000001 00000011 00000000 00000001 00000000 00000011 00000000 00000000* L0029952 000000 000000 000000 000000 000000 000000 000000 000000* L0030000 000000 000000 000000 000000 000000 000000 000000 000000* -L0030048 000000 000000 000000 000000 000000 000000 000000 000100* -L0030096 000000 000000 000000 000000 000000 001100 000000 000000* -L0030144 000000 000000 010000 000000 000000 000000 000000 000000* -L0030192 000000 000000 010000 000000 000000 000000 000000 000000* -L0030240 00000001 00000000 00000000 00000010 00000000 00010000 00000011 00000010* -L0030304 00000000 00000000 00000000 00000010 00000000 00010001 11111100 00000000* -L0030368 00000011 00000000 00000000 00000000 00000000 00010101 01111110 00000000* -L0030432 00000001 00000000 00000000 00000000 00000000 00010100 00000011 00000000* -L0030496 00000010 00000000 00000000 00000000 00000010 00010100 00000000 00000000* -L0030560 00000010 00000001 00000000 00000000 00000000 00000000 00000001 00000001* -L0030624 00000100 00000000 00000000 00000011 00000000 00000100 00000111 00000000* -L0030688 00000010 00000000 00000000 00000001 00000000 00000101 00000101 00000000* -L0030752 00000000 00000000 00000000 00000011 00000000 00010110 00000001 00000000* -L0030816 000000 000000 000000 000000 000000 000001 000000 000000* -L0030864 000000 000000 000000 000000 000000 000001 000000 000000* -L0030912 000000 000000 000000 000000 000000 100011 100011 000000* -L0030960 000000 000000 010000 000000 000000 000001 100011 000100* -L0031008 000000 000000 000000 000000 000000 001001 000000 000100* -L0031056 000000 000000 000000 000000 000000 000001 000000 000000* +L0030048 000000 000001 000000 000000 000000 000000 000000 000000* +L0030096 000000 000000 000000 000001 000000 000000 000000 000000* +L0030144 000000 000000 000000 000000 000000 000000 000000 000000* +L0030192 000000 000000 000000 000000 000000 000000 000000 000000* +L0030240 00000000 00000001 00000000 00000000 00000000 00000000 00000011 00000000* +L0030304 00000000 00000000 00000000 00000010 00000000 00000001 10000100 00000000* +L0030368 00000000 00000001 00000000 00000001 00000000 00000001 00000110 00000000* +L0030432 00000000 00000001 00000000 00000011 00000000 00000000 00000011 00000000* +L0030496 00000000 00000000 00000000 00000001 00000010 00000000 00000000 00000000* +L0030560 00000000 00000001 00000000 00000001 00000000 00000000 00000001 00000001* +L0030624 00000010 00000000 00000000 00000011 00000000 00000000 00000111 00000000* +L0030688 00000000 00000001 00000000 00000001 00000000 10000001 00000101 00000000* +L0030752 00000000 00000000 00000000 00000010 00000000 00000010 00000001 00000000* +L0030816 000000 000000 000000 000001 000000 000000 000000 000000* +L0030864 100000 000000 000000 000001 000000 000000 000001 000000* +L0030912 000000 000000 000000 000001 000000 000000 000000 000000* +L0030960 000000 000000 000000 000000 000000 000000 000000 000000* +L0031008 000000 000000 000000 000001 000000 000000 000000 000000* +L0031056 000000 000000 000000 000001 000000 000000 000000 000000* L0031104 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0031168 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0031232 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* @@ -646,14 +646,14 @@ L0031824 000000 000000 000000 000000 000000 000000 000000 000000* L0031872 000000 000000 000000 000000 000000 000000 000000 000000* L0031920 000000 000000 000000 000000 000000 000000 000000 000000* L0031968 00000000 00000000 00000000 00000000 00000000 00000000 00000011 00000000* -L0032032 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0032096 00000000 00000000 00000000 00000000 00000000 00000000 00000010 00000000* +L0032032 00000001 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0032096 00000001 00000000 00000000 00000000 00000000 00000000 00000010 00000000* L0032160 00000000 00000000 00000000 00000000 00000010 00000000 00000011 00000000* -L0032224 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0032288 00000001 00000000 00000000 00000000 00000000 00000000 00000001 00000000* -L0032352 00000001 00000000 00000000 00000001 00000000 00000000 00000011 00000000* -L0032416 00000001 00000001 00000000 00000001 00000000 00000000 00000001 00000000* -L0032480 00000000 00000001 00000000 00000011 00000000 00000000 00000001 00000000* +L0032224 00000000 00000000 00000000 00000001 00000000 00000000 00000000 00000000* +L0032288 00000000 00000000 00000000 00000001 00000000 00000000 00000001 00000000* +L0032352 00000000 00000000 00000000 00000001 00000000 00000000 00000011 00000000* +L0032416 00000010 00000000 00000000 00000001 00000000 00000000 00000001 00000000* +L0032480 00000010 00000000 00000000 00000000 00000000 00000000 00000001 00000000* L0032544 000000 000000 000000 000000 000000 000000 000000 000000* L0032592 000000 000000 000000 000000 000000 000000 000000 000000* L0032640 000000 000000 000000 000000 000000 000000 000000 000000* @@ -668,29 +668,29 @@ L0033088 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 L0033152 00000000 00000000 00000000 00000000 00100000 00000000 00000000 00000000* L0033216 00000000 00000000 00000000 00000000 00100000 00000000 00000000 00000000* L0033280 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0033344 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10000000* -L0033408 000000 000000 000000 000000 000000 000000 000000 100000* +L0033344 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0033408 000000 000000 000000 000000 000000 000000 000000 000000* L0033456 000000 000000 000000 000000 000000 000000 000000 000000* -L0033504 000000 000000 000000 000000 000000 000000 000000 100000* -L0033552 000000 000000 000000 000000 100000 000000 000000 100000* -L0033600 000000 000000 000000 000000 100000 000000 000000 100000* -L0033648 000000 000000 000000 000000 000000 000000 000000 100000* -L0033696 00000000 00000000 00000000 00000001 00000000 00000001 00000111 00000010* -L0033760 00000000 00000000 00000000 00000000 00000000 00000010 10000000 00000000* -L0033824 00000000 00000000 00000001 00000001 00000000 00000000 00000010 00000011* -L0033888 00000000 00000000 00000000 00000000 00000000 00000000 00000011 00000000* -L0033952 00000000 00000000 00000000 00000000 00000000 00000010 00000000 00000000* -L0034016 00000000 00010000 00000001 00000000 00000000 00000000 00000001 00000001* -L0034080 00000000 00000000 00000010 00000001 00000000 00000010 00000011 10000000* -L0034144 00000000 00000000 00000000 00000001 00000010 00000010 00000001 00000000* -L0034208 00000000 00000000 00000000 00000010 00000000 00000001 00000001 00000000* +L0033504 000000 000000 000000 000000 000000 000000 000000 000000* +L0033552 000000 000000 000000 000000 100000 000000 000000 000000* +L0033600 000000 000000 000000 000000 100000 000000 000000 000000* +L0033648 000000 000000 000000 000000 000000 000000 000000 000000* +L0033696 00000000 00000000 00000000 00000000 00000000 00000001 00000011 00000010* +L0033760 00000000 00000000 00000000 00000000 00000000 00000010 00000000 00000001* +L0033824 00000000 00000000 00000001 00000000 00000000 00000000 00000010 00000001* +L0033888 00000001 00000000 00000000 00000000 00000000 00000001 00000011 00000000* +L0033952 00000001 00000000 00000000 00000001 00000000 00000000 00000000 00000001* +L0034016 00000000 00000000 00000000 00000001 00000000 00000010 00000001 00000001* +L0034080 00000000 00000000 00000000 00000011 00000000 00000000 00000011 00000000* +L0034144 00000001 00000000 00000000 00000000 00000010 00000010 00000001 00000000* +L0034208 00000000 00000000 00000000 00000001 00000000 00000000 00000001 00000000* L0034272 000000 000000 000000 000000 000000 000000 000000 000000* -L0034320 000000 000000 000000 000000 000000 000000 000000 100000* -L0034368 000000 000000 000000 010000 000000 000000 000000 000000* +L0034320 000000 000000 000000 000000 000000 000000 000000 000000* +L0034368 000000 000000 000000 000000 000000 000000 000000 000000* L0034416 000000 000000 000000 000000 000000 000000 000000 000000* L0034464 000000 000000 000000 000000 000000 000000 000000 000000* L0034512 000000 000000 000000 000000 000000 000000 000000 000000* -L0034560 00001000 00000000 00000000 00100000 00000000 00000000 00000000 10000000* +L0034560 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0034624 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0034688 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0034752 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* @@ -700,331 +700,331 @@ L0034944 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 L0035008 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0035072 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0035136 000000 000000 000000 000000 000000 000000 000000 000000* -L0035184 000000 000000 000000 000000 000000 000000 000000 000010* -L0035232 000000 000000 000000 000000 000000 000000 000000 000010* +L0035184 000000 000000 000000 000000 000000 000010 000000 000000* +L0035232 000000 000000 000000 000000 000000 000000 000000 000000* L0035280 000000 000000 000000 000000 000000 000000 000000 000000* L0035328 000000 000000 000000 000000 000000 000000 000000 000000* L0035376 000000 000000 000000 000000 000000 000000 000000 000000* -L0035424 00000000 00000000 00000000 10000000 00000000 00000000 00000000 00000000* -L0035488 00000000 00000000 00000000 10000000 00000000 00000000 00000000 00000000* +L0035424 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0035488 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0035552 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0035616 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0035680 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0035744 00000000 00000000 00000000 10000000 00000000 00000000 00000000 00000000* -L0035808 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0035872 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0035936 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0035744 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0035808 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0035872 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0035936 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0036000 000000 000000 000000 000000 000000 000000 000000 000000* L0036048 000000 000000 000000 000000 000000 000000 000000 000000* L0036096 000000 000000 000000 000000 000000 000000 000000 000000* L0036144 000000 000000 000000 000000 000000 000000 000000 000000* L0036192 000000 000000 000000 000000 000000 000000 000000 000000* L0036240 000000 000000 000000 000000 000000 000000 000000 000000* -L0036288 00000000 00000000 00001000 00000000 00000000 00000000 00000000 00000000* -L0036352 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0036416 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00001000* +L0036288 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0036352 00000000 00000000 00000000 00000010 00000000 00000000 00000000 00000000* +L0036416 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0036480 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0036544 00000000 00000000 00000000 00000000 00000000 00000001 00000000 00000000* L0036608 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0036672 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0036736 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0036736 00000000 00000000 00010000 00000000 00000000 00000000 00000000 00000000* L0036800 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0036864 000000 000000 000000 000000 000000 000000 000000 000000* -L0036912 000000 000000 000000 000000 000000 000000 000000 000001* -L0036960 000000 000000 000000 000000 000000 000000 000000 000000* -L0037008 000000 000000 000000 000000 000000 000000 000000 011000* +L0036912 000000 000000 000000 000000 000000 000000 000000 000000* +L0036960 000000 000000 000000 000000 000000 000000 000000 000010* +L0037008 000000 000000 000000 000000 000000 000000 000000 000000* L0037056 000000 000000 000000 000000 000000 000000 000000 000000* L0037104 000000 000000 000000 000000 000000 000000 000000 000000* -L0037152 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* -L0037216 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* -L0037280 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* -L0037344 00000000 00000000 10110100 00000000 00000000 00000000 00000000 00000000* -L0037408 00000000 00000000 10100100 00000000 00000000 00000000 00000000 00000000* -L0037472 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10000000* -L0037536 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* -L0037600 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* -L0037664 00000000 00000000 00100100 00000000 00000000 00000000 00000000 00000000* -L0037728 000000 000000 001000 000000 000000 000000 000000 000000* -L0037776 000000 000000 101001 000000 000000 000000 000000 000000* -L0037824 000000 000000 101001 000000 000000 000000 000000 000000* -L0037872 000000 000000 101001 000000 000000 000000 000000 000000* -L0037920 000000 000000 101001 000000 000000 000000 000000 000000* -L0037968 000000 000000 101001 000000 000000 000000 000000 000000* -L0038016 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0038080 00000000 00000000 00000000 00000011 00000011 00000011 00000011 00000010* -L0038144 00000000 00000000 00000000 00000000 00000001 00000001 00000001 00000001* -L0038208 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0038272 00000000 00000000 00000000 00000010 00000011 00000001 00000011 00000010* -L0038336 00000000 00000000 00000000 00000010 00000011 00000001 00000010 00000011* -L0038400 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0038464 00000000 00000000 00000001 00000010 00000000 00000001 00000010 00000010* +L0037152 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0037216 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0037280 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0037344 00000000 00000000 00100100 00000000 00000000 00000000 00000000 00000000* +L0037408 00000000 00000000 00100100 00000000 00000000 00000000 00000000 00000000* +L0037472 00000000 00000000 10000000 00000000 00000000 00000000 00000000 10000000* +L0037536 00000000 00000000 11000100 00000000 00000000 00000000 00000000 10000000* +L0037600 00000000 00000000 11000100 00000000 00000000 00000000 00000000 10000000* +L0037664 00000000 00000000 11000000 00000000 00000000 00000000 00000000 10000000* +L0037728 000000 000000 110000 000000 000000 000000 000000 100000* +L0037776 000000 000000 100000 000000 000000 000000 000000 000000* +L0037824 000000 000000 101000 000000 000000 000000 000000 100100* +L0037872 000000 000000 101000 000000 000000 000000 000000 000101* +L0037920 000000 000000 001000 000000 000000 000000 000000 000101* +L0037968 000000 000000 001000 000000 000000 000000 000000 000101* +L0038016 00000000 00000000 00000000 00000000 00000100 00000000 00000000 00000000* +L0038080 00000000 00000000 00000000 00000011 00000111 00000011 00000011 00000010* +L0038144 00000000 00000000 00000000 00000000 00010101 00000001 00000001 00000001* +L0038208 00000000 00000000 00000000 00000000 00011100 00000000 00000000 00000000* +L0038272 00000000 00000000 00000000 00000010 10011111 00000001 00000011 00000010* +L0038336 00000000 00000000 00000000 00000010 10000111 00000001 00000010 00000011* +L0038400 00000000 00000000 00000000 00000000 10000100 00000000 00000000 00000000* +L0038464 00000000 00000000 00000001 00000010 10000100 00000001 00000010 00000010* L0038528 00000000 00000000 00000010 00000000 00000010 00000010 00000010 00000000* L0038592 000000 000000 000000 000000 000000 000000 000000 000000* -L0038640 000000 000000 000000 000000 000000 000000 000000 000000* -L0038688 000000 000000 000000 000000 000000 000000 000000 000000* -L0038736 000000 000000 000000 000000 000000 000000 000000 000000* -L0038784 000000 000000 000000 000000 000000 000000 000000 000000* -L0038832 000000 000000 000000 000000 000000 000000 000000 000000* +L0038640 000000 000000 000000 000000 000101 000000 000000 000000* +L0038688 000000 000000 000000 000000 000011 000000 000000 000000* +L0038736 000000 000000 000000 000000 000011 000000 000000 000000* +L0038784 000000 000000 000000 000000 000011 000000 000000 000000* +L0038832 000000 000000 000000 000000 000011 000000 000000 000000* L0038880 00000011 00000001 00000011 00000011 00000011 00000011 00000011 00000011* L0038944 00000011 00000001 00000011 00000011 00000011 00000011 00000011 00000011* L0039008 00000011 00000001 00000011 00000011 00000011 00000011 00000010 00000011* -L0039072 00000011 00000001 00100001 00000011 00000011 00000011 00000011 00000001* -L0039136 00000011 00000001 00100011 00000011 00000011 00000011 00000010 00000011* -L0039200 00000011 00000001 00000011 00000011 00001011 00000011 00000011 00000011* -L0039264 00000011 00000011 00000011 00000011 00000011 00000011 00000011 00000011* -L0039328 00000011 00000011 00000011 00000001 00000011 00000011 00000011 00000011* +L0039072 00000011 00000001 00000001 00000011 00000011 00000011 00000011 00000011* +L0039136 00000011 00000001 00000011 00000011 00000011 00000011 00000010 00000011* +L0039200 00000011 00000001 00000011 00000011 00000011 00000011 00000011 00000011* +L0039264 00000011 00000001 00000011 00000011 00000011 00000011 00000011 00000011* +L0039328 00000011 00000001 00000011 00000001 00000011 00000011 00000011 00000011* L0039392 00000011 00000011 00000011 00000011 00000011 00000011 00000011 00000011* L0039456 000000 000000 000000 000000 000000 000000 000000 000000* L0039504 000000 000000 000000 000000 000000 000000 000000 000000* -L0039552 000000 000000 000001 000000 000000 000000 000000 000000* -L0039600 000000 000000 000001 000000 000000 000000 000000 000000* -L0039648 000000 000000 000001 000000 000000 000000 000000 000000* -L0039696 000000 000000 000001 000000 000000 000000 000000 000000* -L0039744 00000000 00000000 00000011 00000011 00000011 00000001 00000011 00000011* -L0039808 00000000 00000000 00000011 00000000 00000011 00000011 00000011 00000011* -L0039872 00000000 00000000 00000011 00000011 00000011 00000011 00000010 00000011* -L0039936 00000000 00000000 00000001 00000011 00000001 00000011 00000011 00000001* -L0040000 00000001 00000000 00000011 00000001 00100001 00000011 00100010 00000011* -L0040064 00000000 00000000 00000011 00000011 00100011 00000011 00000011 00000011* -L0040128 00000001 00000001 00000011 00000011 00100011 00000011 00000011 00000011* -L0040192 00000001 00000000 00000011 00000011 00000011 00000011 00000011 00000011* -L0040256 00000001 00000000 00000011 00000001 10000111 00000011 00000011 00000011* -L0040320 000000 000000 000000 000000 100001 000000 000000 000000* -L0040368 000000 000000 000000 000000 000000 000000 000000 000000* -L0040416 000000 000000 000000 000000 011100 000000 001000 000000* -L0040464 000000 000000 000000 000000 111100 000000 000000 000000* -L0040512 000000 000000 000000 000000 111110 000000 000000 000000* -L0040560 000000 000000 000000 000000 001110 000000 000000 000000* -L0040608 00000000 00000000 00000011 00000001 00000111 00000001 00000001 00000011* -L0040672 00000000 00000000 00000011 00000000 00000011 00000011 00000000 00000011* -L0040736 00000000 00000000 00000011 00000001 00000011 00000001 00000010 00000011* -L0040800 00000000 00000000 00000001 00000001 00000001 00000001 00000011 00000001* -L0040864 00000000 00000000 00000001 00000001 00000001 00000010 00000000 00000011* -L0040928 00000000 00000000 00000011 00000001 00000011 00000010 00100001 00000011* -L0040992 00000000 00000000 00000011 00000001 00000111 00000011 00000011 00000011* -L0041056 00000000 00000000 00000011 00000001 00000011 00000011 00000011 00000011* -L0041120 00000001 00000000 00000011 00000001 00000011 00000011 00000001 00000011* -L0041184 000000 000000 000000 000000 000000 000000 000000 000000* -L0041232 000000 000000 000000 000000 000001 000000 000000 000000* -L0041280 000000 000000 000000 000000 000001 000000 000000 000000* -L0041328 000000 000000 000000 000000 000000 000000 000000 000000* +L0039552 000000 000000 000000 000000 000000 000000 000000 000000* +L0039600 000000 000000 000000 000000 000000 000000 000000 000000* +L0039648 000000 000000 000000 000000 000000 000000 000000 000000* +L0039696 000000 000000 000000 000000 000000 000000 000000 000000* +L0039744 00000001 00000000 00001011 00000011 00000011 00000001 00000011 00000011* +L0039808 00000001 00000000 00001011 00000000 00100011 00000011 00000011 00000011* +L0039872 00000001 00000000 00001011 00000001 00100011 00000011 00000010 00000011* +L0039936 00000001 00000000 00001001 00000001 00000001 00000011 00000011 00000001* +L0040000 00000001 00000000 00011011 00000000 00000001 00000011 00100010 00000111* +L0040064 00000001 00000000 00000011 00000011 00100001 00000010 00000011 00000001* +L0040128 00000001 00000000 00011011 00000001 00100011 00000011 00000011 11100111* +L0040192 00000001 00000000 00010011 00000011 00000011 00000011 00000011 11100111* +L0040256 00000001 00000001 00010011 00000001 10000111 00000011 00000011 10100111* +L0040320 000000 000000 001100 000000 100001 000000 000000 101001* +L0040368 000000 000000 001000 000000 000000 000000 000000 000010* +L0040416 000000 000000 000001 000000 001100 000000 001000 000010* +L0040464 000000 000000 000001 000000 101100 000000 000000 000010* +L0040512 000000 000000 000011 000000 101110 000000 000000 000010* +L0040560 000000 000000 000001 000000 001110 000000 000000 000010* +L0040608 00000000 00000000 10000011 00000010 00000111 00000001 00000001 00001011* +L0040672 00000000 00000000 10010011 00000000 00000011 00000011 00000000 10010011* +L0040736 00000000 00000000 00000011 00000000 00000011 00000001 00000010 10000001* +L0040800 00000001 00000000 00100001 00000000 00000001 00000001 00000011 00000001* +L0040864 00000001 00000000 00100011 00000000 00000001 00000010 00000000 00000011* +L0040928 00000001 00000000 00000011 00000011 00000001 00000010 00100001 00000001* +L0040992 00000001 00000000 00100011 00000001 00000111 00000011 00000011 00000011* +L0041056 00000001 00000000 00001011 00000001 00000011 00000010 00000011 00001011* +L0041120 00000001 00000000 00001011 00000001 00000011 00000011 00000001 00000011* +L0041184 000000 000000 100000 000000 000000 000000 000000 000000* +L0041232 000000 000000 110000 010000 000001 000000 000000 000000* +L0041280 000000 000000 110000 000000 000001 000000 000000 000000* +L0041328 000000 000000 100000 000000 000000 000000 000000 000000* L0041376 000000 000000 000000 000000 000000 000000 000000 000000* L0041424 000000 000000 000000 000000 000000 000000 000000 000000* -L0041472 00000000 00000000 00000011 00000000 00000011 00000001 00000011 00000001* -L0041536 00000000 00000000 00000011 00000000 00000011 00000001 00000000 00000011* -L0041600 00000000 00000000 00000011 00000000 00000011 00000000 00000010 00000011* +L0041472 00000000 00000000 00000011 00000000 00000011 00000001 00000011 00000011* +L0041536 00000001 00000000 00000011 00000000 00100011 00000001 00000000 00000011* +L0041600 00000001 00000000 00000001 00000000 00000011 00000000 00000010 00000001* L0041664 00000000 00000000 00000001 00000000 00000011 00000001 00000011 00000001* -L0041728 00000000 00000000 00000001 00000000 00100100 00000000 00000000 00000011* -L0041792 00000001 00000000 00000011 00000001 00000011 00000000 00000001 00000011* -L0041856 00000001 00000000 00000011 00000001 00000011 00000001 00000011 00000011* -L0041920 00000001 00000001 00000011 00000001 10000011 00000011 00000011 00000011* -L0041984 00000000 00000001 00000011 00000011 10000011 00000011 00000001 00000011* -L0042048 000000 000000 000000 000000 100000 000000 000000 000000* +L0041728 00000000 00000000 00000011 00000001 00000100 00000000 00100000 00000001* +L0041792 00000000 00000000 00000011 00000001 00000001 00000000 00000001 00000001* +L0041856 00000000 00000000 00000011 00000001 00000001 00000001 00000011 00000001* +L0041920 00000011 00000000 00000010 00000001 10000011 00000000 00000011 00000011* +L0041984 00000011 00000000 00000011 00000001 10000011 00000011 00000001 00000011* +L0042048 000000 000000 000000 000000 100000 000000 001000 000000* L0042096 000000 000000 000000 000000 000000 000000 000000 000000* -L0042144 000000 000000 000000 000000 011100 000000 000000 000000* +L0042144 000000 000000 000000 000000 001100 000000 000000 000000* L0042192 000000 000000 000000 000000 001110 000000 000000 000000* L0042240 000000 000000 000000 000000 000010 000000 000000 000000* L0042288 000000 000000 000000 000000 000001 000000 000000 000000* -L0042336 00000000 00000000 00000011 00000000 00000011 00000001 00010000 00000001* -L0042400 00000000 00000000 00000011 00000000 00000110 00000000 11100100 00000011* -L0042464 00000000 00000000 00000011 00000000 00000101 00000000 01100100 00000011* +L0042336 00000000 00000000 00000011 00000000 00000011 00000000 00000000 00000011* +L0042400 00000000 00000000 00000011 00000000 00000110 00000000 00000000 00000011* +L0042464 00000000 00000000 00000001 00000000 00100101 00000000 00000000 00000001* L0042528 00000000 00000000 00000001 00000000 00000101 00000001 00000000 00000001* -L0042592 00000000 00000000 00000001 00000000 00000000 00000000 00000000 00000001* -L0042656 00000000 00000000 00000011 00000000 10100001 00000000 00000000 00000011* -L0042720 00000000 00000000 00000011 00000000 10100011 00000001 00000110 00000011* -L0042784 00000000 00000000 00000010 00000000 00000001 00000001 00000110 00000011* -L0042848 00000000 00000000 00000011 00000000 00000111 00000001 00000000 00000001* +L0042592 00000000 00000000 00000011 00000000 00000000 00000000 00000000 00000001* +L0042656 00000000 00000000 00000011 00000000 10100001 00000000 00000000 00000001* +L0042720 00000000 00000000 00000011 00000000 10100001 00000001 00000010 00000001* +L0042784 00000000 00000000 00000010 00000001 00000001 00000000 00100010 00000011* +L0042848 00000000 00000000 00000011 00000001 00000111 00000001 00100000 00000011* L0042912 000000 000000 000000 000000 000001 000000 000000 000000* L0042960 000000 000000 000000 000000 000000 000000 000000 000000* -L0043008 000000 000000 000000 010000 000010 000000 100001 000000* -L0043056 000000 000000 000000 000000 110001 000000 100001 000000* -L0043104 000000 000000 000000 000000 011101 000000 000000 000000* +L0043008 000000 000000 000000 000001 000010 000000 001000 000000* +L0043056 000000 000000 000000 000001 100001 000000 000000 000000* +L0043104 000000 000000 000000 000000 001101 000000 000000 000000* L0043152 000000 000000 000000 000000 001110 000000 000000 000000* -L0043200 00000000 00000000 00000000 00000011 00000000 00000000 00000000 00000010* -L0043264 00000000 00000000 00000010 00000010 00000010 00000010 00000010 00000010* -L0043328 00000000 00000000 00000010 00000000 00000000 00000010 00000010 00000000* -L0043392 00000001 00000011 00000000 00000000 00000000 00000000 00000000 00000001* -L0043456 00000010 00000000 00000000 00000011 00000010 00000010 00000000 00000010* -L0043520 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0043584 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0043648 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0043712 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0043776 000000 000000 000000 000000 000000 000000 000000 000000* -L0043824 000000 000000 000000 000000 000000 000000 000000 000000* -L0043872 000000 000000 000000 000000 000000 000000 000000 000000* -L0043920 000000 000000 000000 000000 000000 000000 000000 000000* -L0043968 000000 000000 000000 000000 000000 000000 000000 000000* -L0044016 000000 000000 000000 000000 000000 000000 000000 000000* -L0044064 00000010 00000000 00000011 00000001 00000000 00000000 00000000 00000011* -L0044128 00000000 00000000 00000001 00000001 00000000 00000001 00000000 00000001* -L0044192 00000010 00000000 00000001 00000001 00000000 00000001 00000000 00000011* +L0043200 00000000 00000000 00000010 00000010 00000000 00000000 00000011 00001001* +L0043264 00000000 00000000 00000010 00000000 00000010 00000010 00000011 10001011* +L0043328 00000000 00000000 00000010 00000000 00000000 00000010 00000000 10001011* +L0043392 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10001100* +L0043456 00000011 00000000 00000010 00000010 00000010 00000010 00000011 10001001* +L0043520 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10000000* +L0043584 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00001000* +L0043648 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00011000* +L0043712 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00010000* +L0043776 000000 000000 000000 000000 000000 000000 000000 000100* +L0043824 000000 000000 000000 000000 000000 000000 000000 000001* +L0043872 000000 000000 000000 000000 000000 000000 000000 000101* +L0043920 000000 000000 000000 000000 000000 000000 000000 000101* +L0043968 000000 000000 000000 000000 000000 000000 000000 000101* +L0044016 000000 000000 000000 000000 000000 000000 000000 000101* +L0044064 00000000 00000000 00000011 00000011 00000000 00000000 00000000 01100001* +L0044128 00000000 00000000 00000001 00000001 00000000 00000010 00000000 00000001* +L0044192 00000000 00000000 00000011 00000011 00000000 00000011 00000000 00000001* L0044256 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0044320 00000010 00000000 00000010 00000000 00000000 00000011 00000000 00000000* +L0044320 00000000 00000000 00000000 00000010 00000000 00000011 00000000 00000010* L0044384 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0044448 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0044512 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0044576 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0044576 00010000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0044640 000000 000000 000000 000000 000000 000000 000000 000000* -L0044688 000000 000000 000000 000000 000000 000000 000000 000000* +L0044688 000000 000000 000000 000000 000000 000000 000000 100000* L0044736 000000 000000 000000 000000 000000 000000 000000 000000* L0044784 000000 000000 000000 000000 000000 000000 000000 000000* -L0044832 000000 000000 000000 000000 000000 000000 000000 000000* +L0044832 000100 000000 000000 000000 000000 000000 000000 000000* L0044880 000000 000000 000000 000000 000000 000000 000000 000000* -L0044928 00000000 00000000 00000000 00000010 00000000 10000000 00000001 00000000* -L0044992 00000000 00000000 00000000 00000000 00000010 00101000 00000011 00000010* -L0045056 00000000 00000000 00000010 00000010 00000000 00100000 00000010 00000010* -L0045120 00000001 00000000 00000000 00000000 00000000 00000000 00000000 00000001* -L0045184 00000000 00000000 00000000 00000010 00000010 00000010 00000001 00000000* -L0045248 00000000 00000000 00000000 00000000 00000000 10000000 00000000 00000000* +L0044928 00000000 00000000 00000000 00000010 00000000 00000010 00000001 00000000* +L0044992 00000010 00000000 00000000 00000000 00000000 00000011 00000011 00000010* +L0045056 00000000 00000000 00000010 00000010 00000000 00000011 00000010 00000010* +L0045120 00000001 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0045184 00000010 00000000 00000000 00000010 00000010 00000011 00000001 00000000* +L0045248 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0045312 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0045376 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0045440 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0045504 000000 000000 000000 000000 000000 000000 000000 000000* L0045552 000000 000000 000000 000000 000000 000000 000000 000000* -L0045600 000000 000000 000000 000000 000000 010000 000000 000000* +L0045600 000000 000000 000000 000000 000000 000000 000000 000000* L0045648 000000 000000 000000 000000 000000 000000 000000 000000* L0045696 000000 000000 000000 000000 000000 000000 000000 000000* L0045744 000000 000000 000000 000000 000000 000000 000000 000000* -L0045792 00000000 00000000 00000000 00000010 00000010 00000000 00000000 00000000* -L0045856 00000000 00000000 00000010 00000000 00000001 00000000 00000010 00000011* -L0045920 00000000 00000000 00000000 00000010 00000010 00000000 00000000 00000001* -L0045984 00000000 00000000 00000000 00000000 00000000 00001000 00000000 00000000* -L0046048 00000000 00000000 00000010 00000010 00000001 00000010 00000010 00000010* +L0045792 00000001 00000000 00000000 00000010 00000000 00000011 00000000 00000001* +L0045856 00000001 00000000 00000011 00000001 00000001 00000001 00000011 00000000* +L0045920 00000001 00000000 00000001 00000011 00000000 00000011 00000001 00000001* +L0045984 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0046048 00000001 00000000 00000010 00000010 00000011 00000011 00000010 00000001* L0046112 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0046176 00000000 00000000 00000000 00000000 00000000 01100000 00000000 00000000* -L0046240 00000000 00000000 00000000 00000000 00000000 11100000 00000000 00000000* -L0046304 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* +L0046176 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0046240 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0046304 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0046368 000000 000000 000000 000000 000000 000000 000000 000000* -L0046416 000000 000000 000000 000000 000000 010000 000000 000000* +L0046416 000000 000000 000000 000000 000000 000000 000000 000000* L0046464 000000 000000 000000 000000 000000 000000 000000 000000* L0046512 000000 000000 000000 000000 000000 000000 000000 000000* L0046560 000000 000000 000000 000000 000000 000000 000000 000000* L0046608 000000 000000 000000 000000 000000 000000 000000 000000* -L0046656 00000100 00000000 00000000 00000000 00000010 00000000 00000000 00000000* -L0046720 00000000 00000000 00000000 00000000 00000000 00000000 00000010 00000010* -L0046784 00000000 00000000 00000010 00000000 00000000 00000000 00000000 00000010* -L0046848 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0046912 00000000 00000000 00000000 00000000 00000000 00000010 00000000 00000000* -L0046976 00000000 00000100 00000000 00000000 00000000 00000000 00000000 00100000* -L0047040 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0047104 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0047168 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0047232 000000 000000 000000 000000 000000 000000 000000 000000* -L0047280 000000 000000 000000 000000 000000 000000 000000 000000* -L0047328 000000 000000 000000 000000 000000 000000 000000 000000* -L0047376 000000 000000 000000 000000 000000 000000 000000 000000* -L0047424 000000 000000 000000 000000 000000 000000 000000 000000* -L0047472 000000 000000 000000 000000 000000 000000 000000 000000* -L0047520 00000000 00000000 00000010 00000000 00000000 00000000 00000000 00000010* -L0047584 00000000 00000000 00000000 00000000 00000000 00000001 00000000 00000000* -L0047648 00000000 00000000 00000000 00000000 00000000 00000001 00000000 00000010* +L0046656 00000000 00000010 00000000 00000000 00000010 00000000 00000001 00001000* +L0046720 00000000 00000000 00000000 00000010 00000000 00000010 00000010 10001000* +L0046784 00000000 00000000 00000011 00000010 00000000 00000010 00000001 10001000* +L0046848 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10001000* +L0046912 00000000 00000000 00000000 00000010 00000000 00000010 00000001 10001010* +L0046976 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10000000* +L0047040 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10000000* +L0047104 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10001000* +L0047168 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10000000* +L0047232 000000 000000 000000 000000 000000 000000 000000 100000* +L0047280 000000 000000 000000 000000 000000 000000 000000 000001* +L0047328 000000 000000 000000 000000 000000 000000 000000 000101* +L0047376 000000 000000 000000 000000 000000 000000 000000 000101* +L0047424 000000 000000 000000 000000 000000 000000 000000 000101* +L0047472 000000 000000 000000 000000 000000 000000 000000 000101* +L0047520 01000000 00000000 00000000 00000000 00000010 00000000 01000000 10000110* +L0047584 00000000 00000000 00000000 00000000 00000000 00000010 10000100 00000000* +L0047648 00000000 00000000 00000000 00000000 00000010 00000010 00000100 00000010* L0047712 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0047776 00000000 00000000 00000010 00000000 00000000 00000011 00000000 00000000* -L0047840 00000000 00000000 00000000 00010000 00000000 00000000 00000000 00000000* -L0047904 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0047968 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0048032 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0048096 000000 000000 000000 000000 000000 000000 000000 000000* +L0047776 00000010 00000000 00000010 00000000 00000000 00000010 00000000 00000000* +L0047840 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0047904 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* +L0047968 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00010000* +L0048032 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00010000* +L0048096 000000 000000 000000 000000 000000 000000 000000 000100* L0048144 000000 000000 000000 000000 000000 000000 000000 000000* -L0048192 000000 000000 000000 000000 000000 000000 000000 000000* -L0048240 000000 000000 000000 000000 000000 000000 000000 000000* +L0048192 000000 000000 000000 000000 000000 000000 100001 000000* +L0048240 000000 000000 000000 000000 000000 000000 100001 000000* L0048288 000000 000000 000000 000000 000000 000000 000000 000000* L0048336 000000 000000 000000 000000 000000 000000 000000 000000* -L0048384 00000010 00000000 00000110 00000000 00000000 10000000 00000011 00000011* -L0048448 00000010 00000000 00000100 00000000 00000010 00100001 00000010 00000001* -L0048512 00000010 00000011 00000100 00000000 00000000 00100001 00000001 00000011* -L0048576 00000000 00000000 10010101 00000000 00000001 00000000 00000000 00000000* -L0048640 00000010 00000011 10000110 00000000 00000010 00001011 00000011 00000011* -L0048704 00000000 00000000 00010100 00000000 00000000 10000000 00000000 00100000* -L0048768 00000000 00000000 00001100 00000000 00000000 00000000 00000000 00000000* -L0048832 00000000 00000000 00001100 00000000 00000000 10000000 00000000 00000000* -L0048896 00000000 00000000 00100100 00000000 00000000 00100000 00000000 01000000* -L0048960 000000 000000 001000 000000 000000 000000 000000 000000* -L0049008 000000 000000 101001 000000 000000 000000 000000 000000* -L0049056 000000 000000 101000 000000 000000 000000 000000 000000* -L0049104 000000 000000 101010 000000 000000 000000 000000 000000* -L0049152 000000 000000 101010 000000 000000 000000 000000 000000* -L0049200 000000 000000 101000 000000 000000 000000 000000 000000* -L0049248 00000000 00000000 00000010 00000000 00000000 00000000 00000010 00000000* -L0049312 00000010 00000000 00000000 00000000 00000010 00000000 00000000 00000000* -L0049376 00000000 00000000 00000010 00000000 00000001 00000000 00000010 00000010* +L0048384 00000000 00000010 00000100 00000010 00000001 00000001 00000011 00000010* +L0048448 00000000 00000000 00000100 00000000 00000001 00000010 00000011 00000010* +L0048512 00000000 00000000 00000100 00000010 00000000 00000011 00000000 00100010* +L0048576 00000000 00000000 00000101 00000001 00000000 00000000 00000000 00000001* +L0048640 00000000 00000010 00000110 00000010 00000010 00000011 00000011 00000010* +L0048704 00000000 00000000 10000100 00000000 00000000 00000000 00000000 10000000* +L0048768 00000000 00000000 11000100 00000000 00000000 00000000 00000000 10000000* +L0048832 00000000 00000000 11001100 00000000 00000000 00000000 00000000 10000000* +L0048896 00000000 00000000 11001000 00000000 00000000 00000000 00000000 10000000* +L0048960 000000 000000 010010 000000 000000 000000 000000 100000* +L0049008 000000 000000 000000 000000 000000 000000 000000 010000* +L0049056 000000 000000 001000 000000 000000 000000 000000 000100* +L0049104 000000 000000 001000 000000 000000 000000 000000 000101* +L0049152 000000 000000 001100 000000 000000 000000 000000 000101* +L0049200 000000 000000 001000 000000 000000 000000 000000 000101* +L0049248 00000000 00000000 00000010 00000000 00000001 00000000 00000010 00000010* +L0049312 00000000 00000010 00000000 00000000 00000011 00000010 00000000 00000000* +L0049376 00000000 00000000 00000010 00000000 00000000 00001010 00000010 00000010* L0049440 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0049504 00000001 00000000 00000000 00000000 00000011 00000010 00000000 00001010* -L0049568 00000000 00000000 00001000 00000000 00000000 01000000 00000000 00000000* -L0049632 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* -L0049696 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* -L0049760 00000000 00000000 00001000 00000000 00000000 00000000 00000000 00000000* -L0049824 000000 000000 000010 000000 000000 000000 000000 000000* +L0049504 00000010 00000000 00000000 00000000 00000010 00000010 00000000 00000100* +L0049568 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0049632 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* +L0049696 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0049760 00000000 00000000 00010000 00000000 00000000 00000000 00000000 00000000* +L0049824 000000 000000 000000 000000 000000 000000 000000 000000* L0049872 000000 000000 000000 010000 000000 000000 000000 000000* L0049920 000000 000000 000000 010000 000000 000000 000000 000000* -L0049968 000000 000000 000000 000000 000000 000000 000000 000000* -L0050016 000000 000000 000100 000000 000000 000000 000000 001000* -L0050064 000000 000000 000010 000000 000000 000000 000000 010001* -L0050112 00000000 00000000 00000011 00000001 00000001 00000000 00000010 00000000* -L0050176 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0050240 00000000 00000000 00000001 00000001 00000011 00000000 00000010 00000000* -L0050304 00000000 00000010 00000000 00000010 00000000 00000000 00000000 00000010* -L0050368 00000000 00000000 00000010 00000001 00000010 00000010 00000010 00000000* -L0050432 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0050496 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0050560 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0050624 00000000 00000000 00000000 00000000 00000000 00000000 00000000 01000000* +L0049968 000000 000000 000100 010000 000000 000000 000000 000000* +L0050016 000000 000000 000000 000000 000000 000000 000000 000000* +L0050064 000000 000000 000000 000000 000000 000000 000000 000000* +L0050112 00000000 00000000 00000010 00000010 00000001 01001000 00000000 00000011* +L0050176 00000000 00000000 00000000 00000000 00000010 01000000 00000000 00000010* +L0050240 00000000 00000000 00000000 00000010 00000001 00000010 00000000 00000011* +L0050304 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0050368 00000010 00000000 00000010 00000010 00000000 00000010 00000000 00000010* +L0050432 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0050496 00000000 00000000 00000000 00000000 00000000 01000000 00000000 00000000* +L0050560 00000000 00000000 00000000 00000000 00000000 01000000 00000000 00000000* +L0050624 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0050688 000000 000000 000000 000000 000000 000000 000000 000000* -L0050736 000000 000000 000000 000000 000000 000000 000000 000000* -L0050784 000000 000000 000000 000000 000000 000000 000000 000000* -L0050832 000000 000000 000000 000000 000000 000000 000000 000000* +L0050736 001100 000000 000000 000000 000000 000101 000000 000000* +L0050784 000000 000000 000000 000000 000000 000001 000000 000000* +L0050832 000000 000000 000000 000000 000000 000100 000000 000000* L0050880 000000 000000 000000 000000 000000 000000 000000 000000* L0050928 000000 000000 000000 000000 000000 000000 000000 000000* -L0050976 00000000 00000010 00000010 00000010 00000000 00000000 00000010 00000000* -L0051040 00000010 00000010 00000001 00000000 00000001 00000000 10000100 00000011* -L0051104 00000010 00000010 00000001 00000010 00000010 00000000 00000110 00000001* -L0051168 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0051232 00000010 00000010 00000010 00000010 00000010 00000010 00000000 00000010* -L0051296 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* +L0050976 00000000 00000000 00000010 00000001 00000000 00000001 00000010 00000000* +L0051040 00000000 00000000 00000000 00000000 00000000 00001000 10000110 00000010* +L0051104 00000000 00000000 00000000 00000001 00000000 00001011 00000100 00000000* +L0051168 00000001 00000000 00000000 00000000 00000001 00001000 00000000 00000000* +L0051232 00000010 00000000 00000010 00000011 00000000 00001011 00000010 00000010* +L0051296 00000000 00000000 00000000 00000000 00000000 01010100 00000100 00000000* L0051360 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0051424 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0051424 00000000 00000000 00000000 00000000 00000000 00010000 00000000 00000000* L0051488 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0051552 000000 000000 000000 000000 000000 000000 000000 000000* -L0051600 000000 000000 000000 000000 000000 000000 000000 000000* -L0051648 000000 000000 000000 000000 000000 000000 000000 000000* -L0051696 000000 000000 000000 000000 000000 000000 000000 000000* +L0051600 000000 000000 000000 000000 000000 001000 000000 000000* +L0051648 000000 000000 000000 000000 000000 100000 000000 000000* +L0051696 000000 000000 000000 000000 000000 001000 000000 000000* L0051744 000000 000000 000000 000000 000000 000000 000000 000000* L0051792 000000 000000 000000 000000 000000 000000 000000 000000* -L0051840 00000000 00000000 00000000 00000001 00000011 00000000 00000000 00000000* -L0051904 00000000 00000000 00000000 00000000 00000011 00000000 00000000 00000000* -L0051968 00000000 00000001 00000010 00000001 00000001 00000000 00000000 00010011* -L0052032 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100000* -L0052096 00000000 00000001 00000000 00000001 00100001 00000010 00000000 00000011* +L0051840 00000000 00000000 00001000 00000001 00000010 00000000 00000000 00000010* +L0051904 00000001 00000000 00000000 00000000 00000011 00000000 00000000 00000010* +L0051968 00000000 00000001 00000011 00000011 00000010 00000000 00000000 00000010* +L0052032 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000001* +L0052096 00000001 00000001 00001000 00000011 00000011 00000010 00000000 00000010* L0052160 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0052224 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0052288 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* +L0052224 00000000 00000000 00001000 00000000 00000000 00000000 00000000 00000000* +L0052288 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0052352 00000000 00000000 00000000 00000000 10000100 00000000 00000000 00001000* -L0052416 000000 000000 000000 000000 100001 000000 000000 000000* +L0052416 000000 000000 000000 000000 100001 000000 000000 000010* L0052464 000000 000000 000000 000000 000000 000000 000000 000000* -L0052512 000000 000000 000000 000000 010000 000000 000000 000000* -L0052560 000000 000000 000000 000000 010000 000000 000000 000000* -L0052608 000000 000000 000000 000000 010010 000000 000000 000000* +L0052512 000000 000000 000000 000000 000000 000000 000000 000000* +L0052560 000000 000000 000000 000000 000000 000000 000000 000000* +L0052608 000000 000000 000000 000000 000010 000000 000000 000000* L0052656 000000 000000 000000 000000 000010 000000 000000 000000* -L0052704 00000000 00000000 00000010 00000011 00010000 00000000 00000000 00000010* -L0052768 00000010 00000000 00000000 00000000 00010000 00000001 00000000 00000010* -L0052832 00000010 00000000 00000000 00000011 00010010 00000001 00000000 00000010* -L0052896 00000000 00000000 00000000 00000000 00010000 00000000 00000000 00000000* -L0052960 00000010 00000000 00000010 00000011 00000010 00000011 00000000 00000010* -L0053024 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0053088 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0053152 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0053216 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0053280 000000 000000 000000 000000 000000 000000 000000 000000* -L0053328 000000 000000 000000 000000 000000 000000 000000 000000* -L0053376 000000 000000 000000 000000 001000 000000 000000 000000* -L0053424 000000 000000 000000 000000 001000 000000 000000 000000* -L0053472 000000 000000 000000 000000 001000 000000 000000 000000* -L0053520 000000 000000 000000 000000 001000 000000 000000 000000* -L0053568 00000000 00000000 00000000 00000011 00000001 00000001 00000010 00000000* -L0053632 00000010 00000001 00000001 00000000 00000011 00000010 00000011 00000000* -L0053696 00000010 00000010 00000011 00000011 00000000 00000011 00000001 00000000* -L0053760 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0053824 00000000 00000011 00000010 00000011 00000010 00000011 00000010 00000010* +L0052704 00000000 00000000 00000010 00000000 00010000 00000000 00000000 00000011* +L0052768 00000000 00000010 00000100 00000000 00010000 00000000 00000000 00000010* +L0052832 00000000 00000000 00000100 00000010 00010000 00000000 00000000 00000011* +L0052896 00000000 00000000 00110100 00000000 00010000 00000000 00000000 00000000* +L0052960 00000000 00000000 10100110 00000010 00000000 00000010 00000000 00000011* +L0053024 00000000 00000000 11000000 00000000 00000000 00001000 00010000 00000000* +L0053088 00000000 00000000 11000100 00000000 00000000 00000000 00000000 00000000* +L0053152 00000000 00000000 11000100 00000000 00000000 00000000 00000000 00000000* +L0053216 00100000 00000000 11100000 00000000 00000000 00000000 00000000 00000000* +L0053280 000000 000000 110000 000000 000000 000000 000000 000000* +L0053328 000000 000000 100001 000000 000000 000000 000000 000000* +L0053376 000000 000000 101000 000000 001000 000000 000000 000000* +L0053424 000000 000000 101000 010000 001000 000000 000000 000000* +L0053472 001000 000000 001000 000000 001000 000000 000000 000000* +L0053520 000000 000000 011000 000000 001000 000000 000000 000000* +L0053568 00000000 00000000 00000000 00000010 00000000 00000000 00000011 00000000* +L0053632 00000000 00000000 00000001 00000001 00000011 00000000 00000010 00000011* +L0053696 00000000 00000000 00000001 00000011 00000000 00000010 00000000 00000001* +L0053760 00000010 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0053824 00000000 00000000 00000000 00000010 00000001 00000010 00000010 00000010* L0053888 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0053952 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0054016 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* @@ -1035,264 +1035,264 @@ L0054240 000000 000000 000000 000000 000000 000000 000000 000000* L0054288 000000 000000 000000 000000 000000 000000 000000 000000* L0054336 000000 000000 000000 000000 000000 000000 000000 000000* L0054384 000000 000000 000000 000000 000000 000000 000000 000000* -L0054432 00000010 00000010 00000000 00000000 00000011 00000001 00000011 00000000* -L0054496 00000010 00000000 00000010 00000000 00000011 00000001 00000001 00000010* -L0054560 00000010 00000010 00000010 00000000 00000010 00000001 00000010 00000010* -L0054624 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0054688 00000010 00000010 00000010 00000000 00000000 00000011 00000001 00000010* +L0054432 00000000 00000000 00000000 00000010 00000010 00000000 00000010 00000000* +L0054496 00000000 00000000 00000010 00000000 00000010 00000000 11111100 00000010* +L0054560 00000001 00000000 00000010 00000010 00000010 00000010 01111110 00000010* +L0054624 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* +L0054688 00000011 00000000 00100010 00000010 00000000 00000010 00000000 00000010* L0054752 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0054816 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0054880 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0054816 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* +L0054880 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* L0054944 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0055008 000000 000000 000000 000000 000000 000000 000000 000000* -L0055056 000000 000000 000000 000000 000000 000000 000000 000000* -L0055104 000000 000000 000000 000000 000000 000000 000000 000000* -L0055152 000000 000000 000000 000000 000000 000000 000000 000000* +L0055008 000000 000000 100000 000000 000000 000000 000000 000000* +L0055056 000000 000000 100000 000000 000000 000000 000000 000000* +L0055104 000000 000000 100000 000000 000000 000000 100011 000000* +L0055152 000000 000000 100000 000000 000000 000000 100011 000000* L0055200 000000 000000 000000 000000 000000 000000 000000 000000* L0055248 000000 000000 000000 000000 000000 000000 000000 000000* -L0055296 00000000 00000010 00000000 00000000 00000000 10001010 00000010 00000010* -L0055360 00000000 00000000 00000000 00000010 00000000 00100000 00000010 00000010* -L0055424 00000000 00000010 00000010 00000010 00000010 00100000 00000000 00000010* +L0055296 00000001 00000000 00000010 00000010 00000010 00000000 00000010 00000010* +L0055360 00000001 00000000 00000000 00000000 00000010 00000000 00000010 00000010* +L0055424 00000001 00000000 00000000 00000010 00000000 00000010 00000000 00000010* L0055488 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0055552 00000010 00000010 00000010 00000000 00000010 00000010 00000010 00000010* -L0055616 00000000 00000000 00000000 00000000 00000000 10000000 00000000 00000000* +L0055552 00000011 00000000 00000010 00000010 00000000 00000010 00000010 00000010* +L0055616 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0055680 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0055744 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0055808 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0055872 000000 000000 000000 000000 000000 000000 000000 000000* L0055920 000000 000000 000000 000000 000000 000000 000000 000000* -L0055968 000000 000000 000000 000000 000000 010000 000000 000000* +L0055968 000000 000000 000000 000000 000000 000000 000000 000000* L0056016 000000 000000 000000 000000 000000 000000 000000 000000* L0056064 000000 000000 000000 000000 000000 000000 000000 000000* L0056112 000000 000000 000000 000000 000000 000000 000000 000000* -L0056160 00000000 00000000 00000001 00000001 00000000 00100000 00000010 00000000* -L0056224 00000000 00000010 00000000 00000001 00000001 00000001 00000000 00000000* -L0056288 00000010 00000010 00000010 00000001 00000000 00000001 00000010 00000000* -L0056352 00000000 00000000 00000000 00000000 00000000 00001000 00000000 00000000* -L0056416 00000010 00000010 00000011 00000001 00000000 00000011 00000010 00000000* +L0056160 00000000 00000000 00000000 00000001 00000000 00000001 00000010 00000000* +L0056224 00000000 00000000 00000000 00000001 00000000 00000010 00000000 00000000* +L0056288 00000000 00000000 00000001 00000011 00000001 00000010 00000010 00000000* +L0056352 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0056416 00000010 00000000 00000000 00000011 00000000 00000011 00000010 00000000* L0056480 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0056544 00000000 00000000 00000000 00000000 00000000 01100000 00000000 00000000* -L0056608 00000000 00000000 00000000 00000000 00000000 11100000 00000000 00000000* -L0056672 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* +L0056544 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0056608 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0056672 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0056736 000000 000000 000000 000000 000000 000000 000000 000000* -L0056784 000000 000000 000000 000000 000000 010000 000000 000000* +L0056784 000000 000000 000000 000000 000000 000000 000000 000000* L0056832 000000 000000 000000 000000 000000 000000 000000 000000* L0056880 000000 000000 000000 000000 000000 000000 000000 000000* L0056928 000000 000000 000000 000000 000000 000000 000000 000000* L0056976 000000 000000 000000 000000 000000 000000 000000 000000* -L0057024 00000001 00000000 00000100 00000000 00000000 00000000 00000010 00000010* -L0057088 00000001 00000000 10000010 00000010 00001000 00000000 00000000 00000010* -L0057152 00000010 00000000 00000101 00000010 10100010 00000000 01111111 00000010* -L0057216 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* -L0057280 00000010 00000000 10000110 00000000 00100000 00000010 00000010 00000010* -L0057344 00000000 00000000 10000100 00000000 00100000 00000000 00000000 00000000* -L0057408 00000000 00000000 00001000 00000000 00000000 00000000 00000000 10000000* -L0057472 00000000 00000000 10000100 00000000 00000000 00000000 00000100 10000000* -L0057536 00000000 00000000 10001000 00000000 10000100 00000000 00000000 10000000* -L0057600 000000 000000 000000 000000 000000 000000 000000 100000* -L0057648 000000 000000 000000 000000 010000 000010 000000 000000* -L0057696 000000 000000 000001 000000 001100 000000 000000 100000* -L0057744 000000 000000 100011 000000 010000 000000 100011 100000* -L0057792 000000 000000 110000 000000 001100 000000 000000 100000* -L0057840 000000 000000 000010 000000 000000 000000 000000 100000* -L0057888 00000000 00010000 00110000 00000000 00000000 00000000 11111111 00000000* -L0057952 00000000 00000000 00100010 00000000 00000000 00000001 00000001 00000010* -L0058016 00000010 00000000 00000011 00000000 00000000 00000001 00000010 00000011* -L0058080 00000000 00000000 00000000 00000000 01000000 00000000 11111100 00000000* -L0058144 00000011 00000000 00000010 00000000 10010000 00000011 00000011 00000010* -L0058208 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* -L0058272 00000000 00000000 01010000 00000000 00000000 00000000 00000000 00000000* -L0058336 00000000 00000000 00000000 00000000 00000100 00000000 00000000 00000000* -L0058400 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* +L0057024 00000000 00000000 10000001 00000000 00000000 00000000 00000010 00000000* +L0057088 00000010 00000000 00000101 00000001 00000010 00000000 00000000 10001000* +L0057152 00000010 00000000 10000001 00000011 00000000 00000001 00000011 00100010* +L0057216 00000000 00000000 00000100 00000000 00000000 00000000 00000000 10001000* +L0057280 00000001 00000000 10000111 00000011 00000010 00000001 00000010 10000001* +L0057344 00000000 00000000 10000100 00010000 00000000 00000000 00000000 00000000* +L0057408 00000000 00000000 01000000 00000000 00000000 00000000 00000000 11100000* +L0057472 00000000 00000000 10001000 00000000 00000000 00000000 00000000 01001000* +L0057536 00000000 00000000 01000000 00000000 00000000 00000000 00000000 10110000* +L0057600 000000 000000 100010 000000 000000 000000 000000 000000* +L0057648 000000 000000 110000 010000 000000 000000 000000 010000* +L0057696 000000 000000 000001 010000 000000 000000 000000 000100* +L0057744 000000 000000 010000 010000 000000 000000 000000 001001* +L0057792 000000 000000 000001 000000 000000 000000 000000 000100* +L0057840 000000 000000 010000 000000 000000 000000 000000 000001* +L0057888 00000000 00000000 00010001 00000010 00000000 00000000 00000111 10000000* +L0057952 00000000 00000000 00000000 00000000 00000000 00000010 10000011 00000000* +L0058016 00000000 00000010 00000000 00000010 00000001 00000010 00000000 00010100* +L0058080 00000000 00000000 00000000 00000000 00000000 00001000 00000000 00000000* +L0058144 00000010 00000010 00000001 00000010 00000000 00000010 00000011 00000001* +L0058208 00000000 00000000 00110000 00000000 00000000 00000000 00000000 00000100* +L0058272 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0058336 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0058400 00000000 00000000 00000000 00000000 00010000 00000000 00000000 00000000* L0058464 000000 000000 000000 000000 000000 000000 000000 000000* -L0058512 000000 000000 000000 000000 000100 000000 100011 100000* +L0058512 000000 000000 000000 000000 000000 000000 000000 000100* L0058560 000000 000000 000000 000000 000000 000000 000000 000000* L0058608 000000 000000 000000 000000 000000 000000 000000 000000* -L0058656 000000 000000 000000 000000 000000 000000 100011 000000* -L0058704 000000 000000 000000 000000 000000 000000 000000 000000* -L0058752 00000000 00000000 00000000 00000000 00000100 00000000 00000001 00000001* -L0058816 00000001 00000000 00000000 00000001 00000100 00000000 00000000 00000000* -L0058880 00000000 00000000 00000000 00000001 00010100 00000000 00000000 00000001* -L0058944 00000000 00000000 00000000 00000000 00011100 00000000 00000000 00000000* -L0059008 00000000 00000000 00000000 00000000 10011100 00000000 00000000 00000000* -L0059072 00000000 00000000 00000000 00000000 10000100 00000000 00000000 00000000* -L0059136 00001000 00000000 00000000 00000000 10000100 00000000 00000000 00000000* -L0059200 00000000 00000000 00000000 00000000 10000100 00000000 00000000 00000000* +L0058656 000000 000000 000000 000000 000000 000001 000000 000000* +L0058704 000000 000000 000010 000000 000000 000000 000000 000000* +L0058752 00000000 00000000 00000001 00000000 00000000 00000001 00000001 00000001* +L0058816 00000000 00000000 00000000 00000001 00000001 00000001 00000000 00000000* +L0058880 00000000 00000000 00000001 00000001 00000001 00000001 00000001 00000001* +L0058944 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0059008 00000001 00000000 00000000 00000000 00000000 00000001 00000001 00000000* +L0059072 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0059136 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0059200 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0059264 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0059328 000000 000000 000000 000000 000000 000000 000000 000000* -L0059376 000000 000000 000000 000000 000101 000000 000000 000000* -L0059424 000000 000000 000000 000000 000011 000000 000000 000000* -L0059472 000000 000000 000000 000000 000011 000000 000000 000000* -L0059520 000000 000000 000000 000000 000011 000000 000000 000000* -L0059568 000000 000000 000000 000000 000011 000000 000000 000000* -L0059616 00000000 00000000 00000001 00000000 00000000 00000000 00000010 00000000* -L0059680 00000001 00000010 00000000 00000010 00000010 00000001 00000010 00000010* -L0059744 00000000 00000000 00000001 00000010 00100010 00000000 00000000 00000000* -L0059808 00000000 00000000 00000000 00000000 00100000 00000000 00000000 00000000* -L0059872 00000000 00000010 00000000 00000000 00100000 00000000 00000010 00000010* -L0059936 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0059376 000000 000000 000000 000000 000000 000000 000000 000000* +L0059424 000000 000000 000000 000000 000000 000000 000000 000000* +L0059472 000000 000000 000000 000000 000000 000000 000000 000000* +L0059520 000000 000000 000000 000000 000000 000000 000000 000000* +L0059568 000000 000000 000000 000000 000000 000000 000000 000000* +L0059616 00000000 00000000 00000001 00000000 00000001 00000000 00000000 00000000* +L0059680 00000000 00000000 00000000 00000001 00000010 00000000 00000000 00000000* +L0059744 00000000 00000000 00000001 00000000 00000011 00000001 00000000 00000000* +L0059808 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0059872 00000000 00000000 00000000 00000000 00000000 00000001 00000000 00000000* +L0059936 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0060000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0060064 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0060128 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0060128 00000000 00000000 00000000 00000000 00100000 00000000 00000000 00000000* L0060192 000000 000000 000000 000000 000000 000000 000000 000000* L0060240 000000 000000 000000 000000 000000 000000 000000 000000* -L0060288 000001 000000 000000 000000 010000 000000 000000 000000* -L0060336 000000 000000 000000 000000 010000 000000 000000 000000* -L0060384 000000 000000 000000 000000 010000 000000 000000 000000* +L0060288 000000 000000 000000 000000 000000 000000 000000 000000* +L0060336 000000 000000 000000 000000 000000 000000 000000 000000* +L0060384 000000 000000 000000 000000 000000 000000 000000 000000* L0060432 000000 000000 000000 000000 000000 000000 000000 000000* -L0060480 00000010 00000000 00000000 10000011 00000000 00000000 00000000 00000010* -L0060544 00000000 00000000 00000001 10000010 00000001 00000001 00000001 00000010* -L0060608 00000000 00000000 00000001 00000011 00000001 00000001 00000000 00000011* +L0060480 00000011 00000000 00000000 00000001 00000001 00000000 00000000 00000001* +L0060544 00000010 00000000 00000001 00000001 00000001 00000011 00000001 00000000* +L0060608 00000011 00000000 00000001 00000000 00000001 00000011 00000000 00000001* L0060672 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0060736 00000000 00000000 00010000 00000011 00000000 00000001 00000001 00000011* -L0060800 00000000 00000000 00000000 10000000 00000000 00000000 00000000 00000000* +L0060736 00000011 00000000 00000000 00000001 00000001 00000011 00000001 00000001* +L0060800 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0060864 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0060928 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0060992 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0061056 000000 000000 000000 000000 000000 000000 000000 000000* +L0061056 000000 000000 000000 000000 000000 000000 000000 000001* L0061104 000000 000000 000000 000000 000000 000000 000000 000000* L0061152 000000 000000 000000 000000 000000 000000 000000 000000* L0061200 000000 000000 000000 000000 000000 000000 000000 000000* L0061248 000000 000000 000000 000000 000000 000000 000000 000000* L0061296 000000 000000 000000 000000 000000 000000 000000 000000* -L0061344 00000000 00000000 00000110 00000000 00000000 00000000 00000000 00000010* -L0061408 00000000 00000000 00000100 00100000 00000000 00000001 00000000 00000000* -L0061472 00000000 00000000 00000010 00000000 00000000 00000001 00000000 00000010* -L0061536 00000000 00000000 10110000 00000000 00000000 00000000 00000000 00000000* -L0061600 00000001 00000000 00100100 00000000 00000000 00000000 00000000 00000000* +L0061344 00000000 00000000 00000010 00000000 00000000 00000000 00000000 00000010* +L0061408 00000000 00000000 00000000 00000001 00000000 00000001 00000000 00000000* +L0061472 00000000 00000000 00000010 00000001 00000000 00000001 00000000 00000010* +L0061536 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0061600 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0061664 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0061728 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* -L0061792 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0061856 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* +L0061728 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10000000* +L0061792 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10000000* +L0061856 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0061920 000000 000000 000000 000000 000000 000000 000000 000000* -L0061968 000000 000000 101001 000000 001000 000000 000000 000000* -L0062016 000000 000000 000001 000000 000000 000000 000000 000000* -L0062064 000000 000000 100001 000000 000000 000000 000000 000000* -L0062112 000000 000000 001001 000000 000000 000000 000000 000000* -L0062160 000000 000000 000001 000000 000000 000000 000000 000000* -L0062208 00000000 00000000 10001000 00000000 00000000 00000000 00000000 00001001* -L0062272 00000001 00000000 10001000 00000000 00000000 00000001 00000000 10001000* -L0062336 00000001 00000000 10001010 00000000 00000010 00000001 00000001 10011001* -L0062400 00000000 00000000 00001000 00000000 00000000 00000000 00000000 00101100* -L0062464 00000001 00000000 00011000 00000000 00000000 00000001 00000000 00001100* -L0062528 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00010000* -L0062592 00000000 00000000 00000000 00000000 00000000 00000000 00000000 01110000* -L0062656 00000000 00000000 10100000 00000000 00000000 00000000 00000000 01110100* -L0062720 00000000 00000000 00010000 00000000 00000000 00000000 00000000 00111000* -L0062784 000000 000000 000100 000000 000000 000000 000000 001100* -L0062832 000000 000000 010000 000000 000000 000000 000000 011001* -L0062880 000000 000000 010000 000000 000000 000000 000000 011001* -L0062928 000000 000000 000100 000000 000000 000000 000000 011011* -L0062976 000000 000000 000000 000000 000000 000000 000000 011011* -L0063024 000000 000000 000100 000000 000000 000000 000000 010111* -L0063072 00000000 00000000 00000001 00000001 00000000 00000000 00000001 00000100* -L0063136 00000000 00000001 00010000 00000000 00000010 00000000 00000011 00000001* -L0063200 00000000 00000000 00100001 00000001 00000010 00000001 00000010 00000000* -L0063264 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* -L0063328 00000000 00000001 00100000 00000001 00000000 00000001 00000001 00000001* -L0063392 00000000 00000000 10001000 00000000 00000000 00000000 00000000 00000000* -L0063456 00000000 00000000 10000000 00000000 00010000 00000000 00000000 00000100* -L0063520 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0063584 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10000000* -L0063648 000000 000000 000000 000000 000000 000000 000000 000000* -L0063696 000000 000000 000000 000000 000000 000000 000000 000000* -L0063744 000000 000000 000001 000000 000000 000000 000000 000000* -L0063792 000000 000000 000011 000000 000000 000000 000000 000000* -L0063840 000000 000000 000011 000000 000000 000000 000000 000000* -L0063888 000000 000000 000011 000000 000000 000000 000000 000000* -L0063936 00000001 00000001 00000001 00000000 00000100 00000001 00000011 00000001* -L0064000 00000000 00000001 00000001 00000011 00001110 00000000 00000011 00000001* -L0064064 00000000 00000001 00000001 00000011 10101110 00000000 00000000 00000001* -L0064128 00000000 00000000 00000000 00000000 10101100 00000000 00000000 00010000* -L0064192 00000000 00000001 00000001 00000001 10011100 00000001 00000011 00100001* -L0064256 00000000 00000000 00000000 00000000 10000100 00000000 00000000 00000100* -L0064320 00000000 00000000 00000000 00000000 10000100 00000000 00000000 00000000* +L0061968 000000 000000 000000 000000 001000 000000 000000 000000* +L0062016 000000 000000 000000 000000 000000 000000 000000 000100* +L0062064 000000 000000 000000 000000 000000 000000 000000 000101* +L0062112 000000 000000 000000 000000 000000 000000 000000 000001* +L0062160 000000 000000 000000 000000 000000 000000 000000 000000* +L0062208 00100000 00000000 00000000 00000001 00000000 00001000 00000000 00000001* +L0062272 00000001 00000000 00000001 00000000 00001000 00000001 00000000 00000000* +L0062336 00000001 00000000 00000000 00000000 10000000 00000001 00000001 00000001* +L0062400 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0062464 00000001 00000000 00000001 00000000 00000010 00000001 00000000 00000001* +L0062528 00000000 00000000 00000000 00000000 00100000 00000000 00000000 00000000* +L0062592 00000000 00000000 00000000 00000000 00000000 01000000 00000000 00000000* +L0062656 00000100 00000000 00000000 00000000 00000000 01000000 00000000 00000000* +L0062720 00000000 00000000 00000000 00000000 10000100 00010000 00000000 00000000* +L0062784 000000 000000 000000 000000 000000 000000 000000 000000* +L0062832 000000 000000 000000 000001 010000 000000 000000 000000* +L0062880 000000 000000 000000 000000 001100 000000 000000 000000* +L0062928 000000 000000 000000 000000 000000 000000 000000 000000* +L0062976 000000 000000 000000 000000 001100 001000 000000 000000* +L0063024 000000 000000 000000 000000 000000 000000 000000 000000* +L0063072 00000000 00000000 00000000 10010001 00100000 01000000 00000001 00000000* +L0063136 00000000 00000000 00000011 10000010 00000011 00001000 00000010 00000010* +L0063200 00000000 00000000 00000010 10000011 00000010 00001000 00000011 00000010* +L0063264 00000000 00000000 00000000 00000000 01000000 00001000 00000000 00000000* +L0063328 00000000 00000000 00000001 00000001 10010001 00001000 00000000 00000000* +L0063392 00000100 00000000 00000000 00000000 00000000 01000100 00000000 00000000* +L0063456 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* +L0063520 00000000 00000000 00000000 00000000 00000100 00010000 00000000 00000000* +L0063584 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0063648 000000 000000 000000 000001 000000 000000 000000 000000* +L0063696 000000 000000 000000 000000 000100 000001 000000 000000* +L0063744 000000 000000 000000 000001 000000 000000 000000 000000* +L0063792 000000 000000 000000 000001 000000 001101 000000 000000* +L0063840 000000 000000 000000 000001 000000 000001 000000 000000* +L0063888 000000 000000 000000 000001 000000 000001 000000 000000* +L0063936 00000001 00000000 00000001 00000000 00000100 00000001 00000000 00000000* +L0064000 00000001 00000000 00000001 00000011 00000110 00000000 00000010 00000000* +L0064064 00000001 00000000 00000001 00000011 00000110 00000001 00000010 00000000* +L0064128 00000000 00000000 00000000 00000000 00001100 00000000 00000000 00000001* +L0064192 00000001 00000001 00010001 00000011 10011100 00000001 00000001 00000000* +L0064256 00000000 00000000 00000000 00000000 10000100 00000000 00000000 00000000* +L0064320 00000000 00000000 00000000 00000100 10000100 00000000 00000000 00000000* L0064384 00000000 00000000 00000000 00000000 10000100 00000000 00000000 00000000* L0064448 00000000 00000000 00000000 00000000 10000100 00000000 00000000 00000000* -L0064512 000000 000000 000000 000000 100001 000000 000000 100010* -L0064560 000000 000100 000000 000000 000101 000000 000000 000100* +L0064512 000000 000000 000000 000000 100001 000000 000000 000000* +L0064560 000000 000000 000000 000000 000101 000000 000000 010000* L0064608 000000 000000 000000 000000 000111 000000 000000 000000* L0064656 000000 000000 000000 000000 000111 000000 000000 000000* L0064704 000000 000000 000000 000000 000111 000000 000000 000000* L0064752 000000 000000 000000 000000 000111 000000 000000 000000* -L0064800 00000000 00000000 00000001 00000001 00000000 00000000 00000000 00000000* -L0064864 00000001 00000000 00000001 00000100 10110001 00000000 00000000 00000000* -L0064928 00000001 00000001 00000001 00000101 00000000 00000000 00000001 00000001* -L0064992 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0065056 00000000 00000001 00000001 00000001 00000001 00000000 00000000 00000001* -L0065120 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0064800 00000010 00000000 00000000 00000100 10011000 00000000 00000000 00000010* +L0064864 00000010 00000001 00000000 00000100 10000001 00000000 00000000 00000011* +L0064928 00000010 00000001 00000000 00000000 00000000 00000001 00000001 00000010* +L0064992 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* +L0065056 00000011 00000000 00100100 00000000 00000001 00000001 00000000 00000011* +L0065120 01000000 00001000 00000000 00000100 00000000 00000000 00000000 00000000* L0065184 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0065248 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00000000* -L0065312 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0065376 000000 000000 000000 000000 000000 000000 000000 000000* -L0065424 000000 000000 000000 000000 000000 000000 000000 000000* -L0065472 000000 000000 000000 000000 000000 000000 000000 000000* -L0065520 000000 000000 000000 000000 000000 000000 000000 000000* -L0065568 000000 000000 000000 000000 000000 000000 000000 000100* -L0065616 000000 000000 000000 000000 000000 000000 000000 000000* -L0065664 00000000 00000000 00000001 00000000 00000001 00000000 00000000 00000000* -L0065728 00000000 00000000 00000000 00000000 00000000 00000011 00000000 00000000* -L0065792 00000000 00000000 00000001 00000000 00000001 00000011 00000001 00000000* +L0065248 00000000 00000000 10000100 00000000 00000000 00000000 00000000 00000000* +L0065312 00000000 00000000 11000000 00000000 00000000 00000000 00000000 00000000* +L0065376 000000 000000 110000 000000 000000 000000 000000 000000* +L0065424 000000 000000 100000 000000 000000 000000 000000 000000* +L0065472 000000 000000 100000 000010 100000 000000 000000 000000* +L0065520 000000 000000 101000 000010 000000 000000 000000 000000* +L0065568 000000 000000 000000 000000 000000 000000 000000 000000* +L0065616 000000 000000 001000 000000 000000 000000 000000 000000* +L0065664 00000000 00000000 00000001 00000001 00000001 00000000 00000000 00000000* +L0065728 00000001 00000000 00000000 00000000 00000001 00000000 00000000 00000000* +L0065792 00000001 00000000 00000001 00000001 00000001 00000000 00000001 00000000* L0065856 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0065920 00000001 00000000 00000000 00000000 00000000 00000011 00000000 00000000* +L0065920 00000001 00000000 00000000 00000001 00000001 00000000 00000000 00000000* L0065984 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0066048 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0066112 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0066176 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0066240 000000 000000 000000 000000 000000 000000 000000 000000* -L0066288 000000 000000 000000 001000 000000 000000 000000 000000* -L0066336 000000 000000 000000 000001 000000 000000 000000 000000* +L0066288 000000 000000 000000 000000 000000 000000 000000 000000* +L0066336 000000 000000 000000 000000 000000 000000 000000 000000* L0066384 000000 000000 000000 000000 000000 000000 000000 000000* L0066432 000000 000000 000000 000000 000000 000000 000000 000000* L0066480 000000 000000 000000 000000 000000 000000 000000 000000* L0066528 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0066592 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00000000* -L0066656 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00000000* +L0066592 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0066656 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0066720 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0066784 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0066848 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00000000* -L0066912 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00000000* -L0066976 00000000 00000000 00000000 00000000 00000000 10000000 00000000 00000000* +L0066848 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0066912 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0066976 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0067040 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0067104 000000 000000 000000 000000 000000 000000 000000 000000* -L0067152 000000 000000 000000 000001 000000 000000 000000 000000* +L0067104 000000 000000 000000 000000 000000 000000 000000 000010* +L0067152 000000 000000 000000 000000 000000 000000 000000 000000* L0067200 000000 000000 000000 000000 000000 000000 000000 000000* L0067248 000000 000000 000000 000000 000000 000000 000000 000000* L0067296 000000 000000 000000 000000 000000 000000 000000 000000* L0067344 000000 000000 000000 000000 000000 000000 000000 000000* -L0067392 00000010 00010010 10000010 00000010 00000010 00000010 00000010 00000010* -L0067456 00000000 00000000 00000010 00000000 00000010 00000010 00000010 00000010* -L0067520 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0067584 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0067648 00000000 00000000 00000100 00000000 00000000 00000000 00100000 00000000* -L0067712 00000000 00100000 00100000 01000000 00000000 00000000 00000000 00000000* -L0067776 00000010 00000010 00000110 00000010 00000010 00000010 00000010 00000010* -L0067840 00000000 00000000 10000100 00000000 00000000 00000000 00000000 00000000* -L0067904 00000000 00000000 00010100 00000000 00000000 00000000 00000000 00000000* -L0067968 000000 000000 000100 000000 000000 000000 001000 000000* -L0068016 000000 000000 011001 000000 000000 000000 000000 000000* -L0068064 000000 000000 001000 000000 000000 000000 000000 000000* -L0068112 000000 000000 100000 000000 000000 000000 000000 000000* -L0068160 000000 000000 101000 000000 000000 000000 000000 000000* -L0068208 000000 000000 101000 000000 000000 000000 000000 000000* +L0067392 00000010 00000010 00001010 00000010 00000010 00000010 00000010 00000010* +L0067456 00000000 00000000 00001010 00000010 00000010 00000010 00000010 00000010* +L0067520 00000000 00000000 00001000 00000000 00000000 00000000 00000000 00000000* +L0067584 00000000 00000000 00001000 00000000 00000000 00000000 00000000 00000000* +L0067648 00000000 00000000 00010000 00000000 00000000 00000000 00000000 00000100* +L0067712 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0067776 00000010 00000010 00010010 00000010 00000010 00000010 00000010 11110110* +L0067840 00000000 00000000 00010000 00000000 00000000 00000000 00000000 10100100* +L0067904 00000000 00000000 00010000 00000000 00000000 00000000 00000000 10000000* +L0067968 000000 000000 001100 000000 000000 000000 000000 100001* +L0068016 000000 000000 000000 000000 000000 000000 000000 000010* +L0068064 000000 000000 000001 000000 000000 000000 000000 000010* +L0068112 000000 000000 000001 000000 000000 000000 000000 000010* +L0068160 000000 000000 000010 000000 000000 000000 000000 000010* +L0068208 000000 000000 000000 000000 000000 000000 000000 000010* L0068256 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* -L0068320 00000000 00000000 10000100 00000000 00000000 00000000 00000000 00000000* -L0068384 00000000 00000000 10010100 00000000 00000000 00000000 00000000 00000000* -L0068448 00000000 00000000 10110100 00000000 00000000 00000000 00000000 00000000* -L0068512 00000000 00000000 10101000 00000000 00000000 00000000 00000000 00000000* -L0068576 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0068640 00000000 00000000 00101000 00000000 00000000 10010000 00000000 00000000* -L0068704 00000000 00000000 00101000 00000000 00000000 00000000 00100000 00000000* -L0068768 00000000 00000000 10101000 00000000 00100000 00000000 00100000 00000000* -L0068832 000000 000000 101010 000000 000000 000000 000000 000000* -L0068880 000000 000000 100000 000000 000000 000000 000000 000000* -L0068928 000000 000000 110001 000000 000000 001100 001000 000000* -L0068976 000000 000000 001001 000000 000000 000000 000000 000000* -L0069024 000000 000000 000001 000000 000000 000000 000000 000000* -L0069072 000000 000000 000001 000000 000000 000000 000000 000000* +L0068320 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00001000* +L0068384 00000000 00000000 10010100 00000000 00000000 00000000 00000000 00001000* +L0068448 00000000 00000000 10110000 00000000 00000000 00000000 00000000 10001000* +L0068512 00000000 00000000 10100000 00000000 00000000 00000000 00000000 10011000* +L0068576 00000000 00000000 01000000 00000000 00000000 00000000 00000000 00010000* +L0068640 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0068704 00000000 00000000 00100000 00000000 00000000 00000000 00000000 01000000* +L0068768 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00100000* +L0068832 000000 000000 100010 000000 000000 000000 000000 001000* +L0068880 000000 000000 101001 000000 100000 000000 000000 000001* +L0068928 000000 000000 100000 010000 000000 000000 010000 000001* +L0068976 000000 000000 110000 010000 000000 000000 000000 000000* +L0069024 000000 000000 010001 000000 000000 000000 000000 000000* +L0069072 000000 000000 010001 000000 000000 000000 000000 000000* L0069120 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0069184 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0069248 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0069184 00010000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0069248 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0069312 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0069376 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0069440 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* @@ -1301,23 +1301,23 @@ L0069568 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 L0069632 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0069696 000000 000000 000000 000000 000000 000000 000000 000000* L0069744 000000 000000 000000 000000 000000 000000 000000 000000* -L0069792 000000 000000 000000 000000 000000 000000 000000 000000* +L0069792 000010 000000 000000 000000 000000 000000 000000 000000* L0069840 000000 000000 000000 000000 000000 000000 000000 000000* -L0069888 000000 000000 000000 000000 000000 000000 000000 000000* +L0069888 000001 000000 000000 000000 000000 000000 000000 000000* L0069936 000000 000000 000000 000000 000000 000000 000000 000000* L0069984 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0070048 00000000 00000000 00000000 00000000 00000000 00000000 10000100 00000000* -L0070112 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* +L0070048 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0070112 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0070176 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0070240 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0070304 00000000 00000000 00000000 00000000 00000000 00001000 00000000 00000000* -L0070368 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* -L0070432 00000000 00000000 00000000 00000000 00001000 00000000 00000100 00000000* +L0070304 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0070368 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0070432 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0070496 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0070560 000000 000000 000000 000000 000000 000000 000000 000000* -L0070608 000000 000000 000000 000000 000000 000000 000001 000000* +L0070608 000000 000000 000000 000000 000000 000000 000000 000000* L0070656 000000 000000 000000 000000 000000 000000 000000 000000* -L0070704 000000 000000 000000 000000 000000 000000 000000 000000* +L0070704 000010 000000 000000 000000 000000 000000 000000 000000* L0070752 000000 000000 000000 000000 000000 000000 000000 000000* L0070800 000000 000000 000000 000000 000000 000000 000000 000000* L0070848 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* @@ -1325,7 +1325,7 @@ L0070912 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 L0070976 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0071040 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0071104 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0071168 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0071168 01000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0071232 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0071296 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0071360 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* @@ -1351,185 +1351,185 @@ L0072432 000000 000000 000000 000000 000000 000000 000000 000000* L0072480 000000 000000 000000 000000 000000 000000 000000 000000* L0072528 000000 000000 000000 000000 000000 000000 000000 000000* L0072576 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0072640 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* -L0072704 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* +L0072640 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0072704 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0072768 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0072832 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0072896 00000000 00000000 00001000 10000000 00000000 10000000 00000000 00000000* +L0072896 00000000 00000000 00000000 10000000 00000000 00000000 00000000 00000000* L0072960 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0073024 00000000 00000000 00000000 00000000 00000000 10000000 00000000 00000000* -L0073088 00000000 00000000 00001000 00000000 00000000 00000000 00000000 00000000* -L0073152 000000 000000 000010 000000 000000 000000 000000 000000* +L0073024 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0073088 00000000 00000000 00000000 00000000 00000000 00010000 00000000 00000000* +L0073152 000000 000000 000000 000000 000000 000000 000000 000000* L0073200 000000 000000 000000 000000 000000 000000 000000 000000* -L0073248 000000 000000 000010 000000 000000 000000 000000 000000* +L0073248 000000 000000 000000 000000 000000 000000 000000 000000* L0073296 000000 000000 000000 000000 000000 000000 000000 000000* -L0073344 000000 000000 000000 000000 000000 000000 000000 000000* -L0073392 000000 000000 000010 000000 000000 000000 000000 000000* -L0073440 00000000 00000000 00000100 00000000 00000000 10000000 00000000 00000000* -L0073504 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* -L0073568 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* -L0073632 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* -L0073696 00000000 00000000 00000100 00000000 00000000 00001000 00000000 00000000* -L0073760 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* -L0073824 00000000 00000000 00001100 00000000 00001000 00000000 00000000 00000000* -L0073888 00000000 00000000 00001100 00000000 00000000 00000000 00000000 00000000* -L0073952 00000000 00000000 00000100 00000000 00000000 00100000 00000000 00000000* -L0074016 000000 000000 000000 000000 000000 000000 000000 000000* -L0074064 000000 000000 000010 000000 000000 000000 000000 000000* -L0074112 000000 000000 000000 000000 000000 000000 000000 000000* -L0074160 000000 000000 000010 000000 000000 000000 000000 000000* -L0074208 000000 000000 000010 000000 000000 000000 000000 000000* +L0073344 000000 000000 000000 000000 000000 001000 000000 000000* +L0073392 000000 000000 000000 000000 000000 000000 000000 000000* +L0073440 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0073504 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0073568 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0073632 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* +L0073696 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* +L0073760 00000000 00000000 00000000 00000000 00001000 00000000 00000000 00000000* +L0073824 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0073888 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0073952 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0074016 000000 000000 100000 000000 000000 000000 000000 000000* +L0074064 000000 000000 100000 000000 000000 100000 000000 000000* +L0074112 000000 000000 100000 000000 000000 100000 000000 000000* +L0074160 000000 000000 100000 000000 000000 000000 000000 000000* +L0074208 000000 000000 000000 000000 000000 000000 000000 000000* L0074256 000000 000000 000000 000000 000000 000000 000000 000000* -L0074304 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0074368 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0074432 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0074496 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0074560 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0074624 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0074688 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0074752 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0074816 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0074880 000000 000000 000000 000000 000000 000000 000000 000000* -L0074928 000010 000000 000000 000000 000000 000000 000000 000000* +L0074304 00000000 00000000 00000100 00000000 00000000 00000000 00000000 10000000* +L0074368 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* +L0074432 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00010100* +L0074496 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* +L0074560 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* +L0074624 00000100 00000000 00001000 00000000 00000000 00000000 00000000 00000100* +L0074688 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* +L0074752 00000000 00000000 00001100 00000000 00000000 00000000 00000000 00000000* +L0074816 00000000 00000000 00001000 00000000 00000000 00000000 00000000 00000000* +L0074880 000000 000000 000010 000000 000000 000000 000000 000000* +L0074928 000000 000000 000000 000001 000000 000000 000000 000100* L0074976 000000 000000 000000 000000 000000 000000 000000 000000* L0075024 000000 000000 000000 000000 000000 000000 000000 000000* L0075072 000000 000000 000000 000000 000000 000000 000000 000000* -L0075120 000000 000000 000000 000000 000000 000000 000000 000000* -L0075168 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0075232 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0075296 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0075360 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* -L0075424 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* -L0075488 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0075552 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0075616 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0075680 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0075744 000000 000000 000000 000000 000000 000000 000000 000000* -L0075792 000000 000000 000000 000000 000000 000000 010000 000000* -L0075840 000000 000000 000001 000000 000000 000000 000000 000000* -L0075888 000000 000000 000001 000000 000000 000000 000000 000000* -L0075936 000000 000000 000001 000000 000000 000000 000000 000000* -L0075984 000000 000000 000001 000000 000000 000000 000000 000000* -L0076032 00000000 00000000 00000000 00000000 00000000 10000000 00000000 00000000* -L0076096 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10000000* +L0075120 000000 000000 000100 000000 000000 000000 000000 000000* +L0075168 00000000 00000000 00100000 10000000 00000000 00000000 00000000 00001000* +L0075232 00000000 00000000 00100000 10010000 00000000 00000000 00000000 00000000* +L0075296 00000000 00000000 00000000 10000000 00000000 00001000 00000000 10001000* +L0075360 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0075424 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00001000* +L0075488 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10100000* +L0075552 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* +L0075616 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10100000* +L0075680 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0075744 000000 000000 000000 000001 000000 000000 000000 101100* +L0075792 000000 000000 000010 000000 000000 000000 010000 000001* +L0075840 000000 000000 000000 000001 000000 000000 000000 000001* +L0075888 000000 000000 000000 000001 000000 000000 000000 000100* +L0075936 000000 000000 000000 000001 000000 000000 000000 000001* +L0075984 000000 000000 000000 000001 000000 000000 000000 000100* +L0076032 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0076096 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0076160 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0076224 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0076288 00000000 00000000 00010000 00000000 00000000 00000000 00000000 00000000* -L0076352 00000000 00000000 00000000 00000000 00000000 10000000 00000000 00000000* +L0076288 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0076352 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0076416 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0076480 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0076544 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00110000* -L0076608 000000 000000 000000 000000 000000 000000 000000 000000* +L0076480 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00010000* +L0076544 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00010000* +L0076608 000000 000000 000000 000000 000000 000000 000000 000100* L0076656 000000 000000 000000 000000 000000 000000 000000 000000* L0076704 000000 000000 000000 000000 000000 000000 000000 000000* L0076752 000000 000000 000000 000000 000000 000000 000000 000000* L0076800 000000 000000 000000 000000 000000 000000 000000 000000* -L0076848 000000 000000 000000 000000 000000 000000 000000 000100* -L0076896 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0076960 00000000 00000000 00000000 00000000 00000000 00000000 10000100 00000000* -L0077024 00000000 00000000 00000100 00000000 00000000 00000000 00000100 00000000* -L0077088 00000000 00000000 00100100 00000000 00000000 00000000 00000000 00000000* -L0077152 00000000 00000000 10100000 00000000 00000000 00000000 00000000 00000000* -L0077216 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0077280 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* -L0077344 00000000 00000000 00000100 00000000 00000000 10000000 00000100 00000000* -L0077408 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* -L0077472 000000 000000 001000 000000 000000 000000 000000 000000* -L0077520 000000 000000 000000 000000 000000 000000 100000 000000* -L0077568 000000 000000 101001 000000 000000 000000 000001 000000* -L0077616 000001 000000 001001 000000 000000 000000 000001 000000* -L0077664 000000 000000 100001 000000 000000 000000 000000 000000* -L0077712 000000 000000 101001 000000 000000 000000 000000 000000* +L0076848 000000 000000 000000 000000 000000 000000 000000 000000* +L0076896 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* +L0076960 00000000 00000000 10000000 00000000 00000000 00000000 10000100 00100000* +L0077024 00000000 00000000 10000000 00000000 00000000 00000000 00000100 00000000* +L0077088 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* +L0077152 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* +L0077216 00000000 00000000 10000000 00000000 00010000 00000000 00000000 00001000* +L0077280 00000000 00000000 10000000 00000000 00000000 00000000 00000100 00000000* +L0077344 00000000 00000000 10000000 00000000 00000000 00000000 00000100 00000000* +L0077408 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* +L0077472 000000 000000 000000 000000 000000 000000 000000 000000* +L0077520 000000 000000 000001 000000 000000 000000 100000 000000* +L0077568 000000 000000 000001 000000 000000 000000 000001 000000* +L0077616 000000 000000 000001 000000 000000 000000 000001 000000* +L0077664 000000 000000 000001 000000 000000 000000 000000 000000* +L0077712 000000 000000 000001 000000 000000 000000 000000 000000* L0077760 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0077824 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0077888 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0077824 00000000 00000000 00001000 00000000 00000100 00000000 00000000 00000000* +L0077888 00000000 00000000 00000000 00000000 00000100 00000000 00000000 00000000* L0077952 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0078016 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0078080 10000000 00000000 00000000 00000000 00000000 00000000 00000000 00010000* -L0078144 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100000* -L0078208 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0078272 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0078080 00000000 00000000 00000000 00000000 10100000 00000000 00000000 00000000* +L0078144 00000000 00000000 00000000 00000000 00100000 00000000 00000000 00000000* +L0078208 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000100* +L0078272 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0078336 000000 000000 000000 000000 000000 000000 000000 000000* -L0078384 100000 000000 000000 000000 000000 000000 000000 000000* -L0078432 000000 000000 000000 010000 000000 000000 000000 000001* -L0078480 000000 000000 000000 000000 000000 000000 000000 000010* -L0078528 000000 000000 000000 000000 000000 000000 000000 000000* +L0078384 000000 000000 000000 000000 000000 000010 000000 000000* +L0078432 000000 000000 000000 000000 000000 000000 000000 000000* +L0078480 000000 000000 000000 000000 100001 000000 000000 000000* +L0078528 000000 000000 000000 000000 100000 000000 000000 000000* L0078576 000000 000000 000000 000000 000000 000000 000000 000000* L0078624 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0078688 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0078752 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0078816 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0078880 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0078944 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0079008 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0079072 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0079136 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0079200 000000 000000 000000 000000 000000 000000 000000 000000* -L0079248 000000 000000 000000 000000 000000 000000 000000 000000* -L0079296 000000 000000 000000 000000 000000 000000 010000 000000* -L0079344 000000 000000 000000 000000 000000 000000 000000 000000* -L0079392 000000 000000 000000 000000 000000 000000 000000 000000* -L0079440 000000 000000 000000 000000 000000 000000 000000 000000* -L0079488 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0079552 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0079616 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0078688 00000000 00000000 00000000 00000000 00000000 00000000 11111100 00000000* +L0078752 00000000 00000000 00000000 00000000 00100000 00000000 01111100 00000000* +L0078816 00000000 00000000 00100100 00000000 00000000 00000000 00000000 00000000* +L0078880 00000000 00000000 00100100 00000000 00000000 00000000 00000000 00000000* +L0078944 00000100 00000000 10000000 00000000 00000000 00000000 00000000 10000000* +L0079008 00000100 00000000 11000100 00000000 00000000 00000000 00000100 10000000* +L0079072 00000000 00000000 11000100 00000000 00000000 00000000 00000100 10000000* +L0079136 00000000 00000000 11000000 00000000 00000100 00000000 00000000 10000000* +L0079200 000000 000000 110000 000000 000001 000000 000000 100000* +L0079248 000000 000000 100000 000000 000000 000000 000000 000000* +L0079296 000000 000000 101000 010000 000000 000000 100011 000100* +L0079344 000000 000000 101000 000000 000000 000000 100011 000101* +L0079392 000000 000000 001000 000000 001100 000000 000000 000101* +L0079440 000000 000000 001000 000000 001110 000000 000000 000101* +L0079488 00000000 00000000 00000000 11000000 00000000 00000000 00000000 00000000* +L0079552 00000000 00000000 00000000 10000000 00000000 00000000 00000000 00000000* +L0079616 00000000 00000000 00000000 10000000 00000000 00000000 00000000 00000000* L0079680 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0079744 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0079808 00010000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0079808 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0079872 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0079936 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* -L0080000 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* -L0080064 000000 000000 000000 000000 000000 000001 000000 000000* -L0080112 000100 000000 000000 000000 000000 000000 000000 000000* +L0079936 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0080000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0080064 000000 000000 000000 000000 000000 000000 000000 000000* +L0080112 000000 000000 000000 100000 000000 000000 000000 000000* L0080160 000000 000000 000000 000000 000000 000000 000000 000000* -L0080208 000000 000000 000000 000000 000000 000001 000000 000000* +L0080208 000000 000000 000000 000001 000000 000000 000000 000000* L0080256 000000 000000 000000 000000 000000 000000 000000 000000* L0080304 000000 000000 000000 000000 000000 000000 000000 000000* L0080352 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0080416 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0080480 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0080544 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* -L0080608 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* +L0080608 00000000 00000000 00100000 00000000 00000000 00001000 00000000 00000000* L0080672 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0080736 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* -L0080800 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0080736 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0080800 00000000 00000000 00000000 00000000 00010000 00000000 00000000 00000000* L0080864 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0080928 000000 000000 000000 000000 000000 000000 000000 000000* -L0080976 000000 000000 000000 000000 000000 000000 000000 000000* -L0081024 000000 000000 000001 000000 000000 000001 000000 000000* -L0081072 000000 000000 000001 000000 000000 000000 000000 000000* -L0081120 000000 000000 000001 000000 000000 000001 000000 000000* -L0081168 000000 000000 000001 000000 000000 000001 000000 000000* -L0081216 00000000 00000000 00000000 00000000 00000000 01010100 00000000 00000000* -L0081280 00000000 00000000 00000000 00000000 00000100 01000100 00000000 00100000* -L0081344 00000000 00000000 00010000 00000000 00000100 00000000 00000000 00000000* +L0080928 000000 000000 100000 000001 000000 000000 000000 000000* +L0080976 000000 000000 100000 000000 000000 000000 000000 000000* +L0081024 000000 000000 100000 000001 000000 000000 000000 000000* +L0081072 000000 000000 100000 000000 000000 000000 000000 000000* +L0081120 000000 000000 000000 000001 000000 000000 000000 000000* +L0081168 000000 000000 000000 000001 000000 000001 000000 000000* +L0081216 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00000000* +L0081280 00000000 00000000 00000000 00001000 00000000 00000000 00000000 00000000* +L0081344 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0081408 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0081472 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0081536 00000000 00000000 00000000 00000000 10100000 00000000 10000000 00000000* -L0081600 00000000 00000000 00101000 00000000 00100000 00000000 01110000 00000000* -L0081664 00000000 00000000 00001000 00000000 00000000 00000000 00000000 00000000* -L0081728 00000000 00000000 10011000 00000000 00000000 00000000 00000000 00000000* -L0081792 000000 000000 100010 000000 000000 000000 000000 000000* -L0081840 000000 000000 000000 000000 000000 000101 010000 000000* -L0081888 000000 000000 000000 000001 000000 000000 000000 000100* -L0081936 000000 000000 000000 000000 100001 000100 000000 000100* -L0081984 000000 000000 000000 000000 100000 000000 000000 000100* +L0081472 00000000 00000000 00001000 00000000 00000000 00000000 00000000 00000000* +L0081536 00000000 00000000 00000000 00000000 00000000 10000000 10000000 00000000* +L0081600 00000000 00000000 00011000 00000000 00000000 00100000 01110000 00000000* +L0081664 00000100 00000000 00000000 00000000 00000000 10100000 00000000 00010000* +L0081728 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0081792 000000 000000 000000 000000 000000 000000 000000 000000* +L0081840 000000 000000 000000 000000 000000 000000 010000 000000* +L0081888 000000 000000 000000 000010 000000 000000 000000 000000* +L0081936 000000 000000 000000 000000 000000 000000 000000 000000* +L0081984 000000 000000 000000 000000 000000 000000 000000 000000* L0082032 000000 000000 000000 000000 000000 000000 000000 000000* -L0082080 00000000 00000000 00001000 00000000 00000000 00000000 00000000 00100000* -L0082144 00000000 00000000 10001000 00000100 00000000 00010000 00000000 00000000* -L0082208 00000000 00000000 10001000 00000100 00000000 00010000 00000000 00000000* -L0082272 00000000 00000000 00101000 00000000 00000000 00010000 00000000 00000000* -L0082336 00000000 00000000 00111100 00000000 00000000 00010000 00100000 00000000* -L0082400 00000000 00000000 00000000 00000100 00000000 00010100 01010000 00000000* -L0082464 00000000 00000000 00000100 00000100 00000000 00000100 10000000 00001000* -L0082528 00000000 00000000 00100100 00000000 00000000 00010100 00000000 00000000* -L0082592 00000000 00000000 00000100 00000000 00000100 00000100 00000000 00000000* -L0082656 000000 000000 000000 000000 000001 000001 000000 000000* -L0082704 000000 000000 001001 000001 000000 101000 000000 000000* -L0082752 000000 000000 011001 000000 000000 000001 011000 000000* -L0082800 000000 000000 100001 000000 010000 001001 000000 000000* -L0082848 000000 000000 101001 000000 011100 000001 000000 000000* -L0082896 000000 000000 101101 000000 001110 000001 000000 000000* +L0082080 00000000 00000000 00000100 00101000 00000000 10010000 00000000 00000000* +L0082144 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0082208 00000000 00000000 10010000 00000100 00000100 00000000 00000000 00000000* +L0082272 00000000 00000000 10100100 00000000 00010100 00010000 00000000 00000000* +L0082336 00000000 00000000 00100100 00000000 00001100 00000100 00100000 00000000* +L0082400 00000000 00000000 10000000 00001000 00000000 00000000 01010000 00000000* +L0082464 00000100 00000000 11000100 00000000 00000100 00000000 10000000 00000000* +L0082528 00000000 00000000 11100100 00000100 00000000 00000000 00000000 00000000* +L0082592 00000000 00000000 11000000 00000000 00000000 00000000 00000000 00010000* +L0082656 000000 000000 110010 000000 000000 000000 000000 000100* +L0082704 000000 000000 101000 000110 000000 000000 000000 000000* +L0082752 000000 000000 101000 000000 000001 000000 011000 000000* +L0082800 000000 000000 111000 000000 000001 000000 000000 000000* +L0082848 000000 000000 011001 000000 000001 000000 000000 000000* +L0082896 000000 000000 001001 000000 000001 000000 000000 000000* L0082944 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0083008 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0083072 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* @@ -1537,178 +1537,178 @@ L0083136 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 L0083200 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0083264 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0083328 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0083392 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0083392 00000000 00000000 00000000 00000000 00000000 01000000 00000000 00000000* L0083456 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0083520 000000 000000 000000 000000 000000 000000 000000 000000* L0083568 000000 000000 000000 000000 000000 000000 000000 000000* -L0083616 000001 000000 000000 000000 000000 000000 000000 000000* -L0083664 000001 000000 000000 000000 000000 000000 000000 000000* +L0083616 000000 000000 000000 000000 000000 000000 000000 000000* +L0083664 000000 000000 000000 000000 000000 000000 000000 000000* L0083712 000000 000000 000000 000000 000000 000000 000000 000000* L0083760 000000 000000 000000 000000 000000 000000 000000 000000* -L0083808 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0083872 00000000 00000000 00000000 00000000 00000000 00010000 00000000 00000000* -L0083936 00000000 00000000 00000000 00000000 00000000 00010100 00000000 00000000* -L0084000 00000000 00000000 00000000 00000000 00000000 00010100 00000000 00000000* -L0084064 00000000 00000000 00000000 00000000 00000000 00010100 00000000 00000000* -L0084128 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0083808 00000000 00000000 00000000 10000000 00000000 00000000 00000000 00000000* +L0083872 00000000 00000000 00000000 10000000 00000000 00001000 00000000 00000000* +L0083936 00000000 00000000 00000000 10000000 00000000 00001000 00000000 00000000* +L0084000 00000000 00000000 00000000 00000000 00000000 00001000 00000000 00000000* +L0084064 00000000 00000000 00000000 00000000 00000000 00001000 00000000 00000000* +L0084128 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0084192 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* -L0084256 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* -L0084320 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* -L0084384 000000 000000 000000 000000 000000 000001 000000 000000* -L0084432 000001 000000 000000 000000 000000 000000 000000 000000* -L0084480 000000 000000 000000 000000 000000 000011 000000 000000* -L0084528 000000 000000 000000 000000 000000 000001 000000 000000* -L0084576 000000 000000 000000 000000 000000 000001 000000 000000* -L0084624 000000 000000 000000 000000 000000 000001 000000 000000* +L0084256 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0084320 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0084384 000000 000000 000000 000001 000000 000000 000000 000000* +L0084432 000000 000000 000000 000000 000000 000000 000000 000000* +L0084480 000000 000000 000000 000001 000000 000000 000000 000000* +L0084528 000000 000000 000000 000001 000000 000001 000000 000000* +L0084576 000000 000000 000000 000001 000000 000001 000000 000000* +L0084624 000000 000000 000000 000001 000000 000001 000000 000000* L0084672 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0084736 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0084736 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0084800 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0084864 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0084928 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0084992 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0085056 00000100 00000000 00000000 00000000 00000000 00001000 00000000 00010000* -L0085120 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100000* -L0085184 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0085248 000000 000000 000000 000000 000000 000000 000000 000000* -L0085296 000000 000000 000000 000000 000000 000000 000000 000000* -L0085344 000000 000000 000000 000000 000000 000000 000000 000000* -L0085392 000000 000000 000000 000000 000000 000000 000000 000001* -L0085440 000000 000000 000000 000000 000000 000000 000000 000010* -L0085488 000000 000000 000000 000000 000000 000000 000000 000000* -L0085536 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0085056 00010000 00000000 00000000 00000000 00000000 00001000 00000000 00000000* +L0085120 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0085184 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00001000* +L0085248 000000 000000 001000 000000 000000 000000 000000 000000* +L0085296 000000 000000 001000 001000 000000 000000 000000 000000* +L0085344 000100 000000 000001 000000 000000 000000 000000 000000* +L0085392 000000 000000 000001 000000 000000 000000 000000 000000* +L0085440 000000 000000 000001 000000 000000 000000 000000 000000* +L0085488 000000 000000 000001 000000 000000 000000 000000 000000* +L0085536 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0085600 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0085664 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0085728 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0085792 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0085856 00000100 00000000 00000000 00000000 00000000 00000000 01000000 00000000* -L0085920 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0085984 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0086048 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0086112 000000 000000 000000 000000 000000 000000 000000 000000* -L0086160 000000 000000 000000 000000 000000 000000 000000 000000* +L0085856 00010000 00000000 00000000 00000000 00000000 00000000 01000000 00000000* +L0085920 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0085984 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0086048 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0086112 000000 000000 000000 000000 000000 000000 000000 000010* +L0086160 000100 000000 000000 000000 000000 000000 000000 000000* L0086208 000000 000000 000000 000000 000000 000000 000000 000000* L0086256 000000 000000 000000 000000 000000 000000 000000 000000* L0086304 000000 000000 000000 000000 000000 000000 000000 000000* L0086352 000000 000000 000000 000000 000000 000000 000000 000000* L0086400 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0086464 00000000 00000000 00001000 00000000 00000000 00000000 01111100 00000000* -L0086528 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0086464 00000000 00000000 00000000 00000000 00100000 00000000 00000000 00000000* +L0086528 00000000 00000000 00000000 00000000 00100000 00000000 00000000 00000000* L0086592 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0086656 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0086720 00000000 00010000 00000000 00000000 00000000 00000000 00000000 00000000* -L0086784 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* +L0086720 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0086784 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0086848 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0086912 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10000000* -L0086976 000000 000000 000000 000000 000000 000000 000000 000000* -L0087024 000000 000000 000000 000000 000000 000010 000000 100000* -L0087072 000000 000000 000000 000000 000000 000000 100011 000000* -L0087120 000000 000000 000000 000000 000000 000000 000000 100000* -L0087168 000000 000000 000000 000000 000000 000000 000000 100000* -L0087216 000000 000000 000000 000000 000000 000000 000000 100000* -L0087264 00000000 00000000 00000100 00000100 00000000 00000000 11111100 00000000* -L0087328 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* -L0087392 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* -L0087456 00000000 00000000 10110100 00000000 00000000 00000000 00000000 00000000* -L0087520 00000000 00000000 10100100 00000000 00000000 00000000 00000000 00000000* -L0087584 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* -L0087648 00000000 00000000 00000100 00000000 00000000 00000000 00000000 10000000* -L0087712 00000000 00000000 00000100 00000000 00000000 00000000 00000000 10000000* -L0087776 00000000 00000000 00100100 00000000 00000000 00000000 00000000 00000000* -L0087840 000000 000000 001000 000000 000000 000000 000000 000000* -L0087888 000000 000000 101001 000000 000000 000000 100011 000000* -L0087936 000000 000000 101001 000000 000000 000000 000000 000000* -L0087984 000000 000000 101001 000000 000000 000000 000000 000000* -L0088032 000000 000000 101001 000000 000000 000000 000000 000000* -L0088080 000000 000000 101001 000000 000000 000000 000000 000000* -L0088128 01000000 00000000 00000100 00000000 00000000 00000000 00000000 00001000* -L0088192 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00101000* -L0088256 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00101000* -L0088320 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00101000* -L0088384 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00111000* -L0088448 01000000 00000000 00001000 00000000 00000000 00000000 00000000 00001000* -L0088512 00000000 00000000 00001100 00000000 00000000 00001000 00000000 00100000* -L0088576 00000000 00000000 00011100 00000000 00000000 00000000 00000000 00101000* -L0088640 00000000 00000000 00001100 00000000 00000000 00000000 00000000 00101000* -L0088704 000000 000000 000010 000000 000000 000000 000000 001010* -L0088752 000000 000000 000010 000000 000000 000000 000000 010000* -L0088800 000000 000000 000010 000000 000000 000000 000000 010100* -L0088848 000000 000000 010010 000000 000000 000000 000000 010110* -L0088896 000000 000000 010010 000000 000000 000000 000000 010110* -L0088944 000000 000000 010010 000000 000000 000000 000000 010110* -L0088992 00000000 00000100 00000000 00000000 00000000 00000000 01000000 00100000* -L0089056 00000000 00000000 00000000 00000000 00000000 00000000 10000100 00000100* -L0089120 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* -L0089184 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0086912 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0086976 000000 000000 001000 000000 000000 000000 000000 000000* +L0087024 000000 000000 001000 000000 000000 000000 000000 000000* +L0087072 000000 000000 000001 000000 000000 000000 000000 000000* +L0087120 000000 000000 000001 000000 000000 000000 000000 000000* +L0087168 000000 000000 000001 000000 000000 000000 000000 000000* +L0087216 000000 000000 000001 000000 000000 000000 000000 000000* +L0087264 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0087328 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0087392 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00000000* +L0087456 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0087520 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0087584 00000000 00000000 00000000 00000000 00000000 00000000 00100000 00000000* +L0087648 00000000 00000000 00000000 00000000 00000000 00000000 00100000 00000000* +L0087712 00000000 00000000 00000000 00000000 00000000 00000000 00100000 00000000* +L0087776 00000000 00000000 00000000 00000000 00000000 00000000 00100000 00000000* +L0087840 000000 000000 000000 000000 000000 000000 001000 000000* +L0087888 000000 000000 000000 000000 000000 000000 000000 000000* +L0087936 000000 000000 000000 000010 000000 000000 000000 000000* +L0087984 000000 000000 000000 000010 000000 000000 000000 000000* +L0088032 000000 000000 000000 000000 000000 000000 000000 000000* +L0088080 000000 000000 000000 000000 000000 000000 000000 000000* +L0088128 00000000 00000000 00100100 00000000 00000000 00000000 00000000 00000000* +L0088192 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* +L0088256 00000000 00000000 00100100 00000000 00000000 00000000 01111100 00100000* +L0088320 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* +L0088384 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* +L0088448 00000000 00000000 01001000 00000000 00000000 00000000 00000000 00000000* +L0088512 00000000 00000000 01000100 00000000 00000000 10010000 00000000 00000000* +L0088576 00000000 00000000 01001100 00000000 00000000 01000000 00000100 00000000* +L0088640 00010000 00000000 01001000 00000000 00000000 00000000 00000000 00000000* +L0088704 000000 000000 010010 000000 000000 000000 000000 000000* +L0088752 000000 000000 010000 000000 000000 000000 000000 000000* +L0088800 000000 000001 010000 000000 000000 001100 000000 000000* +L0088848 000000 000000 010000 000000 000000 000000 100011 000000* +L0088896 000100 000000 010000 000000 000000 000000 000000 000000* +L0088944 000000 000000 010100 000000 000000 000000 000000 000000* +L0088992 00000000 00000000 00000000 00000000 00000000 00000000 11111100 00000000* +L0089056 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0089120 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0089184 00000000 00000000 00000000 00000000 00000000 00000000 11111100 00000000* L0089248 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0089312 00000000 00001000 01000000 01000000 00000000 00000000 00000000 00000000* -L0089376 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000100* -L0089440 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* -L0089504 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* +L0089312 00000000 00000000 00000000 01000000 00000000 00000000 00000100 00000000* +L0089376 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0089440 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0089504 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* L0089568 000000 000000 000000 000000 000000 000000 000000 000000* -L0089616 000000 000000 000000 000000 000000 000000 000000 000000* -L0089664 000000 000000 000000 000000 000000 000000 100001 000000* -L0089712 000000 000000 000000 000000 000000 000000 100001 000000* -L0089760 000000 000000 000000 000000 000000 000000 000000 000000* +L0089616 000000 000000 000000 000000 000000 000000 100011 000000* +L0089664 000000 000000 000010 000000 000000 000000 000000 000000* +L0089712 000000 000000 000000 000000 000000 000000 000000 000000* +L0089760 000000 000000 000000 000000 000000 000000 100011 000000* L0089808 000000 000000 000000 000000 000000 000000 000000 000000* -L0089856 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* -L0089920 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* -L0089984 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00100000* -L0090048 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00100000* -L0090112 00000000 00000000 00000100 00000000 00000100 00000000 00000000 00110000* -L0090176 00000000 00001000 00001000 00000000 00000000 00000000 00000000 00000000* -L0090240 00000000 00000000 00001100 00100000 00000000 00000000 00000000 00100000* -L0090304 00000000 00000000 00001100 00000000 10000000 00000000 00000000 00100000* -L0090368 00000000 00000000 00001100 00000000 00000100 00000000 00000000 00100000* -L0090432 000000 000000 000010 000000 000001 000000 000000 001000* -L0090480 000000 000000 000000 000000 000000 000000 000000 010000* -L0090528 000000 000000 000000 000000 000000 000000 000000 010000* -L0090576 000000 000000 000010 000000 010010 000000 000000 010000* -L0090624 000000 000000 000010 000000 111100 000000 000000 010000* -L0090672 000000 000000 000010 000000 001111 000000 000000 010000* -L0090720 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100100* -L0090784 00000000 00000100 00000000 10000000 00000000 00000000 00000000 00100000* -L0090848 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* +L0089856 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0089920 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0089984 00000000 00000000 00000000 00000000 00100000 00000000 00000000 00000000* +L0090048 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0090112 00000000 00000000 00000000 00000000 00000100 00000000 00000000 00000000* +L0090176 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0090240 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00010000* +L0090304 00000000 00000000 00000000 00000000 10000000 00000000 00000000 01000000* +L0090368 00000000 00000000 00000000 00000000 00000100 00000000 00000000 00100000* +L0090432 000000 000000 000000 000000 000001 000000 000000 001000* +L0090480 000000 000000 000000 000000 000000 000000 000000 000000* +L0090528 000001 000000 000000 000000 000000 000000 000000 000000* +L0090576 000001 000000 000000 000000 000010 000000 000000 000000* +L0090624 000000 000000 000000 000000 101100 000000 000000 000000* +L0090672 000000 000000 000000 000000 001111 000000 000000 000010* +L0090720 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0090784 00011000 00000000 00000000 00000000 00100000 00000000 00000000 00000000* +L0090848 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0090912 00000000 00000000 00000000 00000000 00000100 00000000 00000000 00000000* -L0090976 00000000 00000000 00000000 00000000 00100000 00000000 00000000 00000000* -L0091040 00100000 00000000 00000000 00000000 00000000 00000000 10000000 00001000* -L0091104 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00001000* -L0091168 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0091232 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* -L0091296 000000 000000 000000 000000 100000 000000 000000 000001* -L0091344 000000 000000 000100 000000 000000 000000 000000 000000* -L0091392 000000 000000 000000 000000 011110 000000 000000 000000* -L0091440 000000 000000 010000 000000 001100 000000 000000 000000* -L0091488 000000 000000 010000 000000 000011 000000 000000 000000* -L0091536 000000 000000 010000 000000 000000 000000 000000 000000* +L0090976 00000000 00000000 00000000 00000000 00000000 00001000 00000000 00000000* +L0091040 00000000 00000000 00000000 00000000 00000000 00000000 10000000 10010000* +L0091104 00000000 00000000 00000000 00000000 10000000 00000000 00000000 11100000* +L0091168 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10100000* +L0091232 00000000 00000000 00000000 00000000 10000000 00000000 00000000 10000000* +L0091296 000000 000000 000000 000000 100000 000000 000000 100000* +L0091344 000000 000011 000000 000000 000000 000000 000000 000000* +L0091392 000000 000000 000000 000000 001110 000000 000000 000100* +L0091440 000000 000000 000000 000000 001100 000000 000000 000101* +L0091488 000001 000000 000000 000000 000011 000000 000000 000101* +L0091536 000000 000000 000000 000000 000000 000001 000000 000101* L0091584 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0091648 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0091712 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0091776 00000000 00000000 00001000 00000000 00000000 00000000 00000000 00000000* +L0091712 00000000 00000000 00000000 00000000 00010000 00000000 00000000 00000000* +L0091776 00000000 00000000 00001000 00000000 00010000 00000000 00000000 00000000* L0091840 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0091904 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0091968 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0092032 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* -L0092096 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* -L0092160 000000 000000 000000 000000 000000 000001 000000 000000* +L0091968 00000000 00000000 00000000 00100000 00000000 00000000 00000000 00000000* +L0092032 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0092096 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0092160 000000 000000 000000 000000 000000 000000 000000 000000* L0092208 000000 000000 000000 000000 000000 000000 000000 000000* -L0092256 000000 000000 000000 000000 000000 000000 000000 000000* -L0092304 000000 000000 000000 000000 000000 000001 000000 000000* -L0092352 000000 000000 000000 000000 000000 000000 000000 000000* -L0092400 000000 000000 000000 000000 000000 000000 000000 000000* -L0092448 00100000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* -L0092512 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* -L0092576 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* -L0092640 00000000 00000000 10110100 00000000 00000000 00000000 00000000 00000000* -L0092704 00000000 00000000 10100100 00000000 00000000 00000000 00100000 00000000* -L0092768 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0092832 00000000 00000000 00000100 00000000 00000000 00000100 00000000 00000000* -L0092896 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* -L0092960 00000000 00000000 00100100 00000000 00000000 00000000 00000000 00000000* -L0093024 000000 000000 001000 000000 000000 000000 000000 000000* -L0093072 000000 000000 101001 000000 000010 000001 000000 000000* -L0093120 000000 000000 101001 000000 000000 000001 001000 000000* -L0093168 000000 000000 101001 000000 000000 000000 000000 000000* -L0093216 000000 000000 101001 000000 000000 000001 000000 000000* -L0093264 000000 000000 101001 000000 000000 000001 000000 000000* -C64A1* -2BD4 +L0092256 000000 000000 000000 000000 101000 000000 000000 000000* +L0092304 000000 000000 000000 000000 001000 000000 000000 000000* +L0092352 000000 000000 000000 000000 001000 000000 000000 000000* +L0092400 000000 000000 000000 000000 001000 000000 000000 000000* +L0092448 00000000 00000000 00000000 00000000 10001000 00000000 00000000 00000000* +L0092512 00000000 00000000 00000000 00000000 00001000 00000000 00000000 00000000* +L0092576 00000000 00000000 00000000 00000000 10001000 00000000 00000000 00000000* +L0092640 00000000 00000000 00100100 00000000 10000000 00000000 00000000 00000000* +L0092704 00000000 00000000 00100100 00000000 00000000 00000000 00100000 00000000* +L0092768 00000000 00000000 10000000 00000000 00000100 00000000 00000000 00000000* +L0092832 00000000 00000000 11000100 00000000 00000000 00000000 00000000 00000000* +L0092896 00000000 00000000 11000100 00000000 00000000 00000000 00000000 00000000* +L0092960 00000000 00000000 11000000 00000000 00000000 00000000 00000000 00000000* +L0093024 000000 000000 110000 000000 000000 000000 000000 000000* +L0093072 010000 000000 100000 000000 000000 000000 000000 000000* +L0093120 000000 000000 101000 000100 000000 000000 001000 000000* +L0093168 000000 000000 101000 000000 000000 000000 000000 000000* +L0093216 000000 000000 001000 000000 000000 000000 000000 000000* +L0093264 000000 000000 001000 000000 000000 000000 000000 000000* +C81CE* +2BA9 diff --git a/cpld/XC95144XL/WarpSE.mfd b/cpld/XC95144XL/WarpSE.mfd index b68c198..2fe7f52 100644 --- a/cpld/XC95144XL/WarpSE.mfd +++ b/cpld/XC95144XL/WarpSE.mfd @@ -4,7 +4,7 @@ MACROCELL | 5 | 1 | iobm/nVMA ATTRIBUTES | 4622082 | 0 OUTPUTMC | 2 | 5 | 1 | 5 | 0 INPUTS | 10 | nVMA_IOB | iobm/ES<0> | iobm/ES<1> | iobm/ES<2> | iobm/ES<3> | iobm/ES<4> | iobm/IOACT | iobm/VPArf | iobm/VPArr | nAoutOE -INPUTMC | 10 | 5 | 1 | 5 | 6 | 5 | 5 | 5 | 12 | 5 | 4 | 5 | 7 | 5 | 15 | 0 | 2 | 0 | 1 | 3 | 1 +INPUTMC | 10 | 5 | 1 | 5 | 7 | 5 | 6 | 5 | 15 | 5 | 5 | 5 | 9 | 5 | 17 | 1 | 9 | 1 | 8 | 3 | 1 EQ | 7 | nVMA_IOB.T = !nVMA_IOB & !iobm/ES<0> & !iobm/ES<1> & !iobm/ES<2> & !iobm/ES<3> & !iobm/ES<4> @@ -12,25 +12,13 @@ EQ | 7 | !iobm/ES<3> & !iobm/ES<4> & iobm/IOACT & iobm/VPArf & iobm/VPArr; nVMA_IOB.CLK = C16M; // GCK - nVMA_IOB.OE = nAoutOE; -GLOBALS | 1 | 2 | C16M - -MACROCELL | 5 | 11 | iobm/nASout -ATTRIBUTES | 8816386 | 0 -OUTPUTMC | 1 | 0 | 0 -INPUTS | 4 | iobm/IOS_FSM_FFd1 | iobm/IOS_FSM_FFd2 | iobm/IOS_FSM_FFd3 | nAoutOE -INPUTMC | 4 | 0 | 13 | 5 | 9 | 0 | 17 | 3 | 1 -EQ | 4 | - nAS_IOB.D = !iobm/IOS_FSM_FFd3 & !iobm/IOS_FSM_FFd2 - # iobm/IOS_FSM_FFd1 & !iobm/IOS_FSM_FFd2; - !nAS_IOB.CLK = C16M; // GCK - nAS_IOB.OE = nAoutOE; + nVMA_IOB.OE = !nAoutOE; GLOBALS | 1 | 2 | C16M MACROCELL | 5 | 8 | iobm/nLDS ATTRIBUTES | 8685314 | 0 INPUTS | 6 | iobs/IOL0 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd2 | iobm/IOS_FSM_FFd1 | iobs/IORW0 | nAoutOE -INPUTMC | 6 | 0 | 16 | 0 | 17 | 5 | 9 | 0 | 13 | 2 | 14 | 3 | 1 +INPUTMC | 6 | 0 | 11 | 5 | 4 | 5 | 12 | 3 | 9 | 2 | 14 | 3 | 1 EQ | 8 | !nLDS_IOB.D = iobs/IOL0 & !iobm/IOS_FSM_FFd3 & iobm/IOS_FSM_FFd2 @@ -39,13 +27,13 @@ EQ | 8 | # !iobs/IORW0 & iobs/IOL0 & iobm/IOS_FSM_FFd3 & !iobm/IOS_FSM_FFd1; !nLDS_IOB.CLK = C16M; // GCK - nLDS_IOB.OE = nAoutOE; + nLDS_IOB.OE = !nAoutOE; GLOBALS | 1 | 2 | C16M MACROCELL | 5 | 10 | iobm/nUDS ATTRIBUTES | 8685314 | 0 INPUTS | 6 | iobs/IOU0 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd2 | iobm/IOS_FSM_FFd1 | iobs/IORW0 | nAoutOE -INPUTMC | 6 | 0 | 15 | 0 | 17 | 5 | 9 | 0 | 13 | 2 | 14 | 3 | 1 +INPUTMC | 6 | 0 | 10 | 5 | 4 | 5 | 12 | 3 | 9 | 2 | 14 | 3 | 1 EQ | 8 | !nUDS_IOB.D = iobs/IOU0 & !iobm/IOS_FSM_FFd3 & iobm/IOS_FSM_FFd2 @@ -54,14 +42,25 @@ EQ | 8 | # !iobs/IORW0 & iobs/IOU0 & iobm/IOS_FSM_FFd3 & !iobm/IOS_FSM_FFd1; !nUDS_IOB.CLK = C16M; // GCK - nUDS_IOB.OE = nAoutOE; + nUDS_IOB.OE = !nAoutOE; GLOBALS | 1 | 2 | C16M -MACROCELL | 3 | 8 | cnt/LTimer<0> +MACROCELL | 5 | 11 | iobm/nASout +ATTRIBUTES | 8685314 | 0 +INPUTS | 4 | iobm/IOS_FSM_FFd1 | iobm/IOS_FSM_FFd2 | iobm/IOS_FSM_FFd3 | nAoutOE +INPUTMC | 4 | 3 | 9 | 5 | 12 | 5 | 4 | 3 | 1 +EQ | 4 | + nAS_IOB.D = !iobm/IOS_FSM_FFd3 & !iobm/IOS_FSM_FFd2 + # iobm/IOS_FSM_FFd1 & !iobm/IOS_FSM_FFd2; + !nAS_IOB.CLK = C16M; // GCK + nAS_IOB.OE = !nAoutOE; +GLOBALS | 1 | 2 | C16M + +MACROCELL | 3 | 13 | cnt/LTimer<0> ATTRIBUTES | 4358976 | 0 -OUTPUTMC | 14 | 3 | 8 | 6 | 0 | 3 | 17 | 3 | 16 | 3 | 15 | 6 | 12 | 6 | 14 | 6 | 9 | 6 | 6 | 6 | 2 | 6 | 17 | 6 | 16 | 6 | 3 | 6 | 15 +OUTPUTMC | 14 | 3 | 13 | 6 | 0 | 3 | 16 | 3 | 15 | 3 | 14 | 6 | 12 | 6 | 14 | 6 | 9 | 6 | 6 | 6 | 2 | 6 | 17 | 6 | 16 | 6 | 3 | 6 | 15 INPUTS | 3 | cnt/LTimer<0> | cnt/LTimer<13> | cnt/TimerTC -INPUTMC | 3 | 3 | 8 | 6 | 0 | 3 | 2 +INPUTMC | 3 | 3 | 13 | 6 | 0 | 0 | 9 EQ | 3 | !cnt/LTimer<0>.T = !cnt/LTimer<0> & cnt/LTimer<13> & cnt/TimerTC; !cnt/LTimer<0>.CLK = C8M; // GCK @@ -70,9 +69,9 @@ GLOBALS | 1 | 2 | C8M MACROCELL | 6 | 0 | cnt/LTimer<13> ATTRIBUTES | 4358976 | 0 -OUTPUTMC | 17 | 3 | 8 | 6 | 0 | 3 | 17 | 3 | 16 | 3 | 15 | 6 | 12 | 6 | 14 | 6 | 9 | 6 | 6 | 6 | 2 | 6 | 17 | 6 | 16 | 6 | 3 | 6 | 15 | 7 | 0 | 3 | 6 | 3 | 0 +OUTPUTMC | 17 | 3 | 13 | 6 | 0 | 3 | 16 | 3 | 15 | 3 | 14 | 6 | 12 | 6 | 14 | 6 | 9 | 6 | 6 | 6 | 2 | 6 | 17 | 6 | 16 | 6 | 3 | 6 | 15 | 3 | 6 | 3 | 12 | 3 | 11 INPUTS | 15 | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/LTimer<5> | cnt/LTimer<4> | cnt/LTimer<6> | cnt/LTimer<7> | cnt/LTimer<9> | cnt/LTimer<10> | cnt/LTimer<11> | cnt/LTimer<8> | cnt/LTimer<12> | cnt/LTimer<13> | cnt/TimerTC -INPUTMC | 15 | 3 | 8 | 3 | 17 | 3 | 16 | 3 | 15 | 6 | 12 | 6 | 14 | 6 | 9 | 6 | 6 | 6 | 2 | 6 | 17 | 6 | 16 | 6 | 3 | 6 | 15 | 6 | 0 | 3 | 2 +INPUTMC | 15 | 3 | 13 | 3 | 16 | 3 | 15 | 3 | 14 | 6 | 12 | 6 | 14 | 6 | 9 | 6 | 6 | 6 | 2 | 6 | 17 | 6 | 16 | 6 | 3 | 6 | 15 | 6 | 0 | 0 | 9 EQ | 7 | cnt/LTimer<13>.T = cnt/LTimer<13> & cnt/TimerTC # cnt/LTimer<0> & cnt/LTimer<1> & cnt/LTimer<2> & @@ -83,24 +82,29 @@ EQ | 7 | cnt/LTimer<13>.CE = cnt/TimerTC; GLOBALS | 1 | 2 | C8M -MACROCELL | 3 | 17 | cnt/LTimer<1> +MACROCELL | 3 | 16 | cnt/LTimer<1> ATTRIBUTES | 8553280 | 0 -OUTPUTMC | 13 | 6 | 0 | 3 | 17 | 3 | 16 | 3 | 15 | 6 | 12 | 6 | 14 | 6 | 9 | 6 | 6 | 6 | 2 | 6 | 17 | 6 | 16 | 6 | 3 | 6 | 15 -INPUTS | 4 | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<13> | cnt/TimerTC -INPUTMC | 4 | 3 | 8 | 3 | 17 | 6 | 0 | 3 | 2 -EQ | 5 | +OUTPUTMC | 14 | 6 | 0 | 3 | 16 | 3 | 15 | 3 | 14 | 6 | 12 | 6 | 14 | 6 | 9 | 6 | 6 | 6 | 2 | 6 | 17 | 6 | 16 | 6 | 3 | 6 | 15 | 3 | 17 +INPUTS | 12 | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<13> | cnt/TimerTC | C8M | nBERR_IOB | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd1 | iobm/IOS_FSM_FFd2 | iobm/IOBERR | iobm/RESrf | iobm/RESrr +INPUTMC | 10 | 3 | 13 | 3 | 16 | 6 | 0 | 0 | 9 | 5 | 4 | 3 | 9 | 5 | 12 | 3 | 17 | 1 | 11 | 1 | 10 +INPUTP | 2 | 35 | 123 +EXPORTS | 1 | 3 | 17 +EQ | 8 | !cnt/LTimer<1>.D = cnt/LTimer<0> & cnt/LTimer<1> # !cnt/LTimer<0> & !cnt/LTimer<1> # cnt/LTimer<13> & cnt/TimerTC; !cnt/LTimer<1>.CLK = C8M; // GCK cnt/LTimer<1>.CE = cnt/TimerTC; + cnt/LTimer<1>.EXP = C8M & nBERR_IOB & iobm/IOS_FSM_FFd3 & + iobm/IOS_FSM_FFd1 & iobm/IOS_FSM_FFd2 & iobm/IOBERR & iobm/RESrf & + iobm/RESrr GLOBALS | 1 | 2 | C8M -MACROCELL | 3 | 16 | cnt/LTimer<2> +MACROCELL | 3 | 15 | cnt/LTimer<2> ATTRIBUTES | 4358976 | 0 -OUTPUTMC | 12 | 6 | 0 | 3 | 16 | 3 | 15 | 6 | 12 | 6 | 14 | 6 | 9 | 6 | 6 | 6 | 2 | 6 | 17 | 6 | 16 | 6 | 3 | 6 | 15 +OUTPUTMC | 12 | 6 | 0 | 3 | 15 | 3 | 14 | 6 | 12 | 6 | 14 | 6 | 9 | 6 | 6 | 6 | 2 | 6 | 17 | 6 | 16 | 6 | 3 | 6 | 15 INPUTS | 5 | cnt/LTimer<0> | cnt/LTimer<13> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/TimerTC -INPUTMC | 5 | 3 | 8 | 6 | 0 | 3 | 17 | 3 | 16 | 3 | 2 +INPUTMC | 5 | 3 | 13 | 6 | 0 | 3 | 16 | 3 | 15 | 0 | 9 EQ | 5 | cnt/LTimer<2>.T = cnt/LTimer<0> & !cnt/LTimer<13> & cnt/LTimer<1> # cnt/LTimer<0> & cnt/LTimer<1> & !cnt/TimerTC @@ -109,11 +113,11 @@ EQ | 5 | cnt/LTimer<2>.CE = cnt/TimerTC; GLOBALS | 1 | 2 | C8M -MACROCELL | 3 | 15 | cnt/LTimer<3> +MACROCELL | 3 | 14 | cnt/LTimer<3> ATTRIBUTES | 4358976 | 0 -OUTPUTMC | 11 | 6 | 0 | 3 | 15 | 6 | 12 | 6 | 14 | 6 | 9 | 6 | 6 | 6 | 2 | 6 | 17 | 6 | 16 | 6 | 3 | 6 | 15 +OUTPUTMC | 11 | 6 | 0 | 3 | 14 | 6 | 12 | 6 | 14 | 6 | 9 | 6 | 6 | 6 | 2 | 6 | 17 | 6 | 16 | 6 | 3 | 6 | 15 INPUTS | 6 | cnt/LTimer<13> | cnt/LTimer<3> | cnt/TimerTC | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<2> -INPUTMC | 6 | 6 | 0 | 3 | 15 | 3 | 2 | 3 | 8 | 3 | 17 | 3 | 16 +INPUTMC | 6 | 6 | 0 | 3 | 14 | 0 | 9 | 3 | 13 | 3 | 16 | 3 | 15 EQ | 7 | cnt/LTimer<3>.T = cnt/LTimer<13> & cnt/LTimer<3> & cnt/TimerTC # cnt/LTimer<0> & !cnt/LTimer<13> & cnt/LTimer<1> & @@ -128,7 +132,7 @@ MACROCELL | 6 | 12 | cnt/LTimer<5> ATTRIBUTES | 4358976 | 0 OUTPUTMC | 9 | 6 | 0 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 2 | 6 | 17 | 6 | 16 | 6 | 3 | 6 | 15 INPUTS | 8 | cnt/LTimer<13> | cnt/LTimer<5> | cnt/TimerTC | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/LTimer<4> -INPUTMC | 8 | 6 | 0 | 6 | 12 | 3 | 2 | 3 | 8 | 3 | 17 | 3 | 16 | 3 | 15 | 6 | 14 +INPUTMC | 8 | 6 | 0 | 6 | 12 | 0 | 9 | 3 | 13 | 3 | 16 | 3 | 15 | 3 | 14 | 6 | 14 EQ | 7 | cnt/LTimer<5>.T = cnt/LTimer<13> & cnt/LTimer<5> & cnt/TimerTC # cnt/LTimer<0> & !cnt/LTimer<13> & cnt/LTimer<1> & @@ -139,49 +143,53 @@ EQ | 7 | cnt/LTimer<5>.CE = cnt/TimerTC; GLOBALS | 1 | 2 | C8M -MACROCELL | 4 | 3 | cs/nOverlay1 -ATTRIBUTES | 8553280 | 0 -OUTPUTMC | 35 | 7 | 15 | 2 | 12 | 2 | 5 | 2 | 9 | 2 | 1 | 2 | 10 | 2 | 8 | 2 | 17 | 4 | 6 | 4 | 11 | 7 | 5 | 7 | 2 | 4 | 8 | 7 | 8 | 7 | 12 | 4 | 15 | 6 | 7 | 7 | 4 | 2 | 16 | 2 | 0 | 2 | 2 | 2 | 7 | 2 | 15 | 4 | 1 | 4 | 2 | 4 | 5 | 4 | 14 | 4 | 16 | 4 | 17 | 6 | 6 | 6 | 8 | 7 | 0 | 7 | 7 | 7 | 10 | 7 | 14 -INPUTS | 3 | cs/nOverlay0 | nAS_FSB | fsb/ASrf -INPUTMC | 2 | 4 | 7 | 1 | 14 -INPUTP | 1 | 54 -EQ | 3 | - cs/nOverlay1.D = cs/nOverlay0; - cs/nOverlay1.CLK = FCLK; // GCK - cs/nOverlay1.CE = nAS_FSB & !fsb/ASrf; -GLOBALS | 1 | 2 | FCLK - -MACROCELL | 7 | 16 | iobs/Once -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 14 | 7 | 16 | 2 | 14 | 2 | 11 | 2 | 5 | 7 | 11 | 7 | 6 | 7 | 9 | 7 | 13 | 2 | 13 | 2 | 15 | 2 | 16 | 7 | 0 | 7 | 15 | 7 | 17 -INPUTS | 10 | A_FSB<22> | iobs/Once | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | nAS_FSB | fsb/ASrf | A_FSB<23> | A_FSB<20> | EXP27_.EXP | EXP28_.EXP -INPUTMC | 6 | 7 | 16 | 7 | 6 | 1 | 15 | 1 | 14 | 7 | 15 | 7 | 17 -INPUTP | 4 | 30 | 54 | 36 | 28 -IMPORTS | 2 | 7 | 15 | 7 | 17 -EQ | 24 | - !iobs/Once.D = nAS_FSB & !fsb/ASrf - # A_FSB<23> & !iobs/Once & iobs/PS_FSM_FFd2 - # !A_FSB<23> & !A_FSB<20> & !iobs/Once - # A_FSB<22> & !iobs/Once & iobs/PS_FSM_FFd2 - # A_FSB<22> & !iobs/Once & iobs/PS_FSM_FFd1 -;Imported pterms FB8_16 - # A_FSB<23> & !iobs/Once & iobs/PS_FSM_FFd1 - # !iobs/Once & iobs/PS_FSM_FFd2 & !nADoutLE1 - # !iobs/Once & iobs/PS_FSM_FFd1 & !nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & !A_FSB<21> & !iobs/Once - # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay1 & - !iobs/Once +MACROCELL | 7 | 0 | iobs/Once +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 16 | 7 | 0 | 2 | 14 | 2 | 11 | 0 | 16 | 7 | 10 | 7 | 13 | 7 | 15 | 2 | 5 | 2 | 4 | 2 | 13 | 2 | 15 | 2 | 16 | 7 | 1 | 7 | 11 | 7 | 12 | 7 | 17 +INPUTS | 11 | iobs/Once | nAS_FSB | fsb/ASrf | A_FSB<23> | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB_19_IBUF$BUF0.EXP | EXP29_.EXP +INPUTMC | 6 | 7 | 0 | 0 | 6 | 7 | 13 | 7 | 6 | 7 | 1 | 7 | 17 +INPUTP | 5 | 54 | 36 | 30 | 29 | 28 +IMPORTS | 2 | 7 | 1 | 7 | 17 +EQ | 40 | + iobs/Once.T = iobs/Once & nAS_FSB & !fsb/ASrf + # A_FSB<23> & !iobs/Once & !nAS_FSB & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 + # A_FSB<23> & !iobs/Once & !iobs/PS_FSM_FFd2 & + !iobs/PS_FSM_FFd1 & fsb/ASrf + # A_FSB<22> & A_FSB<21> & !iobs/Once & !nAS_FSB & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 + # A_FSB<22> & A_FSB<20> & !iobs/Once & !nAS_FSB & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 +;Imported pterms FB8_2 + # A_FSB<13> & A_FSB<21> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & !iobs/Once & + cs/nOverlay1 & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf + # A_FSB<14> & !A_FSB<23> & !A_FSB<22> & A_FSB<21> & + A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + !nWE_FSB & !iobs/Once & cs/nOverlay1 & !nAS_FSB & nADoutLE1 + # A_FSB<14> & !A_FSB<23> & !A_FSB<22> & A_FSB<21> & + A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + !nWE_FSB & !iobs/Once & cs/nOverlay1 & fsb/ASrf & nADoutLE1 + # A_FSB<13> & !A_FSB<23> & !A_FSB<22> & A_FSB<21> & + A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + !nWE_FSB & !iobs/Once & cs/nOverlay1 & !nAS_FSB & nADoutLE1 + # A_FSB<13> & !A_FSB<23> & !A_FSB<22> & A_FSB<21> & + A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + !nWE_FSB & !iobs/Once & cs/nOverlay1 & fsb/ASrf & nADoutLE1 ;Imported pterms FB8_18 - # !A_FSB<23> & A_FSB<21> & !A_FSB<19> & !iobs/Once - # !A_FSB<23> & A_FSB<21> & !A_FSB<18> & !iobs/Once - # !A_FSB<23> & A_FSB<21> & !A_FSB<17> & !iobs/Once - # !A_FSB<23> & A_FSB<21> & !A_FSB<16> & !iobs/Once - # !A_FSB<23> & A_FSB<21> & nWE_FSB & !iobs/Once -;Imported pterms FB8_1 - # !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & A_FSB<21> & - !iobs/Once - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - cs/nOverlay1 & !iobs/Once; + # A_FSB<22> & A_FSB<21> & !iobs/Once & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf + # A_FSB<22> & A_FSB<20> & !iobs/Once & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf + # A_FSB<14> & A_FSB<21> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & !iobs/Once & + cs/nOverlay1 & !nAS_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 + # A_FSB<14> & A_FSB<21> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & !iobs/Once & + cs/nOverlay1 & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf + # A_FSB<13> & A_FSB<21> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & !iobs/Once & + cs/nOverlay1 & !nAS_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1; iobs/Once.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK @@ -189,7 +197,7 @@ MACROCELL | 6 | 14 | cnt/LTimer<4> ATTRIBUTES | 4358976 | 0 OUTPUTMC | 10 | 6 | 0 | 6 | 12 | 6 | 14 | 6 | 9 | 6 | 6 | 6 | 2 | 6 | 17 | 6 | 16 | 6 | 3 | 6 | 15 INPUTS | 7 | cnt/LTimer<13> | cnt/LTimer<4> | cnt/TimerTC | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/LTimer<3> -INPUTMC | 7 | 6 | 0 | 6 | 14 | 3 | 2 | 3 | 8 | 3 | 17 | 3 | 16 | 3 | 15 +INPUTMC | 7 | 6 | 0 | 6 | 14 | 0 | 9 | 3 | 13 | 3 | 16 | 3 | 15 | 3 | 14 EQ | 7 | cnt/LTimer<4>.T = cnt/LTimer<13> & cnt/LTimer<4> & cnt/TimerTC # cnt/LTimer<0> & !cnt/LTimer<13> & cnt/LTimer<1> & @@ -204,7 +212,7 @@ MACROCELL | 6 | 9 | cnt/LTimer<6> ATTRIBUTES | 4358976 | 0 OUTPUTMC | 8 | 6 | 0 | 6 | 9 | 6 | 6 | 6 | 2 | 6 | 17 | 6 | 16 | 6 | 3 | 6 | 15 INPUTS | 9 | cnt/LTimer<13> | cnt/LTimer<6> | cnt/TimerTC | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/LTimer<5> | cnt/LTimer<4> -INPUTMC | 9 | 6 | 0 | 6 | 9 | 3 | 2 | 3 | 8 | 3 | 17 | 3 | 16 | 3 | 15 | 6 | 12 | 6 | 14 +INPUTMC | 9 | 6 | 0 | 6 | 9 | 0 | 9 | 3 | 13 | 3 | 16 | 3 | 15 | 3 | 14 | 6 | 12 | 6 | 14 EQ | 7 | cnt/LTimer<6>.T = cnt/LTimer<13> & cnt/LTimer<6> & cnt/TimerTC # cnt/LTimer<0> & !cnt/LTimer<13> & cnt/LTimer<1> & @@ -219,7 +227,7 @@ MACROCELL | 6 | 6 | cnt/LTimer<7> ATTRIBUTES | 4358976 | 0 OUTPUTMC | 8 | 6 | 0 | 6 | 6 | 6 | 2 | 6 | 17 | 6 | 16 | 6 | 3 | 6 | 15 | 6 | 7 INPUTS | 15 | cnt/LTimer<13> | cnt/LTimer<7> | cnt/TimerTC | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/LTimer<5> | cnt/LTimer<4> | cnt/LTimer<6> | A_FSB<9> | A_FSB<23> | A_FSB<22> | cs/nOverlay1 | ram/RASEL -INPUTMC | 12 | 6 | 0 | 6 | 6 | 3 | 2 | 3 | 8 | 3 | 17 | 3 | 16 | 3 | 15 | 6 | 12 | 6 | 14 | 6 | 9 | 4 | 3 | 4 | 0 +INPUTMC | 12 | 6 | 0 | 6 | 6 | 0 | 9 | 3 | 13 | 3 | 16 | 3 | 15 | 3 | 14 | 6 | 12 | 6 | 14 | 6 | 9 | 4 | 3 | 4 | 0 INPUTP | 3 | 12 | 36 | 30 EXPORTS | 1 | 6 | 7 EQ | 11 | @@ -240,7 +248,7 @@ MACROCELL | 6 | 2 | cnt/LTimer<9> ATTRIBUTES | 4358976 | 0 OUTPUTMC | 5 | 6 | 0 | 6 | 2 | 6 | 17 | 6 | 16 | 6 | 15 INPUTS | 12 | cnt/LTimer<13> | cnt/LTimer<9> | cnt/TimerTC | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/LTimer<5> | cnt/LTimer<4> | cnt/LTimer<6> | cnt/LTimer<7> | cnt/LTimer<8> -INPUTMC | 12 | 6 | 0 | 6 | 2 | 3 | 2 | 3 | 8 | 3 | 17 | 3 | 16 | 3 | 15 | 6 | 12 | 6 | 14 | 6 | 9 | 6 | 6 | 6 | 3 +INPUTMC | 12 | 6 | 0 | 6 | 2 | 0 | 9 | 3 | 13 | 3 | 16 | 3 | 15 | 3 | 14 | 6 | 12 | 6 | 14 | 6 | 9 | 6 | 6 | 6 | 3 EQ | 9 | cnt/LTimer<9>.T = cnt/LTimer<13> & cnt/LTimer<9> & cnt/TimerTC # cnt/LTimer<0> & !cnt/LTimer<13> & cnt/LTimer<1> & @@ -253,11 +261,23 @@ EQ | 9 | cnt/LTimer<9>.CE = cnt/TimerTC; GLOBALS | 1 | 2 | C8M +MACROCELL | 4 | 3 | cs/nOverlay1 +ATTRIBUTES | 8553280 | 0 +OUTPUTMC | 27 | 6 | 8 | 2 | 1 | 4 | 6 | 2 | 7 | 2 | 16 | 2 | 10 | 2 | 8 | 2 | 17 | 7 | 7 | 4 | 11 | 7 | 11 | 7 | 10 | 4 | 8 | 7 | 14 | 2 | 4 | 4 | 15 | 6 | 7 | 7 | 4 | 2 | 15 | 4 | 1 | 4 | 2 | 4 | 14 | 4 | 16 | 4 | 17 | 6 | 6 | 7 | 1 | 7 | 17 +INPUTS | 3 | cs/nOverlay0 | nAS_FSB | fsb/ASrf +INPUTMC | 2 | 4 | 7 | 0 | 6 +INPUTP | 1 | 54 +EQ | 3 | + cs/nOverlay1.D = cs/nOverlay0; + cs/nOverlay1.CLK = FCLK; // GCK + cs/nOverlay1.CE = nAS_FSB & !fsb/ASrf; +GLOBALS | 1 | 2 | FCLK + MACROCELL | 6 | 17 | cnt/LTimer<10> ATTRIBUTES | 4358976 | 0 OUTPUTMC | 4 | 6 | 0 | 6 | 17 | 6 | 16 | 6 | 15 INPUTS | 13 | cnt/LTimer<13> | cnt/LTimer<10> | cnt/TimerTC | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/LTimer<5> | cnt/LTimer<4> | cnt/LTimer<6> | cnt/LTimer<7> | cnt/LTimer<9> | cnt/LTimer<8> -INPUTMC | 13 | 6 | 0 | 6 | 17 | 3 | 2 | 3 | 8 | 3 | 17 | 3 | 16 | 3 | 15 | 6 | 12 | 6 | 14 | 6 | 9 | 6 | 6 | 6 | 2 | 6 | 3 +INPUTMC | 13 | 6 | 0 | 6 | 17 | 0 | 9 | 3 | 13 | 3 | 16 | 3 | 15 | 3 | 14 | 6 | 12 | 6 | 14 | 6 | 9 | 6 | 6 | 6 | 2 | 6 | 3 EQ | 9 | cnt/LTimer<10>.T = cnt/LTimer<13> & cnt/LTimer<10> & cnt/TimerTC # cnt/LTimer<0> & !cnt/LTimer<13> & cnt/LTimer<1> & @@ -274,7 +294,7 @@ MACROCELL | 6 | 16 | cnt/LTimer<11> ATTRIBUTES | 4358976 | 0 OUTPUTMC | 3 | 6 | 0 | 6 | 16 | 6 | 15 INPUTS | 14 | cnt/LTimer<13> | cnt/LTimer<11> | cnt/TimerTC | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/LTimer<5> | cnt/LTimer<4> | cnt/LTimer<6> | cnt/LTimer<7> | cnt/LTimer<9> | cnt/LTimer<10> | cnt/LTimer<8> -INPUTMC | 14 | 6 | 0 | 6 | 16 | 3 | 2 | 3 | 8 | 3 | 17 | 3 | 16 | 3 | 15 | 6 | 12 | 6 | 14 | 6 | 9 | 6 | 6 | 6 | 2 | 6 | 17 | 6 | 3 +INPUTMC | 14 | 6 | 0 | 6 | 16 | 0 | 9 | 3 | 13 | 3 | 16 | 3 | 15 | 3 | 14 | 6 | 12 | 6 | 14 | 6 | 9 | 6 | 6 | 6 | 2 | 6 | 17 | 6 | 3 EQ | 11 | cnt/LTimer<11>.T = cnt/LTimer<13> & cnt/LTimer<11> & cnt/TimerTC # cnt/LTimer<0> & !cnt/LTimer<13> & cnt/LTimer<1> & @@ -293,7 +313,7 @@ MACROCELL | 6 | 3 | cnt/LTimer<8> ATTRIBUTES | 4358976 | 0 OUTPUTMC | 6 | 6 | 0 | 6 | 2 | 6 | 17 | 6 | 16 | 6 | 3 | 6 | 15 INPUTS | 11 | cnt/LTimer<13> | cnt/LTimer<8> | cnt/TimerTC | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/LTimer<5> | cnt/LTimer<4> | cnt/LTimer<6> | cnt/LTimer<7> -INPUTMC | 11 | 6 | 0 | 6 | 3 | 3 | 2 | 3 | 8 | 3 | 17 | 3 | 16 | 3 | 15 | 6 | 12 | 6 | 14 | 6 | 9 | 6 | 6 +INPUTMC | 11 | 6 | 0 | 6 | 3 | 0 | 9 | 3 | 13 | 3 | 16 | 3 | 15 | 3 | 14 | 6 | 12 | 6 | 14 | 6 | 9 | 6 | 6 EQ | 9 | cnt/LTimer<8>.T = cnt/LTimer<13> & cnt/LTimer<8> & cnt/TimerTC # cnt/LTimer<0> & !cnt/LTimer<13> & cnt/LTimer<1> & @@ -310,7 +330,7 @@ MACROCELL | 6 | 15 | cnt/LTimer<12> ATTRIBUTES | 4358976 | 0 OUTPUTMC | 2 | 6 | 0 | 6 | 15 INPUTS | 15 | cnt/LTimer<13> | cnt/LTimer<12> | cnt/TimerTC | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/LTimer<5> | cnt/LTimer<4> | cnt/LTimer<6> | cnt/LTimer<7> | cnt/LTimer<9> | cnt/LTimer<10> | cnt/LTimer<11> | cnt/LTimer<8> -INPUTMC | 15 | 6 | 0 | 6 | 15 | 3 | 2 | 3 | 8 | 3 | 17 | 3 | 16 | 3 | 15 | 6 | 12 | 6 | 14 | 6 | 9 | 6 | 6 | 6 | 2 | 6 | 17 | 6 | 16 | 6 | 3 +INPUTMC | 15 | 6 | 0 | 6 | 15 | 0 | 9 | 3 | 13 | 3 | 16 | 3 | 15 | 3 | 14 | 6 | 12 | 6 | 14 | 6 | 9 | 6 | 6 | 6 | 2 | 6 | 17 | 6 | 16 | 6 | 3 EQ | 11 | cnt/LTimer<12>.T = cnt/LTimer<13> & cnt/LTimer<12> & cnt/TimerTC # cnt/LTimer<0> & !cnt/LTimer<13> & cnt/LTimer<1> & @@ -326,80 +346,61 @@ EQ | 11 | GLOBALS | 1 | 2 | C8M MACROCELL | 2 | 14 | iobs/IORW0 -ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 7 | 5 | 8 | 5 | 10 | 2 | 14 | 5 | 2 | 2 | 13 | 2 | 15 | 2 | 16 -INPUTS | 12 | iobs/IORW0 | iobs/IORW1 | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | nADoutLE1 | A_FSB<23> | nWE_FSB | iobs/Once | nAS_FSB | fsb/ASrf | EXP19_.EXP | EXP20_.EXP -INPUTMC | 9 | 2 | 14 | 2 | 11 | 7 | 6 | 1 | 15 | 5 | 13 | 7 | 16 | 1 | 14 | 2 | 13 | 2 | 15 -INPUTP | 3 | 36 | 47 | 54 +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 5 | 5 | 8 | 5 | 10 | 2 | 14 | 5 | 2 | 2 | 13 +INPUTS | 11 | iobs/IORW0 | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | iobs/Once | nADoutLE1 | A_FSB<23> | A_FSB<22> | nAS_FSB | fsb/ASrf | EXP21_.EXP | EXP22_.EXP +INPUTMC | 8 | 2 | 14 | 7 | 13 | 7 | 6 | 7 | 0 | 5 | 13 | 0 | 6 | 2 | 13 | 2 | 15 +INPUTP | 3 | 36 | 30 | 54 IMPORTS | 2 | 2 | 13 | 2 | 15 -EQ | 60 | - iobs/IORW0.T = iobs/IORW0 & !iobs/IORW1 & !iobs/PS_FSM_FFd2 & - !iobs/PS_FSM_FFd1 & !nADoutLE1 - # !iobs/IORW0 & iobs/IORW1 & !iobs/PS_FSM_FFd2 & - !iobs/PS_FSM_FFd1 & !nADoutLE1 - # A_FSB<23> & nWE_FSB & !iobs/Once & !iobs/IORW0 & - !nAS_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 - # A_FSB<23> & !nWE_FSB & !iobs/Once & iobs/IORW0 & - !nAS_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 - # A_FSB<23> & !nWE_FSB & !iobs/Once & iobs/IORW0 & - !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf & nADoutLE1 +EQ | 41 | + !iobs/IORW0.D = !iobs/IORW0 & iobs/PS_FSM_FFd2 + # !iobs/IORW0 & iobs/PS_FSM_FFd1 + # iobs/Once & !iobs/IORW0 & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & !iobs/IORW0 & nADoutLE1 + # !iobs/IORW0 & nAS_FSB & !fsb/ASrf & nADoutLE1 ;Imported pterms FB3_14 - # A_FSB<23> & nWE_FSB & !iobs/Once & !iobs/IORW0 & + # !iobs/IORW1 & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & + !nADoutLE1 + # !A_FSB<23> & !A_FSB<21> & !A_FSB<20> & !iobs/IORW0 & + nADoutLE1 + # A_FSB<23> & !nWE_FSB & !iobs/Once & !nAS_FSB & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 + # A_FSB<23> & !nWE_FSB & !iobs/Once & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf & nADoutLE1 - # A_FSB<22> & !A_FSB<21> & A_FSB<20> & nWE_FSB & - !iobs/Once & !iobs/IORW0 & !nAS_FSB & !iobs/PS_FSM_FFd2 & - !iobs/PS_FSM_FFd1 & nADoutLE1 - # A_FSB<22> & !A_FSB<21> & A_FSB<20> & nWE_FSB & - !iobs/Once & !iobs/IORW0 & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & - fsb/ASrf & nADoutLE1 - # A_FSB<22> & !A_FSB<21> & A_FSB<20> & !nWE_FSB & - !iobs/Once & iobs/IORW0 & !nAS_FSB & !iobs/PS_FSM_FFd2 & - !iobs/PS_FSM_FFd1 & nADoutLE1 - # A_FSB<22> & !A_FSB<21> & A_FSB<20> & !nWE_FSB & - !iobs/Once & iobs/IORW0 & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & - fsb/ASrf & nADoutLE1 + # A_FSB<22> & A_FSB<21> & !nWE_FSB & !iobs/Once & + !nAS_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 ;Imported pterms FB3_16 - # A_FSB<14> & A_FSB<22> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & !cs/nOverlay1 & - !iobs/Once & iobs/IORW0 & !nAS_FSB & !iobs/PS_FSM_FFd2 & - !iobs/PS_FSM_FFd1 & nADoutLE1 - # A_FSB<14> & A_FSB<22> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & !cs/nOverlay1 & - !iobs/Once & iobs/IORW0 & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & - fsb/ASrf & nADoutLE1 - # A_FSB<13> & A_FSB<22> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & !cs/nOverlay1 & - !iobs/Once & iobs/IORW0 & !nAS_FSB & !iobs/PS_FSM_FFd2 & - !iobs/PS_FSM_FFd1 & nADoutLE1 - # A_FSB<13> & A_FSB<22> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & !cs/nOverlay1 & - !iobs/Once & iobs/IORW0 & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & - fsb/ASrf & nADoutLE1 - # A_FSB<14> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & - cs/nOverlay1 & !iobs/Once & iobs/IORW0 & !nAS_FSB & - !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 + # A_FSB<22> & A_FSB<21> & !nWE_FSB & !iobs/Once & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf & nADoutLE1 + # A_FSB<22> & A_FSB<20> & !nWE_FSB & !iobs/Once & + !nAS_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 + # A_FSB<22> & A_FSB<20> & !nWE_FSB & !iobs/Once & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf & nADoutLE1 + # A_FSB<14> & A_FSB<21> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & !iobs/Once & + cs/nOverlay1 & !nAS_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & + nADoutLE1 + # A_FSB<13> & A_FSB<21> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & !iobs/Once & + cs/nOverlay1 & !nAS_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & + nADoutLE1 ;Imported pterms FB3_17 - # A_FSB<14> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & - cs/nOverlay1 & !iobs/Once & iobs/IORW0 & !iobs/PS_FSM_FFd2 & - !iobs/PS_FSM_FFd1 & fsb/ASrf & nADoutLE1 - # A_FSB<13> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & - cs/nOverlay1 & !iobs/Once & iobs/IORW0 & !nAS_FSB & - !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 - # A_FSB<13> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & - cs/nOverlay1 & !iobs/Once & iobs/IORW0 & !iobs/PS_FSM_FFd2 & - !iobs/PS_FSM_FFd1 & fsb/ASrf & nADoutLE1; + # A_FSB<14> & A_FSB<21> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & !iobs/Once & + cs/nOverlay1 & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf & + nADoutLE1 + # A_FSB<13> & A_FSB<21> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & !iobs/Once & + cs/nOverlay1 & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf & + nADoutLE1; iobs/IORW0.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK MACROCELL | 4 | 2 | ram/RefDone ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 4 | 4 | 2 | 1 | 7 | 1 | 9 | 4 | 1 +OUTPUTMC | 4 | 4 | 2 | 0 | 1 | 0 | 3 | 4 | 1 INPUTS | 9 | ram/RefDone | ram/RefReqSync | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd2 | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | cs/nOverlay1 -INPUTMC | 5 | 4 | 2 | 1 | 8 | 4 | 6 | 4 | 12 | 4 | 3 +INPUTMC | 5 | 4 | 2 | 0 | 2 | 7 | 8 | 4 | 12 | 4 | 3 INPUTP | 4 | 36 | 30 | 29 | 28 EXPORTS | 1 | 4 | 1 EQ | 7 | @@ -416,7 +417,7 @@ MACROCELL | 4 | 7 | cs/nOverlay0 ATTRIBUTES | 4358928 | 0 OUTPUTMC | 3 | 4 | 3 | 4 | 7 | 4 | 8 INPUTS | 10 | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | cs/nOverlay0 | nAS_FSB | fsb/ASrf | nRES.PIN | ram/RASEL | A_FSB<5> -INPUTMC | 3 | 4 | 7 | 1 | 14 | 4 | 0 +INPUTMC | 3 | 4 | 7 | 0 | 6 | 4 | 0 INPUTP | 7 | 36 | 30 | 29 | 28 | 54 | 145 | 4 EXPORTS | 1 | 4 | 8 EQ | 7 | @@ -429,96 +430,83 @@ EQ | 7 | cs/nOverlay0.EXP = ram/RASEL & A_FSB<5> GLOBALS | 1 | 2 | FCLK -MACROCELL | 2 | 5 | fsb/Ready0r +MACROCELL | 4 | 6 | fsb/Ready0r ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 5 | 2 | 5 | 2 | 1 | 2 | 7 | 2 | 0 | 2 | 6 -INPUTS | 15 | A_FSB<23> | A_FSB<22> | A_FSB<21> | cs/nOverlay1 | fsb/Ready0r | ram/RAMReady | iobs/Once | iobs/IOReady | iobs/PS_FSM_FFd2 | iobs/IOACTr | iobm/IOBERR | nADoutLE1 | nAS_FSB | fsb/ASrf | iobs/Clear1.EXP -INPUTMC | 11 | 4 | 3 | 2 | 5 | 4 | 16 | 7 | 16 | 2 | 6 | 7 | 6 | 1 | 12 | 5 | 17 | 5 | 13 | 1 | 14 | 2 | 4 +OUTPUTMC | 4 | 4 | 6 | 2 | 8 | 2 | 7 | 2 | 17 +INPUTS | 8 | A_FSB<23> | A_FSB<22> | A_FSB<21> | cs/nOverlay1 | fsb/Ready0r | ram/RAMReady | nAS_FSB | fsb/ASrf +INPUTMC | 4 | 4 | 3 | 4 | 6 | 4 | 16 | 0 | 6 INPUTP | 4 | 36 | 30 | 29 | 54 -EXPORTS | 1 | 2 | 6 -IMPORTS | 1 | 2 | 4 -EQ | 13 | - !fsb/Ready0r.D = !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & +EQ | 6 | + !fsb/Ready0r.D = nAS_FSB & !fsb/ASrf + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !fsb/Ready0r & !ram/RAMReady # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - !cs/nOverlay1 & !fsb/Ready0r & !ram/RAMReady -;Imported pterms FB3_5 - # nAS_FSB & !fsb/ASrf; + !cs/nOverlay1 & !fsb/Ready0r & !ram/RAMReady; fsb/Ready0r.CLK = FCLK; // GCK - fsb/Ready0r.EXP = iobs/Once & iobs/IOReady & !iobs/PS_FSM_FFd2 & - !iobs/IOACTr & iobm/IOBERR & nADoutLE1 - # iobs/Once & !iobs/IOReady & !nAS_FSB & - !iobs/PS_FSM_FFd2 & !iobs/IOACTr & !iobm/IOBERR & nADoutLE1 - # iobs/Once & !iobs/IOReady & !iobs/PS_FSM_FFd2 & - !iobs/IOACTr & !iobm/IOBERR & fsb/ASrf & nADoutLE1 GLOBALS | 1 | 2 | FCLK MACROCELL | 2 | 9 | fsb/Ready1r ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 9 | 2 | 9 | 2 | 1 | 2 | 8 | 2 | 0 | 2 | 2 | 2 | 6 | 2 | 7 | 2 | 17 | 2 | 10 -INPUTS | 17 | nAS_FSB | fsb/ASrf | A_FSB<23> | fsb/Ready1r | iobs/IOReady | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<14> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | nWE_FSB | cs/nOverlay1 | A_FSB<13> | fsb/nDTACK.EXP -INPUTMC | 5 | 1 | 14 | 2 | 9 | 2 | 6 | 4 | 3 | 2 | 8 -INPUTP | 12 | 54 | 36 | 30 | 29 | 28 | 19 | 26 | 24 | 23 | 22 | 47 | 18 +OUTPUTMC | 9 | 2 | 9 | 2 | 0 | 2 | 8 | 2 | 1 | 2 | 2 | 2 | 6 | 2 | 7 | 2 | 17 | 2 | 10 +INPUTS | 11 | nAS_FSB | fsb/ASrf | A_FSB<23> | fsb/Ready1r | iobs/IOReady | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<14> | A_FSB<13> | fsb/nDTACK.EXP +INPUTMC | 4 | 0 | 6 | 2 | 9 | 0 | 16 | 2 | 8 +INPUTP | 7 | 54 | 36 | 30 | 29 | 28 | 19 | 18 EXPORTS | 1 | 2 | 10 IMPORTS | 1 | 2 | 8 -EQ | 19 | +EQ | 15 | !fsb/Ready1r.D = nAS_FSB & !fsb/ASrf # A_FSB<23> & !fsb/Ready1r & !iobs/IOReady - # A_FSB<22> & !A_FSB<21> & A_FSB<20> & !fsb/Ready1r & + # A_FSB<22> & A_FSB<21> & !fsb/Ready1r & + !iobs/IOReady + # A_FSB<22> & A_FSB<20> & !fsb/Ready1r & !iobs/IOReady - # A_FSB<14> & A_FSB<22> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & !cs/nOverlay1 & - !fsb/Ready1r & !iobs/IOReady ;Imported pterms FB3_9 - # A_FSB<13> & A_FSB<22> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & !cs/nOverlay1 & - !fsb/Ready1r & !iobs/IOReady - # A_FSB<14> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & - cs/nOverlay1 & !fsb/Ready1r & !iobs/IOReady & !nADoutLE1 - # A_FSB<13> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & - cs/nOverlay1 & !fsb/Ready1r & !iobs/IOReady & !nADoutLE1; + # A_FSB<14> & A_FSB<21> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & cs/nOverlay1 & + !fsb/Ready1r & !iobs/IOReady & !nADoutLE1 + # A_FSB<13> & A_FSB<21> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & cs/nOverlay1 & + !fsb/Ready1r & !iobs/IOReady & !nADoutLE1; fsb/Ready1r.CLK = FCLK; // GCK - fsb/Ready1r.EXP = !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & A_FSB<21> + fsb/Ready1r.EXP = !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & !A_FSB<22> GLOBALS | 1 | 2 | FCLK -MACROCELL | 2 | 1 | fsb/VPA +MACROCELL | 2 | 0 | fsb/VPA ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 5 | 2 | 1 | 3 | 10 | 2 | 0 | 2 | 2 | 2 | 17 -INPUTS | 14 | A_FSB<23> | fsb/Ready1r | fsb/VPA | iobs/IOReady | nAS_FSB | fsb/ASrf | A_FSB<22> | A_FSB<21> | A_FSB<20> | cs/nOverlay1 | fsb/Ready0r | ram/RAMReady | EXP14_.EXP | EXP15_.EXP -INPUTMC | 9 | 2 | 9 | 2 | 1 | 2 | 6 | 1 | 14 | 4 | 3 | 2 | 5 | 4 | 16 | 2 | 0 | 2 | 2 +OUTPUTMC | 4 | 2 | 0 | 3 | 10 | 2 | 1 | 2 | 17 +INPUTS | 11 | A_FSB<23> | fsb/Ready1r | fsb/VPA | iobs/IOReady | nAS_FSB | fsb/ASrf | A_FSB<22> | A_FSB<21> | A_FSB<20> | EXP14_.EXP | EXP23_.EXP +INPUTMC | 6 | 2 | 9 | 2 | 0 | 0 | 16 | 0 | 6 | 2 | 1 | 2 | 17 INPUTP | 5 | 36 | 54 | 30 | 29 | 28 -IMPORTS | 2 | 2 | 0 | 2 | 2 -EQ | 64 | +IMPORTS | 2 | 2 | 1 | 2 | 17 +EQ | 52 | fsb/VPA.D = A_FSB<23> & !fsb/Ready1r & fsb/VPA & !iobs/IOReady & !nAS_FSB # A_FSB<23> & !fsb/Ready1r & fsb/VPA & !iobs/IOReady & fsb/ASrf - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & - !fsb/Ready0r & fsb/VPA & !nAS_FSB & !ram/RAMReady - # A_FSB<22> & !A_FSB<21> & A_FSB<20> & !fsb/Ready1r & - fsb/VPA & !iobs/IOReady & !nAS_FSB - # A_FSB<22> & !A_FSB<21> & A_FSB<20> & !fsb/Ready1r & - fsb/VPA & !iobs/IOReady & fsb/ASrf -;Imported pterms FB3_1 - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & - !fsb/Ready0r & fsb/VPA & fsb/ASrf & !ram/RAMReady - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - !cs/nOverlay1 & !fsb/Ready0r & fsb/VPA & !nAS_FSB & !ram/RAMReady - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - !cs/nOverlay1 & !fsb/Ready0r & fsb/VPA & fsb/ASrf & !ram/RAMReady - # A_FSB<14> & A_FSB<22> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & !cs/nOverlay1 & - !fsb/Ready1r & fsb/VPA & !iobs/IOReady & !nAS_FSB - # A_FSB<13> & A_FSB<22> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & !cs/nOverlay1 & - !fsb/Ready1r & fsb/VPA & !iobs/IOReady & !nAS_FSB -;Imported pterms FB3_18 - # A_FSB<14> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & - cs/nOverlay1 & !fsb/Ready1r & fsb/VPA & !iobs/IOReady & fsb/ASrf & - !nADoutLE1 + # A_FSB<22> & A_FSB<21> & !fsb/Ready1r & fsb/VPA & + !iobs/IOReady & !nAS_FSB + # A_FSB<22> & A_FSB<21> & !fsb/Ready1r & fsb/VPA & + !iobs/IOReady & fsb/ASrf + # A_FSB<22> & A_FSB<20> & !fsb/Ready1r & fsb/VPA & + !iobs/IOReady & !nAS_FSB +;Imported pterms FB3_2 + # A_FSB<14> & A_FSB<21> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & cs/nOverlay1 & + !fsb/Ready1r & fsb/VPA & !iobs/IOReady & !nAS_FSB & !nADoutLE1 + # A_FSB<14> & A_FSB<21> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & cs/nOverlay1 & + !fsb/Ready1r & fsb/VPA & !iobs/IOReady & fsb/ASrf & !nADoutLE1 + # A_FSB<13> & A_FSB<21> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & cs/nOverlay1 & + !fsb/Ready1r & fsb/VPA & !iobs/IOReady & !nAS_FSB & !nADoutLE1 + # A_FSB<13> & A_FSB<21> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & cs/nOverlay1 & + !fsb/Ready1r & fsb/VPA & !iobs/IOReady & fsb/ASrf & !nADoutLE1 + # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & + A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & + A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & iobs/IOReady & !nAS_FSB +;Imported pterms FB3_3 # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & @@ -530,50 +518,26 @@ EQ | 64 | # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & iobs/IOReady & !nAS_FSB - # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & - A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & - A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & iobs/IOReady & fsb/ASrf -;Imported pterms FB3_3 - # A_FSB<14> & A_FSB<22> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & !cs/nOverlay1 & - !fsb/Ready1r & fsb/VPA & !iobs/IOReady & fsb/ASrf - # A_FSB<13> & A_FSB<22> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & !cs/nOverlay1 & - !fsb/Ready1r & fsb/VPA & !iobs/IOReady & fsb/ASrf - # A_FSB<14> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & - cs/nOverlay1 & !fsb/Ready1r & fsb/VPA & !iobs/IOReady & !nAS_FSB & - !nADoutLE1 - # A_FSB<13> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & - cs/nOverlay1 & !fsb/Ready1r & fsb/VPA & !iobs/IOReady & !nAS_FSB & - !nADoutLE1 - # A_FSB<13> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & - cs/nOverlay1 & !fsb/Ready1r & fsb/VPA & !iobs/IOReady & fsb/ASrf & - !nADoutLE1; +;Imported pterms FB3_18 + # A_FSB<22> & A_FSB<20> & !fsb/Ready1r & fsb/VPA & + !iobs/IOReady & fsb/ASrf + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & + !fsb/Ready0r & fsb/VPA & !nAS_FSB & !ram/RAMReady + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & + !fsb/Ready0r & fsb/VPA & fsb/ASrf & !ram/RAMReady + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & !fsb/Ready0r & fsb/VPA & !nAS_FSB & !ram/RAMReady + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & !fsb/Ready0r & fsb/VPA & fsb/ASrf & !ram/RAMReady; fsb/VPA.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 0 | 14 | iobm/BG -ATTRIBUTES | 8553280 | 0 -OUTPUTMC | 1 | 0 | 17 -INPUTS | 2 | nBG_IOB | iobm/nASr -INPUTMC | 1 | 0 | 0 -INPUTP | 1 | 117 -EQ | 3 | - iobm/BG.D = !nBG_IOB; - iobm/BG.CLK = C16M; // GCK - iobm/BG.CE = iobm/nASr; -GLOBALS | 1 | 2 | C16M - -MACROCELL | 0 | 16 | iobs/IOL0 +MACROCELL | 0 | 11 | iobs/IOL0 ATTRIBUTES | 8553280 | 0 OUTPUTMC | 1 | 5 | 8 INPUTS | 5 | iobs/IOL1 | nADoutLE1 | nLDS_FSB | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 -INPUTMC | 4 | 1 | 17 | 5 | 13 | 7 | 6 | 1 | 15 +INPUTMC | 4 | 0 | 8 | 5 | 13 | 7 | 13 | 7 | 6 INPUTP | 1 | 49 EQ | 4 | iobs/IOL0.D = !nLDS_FSB & nADoutLE1 @@ -582,11 +546,11 @@ EQ | 4 | iobs/IOL0.CE = iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1; GLOBALS | 1 | 2 | FCLK -MACROCELL | 1 | 17 | iobs/IOL1 +MACROCELL | 0 | 8 | iobs/IOL1 ATTRIBUTES | 8553280 | 0 -OUTPUTMC | 1 | 0 | 16 +OUTPUTMC | 1 | 0 | 11 INPUTS | 2 | nLDS_FSB | iobs/Load1 -INPUTMC | 1 | 7 | 9 +INPUTMC | 1 | 7 | 15 INPUTP | 1 | 49 EQ | 3 | iobs/IOL1.D = !nLDS_FSB; @@ -596,46 +560,42 @@ GLOBALS | 1 | 2 | FCLK MACROCELL | 2 | 11 | iobs/IORW1 ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 3 | 2 | 14 | 2 | 11 | 2 | 10 -INPUTS | 9 | A_FSB<23> | A_FSB<21> | iobs/IORW1 | nADoutLE1 | iobs/Once | A_FSB<20> | nWE_FSB | EXP17_.EXP | EXP18_.EXP -INPUTMC | 5 | 2 | 11 | 5 | 13 | 7 | 16 | 2 | 10 | 2 | 12 -INPUTP | 4 | 36 | 29 | 28 | 47 +OUTPUTMC | 2 | 2 | 13 | 2 | 11 +INPUTS | 8 | nADoutLE1 | iobs/Once | nWE_FSB | iobs/IORW1 | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | EXP19_.EXP | EXP20_.EXP +INPUTMC | 7 | 5 | 13 | 7 | 0 | 2 | 11 | 7 | 13 | 7 | 6 | 2 | 10 | 2 | 12 +INPUTP | 1 | 47 IMPORTS | 2 | 2 | 10 | 2 | 12 -EQ | 21 | +EQ | 20 | !iobs/IORW1.T = iobs/Once # !nADoutLE1 - # !A_FSB<23> & !A_FSB<20> # nWE_FSB & iobs/IORW1 - # !A_FSB<23> & A_FSB<21> & !iobs/IORW1 -;Imported pterms FB3_11 # !nWE_FSB & !iobs/IORW1 - # nAS_FSB & !fsb/ASrf # !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 +;Imported pterms FB3_11 + # nAS_FSB & !fsb/ASrf # !A_FSB<23> & !A_FSB<22> & !A_FSB<21> + # !A_FSB<23> & !A_FSB<22> & !A_FSB<19> + # !A_FSB<23> & !A_FSB<22> & nWE_FSB # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay1 ;Imported pterms FB3_10 - # !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & A_FSB<21> + # !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & !A_FSB<22> ;Imported pterms FB3_13 - # !A_FSB<23> & A_FSB<21> & !A_FSB<19> - # !A_FSB<23> & A_FSB<21> & !A_FSB<18> - # !A_FSB<23> & A_FSB<21> & !A_FSB<17> - # !A_FSB<23> & A_FSB<21> & !A_FSB<16> - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - cs/nOverlay1; + # !A_FSB<23> & !A_FSB<22> & !A_FSB<20> + # !A_FSB<23> & !A_FSB<22> & !A_FSB<18> + # !A_FSB<23> & !A_FSB<22> & !A_FSB<17> + # !A_FSB<23> & !A_FSB<22> & !A_FSB<16> + # !A_FSB<23> & !A_FSB<21> & !A_FSB<20>; iobs/IORW1.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 2 | 6 | iobs/IOReady +MACROCELL | 0 | 16 | iobs/IOReady ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 9 | 2 | 9 | 2 | 1 | 2 | 6 | 2 | 8 | 2 | 0 | 2 | 2 | 2 | 5 | 2 | 7 | 2 | 17 -INPUTS | 22 | iobs/IOReady | nAS_FSB | fsb/ASrf | A_FSB<23> | fsb/Ready1r | nDTACK_FSB | A_FSB<9> | A_FSB<8> | A_FSB<15> | A_FSB<14> | A_FSB<13> | A_FSB<12> | A_FSB<11> | A_FSB<10> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | fsb/Ready0r.EXP -INPUTMC | 5 | 2 | 6 | 1 | 14 | 2 | 9 | 2 | 8 | 2 | 5 -INPUTP | 17 | 54 | 36 | 12 | 11 | 21 | 19 | 18 | 17 | 15 | 13 | 30 | 29 | 28 | 26 | 24 | 23 | 22 -EXPORTS | 1 | 2 | 7 -IMPORTS | 1 | 2 | 5 -EQ | 20 | +OUTPUTMC | 9 | 2 | 9 | 2 | 0 | 0 | 16 | 2 | 8 | 2 | 1 | 2 | 2 | 2 | 6 | 2 | 7 | 2 | 17 +INPUTS | 8 | iobs/IOReady | nAS_FSB | fsb/ASrf | iobs/Once | iobs/PS_FSM_FFd2 | iobs/IOACTr | iobm/IOBERR | nADoutLE1 +INPUTMC | 7 | 0 | 16 | 0 | 6 | 7 | 0 | 7 | 13 | 0 | 5 | 3 | 17 | 5 | 13 +INPUTP | 1 | 54 +EQ | 8 | iobs/IOReady.T = iobs/IOReady & nAS_FSB & !fsb/ASrf -;Imported pterms FB3_6 # iobs/Once & iobs/IOReady & !iobs/PS_FSM_FFd2 & !iobs/IOACTr & iobm/IOBERR & nADoutLE1 # iobs/Once & !iobs/IOReady & !nAS_FSB & @@ -643,24 +603,13 @@ EQ | 20 | # iobs/Once & !iobs/IOReady & !iobs/PS_FSM_FFd2 & !iobs/IOACTr & !iobm/IOBERR & fsb/ASrf & nADoutLE1; iobs/IOReady.CLK = FCLK; // GCK - iobs/IOReady.EXP = nAS_FSB & !fsb/ASrf - # A_FSB<23> & !fsb/Ready1r & !iobs/IOReady & - nDTACK_FSB - # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & - A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & - A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & fsb/Ready1r - # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & - A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & - A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & iobs/IOReady GLOBALS | 1 | 2 | FCLK -MACROCELL | 0 | 15 | iobs/IOU0 +MACROCELL | 0 | 10 | iobs/IOU0 ATTRIBUTES | 8553280 | 0 OUTPUTMC | 1 | 5 | 10 INPUTS | 5 | iobs/IOU1 | nADoutLE1 | nUDS_FSB | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 -INPUTMC | 4 | 1 | 16 | 5 | 13 | 7 | 6 | 1 | 15 +INPUTMC | 4 | 0 | 7 | 5 | 13 | 7 | 13 | 7 | 6 INPUTP | 1 | 56 EQ | 4 | iobs/IOU0.D = !nUDS_FSB & nADoutLE1 @@ -669,11 +618,11 @@ EQ | 4 | iobs/IOU0.CE = iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1; GLOBALS | 1 | 2 | FCLK -MACROCELL | 1 | 16 | iobs/IOU1 +MACROCELL | 0 | 7 | iobs/IOU1 ATTRIBUTES | 8553280 | 0 -OUTPUTMC | 1 | 0 | 15 +OUTPUTMC | 1 | 0 | 10 INPUTS | 2 | nUDS_FSB | iobs/Load1 -INPUTMC | 1 | 7 | 9 +INPUTMC | 1 | 7 | 15 INPUTP | 1 | 56 EQ | 3 | iobs/IOU1.D = !nUDS_FSB; @@ -683,38 +632,32 @@ GLOBALS | 1 | 2 | FCLK MACROCELL | 2 | 8 | fsb/nDTACK ATTRIBUTES | 8815362 | 0 -OUTPUTMC | 4 | 2 | 8 | 2 | 6 | 2 | 7 | 2 | 9 -INPUTS | 16 | A_FSB<13> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | nWE_FSB | cs/nOverlay1 | fsb/Ready1r | iobs/IOReady | nDTACK_FSB | nADoutLE1 | A_FSB<14> | EXP16_.EXP -INPUTMC | 6 | 4 | 3 | 2 | 9 | 2 | 6 | 2 | 8 | 5 | 13 | 2 | 7 -INPUTP | 10 | 18 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 47 | 19 +OUTPUTMC | 3 | 2 | 8 | 2 | 7 | 2 | 9 +INPUTS | 19 | A_FSB<14> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | nWE_FSB | cs/nOverlay1 | fsb/Ready1r | iobs/IOReady | nDTACK_FSB | nADoutLE1 | A_FSB<13> | A_FSB<23> | A_FSB<22> | fsb/Ready0r | ram/RAMReady | EXP18_.EXP +INPUTMC | 8 | 4 | 3 | 2 | 9 | 0 | 16 | 2 | 8 | 5 | 13 | 4 | 6 | 4 | 16 | 2 | 7 +INPUTP | 11 | 19 | 29 | 28 | 26 | 24 | 23 | 22 | 47 | 18 | 36 | 30 EXPORTS | 1 | 2 | 9 IMPORTS | 1 | 2 | 7 -EQ | 43 | - nDTACK_FSB.D = A_FSB<14> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & - cs/nOverlay1 & !fsb/Ready1r & !iobs/IOReady & nDTACK_FSB & - !nADoutLE1 - # A_FSB<13> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & - cs/nOverlay1 & !fsb/Ready1r & !iobs/IOReady & nDTACK_FSB & - !nADoutLE1 -;Imported pterms FB3_8 - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & - !fsb/Ready0r & nDTACK_FSB & !ram/RAMReady - # A_FSB<22> & !A_FSB<21> & A_FSB<20> & !fsb/Ready1r & - !iobs/IOReady & nDTACK_FSB - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & +EQ | 34 | + nDTACK_FSB.D = !A_FSB<23> & A_FSB<22> & A_FSB<21> & !cs/nOverlay1 & !fsb/Ready0r & nDTACK_FSB & !ram/RAMReady - # A_FSB<14> & A_FSB<22> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & !cs/nOverlay1 & - !fsb/Ready1r & !iobs/IOReady & nDTACK_FSB - # A_FSB<13> & A_FSB<22> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & !cs/nOverlay1 & - !fsb/Ready1r & !iobs/IOReady & nDTACK_FSB -;Imported pterms FB3_7 + # A_FSB<14> & A_FSB<21> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & cs/nOverlay1 & + !fsb/Ready1r & !iobs/IOReady & nDTACK_FSB & !nADoutLE1 + # A_FSB<13> & A_FSB<21> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & cs/nOverlay1 & + !fsb/Ready1r & !iobs/IOReady & nDTACK_FSB & !nADoutLE1 +;Imported pterms FB3_8 # nAS_FSB & !fsb/ASrf # A_FSB<23> & !fsb/Ready1r & !iobs/IOReady & nDTACK_FSB + # A_FSB<22> & A_FSB<21> & !fsb/Ready1r & + !iobs/IOReady & nDTACK_FSB + # A_FSB<22> & A_FSB<20> & !fsb/Ready1r & + !iobs/IOReady & nDTACK_FSB + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & + !fsb/Ready0r & nDTACK_FSB & !ram/RAMReady +;Imported pterms FB3_7 # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & @@ -724,26 +667,25 @@ EQ | 43 | A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & iobs/IOReady; nDTACK_FSB.CLK = FCLK; // GCK - fsb/nDTACK.EXP = A_FSB<13> & A_FSB<22> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & !cs/nOverlay1 & - !fsb/Ready1r & !iobs/IOReady - # A_FSB<14> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & - cs/nOverlay1 & !fsb/Ready1r & !iobs/IOReady & !nADoutLE1 - # A_FSB<13> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & - cs/nOverlay1 & !fsb/Ready1r & !iobs/IOReady & !nADoutLE1 + fsb/nDTACK.EXP = A_FSB<14> & A_FSB<21> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & cs/nOverlay1 & + !fsb/Ready1r & !iobs/IOReady & !nADoutLE1 + # A_FSB<13> & A_FSB<21> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & cs/nOverlay1 & + !fsb/Ready1r & !iobs/IOReady & !nADoutLE1 GLOBALS | 1 | 2 | FCLK MACROCELL | 7 | 11 | iobs/nBERR_FSB ATTRIBUTES | 4621058 | 0 -OUTPUTMC | 2 | 7 | 11 | 7 | 12 -INPUTS | 12 | nAS_FSB | nBERR_FSB | fsb/ASrf | iobs/Once | iobs/PS_FSM_FFd2 | iobs/IOACTr | iobm/IOBERR | nADoutLE1 | A_FSB<14> | A_FSB<13> | A_FSB<23> | A_FSB<21> -INPUTMC | 7 | 7 | 11 | 1 | 14 | 7 | 16 | 7 | 6 | 1 | 12 | 5 | 17 | 5 | 13 -INPUTP | 5 | 54 | 19 | 18 | 36 | 29 +OUTPUTMC | 3 | 7 | 11 | 7 | 10 | 7 | 12 +INPUTS | 17 | nAS_FSB | nBERR_FSB | fsb/ASrf | A_FSB<14> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | nWE_FSB | iobs/Once | cs/nOverlay1 | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | A_FSB<13> | ram/RS_FSM_FFd3.EXP +INPUTMC | 7 | 7 | 11 | 0 | 6 | 7 | 0 | 4 | 3 | 7 | 13 | 7 | 6 | 7 | 10 +INPUTP | 10 | 54 | 19 | 29 | 28 | 26 | 24 | 23 | 22 | 47 | 18 EXPORTS | 1 | 7 | 12 -EQ | 10 | +IMPORTS | 1 | 7 | 10 +EQ | 21 | nBERR_FSB.T = nAS_FSB & !nBERR_FSB & !fsb/ASrf +;Imported pterms FB8_11 # iobs/Once & !nBERR_FSB & !iobs/PS_FSM_FFd2 & !iobs/IOACTr & !iobm/IOBERR & nADoutLE1 # iobs/Once & !nAS_FSB & nBERR_FSB & @@ -751,45 +693,46 @@ EQ | 10 | # iobs/Once & nBERR_FSB & !iobs/PS_FSM_FFd2 & !iobs/IOACTr & iobm/IOBERR & fsb/ASrf & nADoutLE1; nBERR_FSB.CLK = FCLK; // GCK - iobs/nBERR_FSB.EXP = !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & A_FSB<21> & - !iobs/PS_FSM_FFd2 & nADoutLE1 + iobs/nBERR_FSB.EXP = A_FSB<14> & A_FSB<21> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & !iobs/Once & + cs/nOverlay1 & !nAS_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 + # A_FSB<14> & A_FSB<21> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & !iobs/Once & + cs/nOverlay1 & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf + # A_FSB<13> & A_FSB<21> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & !iobs/Once & + cs/nOverlay1 & !nAS_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 + # A_FSB<13> & A_FSB<21> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & !iobs/Once & + cs/nOverlay1 & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf GLOBALS | 1 | 2 | FCLK -MACROCELL | 3 | 1 | cnt/AoutOE -ATTRIBUTES | 8815362 | 0 -OUTPUTMC | 6 | 5 | 1 | 5 | 11 | 5 | 8 | 5 | 10 | 0 | 0 | 3 | 4 -INPUTS | 3 | nBR_IOB | cnt/PORS_FSM_FFd1 | cnt/PORS_FSM_FFd2 -INPUTMC | 3 | 7 | 14 | 7 | 0 | 3 | 6 -EQ | 2 | - nAoutOE.D = !nBR_IOB & cnt/PORS_FSM_FFd1 & !cnt/PORS_FSM_FFd2; - !nAoutOE.CLK = C8M; // GCK -GLOBALS | 1 | 2 | C8M - MACROCELL | 7 | 14 | cnt/nBR_IOB ATTRIBUTES | 4621058 | 0 -OUTPUTMC | 3 | 3 | 1 | 7 | 14 | 7 | 13 -INPUTS | 12 | nBR_IOB | cnt/PORS_FSM_FFd1 | cnt/PORS_FSM_FFd2 | cnt/IPL2r | A_FSB<23> | A_FSB<21> | A_FSB<18> | iobs/PS_FSM_FFd2 | nADoutLE1 | A_FSB<17> | A_FSB<22> | cs/nOverlay1 -INPUTMC | 7 | 7 | 14 | 7 | 0 | 3 | 6 | 0 | 12 | 7 | 6 | 5 | 13 | 4 | 3 -INPUTP | 5 | 36 | 29 | 24 | 23 | 30 -EXPORTS | 1 | 7 | 13 +OUTPUTMC | 3 | 7 | 13 | 3 | 1 | 7 | 15 +INPUTS | 8 | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<19> | A_FSB<17> | A_FSB<16> | cs/nOverlay1 | iobs/PS_FSM_FFd2.EXP +INPUTMC | 2 | 4 | 3 | 7 | 13 +INPUTP | 6 | 36 | 30 | 29 | 26 | 23 | 22 +EXPORTS | 1 | 7 | 15 +IMPORTS | 1 | 7 | 13 EQ | 10 | - nBR_IOB.T = nBR_IOB & !cnt/PORS_FSM_FFd1 & !cnt/PORS_FSM_FFd2 + nBR_IOB.T = ;Imported pterms FB8_14 + nBR_IOB & !cnt/PORS_FSM_FFd1 & !cnt/PORS_FSM_FFd2 # !nBR_IOB & !cnt/PORS_FSM_FFd1 & cnt/PORS_FSM_FFd2 & cnt/IPL2r; !nBR_IOB.CLK = C8M; // GCK - cnt/nBR_IOB.EXP = !A_FSB<23> & A_FSB<21> & !A_FSB<18> & - !iobs/PS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & A_FSB<21> & !A_FSB<17> & - !iobs/PS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - cs/nOverlay1 & !iobs/PS_FSM_FFd2 & nADoutLE1 + cnt/nBR_IOB.EXP = !A_FSB<23> & !A_FSB<22> & !A_FSB<21> + # !A_FSB<23> & !A_FSB<22> & !A_FSB<19> + # !A_FSB<23> & !A_FSB<22> & !A_FSB<17> + # !A_FSB<23> & !A_FSB<22> & !A_FSB<16> + # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay1 GLOBALS | 1 | 2 | C8M MACROCELL | 4 | 0 | ram/RASEL ATTRIBUTES | 8553216 | 0 OUTPUTMC | 13 | 6 | 5 | 6 | 1 | 4 | 13 | 4 | 10 | 4 | 8 | 4 | 7 | 4 | 14 | 6 | 4 | 6 | 7 | 6 | 10 | 4 | 4 | 6 | 6 | 6 | 8 -INPUTS | 8 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd3 | nAS_FSB | fsb/ASrf | ram/RefUrgent | nROMCS_OBUF.EXP | EXP23_.EXP -INPUTMC | 7 | 4 | 6 | 4 | 12 | 7 | 2 | 1 | 14 | 1 | 7 | 4 | 1 | 4 | 17 +INPUTS | 8 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd3 | nAS_FSB | fsb/ASrf | ram/RefUrgent | nROMCS_OBUF.EXP | EXP26_.EXP +INPUTMC | 7 | 7 | 8 | 4 | 12 | 7 | 10 | 0 | 6 | 0 | 1 | 4 | 1 | 4 | 17 INPUTP | 1 | 54 IMPORTS | 2 | 4 | 1 | 4 | 17 EQ | 36 | @@ -831,49 +774,50 @@ EQ | 36 | ram/RASEL.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 3 | 2 | cnt/TimerTC +MACROCELL | 0 | 9 | cnt/TimerTC ATTRIBUTES | 8520480 | 0 -OUTPUTMC | 18 | 3 | 8 | 6 | 0 | 3 | 17 | 3 | 16 | 3 | 15 | 6 | 12 | 6 | 14 | 6 | 9 | 6 | 6 | 6 | 2 | 6 | 17 | 6 | 16 | 6 | 3 | 6 | 15 | 3 | 12 | 3 | 11 | 3 | 3 | 3 | 9 +OUTPUTMC | 18 | 3 | 13 | 6 | 0 | 3 | 16 | 3 | 15 | 3 | 14 | 6 | 12 | 6 | 14 | 6 | 9 | 6 | 6 | 6 | 2 | 6 | 17 | 6 | 16 | 6 | 3 | 6 | 15 | 0 | 14 | 0 | 13 | 1 | 17 | 0 | 12 INPUTS | 5 | cnt/Timer<1> | cnt/Timer<2> | cnt/Timer<0> | cnt/Timer<3> | E -INPUTMC | 4 | 3 | 12 | 3 | 11 | 3 | 3 | 3 | 9 +INPUTMC | 4 | 0 | 14 | 0 | 13 | 1 | 17 | 0 | 12 INPUTP | 1 | 37 EQ | 3 | cnt/TimerTC.D = !cnt/Timer<1> & !cnt/Timer<2> & !cnt/Timer<0> & cnt/Timer<3>; cnt/TimerTC.CLK = E; -MACROCELL | 0 | 17 | iobm/IOS_FSM_FFd3 +MACROCELL | 5 | 4 | iobm/IOS_FSM_FFd3 ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 12 | 5 | 11 | 5 | 8 | 5 | 10 | 0 | 17 | 0 | 13 | 5 | 9 | 5 | 17 | 5 | 15 | 5 | 3 | 5 | 2 | 5 | 14 | 5 | 16 -INPUTS | 6 | iobm/IOS_FSM_FFd1 | iobm/IOS_FSM_FFd2 | iobm/IOS_FSM_FFd3 | C8M | iobm/BG | iobm/IOREQr -INPUTMC | 5 | 0 | 13 | 5 | 9 | 0 | 17 | 0 | 14 | 0 | 5 +OUTPUTMC | 13 | 5 | 8 | 5 | 10 | 5 | 11 | 5 | 4 | 3 | 9 | 5 | 12 | 3 | 17 | 5 | 17 | 5 | 3 | 5 | 2 | 3 | 0 | 3 | 16 | 5 | 16 +INPUTS | 6 | iobm/IOS_FSM_FFd1 | iobm/IOS_FSM_FFd2 | iobm/IOS_FSM_FFd3 | C8M | iobm/IOREQr | nAoutOE +INPUTMC | 5 | 3 | 9 | 5 | 12 | 5 | 4 | 1 | 12 | 3 | 1 INPUTP | 1 | 35 EQ | 6 | iobm/IOS_FSM_FFd3.D = iobm/IOS_FSM_FFd1 & iobm/IOS_FSM_FFd2 # iobm/IOS_FSM_FFd3 & !iobm/IOS_FSM_FFd1 & !iobm/IOS_FSM_FFd2 - # !C8M & iobm/BG & !iobm/IOS_FSM_FFd1 & - !iobm/IOS_FSM_FFd2 & iobm/IOREQr; + # !C8M & !iobm/IOS_FSM_FFd1 & !iobm/IOS_FSM_FFd2 & + iobm/IOREQr & !nAoutOE; iobm/IOS_FSM_FFd3.CLK = C16M; // GCK GLOBALS | 1 | 2 | C16M -MACROCELL | 4 | 6 | ram/RS_FSM_FFd1 +MACROCELL | 7 | 8 | ram/RS_FSM_FFd1 ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 11 | 4 | 2 | 4 | 0 | 4 | 6 | 4 | 12 | 7 | 2 | 4 | 9 | 4 | 16 | 1 | 10 | 4 | 5 | 4 | 8 | 7 | 1 -INPUTS | 11 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd3 | nAS_FSB | ram/RefUrgent | fsb/ASrf | A_FSB<23> | A_FSB<22> | cs/nOverlay1 | ram/RAMEN | nOE_OBUF.EXP -INPUTMC | 8 | 4 | 6 | 4 | 12 | 7 | 2 | 1 | 7 | 1 | 14 | 4 | 3 | 4 | 9 | 4 | 5 -INPUTP | 3 | 54 | 36 | 30 -IMPORTS | 1 | 4 | 5 -EQ | 19 | +OUTPUTMC | 11 | 4 | 2 | 4 | 0 | 7 | 8 | 4 | 12 | 7 | 10 | 4 | 9 | 4 | 16 | 0 | 4 | 4 | 8 | 7 | 7 | 7 | 9 +INPUTS | 6 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd3 | nAS_FSB | ram/RefUrgent | nRAMUWE_OBUF.EXP +INPUTMC | 5 | 7 | 8 | 4 | 12 | 7 | 10 | 0 | 1 | 7 | 7 +INPUTP | 1 | 54 +EXPORTS | 1 | 7 | 9 +IMPORTS | 1 | 7 | 7 +EQ | 23 | ram/RS_FSM_FFd1.D = ram/RS_FSM_FFd1 & ram/RS_FSM_FFd2 # !ram/RS_FSM_FFd1 & ram/RS_FSM_FFd3 # !nAS_FSB & ram/RS_FSM_FFd1 & ram/RefUrgent & !ram/RS_FSM_FFd3 +;Imported pterms FB8_8 # ram/RS_FSM_FFd1 & ram/RefUrgent & !ram/RS_FSM_FFd3 & fsb/ASrf # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !nAS_FSB & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & ram/RefUrgent & !ram/RAMEN -;Imported pterms FB5_6 # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & ram/RefUrgent & !ram/RAMEN & fsb/ASrf @@ -884,24 +828,28 @@ EQ | 19 | !cs/nOverlay1 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & ram/RefUrgent & !ram/RAMEN & fsb/ASrf; ram/RS_FSM_FFd1.CLK = FCLK; // GCK + ram/RS_FSM_FFd1.EXP = ram/RS_FSM_FFd1 & ram/RS_FSM_FFd2 & + !ram/RS_FSM_FFd3 + # ram/RS_FSM_FFd1 & !ram/RefUrgent & + !ram/RS_FSM_FFd3 GLOBALS | 1 | 2 | FCLK -MACROCELL | 0 | 13 | iobm/IOS_FSM_FFd1 +MACROCELL | 3 | 9 | iobm/IOS_FSM_FFd1 ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 11 | 5 | 11 | 5 | 8 | 5 | 10 | 0 | 17 | 0 | 13 | 5 | 9 | 5 | 17 | 5 | 15 | 5 | 3 | 5 | 16 | 5 | 14 +OUTPUTMC | 12 | 5 | 8 | 5 | 10 | 5 | 11 | 5 | 4 | 3 | 9 | 5 | 12 | 3 | 17 | 5 | 17 | 5 | 3 | 5 | 16 | 3 | 0 | 3 | 16 INPUTS | 3 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd2 | iobm/IOS_FSM_FFd1 -INPUTMC | 3 | 0 | 17 | 5 | 9 | 0 | 13 +INPUTMC | 3 | 5 | 4 | 5 | 12 | 3 | 9 EQ | 3 | iobm/IOS_FSM_FFd1.D = iobm/IOS_FSM_FFd3 & iobm/IOS_FSM_FFd1 # !iobm/IOS_FSM_FFd3 & iobm/IOS_FSM_FFd2; iobm/IOS_FSM_FFd1.CLK = C16M; // GCK GLOBALS | 1 | 2 | C16M -MACROCELL | 5 | 9 | iobm/IOS_FSM_FFd2 +MACROCELL | 5 | 12 | iobm/IOS_FSM_FFd2 ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 11 | 5 | 11 | 5 | 8 | 5 | 10 | 0 | 17 | 0 | 13 | 5 | 9 | 5 | 17 | 5 | 15 | 5 | 3 | 5 | 2 | 5 | 16 +OUTPUTMC | 13 | 5 | 8 | 5 | 10 | 5 | 11 | 5 | 4 | 3 | 9 | 5 | 12 | 3 | 17 | 5 | 17 | 5 | 3 | 5 | 2 | 5 | 16 | 3 | 0 | 3 | 16 INPUTS | 11 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd1 | iobm/IOS_FSM_FFd2 | C8M | iobm/ETACK | iobm/BERRrf | iobm/BERRrr | iobm/DTACKrf | iobm/DTACKrr | iobm/RESrf | iobm/RESrr -INPUTMC | 10 | 0 | 17 | 0 | 13 | 5 | 9 | 5 | 0 | 0 | 11 | 0 | 10 | 0 | 9 | 0 | 8 | 0 | 4 | 0 | 3 +INPUTMC | 10 | 5 | 4 | 3 | 9 | 5 | 12 | 5 | 0 | 3 | 3 | 3 | 2 | 1 | 16 | 1 | 15 | 1 | 11 | 1 | 10 INPUTP | 1 | 35 EQ | 11 | iobm/IOS_FSM_FFd2.T = iobm/IOS_FSM_FFd3 & !iobm/IOS_FSM_FFd1 & @@ -919,9 +867,9 @@ GLOBALS | 1 | 2 | C16M MACROCELL | 4 | 12 | ram/RS_FSM_FFd2 ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 15 | 4 | 2 | 4 | 0 | 4 | 6 | 4 | 12 | 7 | 2 | 4 | 9 | 4 | 16 | 1 | 10 | 4 | 1 | 4 | 5 | 4 | 11 | 4 | 14 | 4 | 15 | 4 | 17 | 7 | 1 +OUTPUTMC | 15 | 4 | 2 | 4 | 0 | 7 | 8 | 4 | 12 | 7 | 10 | 4 | 9 | 4 | 16 | 0 | 4 | 4 | 1 | 4 | 11 | 4 | 14 | 4 | 15 | 4 | 17 | 7 | 7 | 7 | 9 INPUTS | 9 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd2 | nAS_FSB | ram/RS_FSM_FFd3 | fsb/ASrf | ram/RefUrgent | ram/RefReq | ram/BACTr | RA_5_OBUF.EXP -INPUTMC | 8 | 4 | 6 | 4 | 12 | 7 | 2 | 1 | 14 | 1 | 7 | 1 | 9 | 1 | 11 | 4 | 11 +INPUTMC | 8 | 7 | 8 | 4 | 12 | 7 | 10 | 0 | 6 | 0 | 1 | 0 | 3 | 7 | 16 | 4 | 11 INPUTP | 1 | 54 IMPORTS | 1 | 4 | 11 EQ | 19 | @@ -946,92 +894,102 @@ EQ | 19 | ram/RS_FSM_FFd2.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 7 | 6 | iobs/PS_FSM_FFd2 -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 21 | 7 | 16 | 2 | 14 | 0 | 16 | 2 | 10 | 2 | 5 | 0 | 15 | 7 | 11 | 7 | 6 | 1 | 15 | 7 | 9 | 1 | 13 | 2 | 4 | 7 | 13 | 2 | 13 | 2 | 15 | 2 | 16 | 7 | 5 | 7 | 7 | 7 | 12 | 7 | 14 | 7 | 15 -INPUTS | 11 | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | iobs/IOACTr | iobs/Once | nADoutLE1 | A_FSB<23> | A_FSB<20> | nAS_FSB | fsb/ASrf | nRAMLWE_OBUF.EXP | nRAMUWE_OBUF.EXP -INPUTMC | 8 | 7 | 6 | 1 | 15 | 1 | 12 | 7 | 16 | 5 | 13 | 1 | 14 | 7 | 5 | 7 | 7 -INPUTP | 3 | 36 | 28 | 54 -IMPORTS | 2 | 7 | 5 | 7 | 7 -EQ | 31 | - !iobs/PS_FSM_FFd2.D = iobs/PS_FSM_FFd2 & iobs/PS_FSM_FFd1 & - iobs/IOACTr - # !iobs/PS_FSM_FFd2 & iobs/PS_FSM_FFd1 & - !iobs/IOACTr - # iobs/Once & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & - nADoutLE1 - # !A_FSB<23> & !A_FSB<20> & !iobs/PS_FSM_FFd2 & - !iobs/PS_FSM_FFd1 & nADoutLE1 - # nAS_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & - !fsb/ASrf & nADoutLE1 -;Imported pterms FB8_6 - # !A_FSB<23> & !A_FSB<22> & !A_FSB<21> & - !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay1 & - !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 - # !A_FSB<23> & A_FSB<21> & !A_FSB<19> & - !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 - # !A_FSB<23> & A_FSB<21> & !A_FSB<16> & - !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 - # !A_FSB<23> & A_FSB<21> & nWE_FSB & - !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 -;Imported pterms FB8_8 - # !A_FSB<23> & A_FSB<21> & !A_FSB<18> & - !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 - # !A_FSB<23> & A_FSB<21> & !A_FSB<17> & - !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 - # !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & A_FSB<21> & - !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - cs/nOverlay1 & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1; +MACROCELL | 7 | 13 | iobs/PS_FSM_FFd2 +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 21 | 7 | 0 | 2 | 14 | 0 | 11 | 2 | 11 | 0 | 16 | 0 | 10 | 7 | 10 | 7 | 13 | 7 | 6 | 7 | 15 | 7 | 3 | 7 | 2 | 2 | 6 | 2 | 13 | 2 | 15 | 2 | 16 | 7 | 1 | 7 | 11 | 7 | 12 | 7 | 17 | 7 | 14 +INPUTS | 12 | iobs/PS_FSM_FFd1 | iobs/IOACTr | iobs/PS_FSM_FFd2 | nADoutLE1 | A_FSB<23> | iobs/Once | nAS_FSB | nBR_IOB | cnt/PORS_FSM_FFd1 | cnt/PORS_FSM_FFd2 | cnt/IPL2r | EXP28_.EXP +INPUTMC | 10 | 7 | 6 | 0 | 5 | 7 | 13 | 5 | 13 | 7 | 0 | 7 | 14 | 3 | 6 | 3 | 12 | 3 | 8 | 7 | 12 +INPUTP | 2 | 36 | 54 +EXPORTS | 1 | 7 | 14 +IMPORTS | 1 | 7 | 12 +EQ | 32 | + iobs/PS_FSM_FFd2.T = iobs/PS_FSM_FFd1 & iobs/IOACTr + # !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & !nADoutLE1 + # A_FSB<23> & !iobs/Once & !nAS_FSB & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 +;Imported pterms FB8_13 + # A_FSB<23> & !iobs/Once & !iobs/PS_FSM_FFd2 & + !iobs/PS_FSM_FFd1 & fsb/ASrf + # A_FSB<22> & A_FSB<21> & !iobs/Once & !nAS_FSB & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 + # A_FSB<22> & A_FSB<21> & !iobs/Once & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf + # A_FSB<22> & A_FSB<20> & !iobs/Once & !nAS_FSB & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 + # A_FSB<22> & A_FSB<20> & !iobs/Once & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf +;Imported pterms FB8_12 + # A_FSB<14> & A_FSB<21> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & !iobs/Once & + cs/nOverlay1 & !nAS_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 + # A_FSB<14> & A_FSB<21> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & !iobs/Once & + cs/nOverlay1 & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf + # A_FSB<13> & A_FSB<21> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & !iobs/Once & + cs/nOverlay1 & !nAS_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 + # A_FSB<13> & A_FSB<21> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & !iobs/Once & + cs/nOverlay1 & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf; iobs/PS_FSM_FFd2.CLK = FCLK; // GCK + iobs/PS_FSM_FFd2.EXP = nBR_IOB & !cnt/PORS_FSM_FFd1 & !cnt/PORS_FSM_FFd2 + # !nBR_IOB & !cnt/PORS_FSM_FFd1 & cnt/PORS_FSM_FFd2 & + cnt/IPL2r GLOBALS | 1 | 2 | FCLK -MACROCELL | 1 | 7 | ram/RefUrgent +MACROCELL | 0 | 1 | ram/RefUrgent ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 13 | 4 | 0 | 4 | 6 | 4 | 12 | 7 | 2 | 4 | 9 | 4 | 16 | 4 | 1 | 4 | 5 | 4 | 11 | 4 | 14 | 4 | 15 | 4 | 17 | 7 | 1 +OUTPUTMC | 12 | 4 | 0 | 7 | 8 | 4 | 12 | 7 | 9 | 4 | 9 | 4 | 16 | 4 | 1 | 4 | 11 | 4 | 14 | 4 | 15 | 4 | 17 | 7 | 7 INPUTS | 2 | ram/RefDone | ram/RegUrgentSync -INPUTMC | 2 | 4 | 2 | 1 | 6 +INPUTMC | 2 | 4 | 2 | 0 | 0 EQ | 2 | ram/RefUrgent.D = !ram/RefDone & ram/RegUrgentSync; ram/RefUrgent.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 7 | 2 | ram/RS_FSM_FFd3 +MACROCELL | 7 | 10 | ram/RS_FSM_FFd3 ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 12 | 4 | 0 | 4 | 6 | 4 | 12 | 7 | 2 | 4 | 9 | 4 | 16 | 4 | 1 | 4 | 11 | 4 | 14 | 4 | 15 | 4 | 17 | 7 | 1 -INPUTS | 9 | A_FSB<22> | cs/nOverlay1 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd3 | A_FSB<21> | ram/RefUrgent | ram/RAMEN | A_FSB_19_IBUF$BUF0.EXP -INPUTMC | 7 | 4 | 3 | 4 | 6 | 4 | 12 | 7 | 2 | 1 | 7 | 4 | 9 | 7 | 1 -INPUTP | 2 | 30 | 29 -IMPORTS | 1 | 7 | 1 -EQ | 20 | - !ram/RS_FSM_FFd3.T = !ram/RS_FSM_FFd1 & ram/RS_FSM_FFd2 & +OUTPUTMC | 14 | 4 | 0 | 7 | 8 | 4 | 12 | 7 | 10 | 4 | 9 | 4 | 16 | 4 | 1 | 4 | 11 | 4 | 14 | 4 | 15 | 4 | 17 | 7 | 7 | 7 | 9 | 7 | 11 +INPUTS | 14 | A_FSB<22> | cs/nOverlay1 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd3 | iobs/Once | nBERR_FSB | iobs/PS_FSM_FFd2 | iobs/IOACTr | iobm/IOBERR | nADoutLE1 | nAS_FSB | fsb/ASrf | EXP27_.EXP +INPUTMC | 12 | 4 | 3 | 7 | 8 | 4 | 12 | 7 | 10 | 7 | 0 | 7 | 11 | 7 | 13 | 0 | 5 | 3 | 17 | 5 | 13 | 0 | 6 | 7 | 9 +INPUTP | 2 | 30 | 54 +EXPORTS | 1 | 7 | 11 +IMPORTS | 1 | 7 | 9 +EQ | 27 | + !ram/RS_FSM_FFd3.T = A_FSB<22> & cs/nOverlay1 & !ram/RS_FSM_FFd1 & + !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 + # !A_FSB<22> & !cs/nOverlay1 & !ram/RS_FSM_FFd1 & + !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 +;Imported pterms FB8_10 + # !ram/RS_FSM_FFd1 & ram/RS_FSM_FFd2 & ram/RS_FSM_FFd3 + # A_FSB<23> & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & + !ram/RS_FSM_FFd3 + # nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & + !fsb/ASrf # !ram/RS_FSM_FFd2 & !ram/RefUrgent & !ram/RS_FSM_FFd3 & !ram/RAMEN # A_FSB<22> & !A_FSB<21> & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 - # A_FSB<22> & cs/nOverlay1 & !ram/RS_FSM_FFd1 & - !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 - # !A_FSB<22> & !cs/nOverlay1 & !ram/RS_FSM_FFd1 & - !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 -;Imported pterms FB8_2 +;Imported pterms FB8_9 # ram/RS_FSM_FFd1 & ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 # ram/RS_FSM_FFd1 & !ram/RefUrgent & - !ram/RS_FSM_FFd3 - # A_FSB<23> & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & - !ram/RS_FSM_FFd3 - # nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & - !fsb/ASrf; + !ram/RS_FSM_FFd3; ram/RS_FSM_FFd3.CLK = FCLK; // GCK + ram/RS_FSM_FFd3.EXP = iobs/Once & !nBERR_FSB & !iobs/PS_FSM_FFd2 & + !iobs/IOACTr & !iobm/IOBERR & nADoutLE1 + # iobs/Once & !nAS_FSB & nBERR_FSB & + !iobs/PS_FSM_FFd2 & !iobs/IOACTr & iobm/IOBERR & nADoutLE1 + # iobs/Once & nBERR_FSB & !iobs/PS_FSM_FFd2 & + !iobs/IOACTr & iobm/IOBERR & fsb/ASrf & nADoutLE1 GLOBALS | 1 | 2 | FCLK -MACROCELL | 5 | 6 | iobm/ES<0> +MACROCELL | 5 | 7 | iobm/ES<0> ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 7 | 5 | 1 | 5 | 6 | 5 | 5 | 5 | 12 | 5 | 4 | 5 | 7 | 5 | 0 +OUTPUTMC | 7 | 5 | 1 | 5 | 7 | 5 | 6 | 5 | 15 | 5 | 5 | 5 | 9 | 5 | 0 INPUTS | 7 | iobm/ES<0> | iobm/Er | iobm/Er2 | iobm/ES<1> | iobm/ES<2> | iobm/ES<3> | iobm/ES<4> -INPUTMC | 7 | 5 | 6 | 0 | 7 | 0 | 6 | 5 | 5 | 5 | 12 | 5 | 4 | 5 | 7 +INPUTMC | 7 | 5 | 7 | 1 | 14 | 1 | 13 | 5 | 6 | 5 | 15 | 5 | 5 | 5 | 9 EQ | 6 | !iobm/ES<0>.T = iobm/ES<0> & !iobm/Er & iobm/Er2 # !iobm/ES<0> & !iobm/ES<1> & !iobm/ES<2> & @@ -1041,22 +999,25 @@ EQ | 6 | iobm/ES<0>.CLK = C16M; // GCK GLOBALS | 1 | 2 | C16M -MACROCELL | 1 | 15 | iobs/PS_FSM_FFd1 +MACROCELL | 7 | 6 | iobs/PS_FSM_FFd1 ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 17 | 7 | 16 | 2 | 14 | 0 | 16 | 2 | 10 | 0 | 15 | 7 | 6 | 1 | 15 | 7 | 9 | 1 | 13 | 2 | 4 | 7 | 13 | 2 | 13 | 2 | 15 | 2 | 16 | 7 | 5 | 7 | 7 | 7 | 15 -INPUTS | 3 | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | iobs/IOACTr -INPUTMC | 3 | 7 | 6 | 1 | 15 | 1 | 12 -EQ | 3 | +OUTPUTMC | 21 | 7 | 0 | 2 | 14 | 0 | 11 | 2 | 11 | 0 | 10 | 7 | 13 | 7 | 6 | 7 | 15 | 7 | 3 | 7 | 2 | 2 | 6 | 2 | 4 | 2 | 5 | 2 | 13 | 2 | 15 | 2 | 16 | 7 | 1 | 7 | 11 | 7 | 12 | 7 | 17 | 7 | 7 +INPUTS | 7 | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | iobs/IOACTr | nWE_FSB | nUDS_FSB | nAS_FSB | ram/RAMEN +INPUTMC | 4 | 7 | 13 | 7 | 6 | 0 | 5 | 4 | 9 +INPUTP | 3 | 47 | 56 | 54 +EXPORTS | 1 | 7 | 7 +EQ | 4 | iobs/PS_FSM_FFd1.D = iobs/PS_FSM_FFd2 # iobs/PS_FSM_FFd1 & iobs/IOACTr; iobs/PS_FSM_FFd1.CLK = FCLK; // GCK + iobs/PS_FSM_FFd1.EXP = !nWE_FSB & !nUDS_FSB & !nAS_FSB & ram/RAMEN GLOBALS | 1 | 2 | FCLK MACROCELL | 4 | 9 | ram/RAMEN ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 10 | 7 | 7 | 4 | 6 | 7 | 2 | 4 | 9 | 4 | 14 | 7 | 4 | 4 | 16 | 4 | 1 | 4 | 5 | 4 | 8 +OUTPUTMC | 10 | 7 | 6 | 7 | 7 | 7 | 9 | 4 | 9 | 4 | 14 | 7 | 4 | 7 | 5 | 4 | 1 | 4 | 8 | 4 | 16 INPUTS | 10 | ram/RS_FSM_FFd2 | ram/RAMEN | ram/RS_FSM_FFd3 | ram/RS_FSM_FFd1 | ram/RefUrgent | ram/BACTr | ram/RefReq | nAS_FSB | fsb/ASrf | RA_4_OBUF.EXP -INPUTMC | 9 | 4 | 12 | 4 | 9 | 7 | 2 | 4 | 6 | 1 | 7 | 1 | 11 | 1 | 9 | 1 | 14 | 4 | 8 +INPUTMC | 9 | 4 | 12 | 4 | 9 | 7 | 10 | 7 | 8 | 0 | 1 | 7 | 16 | 0 | 3 | 0 | 6 | 4 | 8 INPUTP | 1 | 54 IMPORTS | 1 | 4 | 8 EQ | 18 | @@ -1080,33 +1041,33 @@ EQ | 18 | ram/RAMEN.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 3 | 12 | cnt/Timer<1> +MACROCELL | 0 | 14 | cnt/Timer<1> ATTRIBUTES | 8520480 | 0 -OUTPUTMC | 6 | 3 | 2 | 3 | 12 | 3 | 11 | 3 | 9 | 3 | 14 | 3 | 13 +OUTPUTMC | 6 | 0 | 9 | 0 | 14 | 0 | 13 | 0 | 12 | 0 | 17 | 0 | 15 INPUTS | 4 | cnt/TimerTC | cnt/Timer<1> | cnt/Timer<0> | E -INPUTMC | 3 | 3 | 2 | 3 | 12 | 3 | 3 +INPUTMC | 3 | 0 | 9 | 0 | 14 | 1 | 17 INPUTP | 1 | 37 EQ | 3 | cnt/Timer<1>.D = !cnt/TimerTC & cnt/Timer<1> & !cnt/Timer<0> # !cnt/TimerTC & !cnt/Timer<1> & cnt/Timer<0>; cnt/Timer<1>.CLK = E; -MACROCELL | 3 | 11 | cnt/Timer<2> +MACROCELL | 0 | 13 | cnt/Timer<2> ATTRIBUTES | 4326176 | 0 -OUTPUTMC | 5 | 3 | 2 | 3 | 11 | 3 | 9 | 3 | 14 | 3 | 13 +OUTPUTMC | 5 | 0 | 9 | 0 | 13 | 0 | 12 | 0 | 17 | 0 | 15 INPUTS | 5 | cnt/TimerTC | cnt/Timer<1> | cnt/Timer<0> | cnt/Timer<2> | E -INPUTMC | 4 | 3 | 2 | 3 | 12 | 3 | 3 | 3 | 11 +INPUTMC | 4 | 0 | 9 | 0 | 14 | 1 | 17 | 0 | 13 INPUTP | 1 | 37 EQ | 3 | cnt/Timer<2>.T = cnt/TimerTC & cnt/Timer<2> # !cnt/TimerTC & cnt/Timer<1> & cnt/Timer<0>; cnt/Timer<2>.CLK = E; -MACROCELL | 5 | 5 | iobm/ES<1> +MACROCELL | 5 | 6 | iobm/ES<1> ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 7 | 5 | 1 | 5 | 6 | 5 | 5 | 5 | 12 | 5 | 4 | 5 | 7 | 5 | 0 +OUTPUTMC | 7 | 5 | 1 | 5 | 7 | 5 | 6 | 5 | 15 | 5 | 5 | 5 | 9 | 5 | 0 INPUTS | 4 | iobm/ES<0> | iobm/ES<1> | iobm/Er | iobm/Er2 -INPUTMC | 4 | 5 | 6 | 5 | 5 | 0 | 7 | 0 | 6 +INPUTMC | 4 | 5 | 7 | 5 | 6 | 1 | 14 | 1 | 13 EQ | 4 | !iobm/ES<1>.D = iobm/ES<0> & iobm/ES<1> # !iobm/ES<0> & !iobm/ES<1> @@ -1114,21 +1075,21 @@ EQ | 4 | iobm/ES<1>.CLK = C16M; // GCK GLOBALS | 1 | 2 | C16M -MACROCELL | 3 | 3 | cnt/Timer<0> +MACROCELL | 1 | 17 | cnt/Timer<0> ATTRIBUTES | 8520480 | 0 -OUTPUTMC | 7 | 3 | 2 | 3 | 12 | 3 | 11 | 3 | 3 | 3 | 9 | 3 | 14 | 3 | 13 +OUTPUTMC | 7 | 0 | 9 | 0 | 14 | 0 | 13 | 1 | 17 | 0 | 12 | 0 | 17 | 0 | 15 INPUTS | 3 | cnt/TimerTC | cnt/Timer<0> | E -INPUTMC | 2 | 3 | 2 | 3 | 3 +INPUTMC | 2 | 0 | 9 | 1 | 17 INPUTP | 1 | 37 EQ | 2 | cnt/Timer<0>.D = !cnt/TimerTC & !cnt/Timer<0>; cnt/Timer<0>.CLK = E; -MACROCELL | 3 | 9 | cnt/Timer<3> +MACROCELL | 0 | 12 | cnt/Timer<3> ATTRIBUTES | 4326176 | 0 -OUTPUTMC | 4 | 3 | 2 | 3 | 9 | 3 | 14 | 3 | 13 +OUTPUTMC | 4 | 0 | 9 | 0 | 12 | 0 | 17 | 0 | 15 INPUTS | 6 | cnt/TimerTC | cnt/Timer<1> | cnt/Timer<2> | cnt/Timer<0> | cnt/Timer<3> | E -INPUTMC | 5 | 3 | 2 | 3 | 12 | 3 | 11 | 3 | 3 | 3 | 9 +INPUTMC | 5 | 0 | 9 | 0 | 14 | 0 | 13 | 1 | 17 | 0 | 12 INPUTP | 1 | 37 EQ | 4 | cnt/Timer<3>.T = cnt/TimerTC & cnt/Timer<3> @@ -1136,11 +1097,11 @@ EQ | 4 | cnt/Timer<0>; cnt/Timer<3>.CLK = E; -MACROCELL | 5 | 12 | iobm/ES<2> +MACROCELL | 5 | 15 | iobm/ES<2> ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 6 | 5 | 1 | 5 | 6 | 5 | 12 | 5 | 4 | 5 | 7 | 5 | 0 +OUTPUTMC | 6 | 5 | 1 | 5 | 7 | 5 | 15 | 5 | 5 | 5 | 9 | 5 | 0 INPUTS | 7 | iobm/ES<0> | iobm/ES<2> | iobm/ES<1> | iobm/Er | iobm/Er2 | iobm/ES<3> | iobm/ES<4> -INPUTMC | 7 | 5 | 6 | 5 | 12 | 5 | 5 | 0 | 7 | 0 | 6 | 5 | 4 | 5 | 7 +INPUTMC | 7 | 5 | 7 | 5 | 15 | 5 | 6 | 1 | 14 | 1 | 13 | 5 | 5 | 5 | 9 EQ | 6 | !iobm/ES<2>.D = !iobm/ES<0> & !iobm/ES<2> # !iobm/ES<1> & !iobm/ES<2> @@ -1150,11 +1111,11 @@ EQ | 6 | iobm/ES<2>.CLK = C16M; // GCK GLOBALS | 1 | 2 | C16M -MACROCELL | 5 | 4 | iobm/ES<3> +MACROCELL | 5 | 5 | iobm/ES<3> ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 6 | 5 | 1 | 5 | 6 | 5 | 12 | 5 | 4 | 5 | 7 | 5 | 0 +OUTPUTMC | 6 | 5 | 1 | 5 | 7 | 5 | 15 | 5 | 5 | 5 | 9 | 5 | 0 INPUTS | 6 | iobm/ES<3> | iobm/Er | iobm/Er2 | iobm/ES<0> | iobm/ES<1> | iobm/ES<2> -INPUTMC | 6 | 5 | 4 | 0 | 7 | 0 | 6 | 5 | 6 | 5 | 5 | 5 | 12 +INPUTMC | 6 | 5 | 5 | 1 | 14 | 1 | 13 | 5 | 7 | 5 | 6 | 5 | 15 EQ | 4 | iobm/ES<3>.T = iobm/ES<3> & !iobm/Er & iobm/Er2 # iobm/ES<0> & iobm/ES<1> & iobm/ES<2> & iobm/Er @@ -1162,11 +1123,11 @@ EQ | 4 | iobm/ES<3>.CLK = C16M; // GCK GLOBALS | 1 | 2 | C16M -MACROCELL | 5 | 7 | iobm/ES<4> +MACROCELL | 5 | 9 | iobm/ES<4> ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 5 | 5 | 1 | 5 | 6 | 5 | 12 | 5 | 7 | 5 | 0 +OUTPUTMC | 5 | 5 | 1 | 5 | 7 | 5 | 15 | 5 | 9 | 5 | 0 INPUTS | 7 | iobm/ES<4> | iobm/Er | iobm/Er2 | iobm/ES<0> | iobm/ES<1> | iobm/ES<2> | iobm/ES<3> -INPUTMC | 7 | 5 | 7 | 0 | 7 | 0 | 6 | 5 | 6 | 5 | 5 | 5 | 12 | 5 | 4 +INPUTMC | 7 | 5 | 9 | 1 | 14 | 1 | 13 | 5 | 7 | 5 | 6 | 5 | 15 | 5 | 5 EQ | 8 | iobm/ES<4>.T = iobm/ES<4> & !iobm/Er & iobm/Er2 # iobm/ES<0> & iobm/ES<1> & iobm/ES<2> & @@ -1178,101 +1139,99 @@ EQ | 8 | iobm/ES<4>.CLK = C16M; // GCK GLOBALS | 1 | 2 | C16M -MACROCELL | 7 | 0 | cnt/PORS_FSM_FFd1 +MACROCELL | 3 | 6 | cnt/PORS_FSM_FFd1 ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 6 | 3 | 1 | 7 | 14 | 7 | 0 | 3 | 6 | 3 | 0 | 7 | 17 -INPUTS | 11 | cnt/LTimer<13> | cnt/PORS_FSM_FFd1 | cnt/PORS_FSM_FFd2 | cnt/IPL2r | A_FSB<14> | A_FSB<13> | A_FSB<23> | A_FSB<21> | iobs/Once | A_FSB<22> | cs/nOverlay1 -INPUTMC | 6 | 6 | 0 | 7 | 0 | 3 | 6 | 0 | 12 | 7 | 16 | 4 | 3 -INPUTP | 5 | 19 | 18 | 36 | 29 | 30 -EXPORTS | 1 | 7 | 17 -EQ | 7 | +OUTPUTMC | 5 | 7 | 13 | 3 | 6 | 3 | 12 | 3 | 11 | 3 | 1 +INPUTS | 4 | cnt/LTimer<13> | cnt/PORS_FSM_FFd1 | cnt/PORS_FSM_FFd2 | cnt/IPL2r +INPUTMC | 4 | 6 | 0 | 3 | 6 | 3 | 12 | 3 | 8 +EQ | 3 | cnt/PORS_FSM_FFd1.T = cnt/LTimer<13> & !cnt/PORS_FSM_FFd1 & cnt/PORS_FSM_FFd2 & !cnt/IPL2r; !cnt/PORS_FSM_FFd1.CLK = C8M; // GCK - cnt/PORS_FSM_FFd1.EXP = !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & A_FSB<21> & - !iobs/Once - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - cs/nOverlay1 & !iobs/Once GLOBALS | 1 | 2 | C8M -MACROCELL | 1 | 12 | iobs/IOACTr +MACROCELL | 0 | 5 | iobs/IOACTr ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 5 | 2 | 5 | 7 | 11 | 7 | 6 | 1 | 15 | 7 | 13 +OUTPUTMC | 5 | 0 | 16 | 7 | 10 | 7 | 13 | 7 | 6 | 2 | 6 INPUTS | 1 | iobm/IOACT -INPUTMC | 1 | 5 | 15 +INPUTMC | 1 | 5 | 17 EQ | 2 | iobs/IOACTr.D = iobm/IOACT; iobs/IOACTr.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 3 | 6 | cnt/PORS_FSM_FFd2 +MACROCELL | 3 | 12 | cnt/PORS_FSM_FFd2 ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 5 | 3 | 1 | 7 | 14 | 7 | 0 | 3 | 6 | 3 | 0 +OUTPUTMC | 5 | 7 | 13 | 3 | 6 | 3 | 12 | 3 | 11 | 3 | 1 INPUTS | 3 | cnt/LTimer<13> | cnt/PORS_FSM_FFd2 | cnt/PORS_FSM_FFd1 -INPUTMC | 3 | 6 | 0 | 3 | 6 | 7 | 0 +INPUTMC | 3 | 6 | 0 | 3 | 12 | 3 | 6 EQ | 3 | cnt/PORS_FSM_FFd2.D = cnt/LTimer<13> & !cnt/PORS_FSM_FFd1 # !cnt/LTimer<13> & cnt/PORS_FSM_FFd2; !cnt/PORS_FSM_FFd2.CLK = C8M; // GCK GLOBALS | 1 | 2 | C8M -MACROCELL | 7 | 9 | iobs/Load1 +MACROCELL | 7 | 15 | iobs/Load1 ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 3 | 1 | 17 | 1 | 16 | 5 | 13 -INPUTS | 10 | nADoutLE1 | iobs/Once | A_FSB<23> | A_FSB<20> | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | nAS_FSB | fsb/ASrf | EXP24_.EXP | EXP25_.EXP -INPUTMC | 7 | 5 | 13 | 7 | 16 | 7 | 6 | 1 | 15 | 1 | 14 | 7 | 8 | 7 | 10 -INPUTP | 3 | 36 | 28 | 54 -IMPORTS | 2 | 7 | 8 | 7 | 10 -EQ | 18 | +OUTPUTMC | 3 | 0 | 8 | 0 | 7 | 5 | 13 +INPUTS | 11 | nADoutLE1 | iobs/Once | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | nAS_FSB | fsb/ASrf | A_FSB<23> | A_FSB<22> | nWE_FSB | cnt/nBR_IOB.EXP | ram/BACTr.EXP +INPUTMC | 7 | 5 | 13 | 7 | 0 | 7 | 13 | 7 | 6 | 0 | 6 | 7 | 14 | 7 | 16 +INPUTP | 4 | 54 | 36 | 30 | 47 +IMPORTS | 2 | 7 | 14 | 7 | 16 +EQ | 17 | !iobs/Load1.D = iobs/Once # !nADoutLE1 - # !A_FSB<23> & !A_FSB<20> # nAS_FSB & !fsb/ASrf # !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 -;Imported pterms FB8_9 + # !A_FSB<23> & !A_FSB<22> & nWE_FSB +;Imported pterms FB8_15 # !A_FSB<23> & !A_FSB<22> & !A_FSB<21> + # !A_FSB<23> & !A_FSB<22> & !A_FSB<19> + # !A_FSB<23> & !A_FSB<22> & !A_FSB<17> + # !A_FSB<23> & !A_FSB<22> & !A_FSB<16> # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay1 - # !A_FSB<23> & A_FSB<21> & !A_FSB<19> - # !A_FSB<23> & A_FSB<21> & nWE_FSB -;Imported pterms FB8_11 - # !A_FSB<23> & A_FSB<21> & !A_FSB<18> - # !A_FSB<23> & A_FSB<21> & !A_FSB<17> - # !A_FSB<23> & A_FSB<21> & !A_FSB<16> - # !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & A_FSB<21> - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - cs/nOverlay1; +;Imported pterms FB8_17 + # !A_FSB<23> & !A_FSB<22> & !A_FSB<20> + # !A_FSB<23> & !A_FSB<22> & !A_FSB<18> + # !A_FSB<23> & !A_FSB<21> & !A_FSB<20> + # !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & !A_FSB<22>; iobs/Load1.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 1 | 11 | ram/BACTr +MACROCELL | 7 | 16 | ram/BACTr ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 5 | 4 | 16 | 4 | 12 | 4 | 9 | 4 | 15 | 4 | 17 -INPUTS | 2 | nAS_FSB | fsb/ASrf -INPUTMC | 1 | 1 | 14 -INPUTP | 1 | 54 -EQ | 2 | +OUTPUTMC | 6 | 4 | 16 | 4 | 12 | 4 | 9 | 4 | 15 | 4 | 17 | 7 | 15 +INPUTS | 9 | nAS_FSB | fsb/ASrf | A_FSB<23> | A_FSB<22> | A_FSB<20> | A_FSB<18> | A_FSB<21> | A_FSB<14> | A_FSB<13> +INPUTMC | 1 | 0 | 6 +INPUTP | 8 | 54 | 36 | 30 | 28 | 24 | 29 | 19 | 18 +EXPORTS | 1 | 7 | 15 +EQ | 6 | !ram/BACTr.D = nAS_FSB & !fsb/ASrf; ram/BACTr.CLK = FCLK; // GCK + ram/BACTr.EXP = !A_FSB<23> & !A_FSB<22> & !A_FSB<20> + # !A_FSB<23> & !A_FSB<22> & !A_FSB<18> + # !A_FSB<23> & !A_FSB<21> & !A_FSB<20> + # !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & !A_FSB<22> GLOBALS | 1 | 2 | FCLK -MACROCELL | 1 | 9 | ram/RefReq +MACROCELL | 0 | 3 | ram/RefReq ATTRIBUTES | 8553216 | 0 OUTPUTMC | 6 | 4 | 15 | 4 | 12 | 4 | 9 | 4 | 1 | 4 | 14 | 4 | 17 INPUTS | 2 | ram/RefDone | ram/RefReqSync -INPUTMC | 2 | 4 | 2 | 1 | 8 +INPUTMC | 2 | 4 | 2 | 0 | 2 EQ | 2 | ram/RefReq.D = !ram/RefDone & ram/RefReqSync; ram/RefReq.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 5 | 17 | iobm/IOBERR +MACROCELL | 3 | 17 | iobm/IOBERR ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 4 | 2 | 5 | 7 | 11 | 5 | 17 | 5 | 16 -INPUTS | 12 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd1 | iobm/IOS_FSM_FFd2 | iobm/IOBERR | C8M | nBERR_IOB | iobm/ETACK | iobm/BERRrf | iobm/BERRrr | iobm/DTACKrf | iobm/DTACKrr | iobm/nDinLE.EXP -INPUTMC | 10 | 0 | 17 | 0 | 13 | 5 | 9 | 5 | 17 | 5 | 0 | 0 | 11 | 0 | 10 | 0 | 9 | 0 | 8 | 5 | 16 +OUTPUTMC | 5 | 0 | 16 | 7 | 10 | 3 | 17 | 3 | 0 | 3 | 16 +INPUTS | 13 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd1 | iobm/IOS_FSM_FFd2 | iobm/IOBERR | C8M | nBERR_IOB | iobm/ETACK | iobm/BERRrf | iobm/BERRrr | iobm/DTACKrf | iobm/DTACKrr | EXP24_.EXP | cnt/LTimer<1>.EXP +INPUTMC | 11 | 5 | 4 | 3 | 9 | 5 | 12 | 3 | 17 | 5 | 0 | 3 | 3 | 3 | 2 | 1 | 16 | 1 | 15 | 3 | 0 | 3 | 16 INPUTP | 2 | 35 | 123 -IMPORTS | 1 | 5 | 16 -EQ | 26 | +IMPORTS | 2 | 3 | 0 | 3 | 16 +EQ | 27 | iobm/IOBERR.T = iobm/IOS_FSM_FFd3 & !iobm/IOS_FSM_FFd1 & !iobm/IOS_FSM_FFd2 & iobm/IOBERR # C8M & nBERR_IOB & iobm/IOS_FSM_FFd3 & @@ -1285,10 +1244,7 @@ EQ | 26 | # C8M & nBERR_IOB & iobm/IOS_FSM_FFd3 & iobm/IOS_FSM_FFd1 & iobm/IOS_FSM_FFd2 & iobm/IOBERR & iobm/DTACKrf & iobm/DTACKrr -;Imported pterms FB6_17 - # C8M & nBERR_IOB & iobm/IOS_FSM_FFd3 & - iobm/IOS_FSM_FFd1 & iobm/IOS_FSM_FFd2 & iobm/IOBERR & iobm/RESrf & - iobm/RESrr +;Imported pterms FB4_1 # C8M & !nBERR_IOB & iobm/IOS_FSM_FFd3 & iobm/IOS_FSM_FFd1 & iobm/IOS_FSM_FFd2 & !iobm/IOBERR & iobm/BERRrf & iobm/BERRrr @@ -1297,33 +1253,37 @@ EQ | 26 | iobm/DTACKrr # C8M & !nBERR_IOB & iobm/IOS_FSM_FFd3 & iobm/IOS_FSM_FFd1 & iobm/IOS_FSM_FFd2 & !iobm/IOBERR & iobm/RESrf & + iobm/RESrr +;Imported pterms FB4_17 + # C8M & nBERR_IOB & iobm/IOS_FSM_FFd3 & + iobm/IOS_FSM_FFd1 & iobm/IOS_FSM_FFd2 & iobm/IOBERR & iobm/RESrf & iobm/RESrr; iobm/IOBERR.CLK = C16M; // GCK GLOBALS | 1 | 2 | C16M -MACROCELL | 0 | 5 | iobm/IOREQr +MACROCELL | 1 | 12 | iobm/IOREQr ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 3 | 0 | 17 | 5 | 15 | 5 | 3 +OUTPUTMC | 3 | 5 | 4 | 5 | 17 | 5 | 3 INPUTS | 1 | iobs/IOREQ -INPUTMC | 1 | 7 | 13 +INPUTMC | 1 | 2 | 6 EQ | 2 | iobm/IOREQr.D = iobs/IOREQ; !iobm/IOREQr.CLK = C16M; // GCK GLOBALS | 1 | 2 | C16M -MACROCELL | 1 | 8 | ram/RefReqSync +MACROCELL | 0 | 2 | ram/RefReqSync ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 2 | 4 | 2 | 1 | 9 +OUTPUTMC | 2 | 4 | 2 | 0 | 3 INPUTS | 1 | cnt/RefReq -INPUTMC | 1 | 3 | 14 +INPUTMC | 1 | 0 | 17 EQ | 2 | ram/RefReqSync.D = cnt/RefReq; ram/RefReqSync.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 0 | 12 | cnt/IPL2r +MACROCELL | 3 | 8 | cnt/IPL2r ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 2 | 7 | 14 | 7 | 0 +OUTPUTMC | 2 | 7 | 13 | 3 | 6 INPUTS | 1 | nIPL2 INPUTP | 1 | 146 EQ | 2 | @@ -1331,11 +1291,11 @@ EQ | 2 | !cnt/IPL2r.CLK = C8M; // GCK GLOBALS | 1 | 2 | C8M -MACROCELL | 3 | 0 | cnt/nRESout +MACROCELL | 3 | 11 | cnt/nRESout ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 2 | 3 | 0 | 3 | 7 +OUTPUTMC | 2 | 3 | 11 | 3 | 7 INPUTS | 4 | cnt/LTimer<13> | cnt/PORS_FSM_FFd1 | cnt/PORS_FSM_FFd2 | cnt/nRESout -INPUTMC | 4 | 6 | 0 | 7 | 0 | 3 | 6 | 3 | 0 +INPUTMC | 4 | 6 | 0 | 3 | 6 | 3 | 12 | 3 | 11 EQ | 5 | cnt/nRESout.D = cnt/LTimer<13> & cnt/PORS_FSM_FFd1 & !cnt/PORS_FSM_FFd2 @@ -1346,18 +1306,18 @@ GLOBALS | 1 | 2 | C8M MACROCELL | 5 | 0 | iobm/ETACK ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 3 | 5 | 9 | 5 | 17 | 5 | 15 +OUTPUTMC | 3 | 5 | 12 | 3 | 17 | 5 | 17 INPUTS | 6 | nVMA_IOB | iobm/ES<0> | iobm/ES<1> | iobm/ES<2> | iobm/ES<3> | iobm/ES<4> -INPUTMC | 6 | 5 | 1 | 5 | 6 | 5 | 5 | 5 | 12 | 5 | 4 | 5 | 7 +INPUTMC | 6 | 5 | 1 | 5 | 7 | 5 | 6 | 5 | 15 | 5 | 5 | 5 | 9 EQ | 3 | iobm/ETACK.D = !nVMA_IOB & !iobm/ES<0> & !iobm/ES<1> & !iobm/ES<2> & !iobm/ES<3> & iobm/ES<4>; iobm/ETACK.CLK = C16M; // GCK GLOBALS | 1 | 2 | C16M -MACROCELL | 0 | 7 | iobm/Er +MACROCELL | 1 | 14 | iobm/Er ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 6 | 5 | 6 | 5 | 5 | 5 | 12 | 5 | 4 | 5 | 7 | 0 | 6 +OUTPUTMC | 6 | 5 | 7 | 5 | 6 | 5 | 15 | 5 | 5 | 5 | 9 | 1 | 13 INPUTS | 1 | E INPUTP | 1 | 37 EQ | 2 | @@ -1365,13 +1325,13 @@ EQ | 2 | !iobm/Er.CLK = C8M; // GCK GLOBALS | 1 | 2 | C8M -MACROCELL | 5 | 15 | iobm/IOACT +MACROCELL | 5 | 17 | iobm/IOACT ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 2 | 5 | 1 | 1 | 12 -INPUTS | 11 | iobm/IOS_FSM_FFd1 | iobm/IOS_FSM_FFd2 | iobm/IOS_FSM_FFd3 | iobm/IOREQr | C8M | iobm/ETACK | iobm/BERRrf | iobm/BERRrr | iobm/DTACKrf | iobm/DTACKrr | nADoutLE0_OBUF.EXP -INPUTMC | 10 | 0 | 13 | 5 | 9 | 0 | 17 | 0 | 5 | 5 | 0 | 0 | 11 | 0 | 10 | 0 | 9 | 0 | 8 | 5 | 14 +OUTPUTMC | 2 | 5 | 1 | 0 | 5 +INPUTS | 11 | iobm/IOS_FSM_FFd1 | iobm/IOS_FSM_FFd2 | iobm/IOS_FSM_FFd3 | iobm/IOREQr | C8M | iobm/ETACK | iobm/BERRrf | iobm/BERRrr | iobm/DTACKrf | iobm/DTACKrr | iobm/nDinLE.EXP +INPUTMC | 10 | 3 | 9 | 5 | 12 | 5 | 4 | 1 | 12 | 5 | 0 | 3 | 3 | 3 | 2 | 1 | 16 | 1 | 15 | 5 | 16 INPUTP | 1 | 35 -IMPORTS | 1 | 5 | 14 +IMPORTS | 1 | 5 | 16 EQ | 13 | !iobm/IOACT.D = iobm/IOS_FSM_FFd1 & !iobm/IOS_FSM_FFd2 # !iobm/IOS_FSM_FFd3 & !iobm/IOS_FSM_FFd2 & @@ -1382,17 +1342,17 @@ EQ | 13 | iobm/BERRrf & iobm/BERRrr # C8M & iobm/IOS_FSM_FFd3 & iobm/IOS_FSM_FFd1 & iobm/DTACKrf & iobm/DTACKrr -;Imported pterms FB6_15 +;Imported pterms FB6_17 # C8M & iobm/IOS_FSM_FFd3 & iobm/IOS_FSM_FFd1 & iobm/RESrf & iobm/RESrr; iobm/IOACT.CLK = C16M; // GCK GLOBALS | 1 | 2 | C16M -MACROCELL | 3 | 14 | cnt/RefReq +MACROCELL | 0 | 17 | cnt/RefReq ATTRIBUTES | 8520480 | 0 -OUTPUTMC | 1 | 1 | 8 +OUTPUTMC | 1 | 0 | 2 INPUTS | 5 | cnt/Timer<1> | cnt/Timer<3> | cnt/Timer<2> | cnt/Timer<0> | E -INPUTMC | 4 | 3 | 12 | 3 | 9 | 3 | 11 | 3 | 3 +INPUTMC | 4 | 0 | 14 | 0 | 12 | 0 | 13 | 1 | 17 INPUTP | 1 | 37 EQ | 5 | cnt/RefReq.D = cnt/Timer<1> & !cnt/Timer<3> @@ -1401,11 +1361,11 @@ EQ | 5 | cnt/Timer<3>; cnt/RefReq.CLK = E; -MACROCELL | 3 | 13 | cnt/RefUrgent +MACROCELL | 0 | 15 | cnt/RefUrgent ATTRIBUTES | 8520480 | 0 -OUTPUTMC | 1 | 1 | 6 +OUTPUTMC | 1 | 0 | 0 INPUTS | 5 | cnt/Timer<1> | cnt/Timer<2> | cnt/Timer<3> | cnt/Timer<0> | E -INPUTMC | 4 | 3 | 12 | 3 | 11 | 3 | 9 | 3 | 3 +INPUTMC | 4 | 0 | 14 | 0 | 13 | 0 | 12 | 1 | 17 INPUTP | 1 | 37 EQ | 4 | cnt/RefUrgent.D = cnt/Timer<1> & cnt/Timer<2> & !cnt/Timer<3> @@ -1413,9 +1373,9 @@ EQ | 4 | cnt/Timer<3>; cnt/RefUrgent.CLK = E; -MACROCELL | 1 | 14 | fsb/ASrf +MACROCELL | 0 | 6 | fsb/ASrf ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 31 | 4 | 3 | 7 | 16 | 2 | 14 | 4 | 7 | 2 | 4 | 2 | 9 | 2 | 1 | 2 | 10 | 2 | 6 | 2 | 5 | 7 | 11 | 4 | 0 | 4 | 6 | 4 | 12 | 7 | 6 | 7 | 1 | 4 | 9 | 7 | 9 | 1 | 11 | 7 | 13 | 4 | 16 | 2 | 0 | 2 | 2 | 2 | 13 | 2 | 15 | 2 | 16 | 2 | 17 | 4 | 1 | 4 | 5 | 4 | 8 | 4 | 11 +OUTPUTMC | 34 | 7 | 0 | 4 | 3 | 2 | 14 | 4 | 7 | 4 | 6 | 2 | 9 | 2 | 0 | 2 | 10 | 0 | 16 | 2 | 7 | 7 | 11 | 4 | 0 | 7 | 7 | 4 | 12 | 7 | 12 | 7 | 9 | 4 | 9 | 7 | 15 | 7 | 16 | 2 | 5 | 4 | 16 | 2 | 1 | 2 | 2 | 2 | 4 | 2 | 13 | 2 | 15 | 2 | 16 | 2 | 17 | 4 | 1 | 4 | 8 | 4 | 11 | 7 | 1 | 7 | 10 | 7 | 17 INPUTS | 1 | nAS_FSB INPUTP | 1 | 54 EQ | 2 | @@ -1427,7 +1387,7 @@ MACROCELL | 5 | 3 | iobm/ALE0 ATTRIBUTES | 8553216 | 0 OUTPUTMC | 1 | 5 | 14 INPUTS | 4 | iobm/IOS_FSM_FFd1 | iobm/IOS_FSM_FFd2 | iobm/IOS_FSM_FFd3 | iobm/IOREQr -INPUTMC | 4 | 0 | 13 | 5 | 9 | 0 | 17 | 0 | 5 +INPUTMC | 4 | 3 | 9 | 5 | 12 | 5 | 4 | 1 | 12 EQ | 4 | !iobm/ALE0.D = iobm/IOS_FSM_FFd1 & !iobm/IOS_FSM_FFd2 # !iobm/IOS_FSM_FFd3 & !iobm/IOS_FSM_FFd2 & @@ -1435,9 +1395,9 @@ EQ | 4 | iobm/ALE0.CLK = C16M; // GCK GLOBALS | 1 | 2 | C16M -MACROCELL | 0 | 11 | iobm/BERRrf +MACROCELL | 3 | 3 | iobm/BERRrf ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 4 | 5 | 9 | 5 | 17 | 5 | 15 | 5 | 16 +OUTPUTMC | 4 | 5 | 12 | 3 | 17 | 5 | 17 | 3 | 0 INPUTS | 1 | nBERR_IOB INPUTP | 1 | 123 EQ | 2 | @@ -1445,9 +1405,9 @@ EQ | 2 | !iobm/BERRrf.CLK = C16M; // GCK GLOBALS | 1 | 2 | C16M -MACROCELL | 0 | 10 | iobm/BERRrr +MACROCELL | 3 | 2 | iobm/BERRrr ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 4 | 5 | 9 | 5 | 17 | 5 | 15 | 5 | 16 +OUTPUTMC | 4 | 5 | 12 | 3 | 17 | 5 | 17 | 3 | 0 INPUTS | 1 | nBERR_IOB INPUTP | 1 | 123 EQ | 2 | @@ -1455,9 +1415,9 @@ EQ | 2 | iobm/BERRrr.CLK = C16M; // GCK GLOBALS | 1 | 2 | C16M -MACROCELL | 0 | 9 | iobm/DTACKrf +MACROCELL | 1 | 16 | iobm/DTACKrf ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 4 | 5 | 9 | 5 | 17 | 5 | 15 | 5 | 16 +OUTPUTMC | 4 | 5 | 12 | 3 | 17 | 5 | 17 | 3 | 0 INPUTS | 1 | nDTACK_IOB INPUTP | 1 | 127 EQ | 2 | @@ -1465,9 +1425,9 @@ EQ | 2 | !iobm/DTACKrf.CLK = C16M; // GCK GLOBALS | 1 | 2 | C16M -MACROCELL | 0 | 8 | iobm/DTACKrr +MACROCELL | 1 | 15 | iobm/DTACKrr ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 4 | 5 | 9 | 5 | 17 | 5 | 15 | 5 | 16 +OUTPUTMC | 4 | 5 | 12 | 3 | 17 | 5 | 17 | 3 | 0 INPUTS | 1 | nDTACK_IOB INPUTP | 1 | 127 EQ | 2 | @@ -1479,26 +1439,26 @@ MACROCELL | 5 | 2 | iobm/DoutOE ATTRIBUTES | 8553216 | 0 OUTPUTMC | 1 | 3 | 4 INPUTS | 3 | iobs/IORW0 | iobm/IOS_FSM_FFd2 | iobm/IOS_FSM_FFd3 -INPUTMC | 3 | 2 | 14 | 5 | 9 | 0 | 17 +INPUTMC | 3 | 2 | 14 | 5 | 12 | 5 | 4 EQ | 3 | iobm/DoutOE.D = iobs/IORW0 & iobm/IOS_FSM_FFd3 # iobs/IORW0 & iobm/IOS_FSM_FFd2; iobm/DoutOE.CLK = C16M; // GCK GLOBALS | 1 | 2 | C16M -MACROCELL | 0 | 6 | iobm/Er2 +MACROCELL | 1 | 13 | iobm/Er2 ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 5 | 5 | 6 | 5 | 5 | 5 | 12 | 5 | 4 | 5 | 7 +OUTPUTMC | 5 | 5 | 7 | 5 | 6 | 5 | 15 | 5 | 5 | 5 | 9 INPUTS | 1 | iobm/Er -INPUTMC | 1 | 0 | 7 +INPUTMC | 1 | 1 | 14 EQ | 2 | iobm/Er2.D = iobm/Er; iobm/Er2.CLK = C16M; // GCK GLOBALS | 1 | 2 | C16M -MACROCELL | 0 | 4 | iobm/RESrf +MACROCELL | 1 | 11 | iobm/RESrf ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 3 | 5 | 9 | 5 | 16 | 5 | 14 +OUTPUTMC | 4 | 5 | 12 | 3 | 16 | 5 | 16 | 3 | 0 INPUTS | 1 | nRES.PIN INPUTP | 1 | 145 EQ | 2 | @@ -1506,9 +1466,9 @@ EQ | 2 | !iobm/RESrf.CLK = C16M; // GCK GLOBALS | 1 | 2 | C16M -MACROCELL | 0 | 3 | iobm/RESrr +MACROCELL | 1 | 10 | iobm/RESrr ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 3 | 5 | 9 | 5 | 16 | 5 | 14 +OUTPUTMC | 4 | 5 | 12 | 3 | 16 | 5 | 16 | 3 | 0 INPUTS | 1 | nRES.PIN INPUTP | 1 | 145 EQ | 2 | @@ -1516,7 +1476,7 @@ EQ | 2 | iobm/RESrr.CLK = C16M; // GCK GLOBALS | 1 | 2 | C16M -MACROCELL | 0 | 2 | iobm/VPArf +MACROCELL | 1 | 9 | iobm/VPArf ATTRIBUTES | 8553216 | 0 OUTPUTMC | 1 | 5 | 1 INPUTS | 1 | nVPA_IOB @@ -1526,7 +1486,7 @@ EQ | 2 | !iobm/VPArf.CLK = C16M; // GCK GLOBALS | 1 | 2 | C16M -MACROCELL | 0 | 1 | iobm/VPArr +MACROCELL | 1 | 8 | iobm/VPArr ATTRIBUTES | 8553216 | 0 OUTPUTMC | 1 | 5 | 1 INPUTS | 1 | nVPA_IOB @@ -1536,83 +1496,83 @@ EQ | 2 | iobm/VPArr.CLK = C16M; // GCK GLOBALS | 1 | 2 | C16M -MACROCELL | 0 | 0 | iobm/nASr -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 1 | 0 | 14 -INPUTS | 2 | nAS_IOB | nAoutOE -INPUTMC | 2 | 5 | 11 | 3 | 1 -EQ | 2 | - !iobm/nASr.D = !nAS_IOB & nAoutOE; - iobm/nASr.CLK = C16M; // GCK -GLOBALS | 1 | 2 | C16M - -MACROCELL | 1 | 13 | iobs/ALE0 +MACROCELL | 7 | 3 | iobs/ALE0 ATTRIBUTES | 8553216 | 0 OUTPUTMC | 1 | 5 | 14 INPUTS | 2 | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 -INPUTMC | 2 | 7 | 6 | 1 | 15 +INPUTMC | 2 | 7 | 13 | 7 | 6 EQ | 2 | iobs/ALE0.D = iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1; iobs/ALE0.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 2 | 4 | iobs/Clear1 +MACROCELL | 7 | 2 | iobs/Clear1 ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 2 | 5 | 13 | 2 | 5 -INPUTS | 5 | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | nADoutLE1 | nAS_FSB | fsb/ASrf -INPUTMC | 4 | 7 | 6 | 1 | 15 | 5 | 13 | 1 | 14 -INPUTP | 1 | 54 -EXPORTS | 1 | 2 | 5 +OUTPUTMC | 2 | 5 | 13 | 7 | 1 +INPUTS | 4 | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | nADoutLE1 | A_FSB<19> +INPUTMC | 3 | 7 | 13 | 7 | 6 | 5 | 13 +INPUTP | 1 | 26 +EXPORTS | 1 | 7 | 1 EQ | 3 | iobs/Clear1.D = iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & !nADoutLE1; iobs/Clear1.CLK = FCLK; // GCK - iobs/Clear1.EXP = nAS_FSB & !fsb/ASrf + iobs/Clear1.EXP = A_FSB<19> GLOBALS | 1 | 2 | FCLK -MACROCELL | 7 | 13 | iobs/IOREQ +MACROCELL | 2 | 6 | iobs/IOREQ ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 1 | 0 | 5 -INPUTS | 11 | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | iobs/IOACTr | iobs/Once | nADoutLE1 | A_FSB<23> | A_FSB<20> | nAS_FSB | fsb/ASrf | EXP26_.EXP | cnt/nBR_IOB.EXP -INPUTMC | 8 | 7 | 6 | 1 | 15 | 1 | 12 | 7 | 16 | 5 | 13 | 1 | 14 | 7 | 12 | 7 | 14 -INPUTP | 3 | 36 | 28 | 54 -IMPORTS | 2 | 7 | 12 | 7 | 14 -EQ | 29 | - !iobs/IOREQ.D = !iobs/PS_FSM_FFd2 & iobs/PS_FSM_FFd1 - # iobs/PS_FSM_FFd1 & iobs/IOACTr - # iobs/Once & !iobs/PS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & !A_FSB<20> & !iobs/PS_FSM_FFd2 & - nADoutLE1 - # nAS_FSB & !iobs/PS_FSM_FFd2 & !fsb/ASrf & - nADoutLE1 -;Imported pterms FB8_13 - # !A_FSB<23> & !A_FSB<22> & !A_FSB<21> & - !iobs/PS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay1 & - !iobs/PS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & A_FSB<21> & !A_FSB<19> & - !iobs/PS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & A_FSB<21> & !A_FSB<16> & - !iobs/PS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & A_FSB<21> & nWE_FSB & - !iobs/PS_FSM_FFd2 & nADoutLE1 -;Imported pterms FB8_12 - # !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & A_FSB<21> & - !iobs/PS_FSM_FFd2 & nADoutLE1 -;Imported pterms FB8_15 - # !A_FSB<23> & A_FSB<21> & !A_FSB<18> & - !iobs/PS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & A_FSB<21> & !A_FSB<17> & - !iobs/PS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - cs/nOverlay1 & !iobs/PS_FSM_FFd2 & nADoutLE1; +OUTPUTMC | 2 | 1 | 12 | 2 | 7 +INPUTS | 23 | iobs/PS_FSM_FFd1 | nADoutLE1 | iobs/PS_FSM_FFd2 | iobs/IOACTr | A_FSB<9> | A_FSB<8> | A_FSB<15> | A_FSB<14> | A_FSB<13> | A_FSB<12> | A_FSB<11> | A_FSB<10> | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | fsb/Ready1r | iobs/IOReady | EXP17_.EXP +INPUTMC | 7 | 7 | 6 | 5 | 13 | 7 | 13 | 0 | 5 | 2 | 9 | 0 | 16 | 2 | 5 +INPUTP | 16 | 12 | 11 | 21 | 19 | 18 | 17 | 15 | 13 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 +EXPORTS | 1 | 2 | 7 +IMPORTS | 1 | 2 | 5 +EQ | 38 | + iobs/IOREQ.D = iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 + # iobs/PS_FSM_FFd2 & !iobs/IOACTr + # !iobs/PS_FSM_FFd1 & !nADoutLE1 +;Imported pterms FB3_6 + # A_FSB<23> & !iobs/Once & !nAS_FSB & + !iobs/PS_FSM_FFd1 + # A_FSB<23> & !iobs/Once & !iobs/PS_FSM_FFd1 & + fsb/ASrf + # A_FSB<22> & A_FSB<21> & !iobs/Once & !nAS_FSB & + !iobs/PS_FSM_FFd1 + # A_FSB<22> & A_FSB<21> & !iobs/Once & + !iobs/PS_FSM_FFd1 & fsb/ASrf + # A_FSB<22> & A_FSB<20> & !iobs/Once & !nAS_FSB & + !iobs/PS_FSM_FFd1 +;Imported pterms FB3_5 + # A_FSB<22> & A_FSB<20> & !iobs/Once & + !iobs/PS_FSM_FFd1 & fsb/ASrf + # A_FSB<14> & A_FSB<21> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & !iobs/Once & + cs/nOverlay1 & !nAS_FSB & !iobs/PS_FSM_FFd1 + # A_FSB<14> & A_FSB<21> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & !iobs/Once & + cs/nOverlay1 & !iobs/PS_FSM_FFd1 & fsb/ASrf + # A_FSB<13> & A_FSB<21> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & !iobs/Once & + cs/nOverlay1 & !nAS_FSB & !iobs/PS_FSM_FFd1 + # A_FSB<13> & A_FSB<21> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & !iobs/Once & + cs/nOverlay1 & !iobs/PS_FSM_FFd1 & fsb/ASrf; iobs/IOREQ.CLK = FCLK; // GCK + iobs/IOREQ.EXP = A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & + A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & + A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & fsb/Ready1r + # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & + A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & + A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & iobs/IOReady GLOBALS | 1 | 2 | FCLK MACROCELL | 4 | 16 | ram/RAMReady ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 5 | 2 | 5 | 2 | 1 | 2 | 7 | 2 | 0 | 4 | 17 -INPUTS | 13 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd2 | ram/RefUrgent | ram/RS_FSM_FFd3 | nAS_FSB | fsb/ASrf | A_FSB<23> | ram/BACTr | A_FSB<22> | A_FSB<21> | cs/nOverlay1 | ram/RAMEN | EXP22_.EXP -INPUTMC | 9 | 4 | 6 | 4 | 12 | 1 | 7 | 7 | 2 | 1 | 14 | 1 | 11 | 4 | 3 | 4 | 9 | 4 | 15 +OUTPUTMC | 5 | 4 | 6 | 2 | 8 | 2 | 7 | 2 | 17 | 4 | 17 +INPUTS | 13 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd2 | ram/RefUrgent | ram/RS_FSM_FFd3 | nAS_FSB | fsb/ASrf | A_FSB<23> | ram/BACTr | A_FSB<22> | A_FSB<21> | cs/nOverlay1 | ram/RAMEN | EXP25_.EXP +INPUTMC | 9 | 7 | 8 | 4 | 12 | 0 | 1 | 7 | 10 | 0 | 6 | 7 | 16 | 4 | 3 | 4 | 9 | 4 | 15 INPUTP | 4 | 54 | 36 | 30 | 29 EXPORTS | 1 | 4 | 17 IMPORTS | 1 | 4 | 15 @@ -1653,21 +1613,21 @@ EQ | 34 | fsb/ASrf GLOBALS | 1 | 2 | FCLK -MACROCELL | 1 | 10 | ram/RefRAS +MACROCELL | 0 | 4 | ram/RefRAS ATTRIBUTES | 8553216 | 0 OUTPUTMC | 1 | 7 | 4 INPUTS | 2 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd2 -INPUTMC | 2 | 4 | 6 | 4 | 12 +INPUTMC | 2 | 7 | 8 | 4 | 12 EQ | 2 | ram/RefRAS.D = !ram/RS_FSM_FFd1 & ram/RS_FSM_FFd2; ram/RefRAS.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 1 | 6 | ram/RegUrgentSync +MACROCELL | 0 | 0 | ram/RegUrgentSync ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 1 | 1 | 7 +OUTPUTMC | 1 | 0 | 1 INPUTS | 1 | cnt/RefUrgent -INPUTMC | 1 | 3 | 13 +INPUTMC | 1 | 0 | 15 EQ | 2 | ram/RegUrgentSync.D = cnt/RefUrgent; ram/RegUrgentSync.CLK = FCLK; // GCK @@ -1720,7 +1680,7 @@ MACROCELL | 4 | 8 | RA_4_OBUF ATTRIBUTES | 264962 | 0 OUTPUTMC | 1 | 4 | 9 INPUTS | 11 | A_FSB<14> | ram/RASEL | A_FSB<23> | A_FSB<22> | cs/nOverlay1 | nAS_FSB | ram/RS_FSM_FFd1 | ram/RAMEN | fsb/ASrf | A_FSB<21> | cs/nOverlay0.EXP -INPUTMC | 6 | 4 | 0 | 4 | 3 | 4 | 6 | 4 | 9 | 1 | 14 | 4 | 7 +INPUTMC | 6 | 4 | 0 | 4 | 3 | 7 | 8 | 4 | 9 | 0 | 6 | 4 | 7 INPUTP | 5 | 19 | 36 | 30 | 54 | 29 EXPORTS | 1 | 4 | 9 IMPORTS | 1 | 4 | 7 @@ -1741,7 +1701,7 @@ MACROCELL | 4 | 11 | RA_5_OBUF ATTRIBUTES | 264962 | 0 OUTPUTMC | 1 | 4 | 12 INPUTS | 10 | nAS_FSB | ram/RS_FSM_FFd2 | ram/RefUrgent | ram/RS_FSM_FFd3 | fsb/ASrf | A_FSB<23> | A_FSB<22> | cs/nOverlay1 | A_FSB<21> | RA_3_OBUF.EXP -INPUTMC | 6 | 4 | 12 | 1 | 7 | 7 | 2 | 1 | 14 | 4 | 3 | 4 | 10 +INPUTMC | 6 | 4 | 12 | 0 | 1 | 7 | 10 | 0 | 6 | 4 | 3 | 4 | 10 INPUTP | 4 | 54 | 36 | 30 | 29 EXPORTS | 1 | 4 | 12 IMPORTS | 1 | 4 | 10 @@ -1764,7 +1724,7 @@ MACROCELL | 4 | 14 | RA_6_OBUF ATTRIBUTES | 264962 | 0 OUTPUTMC | 1 | 4 | 15 INPUTS | 12 | A_FSB<16> | ram/RASEL | A_FSB<22> | cs/nOverlay1 | ram/RS_FSM_FFd2 | ram/RefUrgent | ram/RS_FSM_FFd3 | ram/RefReq | A_FSB<23> | ram/RAMEN | A_FSB<21> | RA_2_OBUF.EXP -INPUTMC | 8 | 4 | 0 | 4 | 3 | 4 | 12 | 1 | 7 | 7 | 2 | 1 | 9 | 4 | 9 | 4 | 13 +INPUTMC | 8 | 4 | 0 | 4 | 3 | 4 | 12 | 0 | 1 | 7 | 10 | 0 | 3 | 4 | 9 | 4 | 13 INPUTP | 4 | 22 | 30 | 36 | 29 EXPORTS | 1 | 4 | 15 IMPORTS | 1 | 4 | 13 @@ -1821,56 +1781,40 @@ EQ | 2 | MACROCELL | 3 | 4 | nDoutOE_OBUF ATTRIBUTES | 264962 | 0 -INPUTS | 2 | nAoutOE | iobm/DoutOE -INPUTMC | 2 | 3 | 1 | 5 | 2 +INPUTS | 2 | iobm/DoutOE | nAoutOE +INPUTMC | 2 | 5 | 2 | 3 | 1 EQ | 1 | - !nDoutOE = !nAoutOE & iobm/DoutOE; + !nDoutOE = iobm/DoutOE & !nAoutOE; MACROCELL | 4 | 5 | nOE_OBUF ATTRIBUTES | 264962 | 0 -OUTPUTMC | 1 | 4 | 6 -INPUTS | 11 | nWE_FSB | nAS_FSB | A_FSB<23> | A_FSB<22> | cs/nOverlay1 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd2 | ram/RefUrgent | ram/RAMEN | fsb/ASrf | A_FSB<21> -INPUTMC | 6 | 4 | 3 | 4 | 6 | 4 | 12 | 1 | 7 | 4 | 9 | 1 | 14 -INPUTP | 5 | 47 | 54 | 36 | 30 | 29 -EXPORTS | 1 | 4 | 6 -EQ | 10 | +INPUTS | 2 | nWE_FSB | nAS_FSB +INPUTP | 2 | 47 | 54 +EQ | 1 | !nOE = nWE_FSB & !nAS_FSB; - nOE_OBUF.EXP = !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & - !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & ram/RefUrgent & !ram/RAMEN & - fsb/ASrf - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - !cs/nOverlay1 & !nAS_FSB & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & - ram/RefUrgent & !ram/RAMEN - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - !cs/nOverlay1 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & - ram/RefUrgent & !ram/RAMEN & fsb/ASrf MACROCELL | 2 | 16 | nROMWE_OBUF ATTRIBUTES | 264962 | 0 OUTPUTMC | 1 | 2 | 15 -INPUTS | 18 | nWE_FSB | nAS_FSB | A_FSB<14> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | cs/nOverlay1 | iobs/Once | iobs/IORW0 | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | fsb/ASrf | nADoutLE1 | A_FSB<13> -INPUTMC | 7 | 4 | 3 | 7 | 16 | 2 | 14 | 7 | 6 | 1 | 15 | 1 | 14 | 5 | 13 -INPUTP | 11 | 47 | 54 | 19 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 18 +INPUTS | 16 | nWE_FSB | nAS_FSB | A_FSB<14> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | iobs/Once | cs/nOverlay1 | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | fsb/ASrf | nADoutLE1 | A_FSB<13> +INPUTMC | 6 | 7 | 0 | 4 | 3 | 7 | 13 | 7 | 6 | 0 | 6 | 5 | 13 +INPUTP | 10 | 47 | 54 | 19 | 29 | 28 | 26 | 24 | 23 | 22 | 18 EXPORTS | 1 | 2 | 15 -EQ | 13 | +EQ | 9 | !nROMWE = !nWE_FSB & !nAS_FSB; - nROMWE_OBUF.EXP = A_FSB<14> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & - cs/nOverlay1 & !iobs/Once & iobs/IORW0 & !iobs/PS_FSM_FFd2 & - !iobs/PS_FSM_FFd1 & fsb/ASrf & nADoutLE1 - # A_FSB<13> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & - cs/nOverlay1 & !iobs/Once & iobs/IORW0 & !nAS_FSB & - !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 - # A_FSB<13> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & - cs/nOverlay1 & !iobs/Once & iobs/IORW0 & !iobs/PS_FSM_FFd2 & - !iobs/PS_FSM_FFd1 & fsb/ASrf & nADoutLE1 + nROMWE_OBUF.EXP = A_FSB<14> & A_FSB<21> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & !iobs/Once & + cs/nOverlay1 & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf & + nADoutLE1 + # A_FSB<13> & A_FSB<21> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & !iobs/Once & + cs/nOverlay1 & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf & + nADoutLE1 MACROCELL | 3 | 10 | nVPA_FSB_OBUF ATTRIBUTES | 264962 | 0 INPUTS | 2 | fsb/VPA | nAS_FSB -INPUTMC | 1 | 2 | 1 +INPUTMC | 1 | 2 | 0 INPUTP | 1 | 54 EQ | 1 | !nVPA_FSB = fsb/VPA & !nAS_FSB; @@ -1878,38 +1822,23 @@ EQ | 1 | MACROCELL | 5 | 16 | iobm/nDinLE ATTRIBUTES | 8684290 | 0 OUTPUTMC | 1 | 5 | 17 -INPUTS | 12 | iobm/IOS_FSM_FFd1 | iobm/IOS_FSM_FFd2 | C8M | nBERR_IOB | iobm/IOS_FSM_FFd3 | iobm/IOBERR | iobm/RESrf | iobm/RESrr | iobm/BERRrf | iobm/BERRrr | iobm/DTACKrf | iobm/DTACKrr -INPUTMC | 10 | 0 | 13 | 5 | 9 | 0 | 17 | 5 | 17 | 0 | 4 | 0 | 3 | 0 | 11 | 0 | 10 | 0 | 9 | 0 | 8 -INPUTP | 2 | 35 | 123 +INPUTS | 6 | iobm/IOS_FSM_FFd1 | iobm/IOS_FSM_FFd2 | C8M | iobm/IOS_FSM_FFd3 | iobm/RESrf | iobm/RESrr +INPUTMC | 5 | 3 | 9 | 5 | 12 | 5 | 4 | 1 | 11 | 1 | 10 +INPUTP | 1 | 35 EXPORTS | 1 | 5 | 17 -EQ | 14 | +EQ | 4 | nDinLE.D = iobm/IOS_FSM_FFd1 & iobm/IOS_FSM_FFd2; !nDinLE.CLK = C16M; // GCK - iobm/nDinLE.EXP = C8M & nBERR_IOB & iobm/IOS_FSM_FFd3 & - iobm/IOS_FSM_FFd1 & iobm/IOS_FSM_FFd2 & iobm/IOBERR & iobm/RESrf & - iobm/RESrr - # C8M & !nBERR_IOB & iobm/IOS_FSM_FFd3 & - iobm/IOS_FSM_FFd1 & iobm/IOS_FSM_FFd2 & !iobm/IOBERR & iobm/BERRrf & - iobm/BERRrr - # C8M & !nBERR_IOB & iobm/IOS_FSM_FFd3 & - iobm/IOS_FSM_FFd1 & iobm/IOS_FSM_FFd2 & !iobm/IOBERR & iobm/DTACKrf & - iobm/DTACKrr - # C8M & !nBERR_IOB & iobm/IOS_FSM_FFd3 & - iobm/IOS_FSM_FFd1 & iobm/IOS_FSM_FFd2 & !iobm/IOBERR & iobm/RESrf & - iobm/RESrr + iobm/nDinLE.EXP = C8M & iobm/IOS_FSM_FFd3 & iobm/IOS_FSM_FFd1 & + iobm/RESrf & iobm/RESrr GLOBALS | 1 | 2 | C16M MACROCELL | 5 | 14 | nADoutLE0_OBUF ATTRIBUTES | 264962 | 0 -OUTPUTMC | 1 | 5 | 15 -INPUTS | 7 | iobm/ALE0 | iobs/ALE0 | C8M | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd1 | iobm/RESrf | iobm/RESrr -INPUTMC | 6 | 5 | 3 | 1 | 13 | 0 | 17 | 0 | 13 | 0 | 4 | 0 | 3 -INPUTP | 1 | 35 -EXPORTS | 1 | 5 | 15 -EQ | 3 | +INPUTS | 2 | iobm/ALE0 | iobs/ALE0 +INPUTMC | 2 | 5 | 3 | 7 | 3 +EQ | 1 | nADoutLE0 = !iobm/ALE0 & !iobs/ALE0; - nADoutLE0_OBUF.EXP = C8M & iobm/IOS_FSM_FFd3 & iobm/IOS_FSM_FFd1 & - iobm/RESrf & iobm/RESrr MACROCELL | 3 | 5 | nDinOE_OBUF ATTRIBUTES | 264962 | 0 @@ -1917,23 +1846,20 @@ INPUTS | 6 | A_FSB<23> | nWE_FSB | nAS_FSB | A_FSB<22> | A_FSB<21> | A_FSB< INPUTP | 6 | 36 | 47 | 54 | 30 | 29 | 28 EQ | 3 | nDinOE = A_FSB<23> & nWE_FSB & !nAS_FSB - # A_FSB<22> & !A_FSB<21> & A_FSB<20> & nWE_FSB & - !nAS_FSB; + # A_FSB<22> & A_FSB<21> & nWE_FSB & !nAS_FSB + # A_FSB<22> & A_FSB<20> & nWE_FSB & !nAS_FSB; MACROCELL | 7 | 4 | nRAS_OBUF ATTRIBUTES | 264962 | 0 -OUTPUTMC | 1 | 7 | 5 -INPUTS | 9 | ram/RefRAS | A_FSB<23> | A_FSB<22> | cs/nOverlay1 | nAS_FSB | ram/RAMEN | A_FSB<21> | nWE_FSB | nLDS_FSB -INPUTMC | 3 | 1 | 10 | 4 | 3 | 4 | 9 -INPUTP | 6 | 36 | 30 | 54 | 29 | 47 | 49 -EXPORTS | 1 | 7 | 5 -EQ | 6 | +INPUTS | 7 | ram/RefRAS | A_FSB<23> | A_FSB<22> | cs/nOverlay1 | nAS_FSB | ram/RAMEN | A_FSB<21> +INPUTMC | 3 | 0 | 4 | 4 | 3 | 4 | 9 +INPUTP | 4 | 36 | 30 | 54 | 29 +EQ | 5 | !nRAS = ram/RefRAS # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !nAS_FSB & ram/RAMEN # !A_FSB<23> & A_FSB<22> & A_FSB<21> & !cs/nOverlay1 & !nAS_FSB & ram/RAMEN; - nRAS_OBUF.EXP = !nWE_FSB & !nLDS_FSB & !nAS_FSB & ram/RAMEN MACROCELL | 4 | 4 | ram/nCAS ATTRIBUTES | 8684290 | 0 @@ -1947,28 +1873,37 @@ GLOBALS | 1 | 2 | FCLK MACROCELL | 3 | 7 | N0 ATTRIBUTES | 265986 | 0 INPUTS | 1 | cnt/nRESout -INPUTMC | 1 | 3 | 0 +INPUTMC | 1 | 3 | 11 EQ | 2 | nRES = Gnd; nRES.OE = !cnt/nRESout; MACROCELL | 7 | 1 | A_FSB_19_IBUF$BUF0 ATTRIBUTES | 264962 | 0 -OUTPUTMC | 1 | 7 | 2 -INPUTS | 8 | A_FSB<19> | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd3 | ram/RefUrgent | A_FSB<23> | nAS_FSB | fsb/ASrf -INPUTMC | 5 | 4 | 6 | 4 | 12 | 7 | 2 | 1 | 7 | 1 | 14 -INPUTP | 3 | 26 | 36 | 54 -EXPORTS | 1 | 7 | 2 -EQ | 9 | - RA<11> = A_FSB<19>; - A_FSB_19_IBUF$BUF0.EXP = ram/RS_FSM_FFd1 & ram/RS_FSM_FFd2 & - !ram/RS_FSM_FFd3 - # ram/RS_FSM_FFd1 & !ram/RefUrgent & - !ram/RS_FSM_FFd3 - # A_FSB<23> & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & - !ram/RS_FSM_FFd3 - # nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & - !fsb/ASrf +OUTPUTMC | 1 | 7 | 0 +INPUTS | 19 | A_FSB<13> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | nWE_FSB | iobs/Once | cs/nOverlay1 | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | fsb/ASrf | A_FSB<14> | A_FSB<23> | A_FSB<22> | nAS_FSB | nADoutLE1 | iobs/Clear1.EXP +INPUTMC | 7 | 7 | 0 | 4 | 3 | 7 | 13 | 7 | 6 | 0 | 6 | 5 | 13 | 7 | 2 +INPUTP | 12 | 18 | 29 | 28 | 26 | 24 | 23 | 22 | 47 | 19 | 36 | 30 | 54 +EXPORTS | 1 | 7 | 0 +IMPORTS | 1 | 7 | 2 +EQ | 17 | + RA<11> = ;Imported pterms FB8_3 + A_FSB<19>; + A_FSB_19_IBUF$BUF0.EXP = A_FSB<13> & A_FSB<21> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & !iobs/Once & + cs/nOverlay1 & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf + # A_FSB<14> & !A_FSB<23> & !A_FSB<22> & A_FSB<21> & + A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + !nWE_FSB & !iobs/Once & cs/nOverlay1 & !nAS_FSB & nADoutLE1 + # A_FSB<14> & !A_FSB<23> & !A_FSB<22> & A_FSB<21> & + A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + !nWE_FSB & !iobs/Once & cs/nOverlay1 & fsb/ASrf & nADoutLE1 + # A_FSB<13> & !A_FSB<23> & !A_FSB<22> & A_FSB<21> & + A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + !nWE_FSB & !iobs/Once & cs/nOverlay1 & !nAS_FSB & nADoutLE1 + # A_FSB<13> & !A_FSB<23> & !A_FSB<22> & A_FSB<21> & + A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + !nWE_FSB & !iobs/Once & cs/nOverlay1 & fsb/ASrf & nADoutLE1 MACROCELL | 6 | 8 | A_FSB_21_IBUF$BUF0 ATTRIBUTES | 264962 | 0 @@ -1984,60 +1919,63 @@ EQ | 3 | MACROCELL | 5 | 13 | nADoutLE1_OBUF ATTRIBUTES | 8815366 | 0 -OUTPUTMC | 22 | 7 | 15 | 2 | 14 | 2 | 2 | 5 | 13 | 0 | 16 | 2 | 11 | 2 | 5 | 0 | 15 | 2 | 8 | 7 | 11 | 7 | 6 | 7 | 9 | 2 | 4 | 7 | 13 | 2 | 13 | 2 | 15 | 2 | 16 | 2 | 17 | 7 | 5 | 7 | 7 | 7 | 12 | 7 | 14 +OUTPUTMC | 17 | 2 | 16 | 2 | 14 | 2 | 1 | 5 | 13 | 0 | 11 | 2 | 11 | 0 | 16 | 0 | 10 | 2 | 8 | 7 | 10 | 7 | 13 | 7 | 15 | 7 | 2 | 2 | 6 | 2 | 13 | 2 | 15 | 7 | 1 INPUTS | 3 | iobs/Clear1 | nADoutLE1 | iobs/Load1 -INPUTMC | 3 | 2 | 4 | 5 | 13 | 7 | 9 +INPUTMC | 3 | 7 | 2 | 5 | 13 | 7 | 15 EQ | 3 | !nADoutLE1.D = iobs/Load1 # !iobs/Clear1 & !nADoutLE1; nADoutLE1.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK +MACROCELL | 3 | 1 | nAoutOE_OBUF +ATTRIBUTES | 8815366 | 0 +OUTPUTMC | 6 | 5 | 1 | 5 | 8 | 5 | 10 | 5 | 11 | 5 | 4 | 3 | 4 +INPUTS | 3 | nBR_IOB | cnt/PORS_FSM_FFd1 | cnt/PORS_FSM_FFd2 +INPUTMC | 3 | 7 | 14 | 3 | 6 | 3 | 12 +EQ | 2 | + !nAoutOE.D = !nBR_IOB & cnt/PORS_FSM_FFd1 & !cnt/PORS_FSM_FFd2; + !nAoutOE.CLK = C8M; // GCK +GLOBALS | 1 | 2 | C8M + MACROCELL | 7 | 5 | nRAMLWE_OBUF ATTRIBUTES | 264962 | 0 -OUTPUTMC | 1 | 7 | 6 -INPUTS | 11 | A_FSB<23> | A_FSB<22> | A_FSB<21> | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | nADoutLE1 | cs/nOverlay1 | A_FSB<19> | A_FSB<16> | nWE_FSB | nRAS_OBUF.EXP -INPUTMC | 5 | 7 | 6 | 1 | 15 | 5 | 13 | 4 | 3 | 7 | 4 -INPUTP | 6 | 36 | 30 | 29 | 26 | 22 | 47 -EXPORTS | 1 | 7 | 6 -IMPORTS | 1 | 7 | 4 -EQ | 12 | - !nRAMLWE = ;Imported pterms FB8_5 - !nWE_FSB & !nLDS_FSB & !nAS_FSB & ram/RAMEN; - nRAMLWE_OBUF.EXP = !A_FSB<23> & !A_FSB<22> & !A_FSB<21> & - !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay1 & - !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 - # !A_FSB<23> & A_FSB<21> & !A_FSB<19> & - !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 - # !A_FSB<23> & A_FSB<21> & !A_FSB<16> & - !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 - # !A_FSB<23> & A_FSB<21> & nWE_FSB & - !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 +INPUTS | 4 | nWE_FSB | nLDS_FSB | nAS_FSB | ram/RAMEN +INPUTMC | 1 | 4 | 9 +INPUTP | 3 | 47 | 49 | 54 +EQ | 1 | + !nRAMLWE = !nWE_FSB & !nLDS_FSB & !nAS_FSB & ram/RAMEN; MACROCELL | 7 | 7 | nRAMUWE_OBUF ATTRIBUTES | 264962 | 0 -OUTPUTMC | 1 | 7 | 6 -INPUTS | 15 | nWE_FSB | nUDS_FSB | nAS_FSB | ram/RAMEN | A_FSB<23> | A_FSB<21> | A_FSB<18> | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | nADoutLE1 | A_FSB<17> | A_FSB<14> | A_FSB<13> | A_FSB<22> | cs/nOverlay1 -INPUTMC | 5 | 4 | 9 | 7 | 6 | 1 | 15 | 5 | 13 | 4 | 3 -INPUTP | 10 | 47 | 56 | 54 | 36 | 29 | 24 | 23 | 19 | 18 | 30 -EXPORTS | 1 | 7 | 6 -EQ | 9 | - !nRAMUWE = !nWE_FSB & !nUDS_FSB & !nAS_FSB & ram/RAMEN; - nRAMUWE_OBUF.EXP = !A_FSB<23> & A_FSB<21> & !A_FSB<18> & - !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 - # !A_FSB<23> & A_FSB<21> & !A_FSB<17> & - !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 - # !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & A_FSB<21> & - !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 +OUTPUTMC | 1 | 7 | 8 +INPUTS | 12 | ram/RS_FSM_FFd1 | ram/RefUrgent | ram/RS_FSM_FFd3 | fsb/ASrf | A_FSB<23> | A_FSB<22> | cs/nOverlay1 | nAS_FSB | ram/RS_FSM_FFd2 | ram/RAMEN | A_FSB<21> | iobs/PS_FSM_FFd1.EXP +INPUTMC | 8 | 7 | 8 | 0 | 1 | 7 | 10 | 0 | 6 | 4 | 3 | 4 | 12 | 4 | 9 | 7 | 6 +INPUTP | 4 | 36 | 30 | 54 | 29 +EXPORTS | 1 | 7 | 8 +IMPORTS | 1 | 7 | 6 +EQ | 15 | + !nRAMUWE = ;Imported pterms FB8_7 + !nWE_FSB & !nUDS_FSB & !nAS_FSB & ram/RAMEN; + nRAMUWE_OBUF.EXP = ram/RS_FSM_FFd1 & ram/RefUrgent & + !ram/RS_FSM_FFd3 & fsb/ASrf + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !nAS_FSB & + !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & ram/RefUrgent & !ram/RAMEN + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & + !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & ram/RefUrgent & !ram/RAMEN & + fsb/ASrf # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - cs/nOverlay1 & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 + !cs/nOverlay1 & !nAS_FSB & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & + ram/RefUrgent & !ram/RAMEN + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & + ram/RefUrgent & !ram/RAMEN & fsb/ASrf MACROCELL | 4 | 1 | nROMCS_OBUF ATTRIBUTES | 264962 | 0 OUTPUTMC | 1 | 4 | 0 INPUTS | 12 | A_FSB<22> | A_FSB<21> | ram/RS_FSM_FFd2 | ram/RefUrgent | ram/RS_FSM_FFd3 | ram/RefReq | cs/nOverlay1 | A_FSB<23> | nAS_FSB | ram/RAMEN | fsb/ASrf | ram/RefDone.EXP -INPUTMC | 8 | 4 | 12 | 1 | 7 | 7 | 2 | 1 | 9 | 4 | 3 | 4 | 9 | 1 | 14 | 4 | 2 +INPUTMC | 8 | 4 | 12 | 0 | 1 | 7 | 10 | 0 | 3 | 4 | 3 | 4 | 9 | 0 | 6 | 4 | 2 INPUTP | 4 | 30 | 29 | 36 | 54 EXPORTS | 1 | 4 | 0 IMPORTS | 1 | 4 | 2 @@ -2069,32 +2007,32 @@ INPUTS | 0 EQ | 1 | C20MEN = Vcc; -MACROCELL | 2 | 0 | EXP14_ +MACROCELL | 2 | 1 | EXP14_ ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 2 | 1 -INPUTS | 20 | A_FSB<23> | A_FSB<22> | cs/nOverlay1 | fsb/Ready0r | fsb/VPA | fsb/ASrf | ram/RAMReady | A_FSB<21> | nAS_FSB | A_FSB<14> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | nWE_FSB | fsb/Ready1r | iobs/IOReady | A_FSB<13> | EXP21_.EXP -INPUTMC | 8 | 4 | 3 | 2 | 5 | 2 | 1 | 1 | 14 | 4 | 16 | 2 | 9 | 2 | 6 | 2 | 17 -INPUTP | 12 | 36 | 30 | 29 | 54 | 19 | 28 | 26 | 24 | 23 | 22 | 47 | 18 -EXPORTS | 1 | 2 | 1 -IMPORTS | 1 | 2 | 17 -EQ | 33 | - EXP14_.EXP = !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & - !fsb/Ready0r & fsb/VPA & fsb/ASrf & !ram/RAMReady - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - !cs/nOverlay1 & !fsb/Ready0r & fsb/VPA & !nAS_FSB & !ram/RAMReady - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - !cs/nOverlay1 & !fsb/Ready0r & fsb/VPA & fsb/ASrf & !ram/RAMReady - # A_FSB<14> & A_FSB<22> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & !cs/nOverlay1 & - !fsb/Ready1r & fsb/VPA & !iobs/IOReady & !nAS_FSB - # A_FSB<13> & A_FSB<22> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & !cs/nOverlay1 & - !fsb/Ready1r & fsb/VPA & !iobs/IOReady & !nAS_FSB -;Imported pterms FB3_18 - # A_FSB<14> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & - cs/nOverlay1 & !fsb/Ready1r & fsb/VPA & !iobs/IOReady & fsb/ASrf & - !nADoutLE1 +OUTPUTMC | 1 | 2 | 0 +INPUTS | 25 | A_FSB<14> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | nWE_FSB | cs/nOverlay1 | fsb/Ready1r | fsb/VPA | iobs/IOReady | nAS_FSB | nADoutLE1 | fsb/ASrf | A_FSB<13> | A_FSB<9> | A_FSB<8> | A_FSB<15> | A_FSB<12> | A_FSB<11> | A_FSB<10> | A_FSB<23> | A_FSB<22> | EXP15_.EXP +INPUTMC | 7 | 4 | 3 | 2 | 9 | 2 | 0 | 0 | 16 | 5 | 13 | 0 | 6 | 2 | 2 +INPUTP | 18 | 19 | 29 | 28 | 26 | 24 | 23 | 22 | 47 | 54 | 18 | 12 | 11 | 21 | 17 | 15 | 13 | 36 | 30 +EXPORTS | 1 | 2 | 0 +IMPORTS | 1 | 2 | 2 +EQ | 29 | + EXP14_.EXP = A_FSB<14> & A_FSB<21> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & cs/nOverlay1 & + !fsb/Ready1r & fsb/VPA & !iobs/IOReady & !nAS_FSB & !nADoutLE1 + # A_FSB<14> & A_FSB<21> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & cs/nOverlay1 & + !fsb/Ready1r & fsb/VPA & !iobs/IOReady & fsb/ASrf & !nADoutLE1 + # A_FSB<13> & A_FSB<21> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & cs/nOverlay1 & + !fsb/Ready1r & fsb/VPA & !iobs/IOReady & !nAS_FSB & !nADoutLE1 + # A_FSB<13> & A_FSB<21> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & cs/nOverlay1 & + !fsb/Ready1r & fsb/VPA & !iobs/IOReady & fsb/ASrf & !nADoutLE1 + # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & + A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & + A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & iobs/IOReady & !nAS_FSB +;Imported pterms FB3_3 # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & @@ -2106,64 +2044,106 @@ EQ | 33 | # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & iobs/IOReady & !nAS_FSB - # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & - A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & - A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & iobs/IOReady & fsb/ASrf MACROCELL | 2 | 2 | EXP15_ ATTRIBUTES | 2048 | 0 OUTPUTMC | 1 | 2 | 1 -INPUTS | 17 | A_FSB<14> | A_FSB<22> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | nWE_FSB | cs/nOverlay1 | fsb/Ready1r | fsb/VPA | iobs/IOReady | fsb/ASrf | A_FSB<13> | A_FSB<21> | nAS_FSB | nADoutLE1 -INPUTMC | 6 | 4 | 3 | 2 | 9 | 2 | 1 | 2 | 6 | 1 | 14 | 5 | 13 -INPUTP | 11 | 19 | 30 | 28 | 26 | 24 | 23 | 22 | 47 | 18 | 29 | 54 +INPUTS | 20 | A_FSB<9> | A_FSB<8> | A_FSB<15> | A_FSB<14> | A_FSB<13> | A_FSB<12> | A_FSB<11> | A_FSB<10> | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | fsb/Ready1r | nAS_FSB | fsb/ASrf | iobs/IOReady +INPUTMC | 3 | 2 | 9 | 0 | 6 | 0 | 16 +INPUTP | 17 | 12 | 11 | 21 | 19 | 18 | 17 | 15 | 13 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 54 EXPORTS | 1 | 2 | 1 -EQ | 18 | - EXP15_.EXP = A_FSB<14> & A_FSB<22> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & !cs/nOverlay1 & - !fsb/Ready1r & fsb/VPA & !iobs/IOReady & fsb/ASrf - # A_FSB<13> & A_FSB<22> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & !cs/nOverlay1 & - !fsb/Ready1r & fsb/VPA & !iobs/IOReady & fsb/ASrf - # A_FSB<14> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & - cs/nOverlay1 & !fsb/Ready1r & fsb/VPA & !iobs/IOReady & !nAS_FSB & - !nADoutLE1 - # A_FSB<13> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & - cs/nOverlay1 & !fsb/Ready1r & fsb/VPA & !iobs/IOReady & !nAS_FSB & - !nADoutLE1 - # A_FSB<13> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & - cs/nOverlay1 & !fsb/Ready1r & fsb/VPA & !iobs/IOReady & fsb/ASrf & - !nADoutLE1 +EQ | 12 | + EXP15_.EXP = A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & + A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & + A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & fsb/Ready1r & !nAS_FSB + # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & + A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & + A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & fsb/Ready1r & fsb/ASrf + # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & + A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & + A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & iobs/IOReady & fsb/ASrf -MACROCELL | 2 | 7 | EXP16_ +MACROCELL | 2 | 4 | EXP16_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 2 | 5 +INPUTS | 15 | A_FSB<22> | A_FSB<20> | iobs/Once | iobs/PS_FSM_FFd1 | fsb/ASrf | A_FSB<14> | A_FSB<21> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | nWE_FSB | cs/nOverlay1 | nAS_FSB | A_FSB<13> +INPUTMC | 4 | 7 | 0 | 7 | 6 | 0 | 6 | 4 | 3 +INPUTP | 11 | 30 | 28 | 19 | 29 | 26 | 24 | 23 | 22 | 47 | 54 | 18 +EXPORTS | 1 | 2 | 5 +EQ | 14 | + EXP16_.EXP = A_FSB<22> & A_FSB<20> & !iobs/Once & + !iobs/PS_FSM_FFd1 & fsb/ASrf + # A_FSB<14> & A_FSB<21> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & !iobs/Once & + cs/nOverlay1 & !nAS_FSB & !iobs/PS_FSM_FFd1 + # A_FSB<14> & A_FSB<21> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & !iobs/Once & + cs/nOverlay1 & !iobs/PS_FSM_FFd1 & fsb/ASrf + # A_FSB<13> & A_FSB<21> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & !iobs/Once & + cs/nOverlay1 & !nAS_FSB & !iobs/PS_FSM_FFd1 + # A_FSB<13> & A_FSB<21> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & !iobs/Once & + cs/nOverlay1 & !iobs/PS_FSM_FFd1 & fsb/ASrf + +MACROCELL | 2 | 5 | EXP17_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 2 | 6 +INPUTS | 9 | A_FSB<23> | iobs/Once | nAS_FSB | iobs/PS_FSM_FFd1 | fsb/ASrf | A_FSB<22> | A_FSB<21> | A_FSB<20> | EXP16_.EXP +INPUTMC | 4 | 7 | 0 | 7 | 6 | 0 | 6 | 2 | 4 +INPUTP | 5 | 36 | 54 | 30 | 29 | 28 +EXPORTS | 1 | 2 | 6 +IMPORTS | 1 | 2 | 4 +EQ | 25 | + EXP17_.EXP = A_FSB<23> & !iobs/Once & !nAS_FSB & + !iobs/PS_FSM_FFd1 + # A_FSB<23> & !iobs/Once & !iobs/PS_FSM_FFd1 & + fsb/ASrf + # A_FSB<22> & A_FSB<21> & !iobs/Once & !nAS_FSB & + !iobs/PS_FSM_FFd1 + # A_FSB<22> & A_FSB<21> & !iobs/Once & + !iobs/PS_FSM_FFd1 & fsb/ASrf + # A_FSB<22> & A_FSB<20> & !iobs/Once & !nAS_FSB & + !iobs/PS_FSM_FFd1 +;Imported pterms FB3_5 + # A_FSB<22> & A_FSB<20> & !iobs/Once & + !iobs/PS_FSM_FFd1 & fsb/ASrf + # A_FSB<14> & A_FSB<21> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & !iobs/Once & + cs/nOverlay1 & !nAS_FSB & !iobs/PS_FSM_FFd1 + # A_FSB<14> & A_FSB<21> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & !iobs/Once & + cs/nOverlay1 & !iobs/PS_FSM_FFd1 & fsb/ASrf + # A_FSB<13> & A_FSB<21> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & !iobs/Once & + cs/nOverlay1 & !nAS_FSB & !iobs/PS_FSM_FFd1 + # A_FSB<13> & A_FSB<21> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & !iobs/Once & + cs/nOverlay1 & !iobs/PS_FSM_FFd1 & fsb/ASrf + +MACROCELL | 2 | 7 | EXP18_ ATTRIBUTES | 2048 | 0 OUTPUTMC | 1 | 2 | 8 -INPUTS | 18 | A_FSB<23> | A_FSB<22> | cs/nOverlay1 | fsb/Ready0r | nDTACK_FSB | ram/RAMReady | A_FSB<21> | A_FSB<20> | fsb/Ready1r | iobs/IOReady | A_FSB<14> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | nWE_FSB | A_FSB<13> | iobs/IOReady.EXP -INPUTMC | 7 | 4 | 3 | 2 | 5 | 2 | 8 | 4 | 16 | 2 | 9 | 2 | 6 | 2 | 6 -INPUTP | 11 | 36 | 30 | 29 | 28 | 19 | 26 | 24 | 23 | 22 | 47 | 18 +INPUTS | 13 | nAS_FSB | fsb/ASrf | A_FSB<23> | fsb/Ready1r | iobs/IOReady | nDTACK_FSB | A_FSB<22> | A_FSB<21> | A_FSB<20> | cs/nOverlay1 | fsb/Ready0r | ram/RAMReady | iobs/IOREQ.EXP +INPUTMC | 8 | 0 | 6 | 2 | 9 | 0 | 16 | 2 | 8 | 4 | 3 | 4 | 6 | 4 | 16 | 2 | 6 +INPUTP | 5 | 54 | 36 | 30 | 29 | 28 EXPORTS | 1 | 2 | 8 IMPORTS | 1 | 2 | 6 -EQ | 24 | - EXP16_.EXP = !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & - !fsb/Ready0r & nDTACK_FSB & !ram/RAMReady - # A_FSB<22> & !A_FSB<21> & A_FSB<20> & !fsb/Ready1r & - !iobs/IOReady & nDTACK_FSB - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - !cs/nOverlay1 & !fsb/Ready0r & nDTACK_FSB & !ram/RAMReady - # A_FSB<14> & A_FSB<22> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & !cs/nOverlay1 & - !fsb/Ready1r & !iobs/IOReady & nDTACK_FSB - # A_FSB<13> & A_FSB<22> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & !cs/nOverlay1 & - !fsb/Ready1r & !iobs/IOReady & nDTACK_FSB -;Imported pterms FB3_7 - # nAS_FSB & !fsb/ASrf +EQ | 18 | + EXP18_.EXP = nAS_FSB & !fsb/ASrf # A_FSB<23> & !fsb/Ready1r & !iobs/IOReady & nDTACK_FSB + # A_FSB<22> & A_FSB<21> & !fsb/Ready1r & + !iobs/IOReady & nDTACK_FSB + # A_FSB<22> & A_FSB<20> & !fsb/Ready1r & + !iobs/IOReady & nDTACK_FSB + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & + !fsb/Ready0r & nDTACK_FSB & !ram/RAMReady +;Imported pterms FB3_7 # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & @@ -2173,143 +2153,135 @@ EQ | 24 | A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & iobs/IOReady -MACROCELL | 2 | 10 | EXP17_ +MACROCELL | 2 | 10 | EXP19_ ATTRIBUTES | 2048 | 0 OUTPUTMC | 1 | 2 | 11 -INPUTS | 11 | nWE_FSB | iobs/IORW1 | nAS_FSB | fsb/ASrf | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | A_FSB<23> | A_FSB<22> | A_FSB<21> | cs/nOverlay1 | fsb/Ready1r.EXP -INPUTMC | 6 | 2 | 11 | 1 | 14 | 7 | 6 | 1 | 15 | 4 | 3 | 2 | 9 -INPUTP | 5 | 47 | 54 | 36 | 30 | 29 +INPUTS | 9 | nAS_FSB | fsb/ASrf | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<19> | nWE_FSB | cs/nOverlay1 | fsb/Ready1r.EXP +INPUTMC | 3 | 0 | 6 | 4 | 3 | 2 | 9 +INPUTP | 6 | 54 | 36 | 30 | 29 | 26 | 47 EXPORTS | 1 | 2 | 11 IMPORTS | 1 | 2 | 9 EQ | 7 | - EXP17_.EXP = !nWE_FSB & !iobs/IORW1 - # nAS_FSB & !fsb/ASrf - # !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 + EXP19_.EXP = nAS_FSB & !fsb/ASrf # !A_FSB<23> & !A_FSB<22> & !A_FSB<21> + # !A_FSB<23> & !A_FSB<22> & !A_FSB<19> + # !A_FSB<23> & !A_FSB<22> & nWE_FSB # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay1 ;Imported pterms FB3_10 - # !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & A_FSB<21> + # !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & !A_FSB<22> -MACROCELL | 2 | 12 | EXP18_ +MACROCELL | 2 | 12 | EXP20_ ATTRIBUTES | 2048 | 0 OUTPUTMC | 1 | 2 | 11 -INPUTS | 8 | A_FSB<23> | A_FSB<21> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<22> | cs/nOverlay1 -INPUTMC | 1 | 4 | 3 -INPUTP | 7 | 36 | 29 | 26 | 24 | 23 | 22 | 30 +INPUTS | 7 | A_FSB<23> | A_FSB<22> | A_FSB<20> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<21> +INPUTP | 7 | 36 | 30 | 28 | 24 | 23 | 22 | 29 EXPORTS | 1 | 2 | 11 -EQ | 6 | - EXP18_.EXP = !A_FSB<23> & A_FSB<21> & !A_FSB<19> - # !A_FSB<23> & A_FSB<21> & !A_FSB<18> - # !A_FSB<23> & A_FSB<21> & !A_FSB<17> - # !A_FSB<23> & A_FSB<21> & !A_FSB<16> - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - cs/nOverlay1 +EQ | 5 | + EXP20_.EXP = !A_FSB<23> & !A_FSB<22> & !A_FSB<20> + # !A_FSB<23> & !A_FSB<22> & !A_FSB<18> + # !A_FSB<23> & !A_FSB<22> & !A_FSB<17> + # !A_FSB<23> & !A_FSB<22> & !A_FSB<16> + # !A_FSB<23> & !A_FSB<21> & !A_FSB<20> -MACROCELL | 2 | 13 | EXP19_ +MACROCELL | 2 | 13 | EXP21_ ATTRIBUTES | 2048 | 0 OUTPUTMC | 1 | 2 | 14 -INPUTS | 12 | A_FSB<23> | nWE_FSB | iobs/Once | iobs/IORW0 | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | fsb/ASrf | nADoutLE1 | A_FSB<22> | A_FSB<21> | A_FSB<20> | nAS_FSB -INPUTMC | 6 | 7 | 16 | 2 | 14 | 7 | 6 | 1 | 15 | 1 | 14 | 5 | 13 -INPUTP | 6 | 36 | 47 | 30 | 29 | 28 | 54 +INPUTS | 13 | iobs/IORW1 | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | nADoutLE1 | A_FSB<23> | A_FSB<21> | A_FSB<20> | iobs/IORW0 | nWE_FSB | iobs/Once | nAS_FSB | fsb/ASrf | A_FSB<22> +INPUTMC | 7 | 2 | 11 | 7 | 13 | 7 | 6 | 5 | 13 | 2 | 14 | 7 | 0 | 0 | 6 +INPUTP | 6 | 36 | 29 | 28 | 47 | 54 | 30 EXPORTS | 1 | 2 | 14 -EQ | 14 | - EXP19_.EXP = A_FSB<23> & nWE_FSB & !iobs/Once & !iobs/IORW0 & +EQ | 10 | + EXP21_.EXP = !iobs/IORW1 & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & + !nADoutLE1 + # !A_FSB<23> & !A_FSB<21> & !A_FSB<20> & !iobs/IORW0 & + nADoutLE1 + # A_FSB<23> & !nWE_FSB & !iobs/Once & !nAS_FSB & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 + # A_FSB<23> & !nWE_FSB & !iobs/Once & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf & nADoutLE1 - # A_FSB<22> & !A_FSB<21> & A_FSB<20> & nWE_FSB & - !iobs/Once & !iobs/IORW0 & !nAS_FSB & !iobs/PS_FSM_FFd2 & - !iobs/PS_FSM_FFd1 & nADoutLE1 - # A_FSB<22> & !A_FSB<21> & A_FSB<20> & nWE_FSB & - !iobs/Once & !iobs/IORW0 & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & - fsb/ASrf & nADoutLE1 - # A_FSB<22> & !A_FSB<21> & A_FSB<20> & !nWE_FSB & - !iobs/Once & iobs/IORW0 & !nAS_FSB & !iobs/PS_FSM_FFd2 & - !iobs/PS_FSM_FFd1 & nADoutLE1 - # A_FSB<22> & !A_FSB<21> & A_FSB<20> & !nWE_FSB & - !iobs/Once & iobs/IORW0 & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & - fsb/ASrf & nADoutLE1 + # A_FSB<22> & A_FSB<21> & !nWE_FSB & !iobs/Once & + !nAS_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 -MACROCELL | 2 | 15 | EXP20_ +MACROCELL | 2 | 15 | EXP22_ ATTRIBUTES | 2048 | 0 OUTPUTMC | 1 | 2 | 14 -INPUTS | 19 | A_FSB<14> | A_FSB<22> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | nWE_FSB | cs/nOverlay1 | iobs/Once | iobs/IORW0 | nAS_FSB | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | nADoutLE1 | fsb/ASrf | A_FSB<13> | A_FSB<21> | nROMWE_OBUF.EXP -INPUTMC | 8 | 4 | 3 | 7 | 16 | 2 | 14 | 7 | 6 | 1 | 15 | 5 | 13 | 1 | 14 | 2 | 16 -INPUTP | 11 | 19 | 30 | 28 | 26 | 24 | 23 | 22 | 47 | 54 | 18 | 29 +INPUTS | 18 | A_FSB<22> | A_FSB<21> | nWE_FSB | iobs/Once | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | fsb/ASrf | nADoutLE1 | A_FSB<20> | nAS_FSB | A_FSB<14> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | cs/nOverlay1 | A_FSB<13> | nROMWE_OBUF.EXP +INPUTMC | 7 | 7 | 0 | 7 | 13 | 7 | 6 | 0 | 6 | 5 | 13 | 4 | 3 | 2 | 16 +INPUTP | 11 | 30 | 29 | 47 | 28 | 54 | 19 | 26 | 24 | 23 | 22 | 18 EXPORTS | 1 | 2 | 14 IMPORTS | 1 | 2 | 16 -EQ | 33 | - EXP20_.EXP = A_FSB<14> & A_FSB<22> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & !cs/nOverlay1 & - !iobs/Once & iobs/IORW0 & !nAS_FSB & !iobs/PS_FSM_FFd2 & - !iobs/PS_FSM_FFd1 & nADoutLE1 - # A_FSB<14> & A_FSB<22> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & !cs/nOverlay1 & - !iobs/Once & iobs/IORW0 & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & - fsb/ASrf & nADoutLE1 - # A_FSB<13> & A_FSB<22> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & !cs/nOverlay1 & - !iobs/Once & iobs/IORW0 & !nAS_FSB & !iobs/PS_FSM_FFd2 & - !iobs/PS_FSM_FFd1 & nADoutLE1 - # A_FSB<13> & A_FSB<22> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & !cs/nOverlay1 & - !iobs/Once & iobs/IORW0 & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & - fsb/ASrf & nADoutLE1 - # A_FSB<14> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & - cs/nOverlay1 & !iobs/Once & iobs/IORW0 & !nAS_FSB & - !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 +EQ | 23 | + EXP22_.EXP = A_FSB<22> & A_FSB<21> & !nWE_FSB & !iobs/Once & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf & nADoutLE1 + # A_FSB<22> & A_FSB<20> & !nWE_FSB & !iobs/Once & + !nAS_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 + # A_FSB<22> & A_FSB<20> & !nWE_FSB & !iobs/Once & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf & nADoutLE1 + # A_FSB<14> & A_FSB<21> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & !iobs/Once & + cs/nOverlay1 & !nAS_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & + nADoutLE1 + # A_FSB<13> & A_FSB<21> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & !iobs/Once & + cs/nOverlay1 & !nAS_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & + nADoutLE1 ;Imported pterms FB3_17 - # A_FSB<14> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & - cs/nOverlay1 & !iobs/Once & iobs/IORW0 & !iobs/PS_FSM_FFd2 & - !iobs/PS_FSM_FFd1 & fsb/ASrf & nADoutLE1 - # A_FSB<13> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & - cs/nOverlay1 & !iobs/Once & iobs/IORW0 & !nAS_FSB & - !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 - # A_FSB<13> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & - cs/nOverlay1 & !iobs/Once & iobs/IORW0 & !iobs/PS_FSM_FFd2 & - !iobs/PS_FSM_FFd1 & fsb/ASrf & nADoutLE1 + # A_FSB<14> & A_FSB<21> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & !iobs/Once & + cs/nOverlay1 & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf & + nADoutLE1 + # A_FSB<13> & A_FSB<21> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & !iobs/Once & + cs/nOverlay1 & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf & + nADoutLE1 -MACROCELL | 2 | 17 | EXP21_ +MACROCELL | 2 | 17 | EXP23_ ATTRIBUTES | 2048 | 0 OUTPUTMC | 1 | 2 | 0 -INPUTS | 24 | A_FSB<14> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | nWE_FSB | cs/nOverlay1 | fsb/Ready1r | fsb/VPA | iobs/IOReady | fsb/ASrf | nADoutLE1 | A_FSB<9> | A_FSB<8> | A_FSB<15> | A_FSB<13> | A_FSB<12> | A_FSB<11> | A_FSB<10> | A_FSB<23> | nAS_FSB -INPUTMC | 6 | 4 | 3 | 2 | 9 | 2 | 1 | 2 | 6 | 1 | 14 | 5 | 13 -INPUTP | 18 | 19 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 47 | 12 | 11 | 21 | 18 | 17 | 15 | 13 | 36 | 54 +INPUTS | 12 | A_FSB<22> | A_FSB<20> | fsb/Ready1r | fsb/VPA | iobs/IOReady | fsb/ASrf | A_FSB<23> | cs/nOverlay1 | fsb/Ready0r | nAS_FSB | ram/RAMReady | A_FSB<21> +INPUTMC | 7 | 2 | 9 | 2 | 0 | 0 | 16 | 0 | 6 | 4 | 3 | 4 | 6 | 4 | 16 +INPUTP | 5 | 30 | 28 | 36 | 54 | 29 EXPORTS | 1 | 2 | 0 -EQ | 20 | - EXP21_.EXP = A_FSB<14> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & - cs/nOverlay1 & !fsb/Ready1r & fsb/VPA & !iobs/IOReady & fsb/ASrf & - !nADoutLE1 - # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & - A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & - A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & fsb/Ready1r & !nAS_FSB - # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & - A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & - A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & fsb/Ready1r & fsb/ASrf - # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & - A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & - A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & iobs/IOReady & !nAS_FSB - # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & - A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & - A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & iobs/IOReady & fsb/ASrf +EQ | 10 | + EXP23_.EXP = A_FSB<22> & A_FSB<20> & !fsb/Ready1r & fsb/VPA & + !iobs/IOReady & fsb/ASrf + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & + !fsb/Ready0r & fsb/VPA & !nAS_FSB & !ram/RAMReady + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & + !fsb/Ready0r & fsb/VPA & fsb/ASrf & !ram/RAMReady + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & !fsb/Ready0r & fsb/VPA & !nAS_FSB & !ram/RAMReady + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & !fsb/Ready0r & fsb/VPA & fsb/ASrf & !ram/RAMReady -MACROCELL | 4 | 15 | EXP22_ +MACROCELL | 3 | 0 | EXP24_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 3 | 17 +INPUTS | 12 | C8M | nBERR_IOB | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd1 | iobm/IOS_FSM_FFd2 | iobm/IOBERR | iobm/BERRrf | iobm/BERRrr | iobm/DTACKrf | iobm/DTACKrr | iobm/RESrf | iobm/RESrr +INPUTMC | 10 | 5 | 4 | 3 | 9 | 5 | 12 | 3 | 17 | 3 | 3 | 3 | 2 | 1 | 16 | 1 | 15 | 1 | 11 | 1 | 10 +INPUTP | 2 | 35 | 123 +EXPORTS | 1 | 3 | 17 +EQ | 9 | + EXP24_.EXP = C8M & !nBERR_IOB & iobm/IOS_FSM_FFd3 & + iobm/IOS_FSM_FFd1 & iobm/IOS_FSM_FFd2 & !iobm/IOBERR & iobm/BERRrf & + iobm/BERRrr + # C8M & !nBERR_IOB & iobm/IOS_FSM_FFd3 & + iobm/IOS_FSM_FFd1 & iobm/IOS_FSM_FFd2 & !iobm/IOBERR & iobm/DTACKrf & + iobm/DTACKrr + # C8M & !nBERR_IOB & iobm/IOS_FSM_FFd3 & + iobm/IOS_FSM_FFd1 & iobm/IOS_FSM_FFd2 & !iobm/IOBERR & iobm/RESrf & + iobm/RESrr + +MACROCELL | 4 | 15 | EXP25_ ATTRIBUTES | 2048 | 0 OUTPUTMC | 1 | 4 | 16 INPUTS | 10 | A_FSB<23> | ram/RS_FSM_FFd2 | ram/RefUrgent | ram/RS_FSM_FFd3 | ram/RefReq | A_FSB<22> | A_FSB<21> | ram/BACTr | cs/nOverlay1 | RA_6_OBUF.EXP -INPUTMC | 7 | 4 | 12 | 1 | 7 | 7 | 2 | 1 | 9 | 1 | 11 | 4 | 3 | 4 | 14 +INPUTMC | 7 | 4 | 12 | 0 | 1 | 7 | 10 | 0 | 3 | 7 | 16 | 4 | 3 | 4 | 14 INPUTP | 3 | 36 | 30 | 29 EXPORTS | 1 | 4 | 16 IMPORTS | 1 | 4 | 14 EQ | 20 | - EXP22_.EXP = A_FSB<23> & !ram/RS_FSM_FFd2 & !ram/RefUrgent & + EXP25_.EXP = A_FSB<23> & !ram/RS_FSM_FFd2 & !ram/RefUrgent & !ram/RS_FSM_FFd3 & !ram/RefReq # A_FSB<22> & !A_FSB<21> & !ram/RS_FSM_FFd2 & !ram/RefUrgent & !ram/RS_FSM_FFd3 & ram/BACTr @@ -2330,16 +2302,16 @@ EQ | 20 | !cs/nOverlay1 & !ram/RS_FSM_FFd2 & !ram/RefUrgent & !ram/RS_FSM_FFd3 & !ram/RAMEN -MACROCELL | 4 | 17 | EXP23_ +MACROCELL | 4 | 17 | EXP26_ ATTRIBUTES | 2048 | 0 OUTPUTMC | 1 | 4 | 0 INPUTS | 10 | A_FSB<23> | ram/RS_FSM_FFd2 | ram/RefUrgent | ram/RS_FSM_FFd3 | ram/BACTr | ram/RefReq | A_FSB<22> | A_FSB<21> | cs/nOverlay1 | ram/RAMReady.EXP -INPUTMC | 7 | 4 | 12 | 1 | 7 | 7 | 2 | 1 | 11 | 1 | 9 | 4 | 3 | 4 | 16 +INPUTMC | 7 | 4 | 12 | 0 | 1 | 7 | 10 | 7 | 16 | 0 | 3 | 4 | 3 | 4 | 16 INPUTP | 3 | 36 | 30 | 29 EXPORTS | 1 | 4 | 0 IMPORTS | 1 | 4 | 16 EQ | 17 | - EXP23_.EXP = A_FSB<23> & !ram/RS_FSM_FFd2 & !ram/RefUrgent & + EXP26_.EXP = A_FSB<23> & !ram/RS_FSM_FFd2 & !ram/RefUrgent & !ram/RS_FSM_FFd3 & ram/BACTr # A_FSB<23> & !ram/RS_FSM_FFd2 & !ram/RefUrgent & !ram/RS_FSM_FFd3 & !ram/RefReq @@ -2357,121 +2329,114 @@ EQ | 17 | !cs/nOverlay1 & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & !ram/RAMEN & fsb/ASrf -MACROCELL | 7 | 8 | EXP24_ +MACROCELL | 7 | 9 | EXP27_ ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 7 | 9 -INPUTS | 6 | A_FSB<23> | A_FSB<22> | A_FSB<21> | cs/nOverlay1 | A_FSB<19> | nWE_FSB -INPUTMC | 1 | 4 | 3 -INPUTP | 5 | 36 | 30 | 29 | 26 | 47 -EXPORTS | 1 | 7 | 9 -EQ | 4 | - EXP24_.EXP = !A_FSB<23> & !A_FSB<22> & !A_FSB<21> - # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay1 - # !A_FSB<23> & A_FSB<21> & !A_FSB<19> - # !A_FSB<23> & A_FSB<21> & nWE_FSB +OUTPUTMC | 1 | 7 | 10 +INPUTS | 11 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd3 | A_FSB<23> | nAS_FSB | fsb/ASrf | ram/RefUrgent | ram/RAMEN | A_FSB<22> | A_FSB<21> | ram/RS_FSM_FFd1.EXP +INPUTMC | 7 | 7 | 8 | 4 | 12 | 7 | 10 | 0 | 6 | 0 | 1 | 4 | 9 | 7 | 8 +INPUTP | 4 | 36 | 54 | 30 | 29 +EXPORTS | 1 | 7 | 10 +IMPORTS | 1 | 7 | 8 +EQ | 15 | + EXP27_.EXP = !ram/RS_FSM_FFd1 & ram/RS_FSM_FFd2 & + ram/RS_FSM_FFd3 + # A_FSB<23> & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd2 & + !ram/RS_FSM_FFd3 + # nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & + !fsb/ASrf + # !ram/RS_FSM_FFd2 & !ram/RefUrgent & + !ram/RS_FSM_FFd3 & !ram/RAMEN + # A_FSB<22> & !A_FSB<21> & !ram/RS_FSM_FFd1 & + !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 +;Imported pterms FB8_9 + # ram/RS_FSM_FFd1 & ram/RS_FSM_FFd2 & + !ram/RS_FSM_FFd3 + # ram/RS_FSM_FFd1 & !ram/RefUrgent & + !ram/RS_FSM_FFd3 -MACROCELL | 7 | 10 | EXP25_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 7 | 9 -INPUTS | 9 | A_FSB<23> | A_FSB<21> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<14> | A_FSB<13> | A_FSB<22> | cs/nOverlay1 -INPUTMC | 1 | 4 | 3 -INPUTP | 8 | 36 | 29 | 24 | 23 | 22 | 19 | 18 | 30 -EXPORTS | 1 | 7 | 9 -EQ | 6 | - EXP25_.EXP = !A_FSB<23> & A_FSB<21> & !A_FSB<18> - # !A_FSB<23> & A_FSB<21> & !A_FSB<17> - # !A_FSB<23> & A_FSB<21> & !A_FSB<16> - # !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & A_FSB<21> - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - cs/nOverlay1 - -MACROCELL | 7 | 12 | EXP26_ +MACROCELL | 7 | 12 | EXP28_ ATTRIBUTES | 2048 | 0 OUTPUTMC | 1 | 7 | 13 -INPUTS | 10 | A_FSB<23> | A_FSB<22> | A_FSB<21> | iobs/PS_FSM_FFd2 | nADoutLE1 | cs/nOverlay1 | A_FSB<19> | A_FSB<16> | nWE_FSB | iobs/nBERR_FSB.EXP -INPUTMC | 4 | 7 | 6 | 5 | 13 | 4 | 3 | 7 | 11 -INPUTP | 6 | 36 | 30 | 29 | 26 | 22 | 47 +INPUTS | 10 | A_FSB<23> | iobs/Once | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | fsb/ASrf | A_FSB<22> | A_FSB<21> | nAS_FSB | A_FSB<20> | iobs/nBERR_FSB.EXP +INPUTMC | 5 | 7 | 0 | 7 | 13 | 7 | 6 | 0 | 6 | 7 | 11 +INPUTP | 5 | 36 | 30 | 29 | 54 | 28 EXPORTS | 1 | 7 | 13 IMPORTS | 1 | 7 | 11 -EQ | 13 | - EXP26_.EXP = !A_FSB<23> & !A_FSB<22> & !A_FSB<21> & - !iobs/PS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay1 & - !iobs/PS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & A_FSB<21> & !A_FSB<19> & - !iobs/PS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & A_FSB<21> & !A_FSB<16> & - !iobs/PS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & A_FSB<21> & nWE_FSB & - !iobs/PS_FSM_FFd2 & nADoutLE1 +EQ | 23 | + EXP28_.EXP = A_FSB<23> & !iobs/Once & !iobs/PS_FSM_FFd2 & + !iobs/PS_FSM_FFd1 & fsb/ASrf + # A_FSB<22> & A_FSB<21> & !iobs/Once & !nAS_FSB & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 + # A_FSB<22> & A_FSB<21> & !iobs/Once & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf + # A_FSB<22> & A_FSB<20> & !iobs/Once & !nAS_FSB & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 + # A_FSB<22> & A_FSB<20> & !iobs/Once & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf ;Imported pterms FB8_12 - # !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & A_FSB<21> & - !iobs/PS_FSM_FFd2 & nADoutLE1 + # A_FSB<14> & A_FSB<21> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & !iobs/Once & + cs/nOverlay1 & !nAS_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 + # A_FSB<14> & A_FSB<21> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & !iobs/Once & + cs/nOverlay1 & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf + # A_FSB<13> & A_FSB<21> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & !iobs/Once & + cs/nOverlay1 & !nAS_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 + # A_FSB<13> & A_FSB<21> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & !iobs/Once & + cs/nOverlay1 & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf -MACROCELL | 7 | 15 | EXP27_ +MACROCELL | 7 | 17 | EXP29_ ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 7 | 16 -INPUTS | 8 | A_FSB<23> | iobs/Once | iobs/PS_FSM_FFd1 | iobs/PS_FSM_FFd2 | nADoutLE1 | A_FSB<22> | A_FSB<21> | cs/nOverlay1 -INPUTMC | 5 | 7 | 16 | 1 | 15 | 7 | 6 | 5 | 13 | 4 | 3 -INPUTP | 3 | 36 | 30 | 29 -EXPORTS | 1 | 7 | 16 -EQ | 6 | - EXP27_.EXP = A_FSB<23> & !iobs/Once & iobs/PS_FSM_FFd1 - # !iobs/Once & iobs/PS_FSM_FFd2 & !nADoutLE1 - # !iobs/Once & iobs/PS_FSM_FFd1 & !nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & !A_FSB<21> & !iobs/Once - # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay1 & - !iobs/Once +OUTPUTMC | 1 | 7 | 0 +INPUTS | 16 | A_FSB<22> | A_FSB<21> | iobs/Once | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | fsb/ASrf | A_FSB<20> | A_FSB<14> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | nWE_FSB | cs/nOverlay1 | nAS_FSB | A_FSB<13> +INPUTMC | 5 | 7 | 0 | 7 | 13 | 7 | 6 | 0 | 6 | 4 | 3 +INPUTP | 11 | 30 | 29 | 28 | 19 | 26 | 24 | 23 | 22 | 47 | 54 | 18 +EXPORTS | 1 | 7 | 0 +EQ | 13 | + EXP29_.EXP = A_FSB<22> & A_FSB<21> & !iobs/Once & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf + # A_FSB<22> & A_FSB<20> & !iobs/Once & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf + # A_FSB<14> & A_FSB<21> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & !iobs/Once & + cs/nOverlay1 & !nAS_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 + # A_FSB<14> & A_FSB<21> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & !iobs/Once & + cs/nOverlay1 & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf + # A_FSB<13> & A_FSB<21> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !nWE_FSB & !iobs/Once & + cs/nOverlay1 & !nAS_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 -MACROCELL | 7 | 17 | EXP28_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 7 | 16 -INPUTS | 9 | A_FSB<23> | A_FSB<21> | A_FSB<19> | iobs/Once | A_FSB<18> | A_FSB<17> | A_FSB<16> | nWE_FSB | cnt/PORS_FSM_FFd1.EXP -INPUTMC | 2 | 7 | 16 | 7 | 0 -INPUTP | 7 | 36 | 29 | 26 | 24 | 23 | 22 | 47 -EXPORTS | 1 | 7 | 16 -IMPORTS | 1 | 7 | 0 -EQ | 10 | - EXP28_.EXP = !A_FSB<23> & A_FSB<21> & !A_FSB<19> & !iobs/Once - # !A_FSB<23> & A_FSB<21> & !A_FSB<18> & !iobs/Once - # !A_FSB<23> & A_FSB<21> & !A_FSB<17> & !iobs/Once - # !A_FSB<23> & A_FSB<21> & !A_FSB<16> & !iobs/Once - # !A_FSB<23> & A_FSB<21> & nWE_FSB & !iobs/Once -;Imported pterms FB8_1 - # !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & A_FSB<21> & - !iobs/Once - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - cs/nOverlay1 & !iobs/Once - -PIN | A_FSB<9> | 64 | 0 | N/A | 12 | 4 | 6 | 6 | 2 | 6 | 2 | 17 | 6 | 8 -PIN | A_FSB<8> | 64 | 0 | N/A | 11 | 3 | 6 | 4 | 2 | 6 | 2 | 17 -PIN | A_FSB<15> | 64 | 0 | N/A | 21 | 3 | 4 | 10 | 2 | 6 | 2 | 17 -PIN | A_FSB<14> | 64 | 0 | N/A | 19 | 14 | 7 | 10 | 2 | 6 | 2 | 9 | 2 | 0 | 2 | 7 | 2 | 8 | 7 | 0 | 7 | 7 | 7 | 11 | 4 | 8 | 2 | 2 | 2 | 15 | 2 | 16 | 2 | 17 -PIN | A_FSB<13> | 64 | 0 | N/A | 18 | 14 | 7 | 10 | 2 | 6 | 2 | 7 | 2 | 0 | 2 | 9 | 2 | 8 | 7 | 0 | 7 | 7 | 7 | 11 | 4 | 10 | 2 | 2 | 2 | 15 | 2 | 16 | 2 | 17 -PIN | A_FSB<12> | 64 | 0 | N/A | 17 | 3 | 4 | 13 | 2 | 6 | 2 | 17 -PIN | A_FSB<11> | 64 | 0 | N/A | 15 | 3 | 6 | 1 | 2 | 6 | 2 | 17 -PIN | A_FSB<10> | 64 | 0 | N/A | 13 | 3 | 6 | 5 | 2 | 6 | 2 | 17 -PIN | A_FSB<23> | 64 | 0 | N/A | 36 | 43 | 7 | 16 | 2 | 14 | 4 | 7 | 2 | 5 | 2 | 9 | 2 | 1 | 2 | 11 | 2 | 7 | 2 | 17 | 4 | 6 | 4 | 11 | 7 | 6 | 7 | 1 | 4 | 8 | 7 | 9 | 7 | 13 | 4 | 16 | 6 | 7 | 3 | 5 | 7 | 4 | 2 | 13 | 2 | 0 | 2 | 6 | 2 | 10 | 2 | 12 | 4 | 1 | 4 | 2 | 4 | 5 | 4 | 14 | 4 | 15 | 4 | 17 | 6 | 6 | 6 | 8 | 7 | 0 | 7 | 5 | 7 | 7 | 7 | 8 | 7 | 10 | 7 | 11 | 7 | 12 | 7 | 14 | 7 | 15 | 7 | 17 -PIN | A_FSB<22> | 64 | 0 | N/A | 30 | 40 | 7 | 16 | 2 | 13 | 4 | 7 | 2 | 5 | 2 | 9 | 2 | 1 | 2 | 10 | 2 | 8 | 2 | 17 | 4 | 6 | 4 | 11 | 7 | 5 | 7 | 2 | 4 | 8 | 7 | 8 | 7 | 12 | 4 | 15 | 6 | 7 | 3 | 5 | 7 | 4 | 2 | 16 | 2 | 0 | 2 | 2 | 2 | 6 | 2 | 7 | 2 | 12 | 2 | 15 | 4 | 1 | 4 | 2 | 4 | 5 | 4 | 14 | 4 | 16 | 4 | 17 | 6 | 6 | 6 | 8 | 7 | 0 | 7 | 7 | 7 | 10 | 7 | 14 | 7 | 15 -PIN | A_FSB<21> | 64 | 0 | N/A | 29 | 40 | 7 | 15 | 2 | 13 | 4 | 7 | 2 | 5 | 2 | 9 | 2 | 1 | 2 | 11 | 2 | 8 | 2 | 17 | 4 | 5 | 4 | 11 | 7 | 5 | 7 | 2 | 4 | 8 | 7 | 8 | 7 | 12 | 4 | 15 | 6 | 7 | 3 | 5 | 7 | 4 | 6 | 8 | 2 | 16 | 2 | 0 | 2 | 2 | 2 | 6 | 2 | 7 | 2 | 10 | 2 | 12 | 2 | 15 | 4 | 1 | 4 | 2 | 4 | 14 | 4 | 16 | 4 | 17 | 7 | 0 | 7 | 7 | 7 | 10 | 7 | 11 | 7 | 14 | 7 | 17 -PIN | A_FSB<20> | 64 | 0 | N/A | 28 | 20 | 7 | 16 | 2 | 13 | 4 | 7 | 2 | 9 | 2 | 1 | 2 | 11 | 2 | 8 | 7 | 6 | 7 | 9 | 7 | 13 | 6 | 10 | 3 | 5 | 2 | 17 | 2 | 0 | 2 | 2 | 2 | 6 | 2 | 7 | 2 | 15 | 2 | 16 | 4 | 2 -PIN | A_FSB<19> | 64 | 0 | N/A | 26 | 16 | 2 | 17 | 2 | 12 | 2 | 9 | 2 | 0 | 2 | 7 | 2 | 8 | 7 | 5 | 7 | 8 | 7 | 12 | 6 | 10 | 7 | 1 | 2 | 2 | 2 | 6 | 2 | 15 | 2 | 16 | 7 | 17 -PIN | A_FSB<18> | 64 | 0 | N/A | 24 | 15 | 7 | 14 | 2 | 12 | 2 | 9 | 2 | 0 | 2 | 7 | 2 | 8 | 2 | 17 | 7 | 7 | 7 | 10 | 6 | 7 | 2 | 2 | 2 | 6 | 2 | 15 | 2 | 16 | 7 | 17 -PIN | A_FSB<17> | 64 | 0 | N/A | 23 | 15 | 7 | 14 | 2 | 12 | 2 | 9 | 2 | 0 | 2 | 7 | 2 | 8 | 2 | 17 | 7 | 7 | 7 | 10 | 6 | 4 | 2 | 2 | 2 | 6 | 2 | 15 | 2 | 16 | 7 | 17 -PIN | A_FSB<16> | 64 | 0 | N/A | 22 | 15 | 7 | 10 | 2 | 12 | 2 | 9 | 2 | 0 | 2 | 7 | 2 | 8 | 7 | 5 | 2 | 17 | 7 | 12 | 4 | 14 | 2 | 2 | 2 | 6 | 2 | 15 | 2 | 16 | 7 | 17 -PIN | C16M | 4096 | 0 | N/A | 33 | 30 | 5 | 1 | 0 | 14 | 0 | 17 | 0 | 13 | 5 | 9 | 5 | 6 | 5 | 5 | 5 | 12 | 5 | 4 | 5 | 7 | 5 | 17 | 5 | 0 | 5 | 15 | 5 | 3 | 0 | 10 | 0 | 8 | 5 | 2 | 0 | 6 | 0 | 3 | 0 | 1 | 0 | 0 | 5 | 11 | 5 | 8 | 5 | 10 | 0 | 5 | 0 | 11 | 0 | 9 | 0 | 4 | 0 | 2 | 5 | 16 -PIN | nWE_FSB | 64 | 0 | N/A | 47 | 20 | 2 | 17 | 2 | 14 | 2 | 9 | 2 | 0 | 2 | 11 | 2 | 8 | 7 | 5 | 7 | 8 | 7 | 12 | 4 | 5 | 2 | 16 | 3 | 5 | 7 | 4 | 7 | 7 | 2 | 2 | 2 | 7 | 2 | 10 | 2 | 13 | 2 | 15 | 7 | 17 -PIN | C8M | 8256 | 0 | N/A | 35 | 27 | 0 | 17 | 5 | 9 | 5 | 17 | 5 | 15 | 5 | 14 | 5 | 16 | 3 | 8 | 6 | 0 | 3 | 17 | 3 | 16 | 3 | 15 | 6 | 12 | 6 | 14 | 6 | 9 | 6 | 6 | 6 | 2 | 6 | 17 | 6 | 16 | 6 | 3 | 6 | 15 | 3 | 1 | 7 | 14 | 7 | 0 | 3 | 6 | 0 | 12 | 3 | 0 | 0 | 7 -PIN | FCLK | 16384 | 0 | N/A | 42 | 38 | 4 | 3 | 7 | 16 | 2 | 14 | 4 | 2 | 4 | 7 | 2 | 5 | 2 | 9 | 2 | 1 | 0 | 16 | 1 | 17 | 2 | 11 | 2 | 6 | 0 | 15 | 1 | 16 | 2 | 8 | 7 | 11 | 4 | 0 | 4 | 6 | 4 | 12 | 7 | 6 | 1 | 7 | 7 | 2 | 1 | 15 | 4 | 9 | 1 | 12 | 7 | 9 | 1 | 11 | 1 | 9 | 1 | 8 | 1 | 13 | 2 | 4 | 7 | 13 | 4 | 16 | 1 | 10 | 1 | 6 | 5 | 13 | 1 | 14 | 4 | 4 -PIN | nAS_FSB | 64 | 0 | N/A | 54 | 36 | 4 | 3 | 7 | 16 | 2 | 14 | 4 | 7 | 2 | 4 | 2 | 9 | 2 | 1 | 2 | 10 | 2 | 6 | 2 | 5 | 7 | 11 | 4 | 0 | 4 | 6 | 4 | 12 | 7 | 6 | 7 | 1 | 4 | 9 | 7 | 9 | 1 | 11 | 1 | 14 | 7 | 13 | 4 | 16 | 4 | 5 | 2 | 16 | 3 | 10 | 3 | 5 | 7 | 4 | 4 | 11 | 7 | 7 | 2 | 0 | 2 | 2 | 2 | 13 | 2 | 15 | 2 | 17 | 4 | 1 | 4 | 8 -PIN | nBG_IOB | 64 | 0 | N/A | 117 | 1 | 0 | 14 -PIN | nLDS_FSB | 64 | 0 | N/A | 49 | 3 | 0 | 16 | 1 | 17 | 7 | 4 -PIN | nUDS_FSB | 64 | 0 | N/A | 56 | 3 | 0 | 15 | 1 | 16 | 7 | 7 -PIN | nBERR_IOB | 64 | 0 | N/A | 123 | 4 | 5 | 17 | 0 | 11 | 0 | 10 | 5 | 16 -PIN | E | 64 | 0 | N/A | 37 | 8 | 3 | 2 | 3 | 12 | 3 | 11 | 3 | 3 | 3 | 9 | 0 | 7 | 3 | 14 | 3 | 13 -PIN | nIPL2 | 64 | 0 | N/A | 146 | 1 | 0 | 12 -PIN | nDTACK_IOB | 64 | 0 | N/A | 127 | 2 | 0 | 9 | 0 | 8 -PIN | nVPA_IOB | 64 | 0 | N/A | 125 | 2 | 0 | 2 | 0 | 1 +PIN | A_FSB<9> | 64 | 0 | N/A | 12 | 5 | 6 | 6 | 2 | 6 | 2 | 1 | 2 | 2 | 6 | 8 +PIN | A_FSB<8> | 64 | 0 | N/A | 11 | 4 | 6 | 4 | 2 | 6 | 2 | 1 | 2 | 2 +PIN | A_FSB<15> | 64 | 0 | N/A | 21 | 4 | 4 | 10 | 2 | 6 | 2 | 1 | 2 | 2 +PIN | A_FSB<14> | 64 | 0 | N/A | 19 | 13 | 2 | 16 | 2 | 2 | 2 | 6 | 4 | 8 | 2 | 9 | 2 | 8 | 7 | 11 | 7 | 1 | 2 | 4 | 2 | 1 | 2 | 15 | 7 | 16 | 7 | 17 +PIN | A_FSB<13> | 64 | 0 | N/A | 18 | 13 | 2 | 16 | 2 | 2 | 2 | 6 | 4 | 10 | 2 | 9 | 2 | 8 | 7 | 11 | 7 | 1 | 2 | 4 | 2 | 1 | 2 | 15 | 7 | 16 | 7 | 17 +PIN | A_FSB<12> | 64 | 0 | N/A | 17 | 4 | 4 | 13 | 2 | 6 | 2 | 1 | 2 | 2 +PIN | A_FSB<11> | 64 | 0 | N/A | 15 | 4 | 6 | 1 | 2 | 6 | 2 | 1 | 2 | 2 +PIN | A_FSB<10> | 64 | 0 | N/A | 13 | 4 | 6 | 5 | 2 | 6 | 2 | 1 | 2 | 2 +PIN | A_FSB<23> | 64 | 0 | N/A | 36 | 37 | 7 | 0 | 2 | 14 | 4 | 7 | 4 | 6 | 2 | 9 | 2 | 0 | 2 | 10 | 2 | 8 | 2 | 17 | 7 | 7 | 4 | 11 | 7 | 13 | 7 | 9 | 4 | 8 | 7 | 15 | 2 | 5 | 4 | 16 | 6 | 7 | 3 | 5 | 7 | 4 | 2 | 13 | 2 | 1 | 2 | 2 | 2 | 6 | 2 | 7 | 2 | 12 | 4 | 1 | 4 | 2 | 4 | 14 | 4 | 15 | 4 | 17 | 6 | 6 | 6 | 8 | 7 | 1 | 7 | 12 | 7 | 14 | 7 | 16 +PIN | A_FSB<22> | 64 | 0 | N/A | 30 | 40 | 7 | 0 | 2 | 14 | 4 | 7 | 4 | 6 | 2 | 9 | 2 | 0 | 2 | 10 | 2 | 8 | 2 | 17 | 7 | 7 | 4 | 11 | 7 | 12 | 7 | 10 | 4 | 8 | 7 | 15 | 2 | 5 | 4 | 15 | 6 | 7 | 3 | 5 | 7 | 4 | 2 | 15 | 2 | 1 | 2 | 2 | 2 | 4 | 2 | 6 | 2 | 7 | 2 | 12 | 2 | 13 | 4 | 1 | 4 | 2 | 4 | 14 | 4 | 16 | 4 | 17 | 6 | 6 | 6 | 8 | 7 | 1 | 7 | 9 | 7 | 14 | 7 | 16 | 7 | 17 +PIN | A_FSB<21> | 64 | 0 | N/A | 29 | 38 | 7 | 0 | 2 | 13 | 4 | 7 | 4 | 6 | 2 | 9 | 2 | 0 | 2 | 10 | 2 | 8 | 2 | 17 | 7 | 7 | 4 | 11 | 7 | 12 | 7 | 9 | 4 | 8 | 7 | 14 | 2 | 5 | 4 | 15 | 6 | 7 | 3 | 5 | 7 | 4 | 6 | 8 | 2 | 16 | 2 | 1 | 2 | 2 | 2 | 4 | 2 | 6 | 2 | 7 | 2 | 12 | 2 | 15 | 4 | 1 | 4 | 2 | 4 | 14 | 4 | 16 | 4 | 17 | 7 | 1 | 7 | 11 | 7 | 16 | 7 | 17 +PIN | A_FSB<20> | 64 | 0 | N/A | 28 | 24 | 7 | 0 | 2 | 13 | 4 | 7 | 2 | 9 | 2 | 0 | 2 | 7 | 2 | 8 | 7 | 12 | 7 | 11 | 2 | 5 | 6 | 10 | 3 | 5 | 2 | 17 | 2 | 1 | 2 | 2 | 2 | 4 | 2 | 6 | 2 | 12 | 2 | 15 | 2 | 16 | 4 | 2 | 7 | 1 | 7 | 16 | 7 | 17 +PIN | A_FSB<19> | 64 | 0 | N/A | 26 | 14 | 2 | 16 | 2 | 2 | 2 | 6 | 2 | 15 | 2 | 10 | 2 | 8 | 7 | 11 | 7 | 14 | 2 | 4 | 6 | 10 | 2 | 1 | 7 | 1 | 7 | 2 | 7 | 17 +PIN | A_FSB<18> | 64 | 0 | N/A | 24 | 13 | 2 | 16 | 2 | 12 | 2 | 6 | 6 | 7 | 2 | 2 | 2 | 8 | 7 | 11 | 7 | 1 | 2 | 4 | 2 | 1 | 2 | 15 | 7 | 16 | 7 | 17 +PIN | A_FSB<17> | 64 | 0 | N/A | 23 | 13 | 2 | 16 | 2 | 12 | 2 | 6 | 6 | 4 | 2 | 2 | 2 | 8 | 7 | 11 | 7 | 14 | 2 | 4 | 2 | 1 | 2 | 15 | 7 | 1 | 7 | 17 +PIN | A_FSB<16> | 64 | 0 | N/A | 22 | 13 | 2 | 16 | 2 | 12 | 2 | 6 | 4 | 14 | 2 | 2 | 2 | 8 | 7 | 11 | 7 | 14 | 2 | 4 | 2 | 1 | 2 | 15 | 7 | 1 | 7 | 17 +PIN | C16M | 4096 | 0 | N/A | 33 | 28 | 5 | 1 | 5 | 4 | 3 | 9 | 5 | 12 | 5 | 7 | 5 | 6 | 5 | 15 | 5 | 5 | 5 | 9 | 3 | 17 | 5 | 0 | 5 | 17 | 5 | 3 | 3 | 2 | 1 | 15 | 5 | 2 | 1 | 13 | 1 | 10 | 1 | 8 | 5 | 8 | 5 | 10 | 5 | 11 | 1 | 12 | 3 | 3 | 1 | 16 | 1 | 11 | 1 | 9 | 5 | 16 +PIN | nWE_FSB | 64 | 0 | N/A | 47 | 16 | 2 | 15 | 2 | 13 | 2 | 1 | 7 | 6 | 2 | 11 | 2 | 8 | 7 | 11 | 7 | 15 | 2 | 4 | 4 | 5 | 2 | 16 | 3 | 5 | 7 | 5 | 2 | 10 | 7 | 1 | 7 | 17 +PIN | C8M | 8256 | 0 | N/A | 35 | 27 | 5 | 4 | 5 | 12 | 3 | 17 | 5 | 17 | 3 | 0 | 3 | 16 | 5 | 16 | 3 | 13 | 6 | 0 | 3 | 15 | 3 | 14 | 6 | 12 | 6 | 14 | 6 | 9 | 6 | 6 | 6 | 2 | 6 | 17 | 6 | 16 | 6 | 3 | 6 | 15 | 7 | 14 | 3 | 6 | 3 | 12 | 3 | 8 | 3 | 11 | 1 | 14 | 3 | 1 +PIN | FCLK | 16384 | 0 | N/A | 42 | 38 | 7 | 0 | 4 | 3 | 2 | 14 | 4 | 2 | 4 | 7 | 4 | 6 | 2 | 9 | 2 | 0 | 0 | 11 | 0 | 8 | 2 | 11 | 0 | 16 | 0 | 10 | 0 | 7 | 2 | 8 | 7 | 11 | 4 | 0 | 7 | 8 | 4 | 12 | 7 | 13 | 0 | 1 | 7 | 10 | 7 | 6 | 4 | 9 | 0 | 5 | 7 | 15 | 7 | 16 | 0 | 3 | 0 | 2 | 7 | 3 | 7 | 2 | 2 | 6 | 4 | 16 | 0 | 4 | 0 | 0 | 5 | 13 | 0 | 6 | 4 | 4 +PIN | nAS_FSB | 64 | 0 | N/A | 54 | 43 | 7 | 0 | 4 | 3 | 2 | 14 | 4 | 7 | 4 | 6 | 2 | 9 | 2 | 0 | 2 | 10 | 0 | 16 | 2 | 7 | 7 | 11 | 4 | 0 | 7 | 8 | 4 | 12 | 7 | 13 | 7 | 9 | 4 | 9 | 7 | 15 | 7 | 16 | 0 | 6 | 2 | 5 | 4 | 16 | 4 | 5 | 2 | 16 | 3 | 10 | 3 | 5 | 7 | 4 | 7 | 5 | 7 | 6 | 2 | 1 | 2 | 2 | 2 | 4 | 2 | 13 | 2 | 15 | 2 | 17 | 4 | 1 | 4 | 8 | 4 | 11 | 7 | 1 | 7 | 7 | 7 | 10 | 7 | 12 | 7 | 17 +PIN | nLDS_FSB | 64 | 0 | N/A | 49 | 3 | 0 | 11 | 0 | 8 | 7 | 5 +PIN | nUDS_FSB | 64 | 0 | N/A | 56 | 3 | 0 | 10 | 0 | 7 | 7 | 6 +PIN | nBERR_IOB | 64 | 0 | N/A | 123 | 5 | 3 | 17 | 3 | 3 | 3 | 2 | 3 | 0 | 3 | 16 +PIN | E | 64 | 0 | N/A | 37 | 8 | 0 | 9 | 0 | 14 | 0 | 13 | 1 | 17 | 0 | 12 | 1 | 14 | 0 | 17 | 0 | 15 +PIN | nIPL2 | 64 | 0 | N/A | 146 | 1 | 3 | 8 +PIN | nDTACK_IOB | 64 | 0 | N/A | 127 | 2 | 1 | 16 | 1 | 15 +PIN | nVPA_IOB | 64 | 0 | N/A | 125 | 2 | 1 | 9 | 1 | 8 PIN | A_FSB<1> | 64 | 0 | N/A | 149 | 1 | 6 | 5 PIN | A_FSB<2> | 64 | 0 | N/A | 153 | 1 | 6 | 1 PIN | A_FSB<3> | 64 | 0 | N/A | 155 | 1 | 4 | 13 @@ -2480,12 +2445,11 @@ PIN | A_FSB<5> | 64 | 0 | N/A | 4 | 1 | 4 | 7 PIN | A_FSB<6> | 64 | 0 | N/A | 6 | 1 | 4 | 10 PIN | A_FSB<7> | 64 | 0 | N/A | 8 | 1 | 4 | 13 PIN | nVMA_IOB | 536871040 | 0 | N/A | 118 -PIN | nAS_IOB | 536871040 | 0 | N/A | 135 PIN | nLDS_IOB | 536871040 | 0 | N/A | 130 PIN | nUDS_IOB | 536871040 | 0 | N/A | 134 +PIN | nAS_IOB | 536871040 | 0 | N/A | 135 PIN | nDTACK_FSB | 536871040 | 0 | N/A | 44 PIN | nBERR_FSB | 536871040 | 0 | N/A | 112 -PIN | nAoutOE | 536871040 | 0 | N/A | 141 PIN | nBR_IOB | 536871040 | 0 | N/A | 116 PIN | RA<0> | 536871040 | 0 | N/A | 86 PIN | RA<1> | 536871040 | 0 | N/A | 79 @@ -2509,9 +2473,10 @@ PIN | nCAS | 536871040 | 0 | N/A | 59 PIN | RA<11> | 536871040 | 0 | N/A | 102 PIN | RA<10> | 536871040 | 0 | N/A | 90 PIN | nADoutLE1 | 536871040 | 0 | N/A | 136 +PIN | nAoutOE | 536871040 | 0 | N/A | 141 PIN | nRAMLWE | 536871040 | 0 | N/A | 104 PIN | nRAMUWE | 536871040 | 0 | N/A | 105 PIN | nROMCS | 536871040 | 0 | N/A | 58 PIN | C25MEN | 536871040 | 0 | N/A | 95 PIN | C20MEN | 536871040 | 0 | N/A | 96 -PIN | nRES | 536870976 | 0 | N/A | 145 | 3 | 4 | 7 | 0 | 4 | 0 | 3 +PIN | nRES | 536870976 | 0 | N/A | 145 | 3 | 4 | 7 | 1 | 11 | 1 | 10 diff --git a/cpld/XC95144XL/WarpSE.nga b/cpld/XC95144XL/WarpSE.nga index f7c4c6c..a809756 100644 --- a/cpld/XC95144XL/WarpSE.nga +++ b/cpld/XC95144XL/WarpSE.nga @@ -1,3 +1,3 @@ XILINX-XDB 0.1 STUB 0.1 ASCII XILINX-XDM V1.6e -$7420=#Zl|/;6&?:183-c=/83:1<$.'0;294,773!;;<=4>01295567!890$3:*2056728>;<=4>4123-46< 8=;<7?80182356.991#=5>?:0:34?7?89#:<6&=712962672;=;<$??;)0;45<509:1>5>?)028,667839;<=4<012*64=/;9:;6>>?0;1345/' :?;<7=:0180156.991#?8>?:2734?5289#o7%:?0;634?278 ;;7%8?0185456=>9:;%<>4(6234?17890<<=>&119+=56720:;<77?01+2?57<9;1:1392644<9;0DYY^ZT;PB847=8780=><41508504<9?80=:<419085<7<:;196<;2:066>40:283>6<61:11?6653:897>==;261?6353:<97>9>;508054<<8808?<44208034<=9809?<45208114<=<809;<459081<7<>;1=??59439517=1>81<>69?2:516>14:2=?>6992:546>1?:2=2=66=;921?=75318975;=;941?=15313:74<49108=44<1;805><49508=04<1?805:=4AOF:?DHIG]K_II74A^DPF9776m1JSK]M<02=*UGC12KTJ^L3103?,SEA?e9B[CUE48>5"]OK9:C\BVD;9<4o7LQISC>21;(WIM30MRH\B=35:a=FWOYI0<81.QCG=>GXNZH7=:0k;@]EWG:6?7$[MI74A^DPF97?6m1JSK]M<0:=*UGC12KTJ^L3181.QCG=>GXNZH7><0k;@]EWG:597$[MI74A^DPF9456m1JSK]M<30=*UGCj2KTJ^L32282:<=FWOYI0?=1d:C\BVD;::4%\LJ7;@]EWG:56j1JSK]M<3<-TDB?3HUM_O2<>b9B[CUE4:4%\LJ7;@]EWG:36j1JSK]M<5<-TDB?3HUM_O2:>b9B[CUE4<4%\LJ7;@]EWG:16j1JSK]M<7<-TDB?3HUM_O28>b9B[CUE4>4%\LJ7;@]EWG:?6j1JSK]M<9<-TDB?3HUM_O26>b9B[CUE404%\LJk;@]EWGY68VCIYKj4A^DPFZ76W@H^Ji5N_GQA[44XAK_Mh6OPFR@\56YNJ\Lo7LQISC]20ZOE]On0MRH\B^36[LDRNm1JSK]M_04\MGSAl2KTJ^LP16]JFP@c3HUM_OQ>8^KAQCb!D078EZ@TJV;2SDLZF%@VB5(C98?0MRH\B^3:[LDRN-H^J= K2048EZ@TJV;2SDLZF%@VB5(BPY;i7LQISC]2=ZOE]O.IYK>!EYR\TQY79k1JSK]M_0;\MGSA,K_M<#KWP^RW[47e3HUM_OQ>9^KAQC"E]O:%IU^PPU]15g=FWOYIS<7PICWE GSA8'OS\R^[_204?DYA[KU:5RGMUG&AQC6)MQZTx~gbrdlbi`bXfl~T=95N_GQA[4?XAK_M(O[I0/S`?DYA[KU:SDLZFe9B[CUEW;:TEO[Id:C\BVDX:8UBNXH>2:C\BVDX:8UBNXH+BTD351=FWOYIS??PICWE GSA8'N:96OPFR@\64YNJ\L/NXH?.E321>GXNZHT>GXNZHT>>QFBTD`?DYA[KU9SDLZFb9B[CUEW:UBNXHl;@]EWGY3W@H^Jn5N_GQA[0YNJ\Lh7LQISC]5[LDRNj1JSK]M_6]JFP@d3HUM_OQ7_H@VBf=FWOYIS4QFBTD0?GSA02H^_RGAFN68G40J02I::@ _AEg8G40JW@H^J"HLLJd8G40JW@H^J"HLLJ/5?F47ELDj7NAH@n;B06I@H)XHN87N6B7:A;I+VFL11H4@QFBTDf?F>JW@H^J"HLLJ/1?FC43JF@56M@MLKWP@B03JXNMYKK3:AWH<=Ddfi`_}kl8:FbpdUwmj?0Hhbny09F1>C)XHNj7HV_15]-A]Va3LR[=9Q!EYR\TQY7n2OS\<:P.DZS[URX9o1NT]?;_/G[TZVSW;l0IU^>4^,F\UYW\V9m7HV_15]-A]VXX]U?j6KWP06\*@^WWY^T95^,F\UYW\V9m7HV_14]-A]VXX]U?=o5JXQ36[+C_XV~xe`|jn`of`Zhb|Vk0IU^>6^,F\U`6^,F\UYW\V>m7HV_17]-A]VXX]U>=o5JXQ35[+C_XV~xe`|jn`of`Zhb|Vk0IU^>7^,F\U`7^,F\UYW\V>m7HV_16]-A]VXX]U>=o5JXQ34[+C_XV~xe`|jn`of`Zhb|Vk0IU^>8^,F\U`8^,F\UYW\V>:n6KWP0:\*@^WW}ybakaalgg[kcsWh1NT]?6_/G[Tc=BPY;2S#KWP^RW[5`P.DZSb>C_X;:T"HV__QV\4c=BPY8;S#KWP^RW[4`=Q!EYR\TQY4n2OS\?>P.DZS[URXC_X;;T"HV__QV\4c=BPY8:S#KWP^RW[4`?Q!EYRe?@^W:;U%IU^PPU]3b>C_X;8T"HV__QV\5c=BPY89S#KWP^RW[7`R JXQ]SPZ5a3LR[>?Q!EYR\TQY3n2OS\?>Q!EYRe?@^W::U%IU^PPU]3b>C_X;9T"HV__QV\5c=BPY88S#KWP^RW[7`>Q!EYR\TQY3n2OS\?=P.DZS[URX=8h0IU^=3^,F\UYs{`gyicobee]maqYf3LR[>9Q!EYRe?@^W:=U%IU^PPU]3b>C_X;>T"HV__QV\5c=BPY8?S#KWP^RW[7`S#KWPg9F\U42W'OS\R^[_1d8A]V5=V$NT]Q_T^3e?@^W:C_X;?T"HV__QV\7c=BPY8>S#KWP^RW[17e3LR[>8Q!EYR\pvojzldjahjPndv\e>C_X;V$NT]Q_T^0e?@^W:?U%IU^PPU]0b>C_X;;Q!EYR\pvojzldjahjPndv\e>C_X;=T"HV_f:G[T71X&LR[S]ZP0g9F\U40W'OS\R^[_0d8A]V5?V$NT]Q_T^0e?@^W:>U%IU^PPU]0b>C_X;=T"HV__QV\04dX&LR[S]ZP1g9F\U4?W'OS\R^[_3d8A]V50V$NT]Q_T^1e?@^W:1U%IU^PPU]7b>C_X;2T"HV__QV\14d@DDB20JNBD.QCGa>@DDBUBNXH FBNHb>@DDBUBNXH FBNH)7=AN:1Lbi<4IO18MK643@D:86GA1168MK76<2CE=?:4IO300>OI9=>0EC?:4:KM532;2CE>95FN327?LH59=1BB?<;;HL171=NF;>?7D@=559JJ7033@D9;95FN3:7?LH51:1BB>:4IO130>OI;890EC:<;HL67>OI>:1BB:=4IO:0?LH>12CEEY][AUG;?LhsLlfju>5COB58HJANKHFh7@oeosTfvvohfj1Feca}Vdppmjh23G:/CH:4N1&Rg>H7&K_MCH @UU68J5(C=2D;"I?:;O2-@72!Q59M5!W33G;%H85A1/F21>H6&M8?7C?!Q69MAQQHZB90CCK=;NP0?JSS;2ZJH95_ASV4?UOIFHGNm6^FN^@VWLB_j2ZBBRLZSOCNA3=Wm{cdh55_osvSeqn23[K7<374R@>3:+VFL?1YM1??>`9QE9776'ZJH55]A=32>5813[K7=<0n;SC?548)XHN>7_O31?;8VD:66'ZJH85]A=0==>TF4;4%\LJ:;SC?7;?/RB@0=UI5<556\N<7<-TDB23[K7;374R@>4:+VFL<1YM1619:PB8=8)XHN>7_O39?;8VD:>6'ZJH45]A^2\KGSAj2XJS=Q@BTD-@f=UIV:TCO[I.E3`?WGX8VEIYK K2038VDY7WFH^J#J=_QV\447POCWE*T?;SC\5ZIE]O$O>R^[_0`8VDY6WFH^J#_6;SC\6ZIE]Oh0^LQ=_N@VB+Bd3[KT>RAMUG,G5f=UIV8TCO[I.E025>TFW;UDNXH!D3]SPZ6692XJS?Q@BTD-@7YW\V;o7_OP2^MAQC(BPY;n7_OP2^MAQC(BPYUdc}eocnaaYim}Ui7_OP2^MAQC(V12XJS>Q@BTDa?WGX;VEIYK Kc:PB[6YHJ\L%HTFW:UDNXH!EYR26>TFW:UDNXH!EYR\TQY79;1YMR=POCWE*@^WWY^T=1:PB[1YHJ\L%H?Q_T^225>TFW=UDNXH!D3]SPZ7c3[KT8RAMUG,F\U753[KT8RAMUG,F\UYW\V::>6\N_5]LFP@)MQZT\YQ>139QEZ2XGK_M"HV__QV\6446\N_4]LFP@)MQZT\YQ?139QEZ3XGK_M"HV__QV\544SBLZF/G[TZVSW;;97_OP5^MAQC(BPYU[XR=>2:PB[0YHJ\L%IU^PPU]75`=UIV?TCO[I.DZS[qune{oem`kk_ogw[g=UIV?TCO[I.P;8VDY1WFH^Jo5]A^4\KGSA&Mi0^LQ9_N@VB+B6k2XJS;Q@BTD-@7763[KT:RAMUG,G6ZVSW9;:7_OP6^MAQC(C:VZ_SVEIYK JXQ]SPZ76:2XJS;Q@BTD-A]VXX]U9=?5]A^4\KGSA&LR[S]ZP30g8VDY1WFH^J#KWP^vpmhtbfhgnhR`jt^`8VDY1WFH^J#_6;SC\3ZIE]Oh0^LQ8_N@VB+Bd3[KT;RAMUG,G5f=UIV=TCO[I.E025>TFW>UDNXH!D3]SPZ6692XJS:Q@BTD-@7YW\V;i7_OP7^MAQC(V12XJS5Q@BTDa?WGX0VEIYK Kc:PB[=YHJ\L%HXGK_M"IXGK_M"IQfzyYN"cin5:Uj`qn5k2RH58?;4YN.55+SY8:;,%]edf#Bljb2)\n~~g`n!1-4,2^c`VZye`Xjrrklj==_laU_e`kk;Yfk[QojmJbnofj4Xej\PlkbLlfju<>4Xeo\Idlhz_oydaa119[`hYJageyZh||inl7?_CUGh1hby!NotvLAf=df}%Jcxz@E%S`?fhs'He~xBK!De9`jq)Fg|~DI#J>d:amp*Gh}}EN"I K2c9`jq)NXE8y"\j4cov,MUJ5z'XNKi5lnu-OPlkbz5:5==5lnu-OPlkbz5:5"NKi;blw+IRnelx7<3 K119`jq)K\`gn~1>1.E324>ei|&F_e`k}<1<-@7`3:+W692iex"B[ilgq858)ZLM:i6mat.NWmhcu494Tx~gbcsgbp`bXpfxTi6mat.NWmhcu48:5=<5lnu-OPlkbz5;;2#MJ119`jq)K\`gn~1??>/F25>ei|&F_e`k}<02=*A7692iex"B[ilgq8469&M8::6mat.NWmhcu48:5"I>/AF55=df}%GXdcjr=32:+B692iex"B[ilgq8479&M;:=6mat.NWmhcu48;5"I<>6:amp*JSadoy01?,G6ZVSW;;;7n`{/MVji`t;984%]<<4cov,HQojm{6:=3 ]EF3e?fhs'E^bah|310<\pvojk{ojxhjPxnp\a>ei|&F_e`k}<00=54=df}%GXdcjr=31:+EB991hby!CThofv9756'N:=6mat.NWmhcu4885"I?>1:amp*JSadoy0<<1.E022>ei|&F_e`k}<00=*A4XX]U;=;5lnu-OPlkbz5;92#J=_QV\54026;(C:VZ_S???;blw+IRnelx7=?0!Q008gkr(D]cfi2>2?,QAB7a3jd#AZfmdp?578X|zcfokntdf\|jtXm2iex"B[ilgq8459981hby!CThofv9746'IN==5lnu-OPlkbz5;82#J>1:amp*JSadoy0<=1.E325>ei|&F_e`k}<01=*A46>2iex"B[ilgq8459&M8T\YQ?179`jq)K\`gn~1?<>/F1[URX98:0ocz LUknaw:6;7$Z=?5lnu-OPlkbz5;82#\JG0d8gkr(D]cfi2>3?]wwlkdzlkiiQwos]g?fhs'E^bah|31?33?fhs'E^bah|31?,@Ac=df}%GXdcjr=3=*A773jd#AZfmdp?5;(C98:0ocz LUknaw:66'N9=85lnu-OPlkbz5;5"Iei|&F_e`k}<0<-U472:+TBOm1hby!CThofv949991hby!CThofv949&JOm7n`{/MVji`t;:7$O==5lnu-OPlkbz585"I?>0:amp*JSadoy0?0!D336?fhs'E^bah|32?,G6ZVSW9;>7n`{/MVji`t;:7$O>R^[_036?fhs'E^bah|32?,G6ZVSW;l0ocz LUknaw:56'[:=6mat.NWmhcu4;4%^HI>e:amp*JSadoy0?0Ptrkngwcf|lnTtb|Pd:amp*JSadoy0>0>0:amp*JSadoy0>0!CDd8gkr(D]cfi2<>/F24>ei|&F_e`k}<2<-@4773jd#AZfmdp?7;(C:8?0ocz LUknaw:46'N9S]ZP0078gkr(D]cfi2<>/F1[URX98?0ocz LUknaw:46'N9S]ZP2g9`jq)K\`gn~1=1.P32?fhs'E^bah|33?,QAB7b3jd#AZfmdp?7;Ys{`gh~ho{ee]{kwYc3jd#AZfmdp?0;773jd#AZfmdp?0;(DMo1hby!CThofv929&M;;7n`{/MVji`t;<7$O=<>4cov,HQojm{6?2#J=149`jq)K\`gn~1:1.E0\TQY79<1hby!CThofv929&M8T\YQ>149`jq)K\`gn~1:1.E0\TQY5n2iex"B[ilgq818)Y8;0ocz LUknaw:36'XNK2<>4cov,HQojm{6>2#MJf:amp*JSadoy080!D028gkr(D]cfi2:>/F255=df}%GXdcjr=7=*A46=2iex"B[ilgq808)L;U[XR>>5:amp*JSadoy080!D3]SPZ76=2iex"B[ilgq808)L;U[XR5:+W692iex"B[ilgq838)ZLM:i6mat.NWmhcu4?4Tx~gbcsgbp`bXpfxTh6mat.NWmhcu4>4:<6mat.NWmhcu4>4%OHh4cov,HQojm{6<2#J>0:amp*JSadoy0:0!D033?fhs'E^bah|37?,G6434:+B5WY^T<<;4cov,HQojm{6<2#J=_QV\5434:+B5WY^T>/G[TZrtadxnblcjd^lfpZ`4:+W692iex"B[ilgq828)ZLM:i6mat.NWmhcu4>4Tx~gbcsgbp`bXpfxTh6mat.NWmhcu414:<6mat.NWmhcu414%OHh4cov,HQojm{632#J>0:amp*JSadoy050!D033?fhs'E^bah|38?,G643;:+B5WY^T<<;4cov,HQojm{632#J=_QV\543;:+B5WY^T>k5lnu-OPlkbz525"\?>;blw+IRnelx743 ]EF3f?fhs'E^bah|38?]wwlkdzlkiiQwos]g?fhs'E^bah|39?33?fhs'E^bah|39?,@Ac=df}%GXdcjr=;=*A773jd#AZfmdp?=;(C98:0ocz LUknaw:>6'N9=85lnu-OPlkbz535"Iei|&F_e`k}<8<-U47::+TBO8o0ocz LUknaw:>6V~xe`m}e`vf`Z~hzV;:7n`{/QMQWZ@TEVLMhei|&ZD^^QISL]EBa7)ZLM9>6mat.RLVVYA[DUMJi?Ptrkngwcf|lnTtb|P109`jq)WG[YTJ^CPFGf156=df}%[C_]PFRO\BCb5&M;?7n`{/QMQWZ@TEVLMh? K1068gkr(XFXXSK]B_GDg6+B5901hby!_OSQ\BVKXNOn9"I129`jq)WG[YTJ^CPFGf1*T723jd#]A]S^DPIZ@Al;$YIJo4cov,V``Umxl0ocz RddQat(DDBLh7n`{/SgeV`w)Lm1hby!]egPfu+B6l2iex"\jfSgr*A46:2iex"\jfSgr*A4XX]U;=?5lnu-QacTby'N9S]ZP1008gkr(ZllYi| K2^RW[7eei|&Xnj_k~.SGD`>ei|&XnjX|heov26>ei|&XnjX|heov-GIMAn2iex"\jfTpdakr)L8:0ocz RddVvbci|'N:==5lnu-QacSuold"I<>5:amp*Tbn\xlicz!D3]SPZ66=2iex"\jfTpdakr)L;U[XR?i;blw+Wca]{mnby ^109`jq)Umo_ykh`{.SGDg>ei|&^bah|30?32?fhs']cfi2?>/AOOCc3:+B5m2iex"Zfmdp?4;(V991hby![ilgq858)ZLMh7n`{/Uknaw:668;0ocz Thofv979&JF@Jh5lnu-Wmhcu484%Hk5lnu-Wmhcu484%H4:amp*Rnelx7=3 K2^RW[5733jd#Ygbes>2:+B5WY^T=h5lnu-Wmhcu484%]<>4cov,Plkbz5;5"_KHc:amp*Rnelx7>3?>;blw+Qojm{692#MCKGg8gkr(\`gn~1<1.Ed8gkr(\`gn~1<1.E3e?fhs']cfi2=>/F151=df}%_e`k}<3<-@7YW\V::86mat.Vji`t;:7$O>R^[_0g8gkr(\`gn~1<1.P33?fhs']cfi2=>/PFC4bei|&^bah|33?,@HN@b3jd#Ygbes>0:+Ba3jd#Ygbes>0:+B6n2iex"Zfmdp?7;(C:8>0ocz Thofv959&M8T\YQ?159`jq)Sadoy0>0!D3]SPZ7b3jd#Ygbes>0:+W682iex"Zfmdp?7;(UMN;o7n`{/Uknaw:46V~xe`m}e`vf`Z~hzVh0ocz ThofvQE682iex"ZfmdpWG+EKCOn0ocz ThofvQE)Ll1hby![ilgqPF(C9l1hby![ilgqPF(C:m1hby![ilgqPF(Vn2iex"ZfmdpWG+TBOk1hby!aBS]JKGbei|&dI^RG@B/F2a>ei|&dI^RG@B/F156=df}%eN_QFOC,G6ZVSW9;87n`{/o@Q[LIE&M8T\YQ>f:amp*hEZVCDN#KWP068gkr(fKXTEBL!EYR\TQY79=1hby!aBS]JKG(BPYU[XR?>4:amp*hEZVCDN#KWP^RW[7473jd#cL]_HMA*@^WW}ybakaalgg[kcsWm1hby!aBS]JKG(Vn2iex"`MR^KLF+TBO8i0ocz nCP\MJDX|zcfokntdf\|jtXj2iex"`]ERmvpa=df}%e^H]`uu,Ga>ei|&dYI^azt/F2a>ei|&dYI^azt/F156=df}%e^H]`uu,G6ZVSW9;87n`{/oPFWjss&M8T\YQ>d:amp*hUMZe~x#_i;blw+kTB[f"_KHc:ap+kIqm{fju=k4cr-mKscudhs;"Ih4cr-mKscudhs;"I?i;bq,jJpbzekr<#J=159`w*hH~lxgmt>!D3]SPZ66<2ix#cAyesnb}5(C:VZ_S<=4fr`,V`gcq9x%H?Q_T^227>`tj&Xnmiw?r/F1[URX9890j~l Rdcg}5t)L;U[XR`tj&Xnmiw>r/F2a>`tj&Xnmiw>r/F156=a{k%Yiljv1s,G6ZVSW9;87k}m/Sgb`|7u&M8T\YQ>129ewg)Umhnr= K2^RW[7743oyi#_kndx3q*A4XX]U8=>5isc-Qadb~9{$O>R^[_5d8bvd(Zlkou<|!EYR14>`tj&Xnmiw>r/G[TZrtadxnblcjd^lfpZb`tj&\[M#J=_QV\6c=a{k%]\L K2^RW[6`01mo!YP@,Rf>`tj&\[M#\JG`9ewg)iL]KHGn5isc-m@QGDC'No7k}m/oFWEFM)L8n0j~l nEVBGN(C:880j~l nEVBGN(C:VZ_S=?=;gqa+kBSIJA%H?Q_T^326>`tj&dOXLMD.E0\TQY5m2lxn"`KT@AH*@^W9:1mo!aDUC@O+C_XVZ_S=?<;gqa+kBSIJA%IU^PPU]256=a{k%eHYOLK/G[TZVSW;;m7k}m/oFWEFM)MQZTx~gbrdlbi`bXfl~To6h|b.lGPDEL&Xo0j~l nEVBGN(UMNo0j~l nEVBGN(RAD30eblb/@NF4g=ngkg$MAK?.Ea8mjdj'HFN<#J>c:klfh)FDL:%H??>;hmai*GKM9$O>R^[_132?liee&KGI= K2^RW[4dh5foco,@QGDC{x%]<>4in`n+ARFKBxy"_KHb:klfh)Cg|~DIi5foco,@jssGL$Oi6g`bl-GkprHM'N:i6g`bl-GkprHM'N9=>5foco,@jssGL$O>R^[_130?liee&NdyyAJ.E0\TQY6l2cdn`!KotvLA+Wa3`eia"J`uuMF*WC@i2cdn`!JS=2=g>ohjd%N_1>1.Ef8mjdj'LY7<3 K1e9jkgk(MZ6;2#J=139jkgk(MZ6;2#J=_QV\444>/F2`>ohjd%N_1?1.E026>ohjd%N_1?1.E0\TQY79;1bcoc ER>2:+B5WY^T=<<4in`n+@U;97$O>R^[_3a8mjdj'LY7=3 ^e:klfh)B[5;5"_KHa:klfh)B[585o6g`bl-FW949&Mn0eblb/DQ?6;(C9m1bcoc ER>1:+B59;1bcoc ER>1:+B5WY^T<<<4in`n+@U;:7$O>R^[_031?liee&OX0?0!D3]SPZ46:2cdn`!JS=0=*A4XX]U8=?5foco,AV:56'N9S]ZP4b9jkgk(MZ692#_j;hmai*CT4;4%^HIn;hmai*CT4:4h7damm.GP868)Lm1bcoc ER>0:+B6l2cdn`!JS=1=*A46:2cdn`!JS=1=*A4XX]U;=?5foco,AV:46'N9S]ZP1008mjdj'LY7?3 K2^RW[7eohjd%N_1=1.SGD5g=ngkg$I^2<>^vpmheumh~nhRv`r^c8mjdj'LY783m4in`n+@U;<7$Oh6g`bl-FW929&M;o7damm.GP818)L;;97damm.GP818)L;U[XR>>2:klfh)B[5>5"I/F1[URX:880eblb/DQ?0;(C:VZ_S>m4in`n+@U;<7$Zi6g`bl-FW929&[OL=o5foco,AV:36V~xe`m}e`vf`Z~hzVk0eblb/DVBGNeohjd%NXLMD.E3g?liee&O_MNE!D3a8mjdj'L^JOF ^e:klfh)B\HI@"_KH7:klfh)Bz01bcoc Es,Ge>ohjd%N~#J>a:klfh)Bz'N956g`bl-Fv+We3`eia"K}.SGD<>ohjd%N~?o4in`n+@t5&Mh0eblb/Dp1*A7e3`eia"K}2/F1e>ohjd%N~? ^c:klfh)Bz;$YIJo4in`n+LIFK]i0eblb/HMBGQ(Cl2cdn`!FO@AW*A7c3`eia"G@ABV-@7753`eia"G@ABV-@7YW\V::>6g`bl-JKDES&M8T\YQ>139jkgk(AFKHX#J=_QV\6442:klfh)NGHI_"Iohjd%BCLM[.Pg8mjdj'@EJOY ]EF`8mjdj'@EII_\k;hmai*OHJLXY"Ik4in`n+LIEM[X%H5foco,MJDBZ[$O>R^[_4f8mjdj'@EII_\!Qg9jkgk(AFHN^_ ]EF3`?liee&CDNH\]_uqjiftbi}ooSua}_c9jkgk(AFXN]j4in`n+LIUMXx%Hh5foco,MJTBY{$O=h5foco,MJTBY{$O>i5foco,MJTBY{$Zj6g`bl-JKWCVz'XNKohjd%BC^QISL]EBa7)ZLM:=6g`bl-JKVYA[DUMJi<>3:klfh)NGZUM_@QIFe0-@42ohjd%BC^QISL]EBa4)L;U[XR>>9:klfh)NGZUM_@QIFe0-@7YW\V;:56g`bl-JKVYA[DUMJiohjd%BC^QISL]EBa4)L;U[XR:>3:klfh)NGZUM_@QIFe0-U43ohjd%BC^QISL]EBa5)L;;27damm.KLWZ@TEVLMh> K2^RW[57>3`eia"G@S^DPIZ@Al:$O>R^[_03:?liee&CD_RH\M^DE`6(C:VZ_S??<;hmai*OH[VLXARHId2,R50=ngkg$EB]PFRO\BCb4&[OLm6g`bl-QAVtak2cdn`!]ERpe*Abohjd%YI^|i.Pg8mjdj'[OX~k ]EFc8mjdj'[OX~m4in`n+WCTz{$Oh6g`bl-QAVtu&M;o7damm.PFWwt)L;i0eblb/SGPvw(Vm2cdn`!]ERpq*WC@i2cdn`!YP@peg>ohjd%]\L|i.Ef8mjdj'_ZJ~k K1e9jkgk(^YKyj#J=c:klfh)QXHxm"\k4in`n+SVFzo$YIJo4in`n+SVFz{i0eblb/WRBvw(Cl2cdn`!YP@pq*A7c3`eia"X_Asp-@7eohjd%]\L|}.SGDf>ohjd%eM^aztd9jkgk(fHYdyy*@Ee9jkgk(fHYdyy*^149jkgk(fHYdyy MUGMF*JSSl2cdn`!aARmvp+Bb3`eia"`NSnww*A7b3`eia"`NSnww*A46;2cdn`!aARmvp+B5WY^T<<=4in`n+kGTg|~%H?Q_T^3g?liee&dJ_b{{.Pd8mjdj'gKXcxz!RDE24>ohjd%eM^azt/VQWQ?ohjd%eHd`CE/G[TZVSW9;?7damm.lGmkJB&LR[S]ZP1068mjdj'gNbbAK!EYR\TQY59=1bcoc nEkmH@(BPYU[XR==0:klfh)iL`dGI#KWP^vpmhtbfhgnhR`jt^f8mjdj'gNbbAK!Qg9jkgk(fMce@H ]EF;8mjdj'gFO_n5foco,jIBT,FO:?6g`bl-mHAU)J\LDI#AZTc9jkgk(fENX"Im4in`n+kJC['N:o6g`bl-mHAU)L;;:7damm.lO@V(C:VZ_S=?>;hmai*hKLZ$O>R^[_032?liee&dGH^ K2^RW[7d;hmai*hRLZ$O>R^[_032?liee&d^H^ K2^RW[7dd:klfv)Ddlky=#Jj;hmaw*Ekmhx:"I?j;hmaw*Ekmhx:"I.Pd8mjdt'Jfnm?!RDEa?lie{&CDMNZ}d:klfv)NGHI_~#Jj;hmaw*OHIJ^y"I?j;hmaw*OHIJ^y"Ic:klfv)NGE;%OHl4in`p+LIK9'Nh7dams.KLH4(C9j1bco} INN2*A4e3`ei"G@L0,R`>ohjz%BCA?!RDEb?lie{&CD^H_l;hmaw*OHZL[%Hi5focq,MJTBY'N:h6g`br-JKWCV&M8:>6g`br-JKWCV&M8T\YQ?139jkgu(AFXN]#J=_QV\5442:klfv)NG[OZ"Iohjz%BC_Y?.E0\TQY49;1bco} INPT4+B5WY^T8<<4in`p+LIU_9$O>R^[_431?lie{&CD^Z>!D3]SPZ0d3`ei"G@RV2-U`=ngky$EB\X0/PFC4d6g`br-JKWQ6&M8T\YQ?139jkgu(AFX\=#J=_QV\5442:klfv)NG[]:"Iohjz%BC_kndx,F\UYW\V;:96g`br-JKWcflp$NT]Q_T^021>ohjz%BC_kndx,F\UYW\V99=6g`br-JKWcflp$NT]Q{shoqakgjmmUeiyQj;hmaw*OHZlkou#_>0:klfv)NG[ojht ]EF3g?lie{&CD^hoky^vpmheumh~nhRv`r^;8mjdt'@E^ohjz%Gclj>.E0\TQY69;1bco} Lncg5+B5WY^T><<4in`p+Iifl8$O>R^[_231?lie{&Fdmi?!D3]SPZ26:2cdn~!Co`f2*A4XX]U>=?5focq,Hjgc9'N9S]ZP6b9jkgu(Dfko=#_j;hmaw*Jhim;%^HI6;hmaw*Iiklh0ebl|/Nl`a+Bd3`ei"Aacd,G5f=ngky$Ccmj.E025>ohjz%Dbnk!D3]SPZ6692cdn~!@nbg-@7YW\V;:=6g`br-Ljfc)L;U[XR<>1:klfv)Hfjo%H?Q_T^125>ohjz%Dbnk!D3]SPZ2692cdn~!@nbg-@7YW\V?:=6g`br-Ljfc)L;U[XR8m;hmaw*Iikl$Zh6g`br-Ljfc)ZLM:<6g`br-SWZ@TEVLMhohjz%[_RH\M^DE`4(C9890ebl|/QQ\BVKXNOn:"I<>8:klfv)W[VLXARHId0,G6ZVSW9;37dams.RP[CUJWOLo=#J=_QV\5443:klfv)W[VLXARHId3,G64>R^[_731?lie{&ZXSK]B_GDg6+W6<2cdn~!_S^DPIZ@Al;$YIJk4in`p+kDBZ[UM_O??;hmaw*hEM[XTJ^L!D038mjdt'gHN^_QISC,G547R^[_135?lie{&dII_\PFR@-@7YW\V;::6g`br-mF@TUWOYI"I6g`br-mF@TUWOYI"_KH139jkgu(fKOY^RH\B/WJI4`3gKOcxzCE1f8jDBh}}FN<#^NDd9mEAir|EO;SBLZF028jDBh}}FN.QCGa>hFLf@H?POCWE55=iIMe~xAK>_N@VB+B692dJHb{{LD3\KGSA&M;:=6`NDnwwH@7XGK_M"I<>6:lB@jssDL;TCO[I.E0\TQY79?1eMIaztMG2[JDRN'N9S]ZP1028jDBh}}FN=RAMUG,R57=iIMe~xAK>_N@VB+TBO>1eM^QISC`8jDUXNZH%\LJl;oCP[CUEW@H^J:5aAR]JKGdhEM[XTJ^L!P@F:?kDBZ[UBCOj4nCGQVZOHJ'ZJHh5aBDPQ[LIEW@H^J:5aBF]JKGdhCagENm6`KioMF*UGCj2dOecAJ_N@VBa=iL`dDIRAMUG,Ga>hCagENSBLZF/F2a>hCagENSBLZF/F156=iL`dDIRAMUG,G6ZVSW9;87cJfnNG\KGSA&M8T\YQ>d:lGmkIBWFH^J#_8;oFlqqIBj2dOcxz@E/RB@f=iLfCHQ@BTDf?kBh}}ENSBLZF/Fe?kBh}}ENSBLZF/F2b>hCg|~DIRAMUG,G6`=iLfCHQ@BTD-U0=iAYF956`FPM0-TDBf3gC[@?QFBTD;?kJC[VLXNn5aLEQ\BVD)XHNo7cBKS^DPFZOE]O20bAJ\_HMAg>hKLZUBCO _AE18jJC03gEN"]OK8:lLAZIE]Ok0bBKPOCWE*Ad;oMF[JDRN'OS\R^[_132?kIBWFH^J#KWP^RW[4763gENSBLZF/G[TZVSW;;o7cAJ_N@VB+C_XV~xe`|jn`of`Zhb|Vk0bBKPOCWE*T15:lQEHJPMVEIYK JXQ]SPZ66=2dYM@BXE^MAQC(BPYU[XR?>5:lQEHJPMVEIYK JXQ]SPZ46=2dYM@BXE^MAQC(BPYU[XR=>5:lQEHJPMVEIYK JXQ]SPZ2592dYM@BXE^MAQC(BPYUdc}eocnaaYim}Un7c\NMMUF[JDRN'[<7c\NMTUFf>hUID_\I#^NDb9mVDKR_LUDNXHj;oPBIPQBWFH^J#Ji;oPBIPQBWFH^J#J>f:lQEHSPMVEIYK K2028jWGJ]^OTCO[I.DZS50=iZHG^[HQ@BTD-A]VXX]U;=85aR@OVS@YHJ\L%IU^PPU]250=iZHG^[HQ@BTD-A]VXX]U9=85aR@OVS@YHJ\L%IU^PPU]064=iZHG^[HQ@BTD-A]VX|zcf~h`nmdf\j`rXm2dYM@[XE^MAQC(V<2dYM^64nSCP*UGC12dYM^Q@BTDa?kTF[VEIYK Kc:lQEVYHJ\L%HhUGD]NSBLZF/G[TZVSW8;?7c\@MVG\KGSA&LR[S]ZP2328jWIJ_LUDNXH!EYR\pvojzldjahjPndv\`>hUGD]NSBLZF/S;?kSC[VLXNn5aUEQ\BVD)XHNo7c[KS^DPFZOE]O20bXJ\_HMAg>hRLZUBCO _AE:8jSKFW@EIo6`YM@]JKG(WIM20b[^N_GQAg>hQXHUM_O _AEf8jSVFWOYISBLZFg9mRUGXNZHTCO[I.E33?kPWIVLXNRAMUG,G546hfel=0bhijdfg4?uitmmmn56|nm.@BGQte3{kf#OOLTs,Gg>tfe&HJOY|!D0a8vdk(JHI_~#J=b:pbi*DFK]x%]i5}al-AEFRu&[OL56|nm.PBI@He3{kf#_OBEO,Gg>tfe&XJAH@!D0a8vdk(ZHGNB#J=109qeh)UIDOE"Itfe&XJA_kndxg8vdk(ZHGYiljv.Ed8vdk(ZHGYiljv.E3e?wgj'[KF^hoky/F151=uid%YM@\jae{-@7YW\V::86|nm.PBIWcflp$O>R^[_037?wgj'[KF^hoky/F1[URX:8>0~lc R@OQadb~&M8T\YQ<119qeh)UIDXnmiw!EYR21>tfe&XJA_kndx,F\UYW\V::96|nm.PBIWcflp$NT]Q_T^315>tfe&XJA_kndx,F\UYs{`gyicobee]maqYb3{kf#_OBRdcg}+W682xja"\NMSgb`|(UMN30~lc R@QFHg=uid%YM^KC.Ea8vdk(ZHYN@#J>c:pbi*TF[LF%H??>;scn+WGTME$O>R^[_132?wgj'[KXIA K2^RW[4763{kf#_O\EM,G6ZVSW;;:7ob/SCPAI(C:VZ_S>?>;scn+WGTME$O>R^[_532?wgj'[KXIA K2^RW[0763{kf#_O\EM,G6ZVSW?h0~lc R@QFH+Wc3{kf#_O\EM,QAB`6|nm.PP[CUJWOLo=#J>139qeh)U[VLXARHId0,G641>7:pbi*TTWOYFSKHk1/F1[URX98=0~lc RR]EWHYANm;%H?Q_T^023>tfe&XXSK]B_GDg5+B5WY^T?<94r`o,VVYA[DUMJi?!D3]SPZ26?2xja"\\_GQN[C@c9'N9S]ZP5038vdk(ZZUM_@QIFe3-U451ym`!]S^DPIZ@Al;$O>R^[_234?wgj'[YTJ^CPFGf1*A4XX]U?=:5}al-QWZ@TEVLMh? K2^RW[0763{kf#_]PFRO\BCb5&X;87ob/SQ\BVKXNOn9"_KHf:pbi*TTWOYFSKHk3038vdk(ZZUM_@QIFe1-@447:pbi*TTWOYFSKHk3/F1[URX88=0~lc RR]EWHYANm9%H?Q_T^323>tfe&XXSK]B_GDg7+B5WY^T><94r`o,VVYA[DUMJi=!D3]SPZ56?2xja"\\_GQN[C@c;'N9S]ZP4058vdk(ZZUM_@QIFe1-@7YW\V?:=6|nm.PP[CUJWOLo?#_>3:pbi*TTWOYFSKHk3/PFC76tfe&XnjIaae/F2a>tfe&XnjIaae/F156=uid%YikJ`nd,G6ZVSW9;87ob/Sge@jhb&M8T\YQ>f:pbi*TbnMeei#KWP068vdk(ZllOcck!EYR\TQY79=1ym`!]egFlj`(BPYU[XR?=0:pbi*TbnMeei#KWP^vpmhtbfhgnhR`jt^f8vdk(ZllOcck!Qg9qeh)UmoNdbh ]EFc8vdk(ZllYM^m4r`o,V``UIZ$Oh6|nm.PfbWGT&M;o7ob/SgeVDU)L;i0~lc RddQEV(Vm2xja"\jfSCP*WC@i2xja"\jfSgrg>tfe&Xnj_k~.Ef8vdk(ZllYi| K1e9qeh)UmoXn}#J=c:pbi*Tbn[oz"\k4r`o,V``Umx$YIJk4r`o,V``UmxYrbn??;scn+WcaZl{Xucm!D038vdk(ZllYi|]vnb,G5477ob/SgdQwabf}Yrbn ]EF:8vdk(fJKXm6|nm.l@EV(Cj2xja"`LAR,G5g=uid%eOL]!D3c8vdk(fJKX"\m4r`o,jFGT&[OL96xnltg~DEv0:090LMv=118E>7<6sZi36??<:18271d7j803m87tn33g>4=i:8o1:6*=178151=z[j=1><=50;300g6e932j9l5k20294?7=9rYh47<>3;29562e8k;14l;6;wV6a?6=93;18kjtSb:9645=83;88o>m1;:b1<=Q:831>v{>6b82?p71l3:0q)"60?09=?5m21d94?2?2<8:69hk{I03g>"58k09c=8884f>h6010376g97;29 4>>2>h0b<67:898m33=83.:4448b:l2<=5$0::>2d898`?>o2n3:1(<66:6`8j4>?2m10e:m50;&2<<<0j2d:454?;:k4=?6=,8226:l4n0:;>4==1<7*>8884f>h6010976g85;29 4>>2>h0b<67:298m27=83.:4448b:l2<=<332c=j7>5$0::>2d8985?>o1j3:1(<66:6`8j4>?2>10eo750;9j1a>=831bo<4?::k204<722c>8;4?::k617<722c>;>4?::k6g2<722c>8k4?::k``?6=3`?<<7>5;h76f?6=3`?o97>5;h50>5<#9131;o5a19:953=o1<7*>8884f>h6010:965f8183>!7?13=i7c?78;37?>o?:3:1(<66:6`8j4>?28907d6;:18'5=?=?k1e=5651398m=0=83.:4448b:l2<=<6921b454?:%3;=?1e3g;347??;:m6gf<722e>844?::m633<722e>ol4?::m61<<722e>8i4?::m60g<722e>984?::m24?:%3;=?7>82d:454n;:m2=0<72-;357?60:l2<=<>32e:5:4?:%3;=?7>82d:4547;:m2=<<72-;357?60:l2<=<032e:5o4?:%3;=?7>82d:4549;:m2=a<72-;357?60:l2<=<232e:5k4?:%3;=?7>82d:454;;:m2e4<72-;357?60:l2<=<432e:m>4?:%3;=?7>82d:454=;:m62<<722e>;54?::m6fg<722e>oh4?::m61`<722e>?>4?::m625<722e>5k4?::m612<722!>mo4?::m2=4<72-;357?60:l2<=<732e:4h4?:%3;=?7>82d:454>;:m67f<722e>494?::m6=7<722e>mi4?::mab?6=3fho6=44b9f94?7=83:p(??7:0:6?M47k2c:484?:%02i60=0;6)<>8;3;0>P59h0:wA<>c;3xRfe=:r.:4o4>859U516=:r.>hi4l;%7gg?e849K65e6=4+20:95=3<,8k4$0:4>6c<3thi97>52;294~"5910i;6F=0b9j511=83.9=54>4698k4>3290/><651968R77f28qG>{zut1vn;o50;394?6|,;;36<6:;I03g>o60<0;6)<>8;3;1>"6>h0:;6*>86823>=zj091<7<50;2x 77?2k=0D?>l;h373?6=,;;36<:8;:m2<1<72-8:47?74:T15d<6sE8:o7?tVba96~"60k0:495Y15296~"2lm0h7);kc;a8yx{z3th=47>51;294~"5910:485G21a8m4>2290/><651978 40f28?0(<68:078?xd>:3:1>7>50z&15=5<#:821=5:4V33b>4}K:8i1=vXlc;0x 4>e282?7[?;0;0x 0bc2j1/9im5c:~yx=zj?<1<7?50;2x 77?282>7E4:9~f<7=8381<7>t$33;>g1<@;:h7d?;7;29 77?28><76a>8583>!4603;386X=1`82I46k3;pZnm52z&252z&6`a50z&15=<60<1C>=m4i0:6>5<#:821=5;4$04b>45<,82<6<=4;|`:4?6=:3:1N58j1b=9950;&15=<6<>10c<6;:18'64>=91>0Z??n:0yO64e=9r\ho74>33_;?<7f=#=mi1o6sr}|9~f34=83;1<7>t$33;>4>23A8;o6g>8483>!4603;396*>6`860>"60>0>865rb9d94?4=83:p(??7:c58L76d3`;?;7>5$33;>42032e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de8`?!3ck3i0qpsr;|`54?6=93:1=91?0(<8n:5a8 4>02=i07pl7e;296?6=8r.9=54m7:J14f=n9==1<7*=198202=i60=0;6)<>8;3;0>P59h0:wA<>c;3xRfe=:r.:4o4>859U516=:r.>hi4l;%7gg?e849K65e6=4+20:95=3<,84`<3thjh7>52;294~"5910i;6F=0b9j511=83.9=54>4698k4>3290/><651968R77f28qG>{zut1vn:650;394?6|,;;36<6:;I03g>o60<0;6)<>8;3;1>"6>h0:h6*>8682`>=zjh?1<7<50;2x 77?2k=0D?>l;h373?6=,;;36<:8;:m2<1<72-8:47?74:T15d<6sE8:o7?tVba96~"60k0:495Y15296~"2lm0h7);kc;a8yx{z3th<:7>51;294~"5910:485G21a8m4>2290/><651978 40f28i0(<68:0a8?xdf<3:1>7>50z&15=5<#:821=5:4V33b>4}K:8i1=vXlc;0x 4>e282?7[?;0;0x 0bc2j1/9im5c:~yx=zj>81<7?50;2x 77?282>7Eb:9~f<1=8381<7>t$33;>g1<@;:h7d?;7;29 77?28><76a>8583>!4603;386X=1`82I46k3;pZnm52z&252z&6`a50z&15=<60<1C>=m4i0:6>5<#:821=5;4$04b>4g<,82<6N58j1b=9950;&15=<6<>10c<6;:18'64>=91>0Z??n:0yO64e=9r\ho74>33_;?<7f=#=mi1o6sr}|9~f3c=83;1<7>t$33;>4>23A8;o6g>8483>!4603;396*>6`82=>"60>0:565rb8794?4=83:p(??7:c58L76d3`;?;7>5$33;>42032e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de8`?!3ck3i0qpsr;|`5g?6=93:1=91?0(<8n:0:8 4>028207pl64;296?6=8r.9=54m7:J14f=n9==1<7*=198202=i60=0;6)<>8;3;0>P59h0:wA<>c;3xRfe=:r.:4o4>859U516=:r.>hi48;%7gg?1a;3xH77d28q]on4={%3;f?7?<2\:8=4={%7g`?1<,50z&15=<60<1C>=m4i0:6>5<#:821=5;4$04b>71<,82<6?94;|`6`d<72;0;6=u+20:9f2=O:9i0e<:8:18'64>=9==07b?74;29 77?282?7[<>a;3xH77d28q]on4={%3;f?7?<2\:8=4={%7g`?e<,<54}ca0>5<5290;w)<>8;`4?M47k2c:8:4?:%02;6?u+5ef9g>"2lj0h7psr}:ag1<72;0;6=u+20:9f2=O:9i0e<:8:18'64>=9==07b?74;29 77?282?7E<>7:T15d<6sE8:o7?tVba96~"60k0:495Y15296~"2lm0<7);kc;58yx{z3th:8?4?:083>5}#:821=5;4H32`?l7?=3:1(??7:0:6?!71i38>7)?77;06?>{e9=91<7<50;2x 77?2k=0D?>l;h373?6=,;;36<:8;:m2<1<72-8:47?74:T15d<6sE8:o7?tVba96~"60k0:495Y15296~"2lm0<7);kc;58yx{z3th:894?:383>5}#:821n:5G21a8m420290/><651558?j7?<3:1(??7:0:7?M46?2\9=l4>{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de84?!3ck3=0qpsr;|`602<7280;6=u+20:95=3<@;:h7d?75;29 77?282>7)?9a;0b?!7??38j76sm55:94?4=83:p(??7:c58L76d3`;?;7>5$33;>42032e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de8`?!3ck3i0qpsr;|`233<72;0;6=u+20:9f2=O:9i0e<:8:18'64>=9==07b?74;29 77?282?7[<>a;3xH77d28q]on4={%3;f?7?<2\:8=4={%7g`?e<,50z&15=<60<1C>=m4i0:6>5<#:821=5;4$04b>10<,82<6984;|`611<72;0;6=u+20:9f2=O:9i0e<:8:18'64>=9==07b?74;29 77?282?7[<>a;3xH77d28q]on4={%3;f?7?<2\:8=4={%7g`?e<,50z&15=<60<1C>=m4i0:6>5<#:821=5;4$04b>7?<,82<6?74;|`630<72;0;6=u+20:9f2=O:9i0e<:8:18'64>=9==07b?74;29 77?282?7[<>a;3xH77d28q]on4={%3;f?7?<2\:8=4={%7g`?e<,50z&15=<60<1C>=m4i0:6>5<#:821=5;4$04b>7d<,82<6?l4;|`6g<<72;0;6=u+20:9f2=O:9i0e<:8:18'64>=9==07b?74;29 77?282?7[<>a;3xH77d28q]on4={%3;f?7?<2\:8=4={%7g`?e<,50z&15=<60<1C>=m4i0:6>5<#:821=5;4$04b>1><,82<6964;|`614<72;0;6=u+20:9f2=O:9i0e<:8:18'64>=9==07b?74;29 77?282?7[<>a;3xH77d28q]on4={%3;f?7?<2\:8=4={%7g`?e<,954}c30b?6=:3:1N58j1b=9950;&15=<6<>10c<6;:18'64>=91>0Z??n:0yO64e=9r\ho74>33_;?<7f=#=mi1o6sr}|9~f016290:6=4?{%02N58j1b=9950;&15=<6<>10c<6;:18'64>=91>0Z??n:0yO64e=9r\ho74>33_;?<7f=#=mi1o6sr}|9~f03d290:6=4?{%02N58j1b=9950;&15=<6<>10c<6;:18'64>=91>0Z??n:0yO64e=9r\ho74>33_;?<7f=#=mi1o6sr}|9~f0b1290:6=4?{%02N58j1b=9950;&15=<6<>10c<6;:18'64>=91>0Z??n:0yO64e=9r\ho74>33_;?<7f=#=mi1o6sr}|9~f22=83;1<7>t$33;>4>23A8;o6g>8483>!4603;396*>6`86=>"60>0>565rb`194?4=83:p(??7:c58L76d3`;?;7>5$33;>42032e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de8`?!3ck3i0qpsr;|`4b?6=93:1=91?0(<8n:4c8 4>0250;094?6|,;;36o94H32`?l73?3:1(??7:064?>i60=0;6)<>8;3;0>P59h0:wA<>c;3xRfe=:r.:4o4>859U516=:r.>hi4l;%7gg?e849K65e6=4+20:95=3<,80e<3thi=7>52;294~"5910i;6F=0b9j511=83.9=54>4698k4>3290/><651968R77f28qG>{zut1vn5;50;394?6|,;;36<6:;I03g>o60<0;6)<>8;3;1>"6>h0:i6*>8682a>=zjk81<7<50;2x 77?2k=0D?>l;h373?6=,;;36<:8;:m2<1<72-8:47?74:T15d<6sE8:o7?tVba96~"60k0:495Y15296~"2lm0h7);kc;a8yx{z3th3;7>51;294~"5910:485G21a8m4>2290/><651978 40f2;20(<68:3:8?xde;3:1>7>50z&15=5<#:821=5:4V33b>4}K:8i1=vXlc;0x 4>e282?7[?;0;0x 0bc2j1/9im5c:~yx=zj131<7?50;2x 77?282>7Et$33;>g1<@;:h7d?;7;29 77?28><76a>8583>!4603;386X=1`82I46k3;pZnm52z&252z&6`a=91?0D?>l;n3;1?6=,;;36<6:;%35e?203-;3;7:8;:a1fe=8391<7>t$33;>76f3A8;o6g>4683>!4603;?;6X=1`82I46k3;pZnm52z&21]=9>52z&6`a290/><65c89U64g=9rF9=n4>{Wa`>7}#91h1o45Y15296~"2lm0:7);kc;38yx{z3f;387>5$33;>4>33_8:m7?tL33`>4}Qkj09w)?7b;3;0>P6<909w);kd;38 0bd281vqps4}c77e?6=93:1=91?0(<8n:5g8 4>02=o07pl:4883>6<729q/><6521c8L76d3`;?;7>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;373>P6<909w);kd;c8 0bd2h1vqps4ib;94?"5910h56X=1`82I46k3;pZnm52z&23290/><651968R77f28qG>{zut1vn898:182>5<7s-8:47?75:J14f=h91?1<7*=1982<0=#9?k18o5+19590g=53;294~"59109<651558R77f28qG>{zut1bo44?:%023_8:m7?tL33`>4}Qkj09w)?7b;a:?S73838p(8jk:09'1ae=92wvqp5`19694?"5910:495Y20c95~J59j0:w[ml:3y'5=d=91>0Z<:?:3y'1ab=92.>hn4>;|~y>{e=jh1<7?50;2x 77?282>7Ehn4n;|~y>od13:1(??7:b;8R77f28qG>7}#=mn1=6*:db82?x{zu2e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de82?!3ck3;0qpsr;|`61d<7280;6=u+20:95=3<@;:h7b?75;29 77?282>7)?9a;05?!7??38=76sm54;94?4=83:p(??7:c58L76d3`;?;7>5$33;>42032e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de8b?!3ck3k0qpsr;|`60`<7280;6=u+20:95=3<@;:h7b?75;29 77?282>7)?9a;67?!7??3>?76sm55f94?4=83:p(??7:c58L76d3`;?;7>5$33;>42032e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de8b?!3ck3k0qpsr;|`60f<7280;6=u+20:95=3<@;:h7b?75;29 77?282>7)?9a;71?!7??3?976sm55`94?4=83:p(??7:c58L76d3`;?;7>5$33;>42032e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de8b?!3ck3k0qpsr;|`613<7280;6=u+20:95=3<@;:h7b?75;29 77?282>7)?9a;66?!7??3>>76sm54794?4=83:p(??7:c58L76d3`;?;7>5$33;>42032e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de8b?!3ck3k0qpsr;|`27)?9a;1;?!7??39376sm19a94?4=83:p(??7:c58L76d3`;?;7>5$33;>42032e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de8b?!3ck3k0qpsr;|`2=1<7280;6=u+20:95=3<@;:h7b?75;29 77?282>7)?9a;15?!7??39=76sm18194?4=83:p(??7:c58L76d3`;?;7>5$33;>42032e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de8b?!3ck3k0qpsr;|`2=3<7280;6=u+20:95=3<@;:h7b?75;29 77?282>7)?9a;17?!7??39?76sm18794?4=83:p(??7:c58L76d3`;?;7>5$33;>42032e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de8b?!3ck3k0qpsr;|`2==<7280;6=u+20:95=3<@;:h7b?75;29 77?282>7)?9a;11?!7??39976sm18594?4=83:p(??7:c58L76d3`;?;7>5$33;>42032e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de8b?!3ck3k0qpsr;|`2=d<7280;6=u+20:95=3<@;:h7b?75;29 77?282>7)?9a;12?!7??39:76sm18;94?4=83:p(??7:c58L76d3`;?;7>5$33;>42032e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de8b?!3ck3k0qpsr;|`2=f<7280;6=u+20:95=3<@;:h7b?75;29 77?282>7)?9a;10?!7??39876sm18`94?4=83:p(??7:c58L76d3`;?;7>5$33;>42032e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de8b?!3ck3k0qpsr;|`2=`<7280;6=u+20:95=3<@;:h7b?75;29 77?282>7)?9a;16?!7??39>76sm18f94?4=83:p(??7:c58L76d3`;?;7>5$33;>42032e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de8b?!3ck3k0qpsr;|`2e5<7280;6=u+20:95=3<@;:h7b?75;29 77?282>7)?9a;14?!7??39<76sm18d94?4=83:p(??7:c58L76d3`;?;7>5$33;>42032e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de8b?!3ck3k0qpsr;|`2e7<7280;6=u+20:95=3<@;:h7b?75;29 77?282>7)?9a;1:?!7??39276sm1`394?4=83:p(??7:c58L76d3`;?;7>5$33;>42032e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de8b?!3ck3k0qpsr;|`2e1<7280;6=u+20:95=3<@;:h7b?75;29 77?282>7)?9a;1a?!7??39i76sm1`194?4=83:p(??7:c58L76d3`;?;7>5$33;>42032e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de8b?!3ck3k0qpsr;|`62d<7280;6=u+20:95=3<@;:h7b?75;29 77?282>7)?9a;70?!7??3?876sm57;94?4=83:p(??7:c58L76d3`;?;7>5$33;>42032e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de8b?!3ck3k0qpsr;|`63<<7280;6=u+20:95=3<@;:h7b?75;29 77?282>7)?9a;0e?!7??38m76sm56:94?4=83:p(??7:c58L76d3`;?;7>5$33;>42032e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de8b?!3ck3k0qpsr;|`6ff<7280;6=u+20:95=3<@;:h7b?75;29 77?282>7)?9a;0`?!7??38h76sm5c`94?4=83:p(??7:c58L76d3`;?;7>5$33;>42032e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de8b?!3ck3k0qpsr;|`6gc<7280;6=u+20:95=3<@;:h7b?75;29 77?282>7)?9a;7;?!7??3?376sm5bg94?4=83:p(??7:c58L76d3`;?;7>5$33;>42032e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de8b?!3ck3k0qpsr;|`61c<7280;6=u+20:95=3<@;:h7b?75;29 77?282>7)?9a;72?!7??3?:76sm54g94?4=83:p(??7:c58L76d3`;?;7>5$33;>42032e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de8b?!3ck3k0qpsr;|`671<7280;6=u+20:95=3<@;:h7b?75;29 77?282>7)?9a;73?!7??3?;76sm52194?4=83:p(??7:c58L76d3`;?;7>5$33;>42032e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de8b?!3ck3k0qpsr;|`624<7280;6=u+20:95=3<@;:h7b?75;29 77?282>7)?9a;76?!7??3?>76sm57294?4=83:p(??7:c58L76d3`;?;7>5$33;>42032e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de8b?!3ck3k0qpsr;|`6e5<7280;6=u+20:95=3<@;:h7b?75;29 77?282>7)?9a;63?!7??3>;76sm58d94?4=83:p(??7:c58L76d3`;?;7>5$33;>42032e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de8b?!3ck3k0qpsr;|`61=<7280;6=u+20:95=3<@;:h7b?75;29 77?282>7)?9a;0f?!7??38n76sm54594?4=83:p(??7:c58L76d3`;?;7>5$33;>42032e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de8b?!3ck3k0qpsr;|`6ef<7280;6=u+20:95=3<@;:h7&?75;29 77?282>7)?9a;75?!7??3?=76sm5``94?5=83:p(??7:32b?M47k2c:8:4?:%02{M02g?7|^ji1>v*>8c8202=Q9=:1>v*:de8b?!3ck3k0qpsr;ha:>5<#:821o45Y20c95~J59j0:w[ml:3y'5=d=k01]=9>52z&6`a<63-?oo7?4}|~?j7?<3:1(??7:0:7?S46i3;p@??l:0yUgf<5s-;3n7?74:T205<5s-?oh7?4$4f`>4=zutw0qo?62;295?6=8r.9=54>849K65e6=4+20:95=3<,8h4$0:4>6`<3th:5<4?:383>5}#:821n:5G21a8m420290/><651558?j7?<3:1(??7:0:7?S46i3;p@??l:0yUgf<5s-;3n7?74:T205<5s-?oh7o4$4f`>d=zutw0qo?7f;295?6=8r.9=54>849K65e6=4+20:95=3<,8o4$0:4>6g<3th:4h4?:383>5}#:821n:5G21a8m420290/><651558?j7?<3:1(??7:0:7?S46i3;p@??l:0yUgf<5s-;3n7?74:T205<5s-?oh7o4$4f`>d=zutw0qo;849K65e6=4+20:95=3<,81`<3th>?n4?:383>5}#:821n:5G21a8m420290/><651558?j7?<3:1(??7:0:7?S46i3;p@??l:0yUgf<5s-;3n7?74:T205<5s-?oh7o4$4f`>d=zutw0qo;75;295?6=8r.9=54>849K65e6=4+20:95=3<,817<3th>494?:383>5}#:821n:5G21a8m420290/><651558?j7?<3:1(??7:0:7?S46i3;p@??l:0yUgf<5s-;3n7?74:T205<5s-?oh7o4$4f`>d=zutw0qo;63;295?6=8r.9=54>849K65e6=4+20:95=3<,814<3th>5?4?:383>5}#:821n:5G21a8m420290/><651558?j7?<3:1(??7:0:7?S46i3;p@??l:0yUgf<5s-;3n7?74:T205<5s-?oh7o4$4f`>d=zutw0qo;ne;295?6=8r.9=54>849K65e6=4+20:95=3<,87b<3th>mi4?:383>5}#:821n:5G21a8m420290/><651558?j7?<3:1(??7:0:7?S46i3;p@??l:0yUgf<5s-;3n7?74:T205<5s-?oh7o4$4f`>d=zutw0qom?:182>5<7s-8:47?75:J14f=h91?1<7*=1982<0=#9?k1?n5+19597f=859U64g=9rF9=n4>{Wa`>7}#91h1=5:4V063>7}#=mn1m6*:db8b?x{zu2winh4?:083>5}#:821=5;4H32`?j7?=3:1(??7:0:6?!71i39o7)?77;1g?>{ejm0;6?4?:1y'64>=j>1C>=m4i064>5<#:821=994;n3;0?6=,;;36<6;;W02e?7|D;;h64181!3cl3k0(8jl:`9~yx{52;294~"5910i;6F=0b9j511=83.9=54>4698k4>3290/><651968R77f28qG>{zut1vn99l:181>5<7s-8:47l8;I03g>o6<>0;6)<>8;373>=h91>1<7*=1982<1=Q:8k1=vB=1b82Sed2;q/=5l51968R4272;q/9ij51:&6`f<63twvq6sm46f94?4=83:p(??7:c58L76d3`;?;7>5$33;>42032e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de82?!3ck3;0qpsr;|`73c<72;0;6=u+20:9f2=O:9i0e<:8:18'64>=9==07b?74;29 77?282?7[<>a;3xH77d28q]on4={%3;f?7?<2\:8=4={%7g`?7<,50z&15=<59<1C>=m4i06;>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>37[?;0;0x 0bc281/9im51:~yx=n9=31<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515;8R4272;q/9ij5c:&6`f8583>!4603;386X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?xd30?0;6;4?:1y'64>=9=?0D?>l;%355$33;>4203_8:m7?tL33`>4}Q9?31>v*>8c8202=Q9=:1>v*:de82?!3ck3;0qpsr;ha6>5<#:821o85Y20c95~J59j0:w[?99;0x 4>e2j?0Z<:?:3y'1ab=92.>hn4>;|~y>od>3:1(??7:b48R77f2;qG>5+5ea97>{zuE8:n7:tV32:>6}Qkh09w);kb;a5?!5383?oh6sYcc81!3cj3;?;6*<4186`a=z,?<;6o5rV32:>6}Qkh09w);kb;a5?!5383?oh6sYcc81!3cj3;?;6*<4186`f=z,?<;6o5rV32:>6}Qkh09w);kb;a5?!5383?oh6sYcc81!3cj3i>7)=;0;7g`>{#>?:1>6sY19c96~Pdi38p(8jm:b48 6272{zu2c9<54?:%02<^8>;6?u+5ef9b>"2lj0m7psrL33a>4}Q:8;1>vXla;0x 0be2;:37)=;0;7g`>{#>?:1j6sr}:k142<72-8:477}#91h1>=94V063>7}#=mn1j6*:db8e?x{zD;;i6{zu2e:494?:%025<7s-8:47<>f:J14f=h91>1<7*=1982<1=51;294~"5910:4?5G21a8k4>3290/><651968?xd3090;6>4?:1y'64>=:8?0D?>l;h374181!3cl3;0(8jl:09~yx{26=4+20:9511<^;;j60Z<:?:3y'1ab=92.>hn4>;|~y>{e<1;1<7?50;2x 77?2;;m7E4290<6=4?{%024983>!4603;?;6F=169U64g=9rF9=n4>{Wa`>7}#91h1=964V063>7}#=mn1i6*:db8f?x{zu2c:844?:%02hn4j;|~y>o6==0;6)<>8;373>N59>1]>;6?u+5ef9a>"2lj0n7psr}:k21c<72-8:47?;7:J152=Q:8k1=vB=1b82Sed2;q/=5l514d8R4272;q/9ij5e:&6`f6383>!4603;?;6F=169U64g=9rF9=n4>{Wa`>7}#91h1=;<4V063>7}#=mn1i6*:db8f?x{zu2c::>4?:%02hn4j;|~y>i60=0;6)<>8;3;0>P59h0:wA<>c;3xRfe=:r.:4o4>859U516=:r.>hi4>;%7gg?747=83:p(??7:4f8L76d3`;?47>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37<>P6<909w);kd;g8 0bd2l1vqps4i06:>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>27[?;0;0x 0bc2l1/9im5e:~yx=n9<>1<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l51468R4272;q/9ij5e:&6`f5g83>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a{M02g?7|^ji1>v*>8c8227=Q9=:1>v*:de8f?!3ck3o0qpsr;h357?6=,;;36<:8;I023>P59h0:wA<>c;3xRfe=:r.:4o4>629U516=:r.>hi4j;%7gg?c<7[<>a;3xH77d28q]on4={%3;f?71<2\:8=4={%7g`?c<,<651558R77f28qG>{zut1b=;850;&15=<6<>1]>;6?u+5ef9a>"2lj0n7psr}:k222<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0:::5Y15296~"2lm0:7);kc;38yx{z3`;?m7>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37e>P6<909w);kd;38 0bd281vqps4i06a>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>i7[?;0;0x 0bc281/9im51:~yx=n9=i1<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515a8R4272;q/9ij51:&6`f<63twvq6g>4e83>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?l73m3:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?;e:T205<5s-?oh7?4$4f`>4=zutw0e<:i:18'64>=9==0Z??n:0yO64e=9r\ho742a3_;?<74=#=mi1=6sr}|9l5=2=83.9=54>859U64g=9rF9=n4>{Wa`>7}#91h1=5:4V063>7}#=mn1=6*:db82?x{zu2wi85<50;194?6|,;;36<6<;I03g>o6<10;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>499U516=:r.>hi4>;%7gg?7<7[<>a;3xH77d28q]on4={%3;f?7312\:8=4={%7g`?7<,3290/><651968R77f28qG>{zut1vn968:180>5<7s-8:47;k;I03g>o6<10;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>499U516=:r.>hi4;;%7gg?2<7[<>a;3xH77d28q]on4={%3;f?7312\:8=4={%7g`?2<,3290/><651968R77f28qG>{zut1vn9:7:181>5<7s-8:47l8;I03g>o6<>0;6)<>8;373>=h91>1<7*=1982<1=Q:8k1=vB=1b82Sed2;q/=5l51968R4272;q/9ij51:&6`f<63twvq6sm45494?4=83:p(??7:c58L76d3`;?;7>5$33;>42032e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de82?!3ck3;0qpsr;|`702<72;0;6=u+20:9f2=O:9i0e<:8:18'64>=9==07b?74;29 77?282?7[<>a;3xH77d28q]on4={%3;f?7?<2\:8=4={%7g`?7<,7>50z&15=5<#:821=5:4V33b>4}K:8i1=vXlc;0x 4>e282?7[?;0;0x 0bc281/9im51:~yx=zj=?;6=49:183!4603;?96F=0b9'53>=981b=9950;&15=<6<>1]>4203_;?<74=#=mi1=6sr}|9jg0<72-8:47m:;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{P59h09wA<>c;3xR40>2;q/=5l5c79U516=:r.>hi4<;%7gg?57}#=mh1=994$263>0bc3t.=:=4m;|T14<<4s_ij6?u+5e`9g3=#;=:19ij4}Waa>7}#=mh1=994$263>0bd3t.=:=4m;|T14<<4s_ij6?u+5e`9g3=#;=:19ij4}Waa>7}#=mh1o85+35291abv*:dc8`2>"4<90>hi5r$743>467:18'64>=:920Z??n:3yO64e=9r\::44={%3;f?4702\:8=4={%7g`?`<,<652158R77f2;qG>c=zutF9=o4>{W025?4|^jk1>v*:dc8142=#;=:19ij4}%454?`=91>07pl;4`83>6<729q/><652078L76d3`;?47>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37<>P6<909w);kd;38 0bd281vqps4i06:>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>27[?;0;0x 0bc281/9im51:~yx=h91>1<7*=1982<1=Q:8k1=vB=1b82Sed2;q/=5l51968R4272;q/9ij51:&6`f<63twvq6sm45`94?7=83:p(??7:33e?M47k2e:494?:%025<7s-8:47;k;I03g>o6<10;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>499U516=:r.>hi4j;%7gg?c<7E<>7:T15d<6sE8:o7?tVba96~"60k0:845Y15296~"2lm0n7);kc;g8yx{z3f;387>5$33;>4>33_8:m7?tL33`>4}Qkj09w)?7b;3;0>P6<909w);kd;38 0bd281vqps4}c67a?6=;3:1N58j1b=9650;&15=<6<>1C><94V33b>4}K:8i1=vXlc;0x 4>e28>37[?;0;0x 0bc2l1/9im5e:~yx=n9=31<7*=198202=O:8=0Z??n:0yO64e=9r\ho742>3_;?<7`=#=mi1i6sr}|9l5=2=83.9=54>859U64g=9rF9=n4>{Wa`>7}#91h1=5:4V063>7}#=mn1=6*:db82?x{zu2wi89m50;194?6|,;;36<6<;I03g>o6<10;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>499U516=:r.>hi4>;%7gg?7<7[<>a;3xH77d28q]on4={%3;f?7312\:8=4={%7g`?7<,3290/><651968R77f28qG>{zut1vn9;>:180>5<7s-8:47;k;I03g>o6<10;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>499U516=:r.>hi4;;%7gg?2<7[<>a;3xH77d28q]on4={%3;f?7312\:8=4={%7g`?2<,3290/><651968R77f28qG>{zut1vn98;:181>5<7s-8:47l8;I03g>o6<>0;6)<>8;373>=h91>1<7*=1982<1=Q:8k1=vB=1b82Sed2;q/=5l51968R4272;q/9ij51:&6`f<63twvq6sm47794?4=83:p(??7:c58L76d3`;?;7>5$33;>42032e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de82?!3ck3;0qpsr;|`723<72;0;6=u+20:9f2=O:9i0e<:8:18'64>=9==07b?74;29 77?282?7[<>a;3xH77d28q]on4={%3;f?7?<2\:8=4={%7g`?7<,50z&15=<6<<1C>=m4$04;>47<6=4+20:9511<^;;j6009w)?7b;373>P6<909w);kd;38 0bd281vqps4ib794?"5910h96X=1`82I46k3;pZ<86:3y'5=d=k<1]=9>52z&6`a<63-?oo7?4}|~?le1290/><65c79U64g=:rF9=n4>{W35=?4|,82i6n84V063>7}#=mn1?6*:db80?x{zD;;i69uY21;97~Pdi38p(8jm:b48 6272<7)=;0;7g`>{#>?:1n6sY21;97~Pdi38p(8jm:b48 6272<7)=;0;7gg>{#>?:1n6sY21;97~Pdi38p(8jm:b48 6272:?:4fg?x"1>9097pX>8`81Sef2;q/9il5c79'716==mn0q)890;33?x{z3`8;47>5$33;>76?3_8:m74}Q9?31>v*>8c814==Q9=:1>v*:de8e?!3ck3l0qpsC20`95~P59809w[mn:3y'1ad=:920(>:?:4fg?x"1>90m7psr;h033?6=,;;36?>8;W02e?4|D;;h65$33;>4>332wi8;950;194?6|,;;36??:;I03g>o6<10;6)<>8;373>N59>1]><^8>;6?u+5ef95>"2lj0:7psr}:k20<<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0:845Y15296~"2lm0:7);kc;38yx{z3f;387>5$33;>4>33_8:m7?tL33`>4}Qkj09w)?7b;3;0>P6<909w);kd;38 0bd281vqps4}c65=91>07pl;6`83>1<729q/><655e9K65e36=4+20:9511<^;;j6hn4j;|~y>o6==0;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>559U516=:r.>hi4j;%7gg?ca;3xH77d28q]on4={%3;f?7?<2\:8=4={%7g`?7<,50z&15=<2l2B9hn4j;|~y>o6<00;6)<>8;373>N59>1]>;6?u+5ef9a>"2lj0n7psr}:k211<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0:995Y15296~"2lm0n7);kc;g8yx{z3f;387>5$33;>4>33_8:m7?tL33`>4}Qkj09w)?7b;3;0>P6<909w);kd;38 0bd281vqps4}c65g?6==3:1N58j1b=9650;&15=<6<>1C><94V33b>4}K:8i1=vXlc;0x 4>e28>37[?;0;0x 0bc2l1/9im5e:~yx=n9=31<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515;8R4272;q/9ij5e:&6`f5583>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a{M02g?7|^ji1>v*>8c821c=Q9=:1>v*:de8f?!3ck3o0qpsr;n3;0?6=,;;36<6;;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{=57>54;294~"5910:4>5G21a8m42?290/><651558R77f28qG>{zut1b=9750;&15=<6<>1]>;6?u+5ef95>"2lj0:7psr}:k211<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0:995Y15296~"2lm0:7);kc;38yx{z3f;387>5$33;>4>33_8:m7?tL33`>4}Qkj09w)?7b;3;0>P6<909w);kd;38 0bd281vqps4}c65b?6=;3:1N58j1b=9650;&15=<6<>1]><^8>;6?u+5ef90>"2lj0?7psr}:k20<<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0:845Y15296~"2lm0?7);kc;68yx{z3f;387>5$33;>4>33_8:m7?tL33`>4}Qkj09w)?7b;3;0>P6<909w);kd;38 0bd281vqps4}c644?6=:3:1N58j1b=9950;&15=<6<>10c<6;:18'64>=91>0Z??n:0yO64e=9r\ho74>33_;?<74=#=mi1=6sr}|9~f11629096=4?{%024683>!4603;?;65`19694?"5910:495Y20c95~J59j0:w[ml:3y'5=d=91>0Z<:?:3y'1ab=92.>hn4>;|~y>{e<>81<7<50;2x 77?2k=0D?>l;h373?6=,;;36<:8;:m2<1<72-8:47?74:T15d<6sE8:o7?tVba96~"60k0:495Y15296~"2lm0:7);kc;38yx{z3th?;l4?:783>5}#:821=9;4H32`?!7103;:7d?;7;29 77?28><7[<>a;3xH77d28q]=;752z&21]=9>52z&6`a<63-?oo7?4}|~?le2290/><65c49U64g=9rF9=n4>{W35=?4|,82i6n;4V063>7}#=mn1=6*:db82?x{zu2ch:7>5$33;>f0<^;;j6?uC20a95~P6>009w)?7b;a5?S73838p(8jk:29'1ae=;2wvqA<>b;6xR76>2:q]ol4={%7gf?e13-9?<7;kd:Ugg<5s-?on7?;7:&005<2lm1v(;8?:c9~R76>2:q]ol4={%7gf?e13-9?<7;kd:Ugg<5s-?on7?;7:&005<2lj1v(;8?:c9~R76>2:q]ol4={%7gf?e13-9?<7;kd:Ugg<5s-?on7m:;%174?3cl2w/:;>52:U5=g=:r\hm7f0<,:>;68jk;|&525<682wvq6g=0983>!46038;46X=1`81I46k3;pZ<86:3y'5=d=:920Z<:?:3y'1ab=n2.>hn4i;|~H77e28q]>7;%174?3cl2w/:;>5f:~y>o58>0;6)<>8;033>P59h09wA<>c;3xR40>2;q/=5l52158R4272;q/9ij5f:&6`f7603-9?<7;kd:'236=n2wvq6a>8583>!4603;3865rb550>5<4290;w)<>8;021>N58j1b=9650;&15=<6<>1C><94V33b>4}K:8i1=vXlc;0x 4>e28>37[?;0;0x 0bc281/9im51:~yx=n9=31<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515;8R4272;q/9ij51:&6`f<63twvq6a>8583>!4603;386X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?xd3?=0;6<4?:1y'64>=:8l0D?>l;n3;0?6=,;;36<6;;:a020=83>1<7>t$33;>0b<@;:h7d?;8;29 77?28><7[<>a;3xH77d28q]on4={%3;f?7302\:8=4={%7g`?c<,290/><651558R77f28qG>{zut1b=8:50;&15=<6<>1]>;6?u+5ef9a>"2lj0n7psr}:m2<1<72-8:47?74:T15d<6sE8:o7?tVba96~"60k0:495Y15296~"2lm0:7);kc;38yx{z3th?;:4?:583>5}#:8219i5G21a8m42?290/><651558R77f28qG>{zut1b=9750;&15=<6<>1C><94V33b>4}K:8i1=vXlc;0x 4>e28>27[?;0;0x 0bc2l1/9im5e:~yx=n9<>1<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l51468R4272;q/9ij5e:&6`f8583>!4603;386X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?xd3?10;684?:1y'64>==m1C>=m4i06;>5<#:821=994H334?S46i3;p@??l:0yUgf<5s-;3n7?;8:T205<5s-?oh7k4$4f`>`=zutw0e<:6:18'64>=9==0Z??n:0yO64e=9r\ho742>3_;?<7`=#=mi1i6sr}|9j502=83.9=54>469K641<^;;j6hn4j;|~y>i60=0;6)<>8;3;0>P59h0:wA<>c;3xRfe=:r.:4o4>859U516=:r.>hi4>;%7gg?71<729q/><651918L76d3`;?47>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37<>P6<909w);kd;38 0bd281vqps4i06:>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>27[?;0;0x 0bc281/9im51:~yx=n9<>1<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l51468R4272;q/9ij51:&6`f<63twvq6a>8583>!4603;386X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?xd3?k0;6>4?:1y'64>==m1C>=m4i06;>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>37[?;0;0x 0bc2=1/9im54:~yx=n9=31<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515;8R4272;q/9ij54:&6`f<33twvq6a>8583>!4603;386X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?xd5:m0;6?4?:1y'64>=j>1C>=m4i064>5<#:821=994;n3;0?6=,;;36<6;;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{53;294~"59109=85G21a8m42?290/><651558R77f28qG>{zut1b=9750;&15=<6<>1]>;6?u+5ef9g>"2lj0h7psr}:m2<1<72-8:47?74:T15d<6sE8:o7?tVba96~"60k0:495Y15296~"2lm0:7);kc;38yx{z3th9?>4?:783>5}#:821=9;4H32`?!7103;:7d?;7;29 77?28><7[<>a;3xH77d28q]=;752z&21]=9>52z&6`a<63-?oo7?4}|~?le2290/><65c49U64g=9rF9=n4>{W35=?4|,82i6n;4V063>7}#=mn1=6*:db82?x{zu2ch:7>5$33;>f0<^;;j6?uC20a95~P6>009w)?7b;a5?S73838p(8jk:29'1ae=;2wvqA<>b;6xR76>2:q]ol4={%7gf?e13-9?<7;kd:Ugg<5s-?on7?;7:&005<2lm1v(;8?:c9~R76>2:q]ol4={%7gf?e13-9?<7;kd:Ugg<5s-?on7?;7:&005<2lj1v(;8?:c9~R76>2:q]ol4={%7gf?e13-9?<7;kd:Ugg<5s-?on7m:;%174?3cl2w/:;>5b:U5=g=:r\hm7f0<,:>;68jk;|&525<682wvq6g=0983>!46038;46X=1`81I46k3;pZ<86:3y'5=d=:920Z<:?:3y'1ab=n2.>hn4i;|~H77e28q]>7;%174?3cl2w/:;>5f:~y>o58>0;6)<>8;033>P59h09wA<>c;3xR40>2;q/=5l52158R4272;q/9ij5f:&6`f7603-9?<7;kd:'236=n2wvq6a>8583>!4603;3865rb30e>5<4290;w)<>8;021>N58j1b=9650;&15=<6<>1C><94V33b>4}K:8i1=vXlc;0x 4>e28>37[?;0;0x 0bc281/9im51:~yx=n9=31<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515;8R4272;q/9ij51:&6`f<63twvq6a>8583>!4603;386X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?xd5;90;6<4?:1y'64>=:8l0D?>l;n3;0?6=,;;36<6;;:a667=83>1<7>t$33;>0b<@;:h7d?;8;29 77?28><7E<>7:T15d<6sE8:o7?tVba96~"60k0:855Y15296~"2lm0n7);kc;g8yx{z3`;?57>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37=>P6<909w);kd;g8 0bd2l1vqps4i077>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28??7[?;0;0x 0bc2l1/9im5e:~yx=h91>1<7*=1982<1=Q:8k1=vB=1b82Sed2;q/=5l51968R4272;q/9ij51:&6`f<63twvq6sm23g94?5=83:p(??7:4f8L76d3`;?47>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37<>P6<909w);kd;g8 0bd2l1vqps4i06:>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>27[?;0;0x 0bc2l1/9im5e:~yx=h91>1<7*=1982<1=Q:8k1=vB=1b82Sed2;q/=5l51968R4272;q/9ij51:&6`f<63twvq6sm24494?4=83:p(??7:c58L76d3`;?;7>5$33;>42032e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de82?!3ck3;0qpsr;|`11c<72:0;6=u+20:9643<@;:h7d?;8;29 77?28><7[<>a;3xH77d28q]on4={%3;f?7302\:8=4={%7g`?7<,290/><651558R77f28qG>{zut1d=5:50;&15=<60=1]>;6?u+5ef95>"2lj0:7psr}:a60c=83<1<7>t$33;>4223A8;o6*>69825>o6<>0;6)<>8;373>P59h0:wA<>c;3xR40>2;q/=5l51558R4272;q/9ij51:&6`f<63twvq6gl5;29 77?2j?0Z??n:0yO64e=9r\::44={%3;f?e23_;?<74=#=mi1=6sr}|9jg3<72-8:47m9;W02e?4|D;;h64181!3cl390(8jl:29~yxJ59k0?w[ho4l6:&005<2lm1vZnl52z&6`g<6<>1/?9>55ef8y!0183h0q[ho4l6:&005<2lm1vZnl52z&6`g<6<>1/?9>55ea8y!0183h0q[ho4l6:&005<2lm1vZnl52z&6`g5119~yx=n:921<7*=19814==Q:8k1>vB=1b82S71138p(<6m:32;?S73838p(8jk:g9'1ae=n2wvqA<>b;3xR7762;q]ol4={%7gf?4702.88=4:de9~ 3072o1vqp5f21594?"59109<:5Y20c96~J59j0:w[?99;0x 4>e2;:<7[?;0;0x 0bc2o1/9im5f:~yI46j3;pZ??>:3yUgd<5s-?on71<7*=1982<1=47>53;294~"59109=85G21a8m42?290/><651558R77f28qG>{zut1b=9750;&15=<6<>1]>;6?u+5ef95>"2lj0:7psr}:m2<1<72-8:47?74:T15d<6sE8:o7?tVba96~"60k0:495Y15296~"2lm0:7);kc;38yx{z3th9944?:083>5}#:821>{e:l;h374181!3cl3o0(8jl:d9~yx{26=4+20:9511<^;;j60Z<:?:3y'1ab=92.>hn4>;|~y>{e::183!4603?o7E5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37=>P6<909w);kd;g8 0bd2l1vqps4i077>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28??7[?;0;0x 0bc2l1/9im5e:~yx=n96383>!4603;?;6X=1`82I46k3;pZnm52z&2;1]=9>52z&6`a`=zutw0e<8;:18'64>=9==0Z??n:0yO64e=9r\ho74033_;?<7`=#=mi1i6sr}|9j533=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=;;4V063>7}#=mn1i6*:db8f?x{zu2c::;4?:%02{M02g?7|^ji1>v*>8c8223=Q9=:1>v*:de8f?!3ck3o0qpsr;h353?6=,;;36<:8;W02e?7|D;;h64181!3cl3o0(8jl:d9~yx{j6=4+20:9511<^;;j6hn4j;|~y>o68;373>P59h0:wA<>c;3xRfe=:r.:4o4>4b9U516=:r.>hi4j;%7gg?c<7[<>a;3xH77d28q]on4={%3;f?73l2\:8=4={%7g`?7<,<651558R77f28qG>{zut1b=9h50;&15=<6<>1]>;6?u+5ef95>"2lj0:7psr}:m2<1<72-8:47?74:T15d<6sE8:o7?tVba96~"60k0:495Y15296~"2lm0:7);kc;38yx{z3th99l4?:283>5}#:821=5=4H32`?l7303:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?;8:T205<5s-?oh7?4$4f`>4=zutw0e<:6:18'64>=9==0Z??n:0yO64e=9r\ho742>3_;?<74=#=mi1=6sr}|9l5=2=83.9=54>859U64g=9rF9=n4>{Wa`>7}#91h1=5:4V063>7}#=mn1=6*:db82?x{zu2wi>8950;194?6|,;;368j4H32`?l7303:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?;8:T205<5s-?oh7k4$4f`>`=zutw0e<:6:18'64>=9==0Z??n:0yO64e=9r\ho742>3_;?<7`=#=mi1i6sr}|9l5=2=83.9=54>859U64g=9rF9=n4>{Wa`>7}#91h1=5:4V063>7}#=mn1=6*:db82?x{zu2wi>;>50;094?6|,;;36o94H32`?l73?3:1(??7:064?>i60=0;6)<>8;3;0>P59h0:wA<>c;3xRfe=:r.:4o4>859U516=:r.>hi4>;%7gg?73<729q/><651578L76d3-;=47?>;h373?6=,;;36<:8;W02e?7|D;;h66=4+20:9g0=Q:8k1=vB=1b82S71138p(<6m:b78R4272;q/9ij51:&6`f<63twvq6gl6;29 77?2j<0Z??n:3yO64e=9r\::44={%3;f?e13_;?<76=#=mi1?6sr}M02f?2|^;:26>uYc`81!3cj3i=7)=;0;7g`>{Qkk09w);kb;373>"4<90>hi5r$743>g=z^;:26>uYc`81!3cj3i=7)=;0;7g`>{Qkk09w);kb;373>"4<90>hn5r$743>g=z^;:26>uYc`81!3cj3i=7)=;0;7g`>{Qkk09w);kb;a6?!5383?oh6s+6729f>{Q91k1>vXla;0x 0be2j<0(>:?:4fg?x"1>90:<6sr}:k14=<72-8:477}#91h1>=64V063>7}#=mn1j6*:db8e?x{zD;;i6{zu2c9<:4?:%02;6?u+5ef9b>"2lj0m7psrL33a>4}Q:8;1>vXla;0x 0be2;:<7)=;0;7g`>{#>?:1j6sr}:m2<1<72-8:47?74:9~f70529086=4?{%02{zut1b=9750;&15=<6<>1]>;6?u+5ef95>"2lj0:7psr}:m2<1<72-8:47?74:T15d<6sE8:o7?tVba96~"60k0:495Y15296~"2lm0:7);kc;38yx{z3th9:>4?:083>5}#:821>{e:??1<7=50;2x 77?2l;h374181!3cl3o0(8jl:d9~yx{26=4+20:9511<^;;j60Z<:?:3y'1ab=92.>hn4>;|~y>{e:?<1<7=50;2x 77?2l;h37P59h0:wA<>c;3xRfe=:r.:4o4>499U516=:r.>hi4j;%7gg?c<7E<>7:T15d<6sE8:o7?tVba96~"60k0:845Y15296~"2lm0n7);kc;g8yx{z3f;387>5$33;>4>33_8:m7?tL33`>4}Qkj09w)?7b;3;0>P6<909w);kd;38 0bd281vqps4}c053?6=;3:1N58j1b=9650;&15=<6<>1]><^8>;6?u+5ef9a>"2lj0n7psr}:k20<<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0:845Y15296~"2lm0n7);kc;g8yx{z3f;387>5$33;>4>33_8:m7?tL33`>4}Qkj09w)?7b;3;0>P6<909w);kd;38 0bd281vqps4}c050?6=<3:1=9==0Z??n:0yO64e=9r\ho742?3_;?<74=#=mi1=6sr}|9j51?=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=974V063>7}#=mn1=6*:db82?x{zu2c:994?:%02{M02g?7|^ji1>v*>8c8211=Q9=:1>v*:de82?!3ck3;0qpsr;n3;0?6=,;;36<6;;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{53;294~"5910>h6F=0b9j51>=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=964V063>7}#=mn1i6*:db8f?x{zu2c:844?:%02{M02g?7|^ji1>v*>8c820<=Q9=:1>v*:de8f?!3ck3o0qpsr;n3;0?6=,;;36<6;;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{52;294~"5910i;6F=0b9j511=83.9=54>4698k4>3290/><651968R77f28qG>{zut1vn?9;:180>5<7s-8:47<>5:J14f=n9=21<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515:8R4272;q/9ij51:&6`f<63twvq6g>4883>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a4=zutw0qo<83;292?6=8r.9=54>449K65e<,8<365<#:821=994V33b>4}K:8i1=vX>6881!7?j3;?;6X>4181!3cl3;0(8jl:09~yx{P59h0:wA<>c;3xR40>2;q/=5l5c49U516=:r.>hi4>;%7gg?7=k?1]>f0<^8>;6?u+5ef97>"2lj087psrL33a>1}Q:931?vXla;0x 0be2j<0(>:?:4fg?xPdj38p(8jm:064?!5383?oh6s+6729f>{Q:931?vXla;0x 0be2j<0(>:?:4fg?xPdj38p(8jm:064?!5383?oo6s+6729f>{Q:931?vXla;0x 0be2j<0(>:?:4fg?xPdj38p(8jm:b78 627255ef8y!0183;;7psr;h037;W02e?4|D;;h65$33;>7603_8:m74}Q9?31>v*>8c8142=Q9=:1>v*:de8e?!3ck3l0qpsC20`95~P59809w[mn:3y'1ad=:9=0(>:?:4fg?x"1>90m7psr;n3;0?6=,;;36<6;;:a63e=8391<7>t$33;>7723A8;o6g>4983>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?l7313:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?;9:T205<5s-?oh7?4$4f`>4=zutw0c<6;:18'64>=91>0Z??n:0yO64e=9r\ho74>33_;?<74=#=mi1=6sr}|9~f70c290:6=4?{%02N58j1b=9650;&15=<6<>1]><^8>;6?u+5ef9a>"2lj0n7psr}:k20<<72-8:47?;7:J152=Q:8k1=vB=1b82Sed2;q/=5l515;8R4272;q/9ij5e:&6`f5583>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a4=zutw0qo<80;290?6=8r.9=54:d:J14f=n9=21<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515:8R4272;q/9ij5e:&6`f4883>!4603;?;6F=169U64g=9rF9=n4>{Wa`>7}#91h1=974V063>7}#=mn1i6*:db8f?x{zu2c:994?:%02{M02g?7|^ji1>v*>8c8211=Q9=:1>v*:de8f?!3ck3o0qpsr;n3;0?6=,;;36<6;;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{54;294~"5910>h6F=0b9j51>=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=964V063>7}#=mn1i6*:db8f?x{zu2c:844?:%02{M02g?7|^ji1>v*>8c820<=Q9=:1>v*:de8f?!3ck3o0qpsr;h360?6=,;;36<:8;W02e?7|D;;h686X>4181!3cl3o0(8jl:d9~yx{5<3290;w)<>8;3;7>N58j1b=9650;&15=<6<>1]><^8>;6?u+5ef95>"2lj0:7psr}:k20<<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0:845Y15296~"2lm0:7);kc;38yx{z3`;>87>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;360>P6<909w);kd;38 0bd281vqps4o0:7>5<#:821=5:4V33b>4}K:8i1=vXlc;0x 4>e282?7[?;0;0x 0bc281/9im51:~yx=zj;5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37=>P6<909w);kd;g8 0bd2l1vqps4o0:7>5<#:821=5:4V33b>4}K:8i1=vXlc;0x 4>e282?7[?;0;0x 0bc281/9im51:~yx=zj;=>6=4=:183!4603h<7E859U64g=9rF9=n4>{Wa`>7}#91h1=5:4V063>7}#=mn1=6*:db82?x{zu2wi>:h50;194?6|,;;36??:;I03g>o6<10;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>499U516=:r.>hi4>;%7gg?7<7[<>a;3xH77d28q]on4={%3;f?7312\:8=4={%7g`?e<,3290/><651968R77f28qG>{zut1vn?9j:185>5<7s-8:47?;5:J14f=#9?21=<5f15594?"5910:8:5Y20c95~J59j0:w[?99;0x 4>e28><7[?;0;0x 0bc281/9im51:~yx=nk<0;6)<>8;a6?S46i3;p@??l:0yU53?=:r.:4o4l5:T205<5s-?oh7?4$4f`>4=zutw0en850;&15=2\9=l4={M02g?7|^8<26?u+19`9g3=Q9=:1>v*:de80?!3ck390qpsC20`90~P58008w[mn:3y'1ad=k?1/?9>55ef8ySee2;q/9il51558 627255ef8ySee2;q/9il51558 627255ef8ySee2;q/9il5c49'716==mn0q)890;`8yS7?i38pZno52z&6`g2.88=4:de9~ 30728:0qps4i32;>5<#:821>=64V33b>7}K:8i1=vX>6881!7?j38;46X>4181!3cl3l0(8jl:g9~yxJ59k0:w[<>1;0xRfg=:r.>ho4=099'716==mn0q)890;d8yx{009w)?7b;033>P6<909w);kd;d8 0bd2o1vqpB=1c82S46938pZno52z&6`g<58>1/?9>55ef8y!0183l0qps4o0:7>5<#:821=5:4;|`132<72:0;6=u+20:9643<@;:h7d?;8;29 77?28><7[<>a;3xH77d28q]on4={%3;f?7302\:8=4={%7g`?7<,290/><651558R77f28qG>{zut1d=5:50;&15=<60=1]>;6?u+5ef95>"2lj0:7psr}:a62>=83;1<7>t$33;>77a3A8;o6a>8583>!4603;3865rb35b>5<3290;w)<>8;7g?M47k2c:854?:%02{M02g?7|^ji1>v*>8c820==Q9=:1>v*:de8f?!3ck3o0qpsr;h37=?6=,;;36<:8;W02e?7|D;;h64181!3cl3o0(8jl:d9~yx{0Z<:?:3y'1ab=92.>hn4>;|~y>{e:>h1<7;50;2x 77?2l;h374181!3cl3o0(8jl:d9~yx{26=4+20:9511<@;;<7[<>a;3xH77d28q]on4={%3;f?7312\:8=4={%7g`?c<,<651558R77f28qG>{zut1b=8h50;&15=<6<>1]>;6?u+5ef9a>"2lj0n7psr}:m2<1<72-8:47?74:T15d<6sE8:o7?tVba96~"60k0:495Y15296~"2lm0:7);kc;38yx{z3th9;n4?:483>5}#:8219i5G21a8m42?290/><651558R77f28qG>{zut1b=9750;&15=<6<>1C><94V33b>4}K:8i1=vXlc;0x 4>e28>27[?;0;0x 0bc2l1/9im5e:~yx=n9<>1<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l51468R4272;q/9ij5e:&6`f5g83>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a4=zutw0qo<89;290?6=8r.9=54>829K65e36=4+20:9511<^;;j6hn4>;|~y>o6==0;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>559U516=:r.>hi4>;%7gg?7a;3xH77d28q]on4={%3;f?7?<2\:8=4={%7g`?7<,3:1?7>50z&15=<2l2B9hn4j;|~y>o6<00;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>489U516=:r.>hi4j;%7gg?ca;3xH77d28q]on4={%3;f?7?<2\:8=4={%7g`?7<,7>50z&15=5<#:821=5:4V33b>4}K:8i1=vXlc;0x 4>e282?7[?;0;0x 0bc281/9im51:~yx=zj;3>6=4<:183!46038:96F=0b9j51>=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=964V063>7}#=mn1=6*:db82?x{zu2c:844?:%02{M02g?7|^ji1>v*>8c820<=Q9=:1>v*:de8`?!3ck3i0qpsr;n3;0?6=,;;36<6;;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{56;294~"5910:885G21a8 40?28;0e<:8:18'64>=9==0Z??n:0yO64e=9r\::44={%3;f?73?2\:8=4={%7g`?7<,7}#91h1o85Y15296~"2lm0:7);kc;38yx{z3`i=6=4+20:9g3=Q:8k1>vB=1b82S71138p(<6m:b48R4272;q/9ij53:&6`f<43twv@??m:5yU65?=;r\hm7f0<,:>;68jk;|T`f?4|,5b:U65?=;r\hm7f0<,:>;68jk;|T`f?4|,5b:U65?=;r\hm7f0<,:>;68jk;|T`f?4|,0bc3t.=:=4m;|T2a;0xH77d28q]=;752z&252z&6`a7}#=mh1>=64$263>0bc3t.=:=4i;|~?l47?3:1(??7:324?S46i38p@??l:0yU53?=:r.:4o4=069U516=:r.>hi4i;%7gg?`;68jk;|&525{M02g?7|^ji1>v*>8c820==Q9=:1>v*:de82?!3ck3;0qpsr;h37=?6=,;;36<:8;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{5<6290;w)<>8;02b>N58j1d=5:50;&15=<60=10qo<60;290?6=8r.9=54:d:J14f=n9=21<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515:8R4272;q/9ij5e:&6`f4883>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a`=zutw0c<6;:18'64>=91>0Z??n:0yO64e=9r\ho74>33_;?<74=#=mi1=6sr}|9~f7?6290<6=4?{%024983>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a{M02g?7|^ji1>v*>8c820<=Q9=:1>v*:de8f?!3ck3o0qpsr;h360?6=,;;36<:8;W02e?7|D;;h686X>4181!3cl3o0(8jl:d9~yx{hn4j;|~y>o6>:0;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>629U516=:r.>hi4j;%7gg?ca;3xH77d28q]on4={%3;f?7?<2\:8=4={%7g`?7<,:3:1;7>50z&15=<2l2B9hn4j;|~y>o6<00;6)<>8;373>N59>1]>;6?u+5ef9a>"2lj0n7psr}:k211<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0:995Y15296~"2lm0n7);kc;g8yx{z3`;>j7>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;36b>P6<909w);kd;g8 0bd2l1vqps4i041>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28<97[?;0;0x 0bc2l1/9im5e:~yx=n9?91<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l51718R4272;q/9ij5e:&6`f8583>!4603;386X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?xd50o0;694?:1y'64>=9190D?>l;h374181!3cl3;0(8jl:09~yx{26=4+20:9511<^;;j60Z<:?:3y'1ab=92.>hn4>;|~y>i60=0;6)<>8;3;0>P59h0:wA<>c;3xRfe=:r.:4o4>859U516=:r.>hi4>;%7gg?76<729q/><655e9K65e36=4+20:9511<^;;j6hn4j;|~y>i60=0;6)<>8;3;0>P59h0:wA<>c;3xRfe=:r.:4o4>859U516=:r.>hi4>;%7gg?77<729q/><65b69K65e<6=4+20:9511<3f;387>5$33;>4>33_8:m7?tL33`>4}Qkj09w)?7b;3;0>P6<909w);kd;38 0bd281vqps4}c10b?6=>3:1<651558R77f28qG>4=zutw0en;50;&15={M02g?7|^8<26?u+19`9g0=Q9=:1>v*:de82?!3ck3;0qpsr;ha5>5<#:821o;5Y20c96~J59j0:w[?99;0x 4>e2j<0Z<:?:3y'1ab=;2.>hn4<;|~H77e2=q]>=753zT`e?4|,0bc3t\hn74203-9?<7;kd:'236=j2w]>=753zT`e?4|,0bc3t\hn74203-9?<7;kc:'236=j2w]>=753zT`e?4|,0bc3t\hn7f3<,:>;68jk;|&5257}#=mh1o;5+35291abhi4i;%7gg?`<,:>;68jk;|&525a;0xH77d28q]=;752z&21]=9>52z&6`a7}#=mh1>=94$263>0bc3t.=:=4i;|~?j7?<3:1(??7:0:7?>{e;:h1<7=50;2x 77?2;;>7E5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37=>P6<909w);kd;38 0bd281vqps4o0:7>5<#:821=5:4V33b>4}K:8i1=vXlc;0x 4>e282?7[?;0;0x 0bc281/9im51:~yx=zj:9h6=4>:183!46038:j6F=0b9l5=2=83.9=54>8598yg54l3:1?7>50z&15=<2l2B9hn4j;|~y>o6<00;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>489U516=:r.>hi4j;%7gg?ca;3xH77d28q]on4={%3;f?7?<2\:8=4={%7g`?7<,50z&15=<2l2B9hn48;|~y>o6<00;6)<>8;373>N59>1]>;6?u+5ef9a>"2lj0n7psr}:m2<1<72-8:47?74:T15d<6sE8:o7?tVba96~"60k0:495Y15296~"2lm0:7);kc;38yx{z3th><>4?:383>5}#:821n:5G21a8m420290/><651558?j7?<3:1(??7:0:7?S46i3;p@??l:0yUgf<5s-;3n7?74:T205<5s-?oh7?4$4f`>4=zutw0qo;?f;292?6=8r.9=54>449K65e<,8<365<#:821=994V33b>4}K:8i1=vX>6881!7?j3;?;6X>4181!3cl3;0(8jl:09~yx{P59h0:wA<>c;3xR40>2;q/=5l5c49U516=:r.>hi4>;%7gg?7=k?1]>f0<^8>;6?u+5ef97>"2lj087psrL33a>1}Q:931?vXla;0x 0be2j<0(>:?:4fg?xPdj38p(8jm:064?!5383?oh6s+6729f>{Q:931?vXla;0x 0be2j<0(>:?:4fg?xPdj38p(8jm:064?!5383?oo6s+6729f>{Q:931?vXla;0x 0be2j<0(>:?:4fg?xPdj38p(8jm:b78 627255ef8y!0183;;7psr;h037;W02e?4|D;;h65$33;>7603_8:m74}Q9?31>v*>8c8142=Q9=:1>v*:de8e?!3ck3l0qpsC20`95~P59809w[mn:3y'1ad=:9=0(>:?:4fg?x"1>90m7psr;n3;0?6=,;;36<6;;:a152=8391<7>t$33;>7723A8;o6g>4983>!4603;?;6F=169U64g=9rF9=n4>{Wa`>7}#91h1=964V063>7}#=mn1=6*:db82?x{zu2c:844?:%02{M02g?7|^ji1>v*>8c820<=Q9=:1>v*:de82?!3ck3;0qpsr;n3;0?6=,;;36<6;;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{51;294~"59109=k5G21a8k4>3290/><651968?xd28>0;6>4?:1y'64>==m1C>=m4i06;>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>37[?;0;0x 0bc281/9im51:~yx=n9=31<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515;8R4272;q/9ij51:&6`f<63twvq6a>8583>!4603;386X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?xd2810;6>4?:1y'64>==m1C>=m4i06;>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>37[?;0;0x 0bc281/9im51:~yx=n9=31<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515;8R4272;q/9ij51:&6`f<63twvq6a>8583>!4603;386X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?xd2800;6>4?:1y'64>==m1C>=m4i06;>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>37[?;0;0x 0bc2>1/9im57:~yx=n9=31<7*=198202=O:8=0Z??n:0yO64e=9r\ho742>3_;?<7`=#=mi1i6sr}|9l5=2=83.9=54>859U64g=9rF9=n4>{Wa`>7}#91h1=5:4V063>7}#=mn1=6*:db82?x{zu2wi9=o50;694?6|,;;368j4H32`?l7303:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?;8:T205<5s-?oh794$4f`>2=zutw0e<:6:18'64>=9==0D??8;W02e?7|D;;h64181!3cl3o0(8jl:d9~yx{0Z<:?:3y'1ab=92.>hn4>;|~y>{e=9h1<7:50;2x 77?2l;h37P59h0:wA<>c;3xRfe=:r.:4o4>499U516=:r.>hi48;%7gg?1<7E<>7:T15d<6sE8:o7?tVba96~"60k0:845Y15296~"2lm0<7);kc;58yx{z3`;>87>5$33;>4203A8:;6X=1`82I46k3;pZnm52z&252z&6`a4=zutw0qo;?c;290?6=8r.9=54:d:J14f=n9=21<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515:8R4272;q/9ij57:&6`f<03twvq6g>4883>!4603;?;6F=169U64g=9rF9=n4>{Wa`>7}#91h1=974V063>7}#=mn1i6*:db8f?x{zu2c:994?:%02{M02g?7|^ji1>v*>8c8211=Q9=:1>v*:de8f?!3ck3o0qpsr;n3;0?6=,;;36<6;;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{54;294~"5910>h6F=0b9j51>=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=964V063>7}#=mn1;6*:db84?x{zu2c:844?:%02hn4j;|~y>o6==0;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>559U516=:r.>hi4j;%7gg?ca;3xH77d28q]on4={%3;f?7?<2\:8=4={%7g`?7<,3:147>50z&15=<60:1C>=m4i06;>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>37[?;0;0x 0bc281/9im51:~yx=n9=31<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515;8R4272;q/9ij51:&6`f<63twvq6g>5583>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?l72n3:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?:f:T205<5s-?oh7?4$4f`>4=zutw0e<8=:18'64>=9==0Z??n:0yO64e=9r\ho74053_;?<74=#=mi1=6sr}|9j535=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=;=4V063>7}#=mn1=6*:db82?x{zu2c::94?:%02{M02g?7|^ji1>v*>8c8221=Q9=:1>v*:de82?!3ck3;0qpsr;n3;0?6=,;;36<6;;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{52;294~"5910i;6F=0b9j511=83.9=54>4698k4>3290/><651968R77f28qG>{zut1vn?6n:180>5<7s-8:47<>5:J14f=n9=21<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515:8R4272;q/9ij51:&6`f<63twvq6g>4883>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a4=zutw0qo<79;292?6=8r.9=54>449K65e<,8<365<#:821=994V33b>4}K:8i1=vX>6881!7?j3;?;6X>4181!3cl3;0(8jl:09~yx{P59h0:wA<>c;3xR40>2;q/=5l5c49U516=:r.>hi4>;%7gg?7=k?1]>f0<^8>;6?u+5ef97>"2lj087psrL33a>1}Q:931?vXla;0x 0be2j<0(>:?:4fg?xPdj38p(8jm:064?!5383?oh6s+6729f>{Q:931?vXla;0x 0be2j<0(>:?:4fg?xPdj38p(8jm:064?!5383?oo6s+6729f>{Q:931?vXla;0x 0be2j<0(>:?:4fg?xPdj38p(8jm:b78 627255ef8y!0183;;7psr;h037;W02e?4|D;;h65$33;>7603_8:m74}Q9?31>v*>8c8142=Q9=:1>v*:de8e?!3ck3l0qpsC20`95~P59809w[mn:3y'1ad=:9=0(>:?:4fg?x"1>90m7psr;n3;0?6=,;;36<6;;:a6=4=8391<7>t$33;>7723A8;o6g>4983>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?l7313:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?;9:T205<5s-?oh7?4$4f`>4=zutw0c<6;:18'64>=91>0Z??n:0yO64e=9r\ho74>33_;?<74=#=mi1=6sr}|9~f7>4290:6=4?{%02N58j1b=9650;&15=<6<>1]><^8>;6?u+5ef9a>"2lj0n7psr}:k20<<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0:845Y15296~"2lm0n7);kc;g8yx{z3`;>87>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;360>P6<909w);kd;g8 0bd2l1vqps4o0:7>5<#:821=5:4V33b>4}K:8i1=vXlc;0x 4>e282?7[?;0;0x 0bc281/9im51:~yx=zj;2=6=49:183!4603?o7E5$33;>4203A8:;6X=1`82I46k3;pZnm52z&252z&6`a`=zutw0e<;i:18'64>=9==0Z??n:0yO64e=9r\ho743a3_;?<7`=#=mi1i6sr}|9j534=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=;<4V063>7}#=mn1i6*:db8f?x{zu2e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de82?!3ck3;0qpsr;|`1<2<72?0;6=u+20:91a=O:9i0e<:7:18'64>=9==0Z??n:0yO64e=9r\ho742?3_;?<7`=#=mi1i6sr}|9j51?=83.9=54>469K641<^;;j60Z<:?:3y'1ab=m2.>hn4j;|~y>o6=o0;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>5g9U516=:r.>hi4j;%7gg?c<7[<>a;3xH77d28q]on4={%3;f?71:2\:8=4={%7g`?c<,3290/><651968R77f28qG>{zut1vn?6;:187>5<7s-8:47?73:J14f=n9=21<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515:8R4272;q/9ij51:&6`f<63twvq6g>4883>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?l72<3:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?:4:T205<5s-?oh7?4$4f`>4=zutw0c<6;:18'64>=91>0Z??n:0yO64e=9r\ho74>33_;?<74=#=mi1=6sr}|9~f7>629086=4?{%024983>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a`=zutw0c<6;:18'64>=91>0Z??n:0yO64e=9r\ho74>33_;?<74=#=mi1=6sr}|9~f7?129096=4?{%024683>!4603;?;65`19694?"5910:495Y20c95~J59j0:w[ml:3y'5=d=91>0Z<:?:3y'1ab=92.>hn4>;|~y>{e:h:1<7=50;2x 77?2;;>7E5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37=>P6<909w);kd;a8 0bd2j1vqps4o0:7>5<#:821=5:4V33b>4}K:8i1=vXlc;0x 4>e282?7[?;0;0x 0bc281/9im51:~yx=zj;3m6=49:183!4603;?96F=0b9'53>=981b=9950;&15=<6<>1]>4203_;?<74=#=mi1=6sr}|9jg0<72-8:47m:;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{P59h09wA<>c;3xR40>2;q/=5l5c79U516=:r.>hi4<;%7gg?57}#=mh1=994$263>0bc3t.=:=4m;|T14<<4s_ij6?u+5e`9g3=#;=:19ij4}Waa>7}#=mh1=994$263>0bd3t.=:=4m;|T14<<4s_ij6?u+5e`9g3=#;=:19ij4}Waa>7}#=mh1o85+35291abv*:dc8`2>"4<90>hi5r$743>467:18'64>=:920Z??n:3yO64e=9r\::44={%3;f?4702\:8=4={%7g`?`<,<652158R77f2;qG>c=zutF9=o4>{W025?4|^jk1>v*:dc8142=#;=:19ij4}%454?`=91>07pl=9983>6<729q/><652078L76d3`;?47>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37<>P6<909w);kd;38 0bd281vqps4i06:>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>27[?;0;0x 0bc281/9im51:~yx=h91>1<7*=1982<1=Q:8k1=vB=1b82Sed2;q/=5l51968R4272;q/9ij51:&6`f<63twvq6sm28;94?7=83:p(??7:33e?M47k2e:494?:%025<7s-8:47;k;I03g>o6<10;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>499U516=:r.>hi4j;%7gg?c<7[<>a;3xH77d28q]on4={%3;f?7312\:8=4={%7g`?c<,<651558R77f28qG>{zut1d=5:50;&15=<60=1]>;6?u+5ef95>"2lj0:7psr}:a6t$33;>0b<@;:h7d?;8;29 77?28><7[<>a;3xH77d28q]on4={%3;f?7302\:8=4={%7g`?c<,290/><651558L7703_8:m7?tL33`>4}Qkj09w)?7b;37=>P6<909w);kd;g8 0bd2l1vqps4i077>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28??7[?;0;0x 0bc2l1/9im5e:~yx=n96383>!4603;?;6X=1`82I46k3;pZnm52z&2;1]=9>52z&6`a`=zutw0e<8;:18'64>=9==0Z??n:0yO64e=9r\ho74033_;?<7`=#=mi1i6sr}|9l5=2=83.9=54>859U64g=9rF9=n4>{Wa`>7}#91h1=5:4V063>7}#=mn1=6*:db82?x{zu2wi>4j50;:94?6|,;;368j4H32`?l7303:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?;8:T205<5s-?oh7k4$4f`>`=zutw0e<:6:18'64>=9==0D??8;W02e?7|D;;h64181!3cl3o0(8jl:d9~yx{hn4j;|~y>o6>;0;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>639U516=:r.>hi4j;%7gg?c<7[<>a;3xH77d28q]on4={%3;f?71;2\:8=4={%7g`?c<,<651558R77f28qG>{zut1d=5:50;&15=<60=1]>;6?u+5ef95>"2lj0:7psr}:a61<7>t$33;>4>43A8;o6g>4983>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?l7313:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?;9:T205<5s-?oh7?4$4f`>4=zutw0e<;;:18'64>=9==0Z??n:0yO64e=9r\ho74333_;?<74=#=mi1=6sr}|9l5=2=83.9=54>859U64g=9rF9=n4>{Wa`>7}#91h1=5:4V063>7}#=mn1=6*:db82?x{zu2wi>4950;194?6|,;;368j4H32`?l7303:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?;8:T205<5s-?oh7k4$4f`>`=zutw0e<:6:18'64>=9==0Z??n:0yO64e=9r\ho742>3_;?<7`=#=mi1i6sr}|9l5=2=83.9=54>859U64g=9rF9=n4>{Wa`>7}#91h1=5:4V063>7}#=mn1=6*:db82?x{zu2wi>l?50;094?6|,;;36o94H32`?l73?3:1(??7:064?>i60=0;6)<>8;3;0>P59h0:wA<>c;3xRfe=:r.:4o4>859U516=:r.>hi4>;%7gg?77<729q/><65b69K65e<6=4+20:9511<3f;387>5$33;>4>33_8:m7?tL33`>4}Qkj09w)?7b;3;0>P6<909w);kd;38 0bd281vqps4}c0b`?6=;3:1=9==0Z??n:0yO64e=9r\ho742?3_;?<74=#=mi1=6sr}|9j51?=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=974V063>7}#=mn1o6*:db8`?x{zu2e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de82?!3ck3;0qpsr;|`1ef<72?0;6=u+20:9513<@;:h7)?98;32?l73?3:1(??7:064?S46i3;p@??l:0yU53?=:r.:4o4>469U516=:r.>hi4>;%7gg?7=k<1]>f3<^8>;6?u+5ef95>"2lj0:7psr}:k`2?6=,;;36n84V33b>7}K:8i1=vX>6881!7?j3i=7[?;0;0x 0bc2:1/9im53:~yI46j3>pZ?>6:2yUgd<5s-?on7m9;%174?3cl2w]oo4={%7gf?73?2.88=4:de9~ 3072k1vZ?>6:2yUgd<5s-?on7m9;%174?3cl2w]oo4={%7gf?73?2.88=4:db9~ 3072k1vZ?>6:2yUgd<5s-?on7m9;%174?3cl2w]oo4={%7gf?e23-9?<7;kd:'236=j2w]=5o52zT`e?4|,0bc3t.=:=4>0:~y>o5810;6)<>8;03<>P59h09wA<>c;3xR40>2;q/=5l521:8R4272;q/9ij5f:&6`f76?3-9?<7;kd:'236=n2wvq6g=0683>!46038;;6X=1`81I46k3;pZ<86:3y'5=d=:9=0Z<:?:3y'1ab=n2.>hn4i;|~H77e28q]>8;%174?3cl2w/:;>5f:~y>i60=0;6)<>8;3;0>=zj;k86=4<:183!46038:96F=0b9j51>=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=964V063>7}#=mn1=6*:db82?x{zu2c:844?:%02{M02g?7|^ji1>v*>8c820<=Q9=:1>v*:de82?!3ck3;0qpsr;n3;0?6=,;;36<6;;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{51;294~"59109=k5G21a8k4>3290/><651968?xd5i?0;694?:1y'64>==m1C>=m4i06;>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>37[?;0;0x 0bc2l1/9im5e:~yx=n9=31<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515;8R4272;q/9ij5e:&6`f5583>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a4=zutw0qo4883>!4603;?;6F=169U64g=9rF9=n4>{Wa`>7}#91h1=974V063>7}#=mn1i6*:db8f?x{zu2c:994?:%02{M02g?7|^ji1>v*>8c8211=Q9=:1>v*:de8f?!3ck3o0qpsr;h36b?6=,;;36<:8;W02e?7|D;;h6j6X>4181!3cl3o0(8jl:d9~yx{hn4j;|~y>o6>=0;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>659U516=:r.>hi4j;%7gg?c<7[<>a;3xH77d28q]on4={%3;f?71=2\:8=4={%7g`?c<,3290/><651968R77f28qG>{zut1vn?o7:18:>5<7s-8:47;k;I03g>o6<10;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>499U516=:r.>hi4j;%7gg?c<7E<>7:T15d<6sE8:o7?tVba96~"60k0:845Y15296~"2lm0n7);kc;g8yx{z3`;>87>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;360>P6<909w);kd;g8 0bd2l1vqps4i07e>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28?m7[?;0;0x 0bc2l1/9im5e:~yx=n9?81<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l51708R4272;q/9ij5e:&6`f6283>!4603;?;6X=1`82I46k3;pZnm52z&2:1]=9>52z&6`a`=zutw0e<8::18'64>=9==0Z??n:0yO64e=9r\ho74023_;?<7`=#=mi1i6sr}|9l5=2=83.9=54>859U64g=9rF9=n4>{Wa`>7}#91h1=5:4V063>7}#=mn1=6*:db82?x{zu2wi>l;50;694?6|,;;36<6<;I03g>o6<10;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>499U516=:r.>hi4>;%7gg?7<7[<>a;3xH77d28q]on4={%3;f?7312\:8=4={%7g`?7<,<651558R77f28qG>{zut1d=5:50;&15=<60=1]>;6?u+5ef95>"2lj0:7psr}:a6dg=83<1<7>t$33;>0b<@;:h7d?;8;29 77?28><7[<>a;3xH77d28q]on4={%3;f?7302\:8=4={%7g`??<,290/><651558L7703_8:m7?tL33`>4}Qkj09w)?7b;37=>P6<909w);kd;;8 0bd201vqps4i077>5<#:821=994H334?S46i3;p@??l:0yUgf<5s-;3n7?:4:T205<5s-?oh774$4f`><=zutw0e<;i:18'64>=9==0Z??n:0yO64e=9r\ho743a3_;?<7c=#=mi1j6sr}|9j534=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=;<4V063>7}#=mn1j6*:db8e?x{zu2e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de82?!3ck3;0qpsr;|`1e7<72:0;6=u+20:91a=O:9i0e<:7:18'64>=9==0Z??n:0yO64e=9r\ho742?3_;?<7`=#=mi1i6sr}|9j51?=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=974V063>7}#=mn1i6*:db8f?x{zu2e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de82?!3ck3;0qpsr;|`1f<<72;0;6=u+20:9f2=O:9i0e<:8:18'64>=9==07b?74;29 77?282?7[<>a;3xH77d28q]on4={%3;f?7?<2\:8=4={%7g`?7<,50z&15=<59<1C>=m4i06;>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>37[?;0;0x 0bc281/9im51:~yx=n9=31<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515;8R4272;q/9ij5c:&6`f8583>!4603;386X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?xd5k;0;6;4?:1y'64>=9=?0D?>l;%355$33;>4203_8:m7?tL33`>4}Q9?31>v*>8c8202=Q9=:1>v*:de82?!3ck3;0qpsr;ha6>5<#:821o85Y20c95~J59j0:w[?99;0x 4>e2j?0Z<:?:3y'1ab=92.>hn4>;|~y>od>3:1(??7:b48R77f2;qG>5+5ea97>{zuE8:n7:tV32:>6}Qkh09w);kb;a5?!5383?oh6sYcc81!3cj3;?;6*<4186`a=z,?<;6o5rV32:>6}Qkh09w);kb;a5?!5383?oh6sYcc81!3cj3;?;6*<4186`f=z,?<;6o5rV32:>6}Qkh09w);kb;a5?!5383?oh6sYcc81!3cj3i>7)=;0;7g`>{#>?:1n6sY19c96~Pdi38p(8jm:b48 6272{zu2c9<54?:%02<^8>;6?u+5ef9b>"2lj0m7psrL33a>4}Q:8;1>vXla;0x 0be2;:37)=;0;7g`>{#>?:1j6sr}:k142<72-8:477}#91h1>=94V063>7}#=mn1j6*:db8e?x{zD;;i6{zu2e:494?:%025<7s-8:47<>5:J14f=n9=21<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515:8R4272;q/9ij51:&6`f<63twvq6g>4883>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?j7?<3:1(??7:0:7?S46i3;p@??l:0yUgf<5s-;3n7?74:T205<5s-?oh7?4$4f`>4=zutw0qo5}#:8219i5G21a8m42?290/><651558R77f28qG>{zut1b=9750;&15=<6<>1]>;6?u+5ef9a>"2lj0n7psr}:k211<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0:995Y15296~"2lm0n7);kc;g8yx{z3f;387>5$33;>4>33_8:m7?tL33`>4}Qkj09w)?7b;3;0>P6<909w);kd;38 0bd281vqps4}c0ab?6=980;6=u+20:91a=O:9i0e<:7:18'64>=9==0Z??n:0yO64e=9r\ho742?3_;?<7`=#=mi1i6sr}|9j51?=83.9=54>469K641<^;;j60Z<:?:3y'1ab=m2.>hn4j;|~y>o6=o0;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>5g9U516=:r.>hi4j;%7gg?c<7[<>a;3xH77d28q]on4={%3;f?71:2\:8=4={%7g`?c<,<651558R77f28qG>{zut1b=;:50;&15=<6<>1]>;6?u+5ef9a>"2lj0n7psr}:k220<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0::85Y15296~"2lm0n7);kc;g8yx{z3`;=:7>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;352>P6<909w);kd;g8 0bd2l1vqps4i044>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28<<7[?;0;0x 0bc2l1/9im5e:~yx=n9=k1<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515c8R4272;q/9ij51:&6`f<63twvq6g>4c83>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?l73k3:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?;c:T205<5s-?oh7?4$4f`>4=zutw0e<:k:18'64>=9==0Z??n:0yO64e=9r\ho742c3_;?<74=#=mi1=6sr}|9j51c=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=9k4V063>7}#=mn1=6*:db82?x{zu2c:8k4?:%02{M02g?7|^ji1>v*>8c820c=Q9=:1>v*:de82?!3ck3;0qpsr;n3;0?6=,;;36<6;;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{51083>5}#:8219i5G21a8m42?290/><651558R77f28qG>{zut1b=9750;&15=<6<>1C><94V33b>4}K:8i1=vXlc;0x 4>e28>27[?;0;0x 0bc2l1/9im5e:~yx=n9<>1<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l51468R4272;q/9ij5e:&6`f5g83>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a`=zutw0e<8<:18'64>=9==0Z??n:0yO64e=9r\ho74043_;?<7`=#=mi1i6sr}|9j532=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=;:4V063>7}#=mn1i6*:db8f?x{zu2c::84?:%02{M02g?7|^ji1>v*>8c8220=Q9=:1>v*:de8f?!3ck3o0qpsr;h352?6=,;;36<:8;W02e?7|D;;h64181!3cl3o0(8jl:d9~yx{hn4>;|~y>o68;373>P59h0:wA<>c;3xRfe=:r.:4o4>4c9U516=:r.>hi4>;%7gg?7<7[<>a;3xH77d28q]on4={%3;f?73k2\:8=4={%7g`?7<,<651558R77f28qG>{zut1b=9k50;&15=<6<>1]>;6?u+5ef95>"2lj0:7psr}:k20c<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0:8k5Y15296~"2lm0:7);kc;38yx{z3f;387>5$33;>4>33_8:m7?tL33`>4}Qkj09w)?7b;3;0>P6<909w);kd;38 0bd281vqps4}c0a`?6=<3:1=9==0Z??n:0yO64e=9r\ho742?3_;?<74=#=mi1=6sr}|9j51?=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=974V063>7}#=mn1=6*:db82?x{zu2c:994?:%02{M02g?7|^ji1>v*>8c8211=Q9=:1>v*:de82?!3ck3;0qpsr;n3;0?6=,;;36<6;;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{53;294~"5910>h6F=0b9j51>=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=964V063>7}#=mn1i6*:db8f?x{zu2c:844?:%02{M02g?7|^ji1>v*>8c820<=Q9=:1>v*:de8f?!3ck3o0qpsr;n3;0?6=,;;36<6;;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{52;294~"5910i;6F=0b9j511=83.9=54>4698k4>3290/><651968R77f28qG>{zut1vn?=i:180>5<7s-8:47<>5:J14f=n9=21<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515:8R4272;q/9ij51:&6`f<63twvq6g>4883>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a4=zutw0qo<449K65e<,8<365<#:821=994V33b>4}K:8i1=vX>6881!7?j3;?;6X>4181!3cl3;0(8jl:09~yx{P59h0:wA<>c;3xR40>2;q/=5l5c49U516=:r.>hi4>;%7gg?7=k?1]>f0<^8>;6?u+5ef97>"2lj087psrL33a>1}Q:931?vXla;0x 0be2j<0(>:?:4fg?xPdj38p(8jm:064?!5383?oh6s+6729f>{Q:931?vXla;0x 0be2j<0(>:?:4fg?xPdj38p(8jm:064?!5383?oo6s+6729f>{Q:931?vXla;0x 0be2j<0(>:?:4fg?xPdj38p(8jm:b78 627255ef8y!0183;;7psr;h037;W02e?4|D;;h65$33;>7603_8:m74}Q9?31>v*>8c8142=Q9=:1>v*:de8e?!3ck3l0qpsC20`95~P59809w[mn:3y'1ad=:9=0(>:?:4fg?x"1>90m7psr;n3;0?6=,;;36<6;;:a661=8391<7>t$33;>7723A8;o6g>4983>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?l7313:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?;9:T205<5s-?oh7?4$4f`>4=zutw0c<6;:18'64>=91>0Z??n:0yO64e=9r\ho74>33_;?<74=#=mi1=6sr}|9~f75?290:6=4?{%02N58j1b=9650;&15=<6<>1]><^8>;6?u+5ef9a>"2lj0n7psr}:k20<<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0:845Y15296~"2lm0n7);kc;g8yx{z3`;>87>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;360>P6<909w);kd;g8 0bd2l1vqps4o0:7>5<#:821=5:4V33b>4}K:8i1=vXlc;0x 4>e282?7[?;0;0x 0bc281/9im51:~yx=zj;9i6=4>1;294~"5910>h6F=0b9j51>=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=964V063>7}#=mn1i6*:db8f?x{zu2c:844?:%02hn4j;|~y>o6==0;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>559U516=:r.>hi4j;%7gg?c<7[<>a;3xH77d28q]on4={%3;f?72n2\:8=4={%7g`?c<,<651558R77f28qG>{zut1b=;=50;&15=<6<>1]>;6?u+5ef9a>"2lj0n7psr}:k221<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0::95Y15296~"2lm0n7);kc;g8yx{z3`;=97>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;351>P6<909w);kd;g8 0bd2l1vqps4i045>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28<=7[?;0;0x 0bc2l1/9im5e:~yx=n9?=1<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l51758R4272;q/9ij5e:&6`f4`83>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a4=zutw0e<:l:18'64>=9==0Z??n:0yO64e=9r\ho742d3_;?<74=#=mi1=6sr}|9j51b=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=9j4V063>7}#=mn1=6*:db82?x{zu2c:8h4?:%02{M02g?7|^ji1>v*>8c820`=Q9=:1>v*:de82?!3ck3;0qpsr;h37b?6=,;;36<:8;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{5<693:1N58j1b=9650;&15=<6<>1]><^8>;6?u+5ef9a>"2lj0n7psr}:k20<<72-8:47?;7:J152=Q:8k1=vB=1b82Sed2;q/=5l515;8R4272;q/9ij5e:&6`f5583>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a`=zutw0e<8=:18'64>=9==0Z??n:0yO64e=9r\ho74053_;?<7`=#=mi1i6sr}|9j535=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=;=4V063>7}#=mn1i6*:db8f?x{zu2c::94?:%02{M02g?7|^ji1>v*>8c8221=Q9=:1>v*:de8f?!3ck3o0qpsr;h351?6=,;;36<:8;W02e?7|D;;h64181!3cl3o0(8jl:d9~yx{hn4j;|~y>o68;373>P59h0:wA<>c;3xRfe=:r.:4o4>4`9U516=:r.>hi4j;%7gg?c<7[<>a;3xH77d28q]on4={%3;f?73j2\:8=4={%7g`?7<,<651558R77f28qG>{zut1b=9j50;&15=<6<>1]>;6?u+5ef95>"2lj0:7psr}:k20`<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0:8h5Y15296~"2lm0:7);kc;38yx{z3`;?j7>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37b>P6<909w);kd;38 0bd281vqps4o0:7>5<#:821=5:4V33b>4}K:8i1=vXlc;0x 4>e282?7[?;0;0x 0bc281/9im51:~yx=zj;926=4;:183!4603;3?6F=0b9j51>=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=964V063>7}#=mn1=6*:db82?x{zu2c:844?:%02{M02g?7|^ji1>v*>8c820<=Q9=:1>v*:de82?!3ck3;0qpsr;h360?6=,;;36<:8;W02e?7|D;;h686X>4181!3cl3;0(8jl:09~yx{5<4290;w)<>8;7g?M47k2c:854?:%02{M02g?7|^ji1>v*>8c820==Q9=:1>v*:de8f?!3ck3o0qpsr;h37=?6=,;;36<:8;W02e?7|D;;h64181!3cl3o0(8jl:d9~yx{5<5290;w)<>8;`4?M47k2c:8:4?:%02;6?u+5ef95>"2lj0:7psr}:a61g=8391<7>t$33;>7723A8;o6g>4983>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?l7313:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?;9:T205<5s-?oh7m4$4f`>f=zutw0c<6;:18'64>=91>0Z??n:0yO64e=9r\ho74>33_;?<74=#=mi1=6sr}|9~f72>290=6=4?{%02!4603i>7[<>a;3xH77d28q]=;752z&27}#91h1o;5Y15296~"2lm087);kc;18yx{K:8h18vX=0880Sef2;q/9il5c79'716==mn0q[mm:3y'1ad=9==0(>:?:4fg?x"1>90i7pX=0880Sef2;q/9il5c79'716==mn0q[mm:3y'1ad=9==0(>:?:4f`?x"1>90i7pX=0880Sef2;q/9il5c79'716==mn0q[mm:3y'1ad=k<1/?9>55ef8y!0183h0q[?7a;0xRfg=:r.>ho4l6:&005<2lm1v(;8?:028yx{<^;;j6?uC20a95~P6>009w)?7b;03<>P6<909w);kd;d8 0bd2o1vqpB=1c82S46938pZno52z&6`g<5811/?9>55ef8y!0183l0qps4i324>5<#:821>=94V33b>7}K:8i1=vX>6881!7?j38;;6X>4181!3cl3l0(8jl:g9~yxJ59k0:w[<>1;0xRfg=:r.>ho4=069'716==mn0q)890;d8yx{5}#:821><;4H32`?l7303:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?;8:T205<5s-?oh7?4$4f`>4=zutw0e<:6:18'64>=9==0Z??n:0yO64e=9r\ho742>3_;?<74=#=mi1=6sr}|9l5=2=83.9=54>859U64g=9rF9=n4>{Wa`>7}#91h1=5:4V063>7}#=mn1=6*:db82?x{zu2wi>9=50;394?6|,;;36??i;I03g>i60=0;6)<>8;3;0>=zj;>>6=4;:183!4603?o7E5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37=>P6<909w);kd;g8 0bd2l1vqps4i077>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28??7[?;0;0x 0bc2l1/9im5e:~yx=h91>1<7*=1982<1=Q:8k1=vB=1b82Sed2;q/=5l51968R4272;q/9ij51:&6`f<63twvq6sm25494?76290;w)<>8;7g?M47k2c:854?:%02{M02g?7|^ji1>v*>8c820==Q9=:1>v*:de8f?!3ck3o0qpsr;h37=?6=,;;36<:8;I023>P59h0:wA<>c;3xRfe=:r.:4o4>489U516=:r.>hi4j;%7gg?c<7[<>a;3xH77d28q]on4={%3;f?72<2\:8=4={%7g`?c<,<651558R77f28qG>{zut1b=;<50;&15=<6<>1]>;6?u+5ef9a>"2lj0n7psr}:k226<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0::>5Y15296~"2lm0n7);kc;g8yx{z3`;=87>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;350>P6<909w);kd;g8 0bd2l1vqps4i046>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28<>7[?;0;0x 0bc2l1/9im5e:~yx=n9?<1<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l51748R4272;q/9ij5e:&6`f6683>!4603;?;6X=1`82I46k3;pZnm52z&2>1]=9>52z&6`a`=zutw0e<:m:18'64>=9==0Z??n:0yO64e=9r\ho742e3_;?<7`=#=mi1i6sr}|9j51e=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=9m4V063>7}#=mn1=6*:db82?x{zu2c:8i4?:%02{M02g?7|^ji1>v*>8c820a=Q9=:1>v*:de82?!3ck3;0qpsr;h37a?6=,;;36<:8;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{m6=4+20:9511<^;;j60Z<:?:3y'1ab=92.>hn4>;|~y>{e:==1<7?>:183!4603?o7E5$33;>4203A8:;6X=1`82I46k3;pZnm52z&252z&6`a`=zutw0e<;i:18'64>=9==0Z??n:0yO64e=9r\ho743a3_;?<7`=#=mi1i6sr}|9j534=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=;<4V063>7}#=mn1i6*:db8f?x{zu2c::>4?:%02{M02g?7|^ji1>v*>8c8226=Q9=:1>v*:de8f?!3ck3o0qpsr;h350?6=,;;36<:8;W02e?7|D;;h64181!3cl3o0(8jl:d9~yx{6=4+20:9511<^;;j6hn4j;|~y>o6>>0;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>669U516=:r.>hi4j;%7gg?c<7[<>a;3xH77d28q]on4={%3;f?73i2\:8=4={%7g`?c<,<651558R77f28qG>{zut1b=9m50;&15=<6<>1]>;6?u+5ef95>"2lj0:7psr}:k20a<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0:8i5Y15296~"2lm0:7);kc;38yx{z3`;?i7>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37a>P6<909w);kd;38 0bd281vqps4i06e>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>m7[?;0;0x 0bc281/9im51:~yx=h91>1<7*=1982<1=Q:8k1=vB=1b82Sed2;q/=5l51968R4272;q/9ij51:&6`f<63twvq6sm25694?2=83:p(??7:0:0?M47k2c:854?:%02{M02g?7|^ji1>v*>8c820==Q9=:1>v*:de82?!3ck3;0qpsr;h37=?6=,;;36<:8;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{0Z<:?:3y'1ab=92.>hn4>;|~y>{e:=;1<7=50;2x 77?2l;h374181!3cl3o0(8jl:d9~yx{26=4+20:9511<^;;j60Z<:?:3y'1ab=92.>hn4>;|~y>{e:ho1<7<50;2x 77?2k=0D?>l;h373?6=,;;36<:8;:m2<1<72-8:47?74:T15d<6sE8:o7?tVba96~"60k0:495Y15296~"2lm0:7);kc;38yx{z3th9n54?:283>5}#:821><;4H32`?l7303:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?;8:T205<5s-?oh7?4$4f`>4=zutw0e<:6:18'64>=9==0Z??n:0yO64e=9r\ho742>3_;?<7f=#=mi1o6sr}|9l5=2=83.9=54>859U64g=9rF9=n4>{Wa`>7}#91h1=5:4V063>7}#=mn1=6*:db82?x{zu2wi>o950;494?6|,;;36<::;I03g>"6>10:=6g>4683>!4603;?;6X=1`82I46k3;pZ<86:3y'5=d=9==0Z<:?:3y'1ab=92.>hn4>;|~y>od=3:1(??7:b78R77f28qG>{zut1bo;4?:%024}Q9?31>v*>8c8`2>P6<909w);kd;18 0bd2:1vqpB=1c87S47139pZno52z&6`g2.88=4:de9~Rfd=:r.>ho4>469'716==mn0q)890;`8yS47139pZno52z&6`g2.88=4:de9~Rfd=:r.>ho4>469'716==mi0q)890;`8yS47139pZno52z&6`g2.88=4:de9~Rfd=:r.>ho4l5:&005<2lm1v(;8?:c9~R4>f2;q]ol4={%7gf?e13-9?<7;kd:'236=991vqp5f21:94?"59109<55Y20c96~J59j0:w[?99;0x 4>e2;:37[?;0;0x 0bc2o1/9im5f:~yI46j3;pZ??>:3yUgd<5s-?on7vB=1b82S71138p(<6m:324?S73838p(8jk:g9'1ae=n2wvqA<>b;3xR7762;q]ol4={%7gf?47?2.88=4:de9~ 3072o1vqp5`19694?"5910:4954}c0a4?6=;3:1=9==0Z??n:0yO64e=9r\ho742?3_;?<74=#=mi1=6sr}|9j51?=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=974V063>7}#=mn1=6*:db82?x{zu2e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de82?!3ck3;0qpsr;|`1f4<7280;6=u+20:964`<@;:h7b?74;29 77?282?76sm2c194?2=83:p(??7:4f8L76d3`;?47>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37<>P6<909w);kd;g8 0bd2l1vqps4i06:>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>27[?;0;0x 0bc2l1/9im5e:~yx=n9<>1<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l51468R4272;q/9ij5e:&6`f8583>!4603;386X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?xd5j=0;6l;h374181!3cl3o0(8jl:d9~yx{26=4+20:9511<@;;<7[<>a;3xH77d28q]on4={%3;f?7312\:8=4={%7g`?c<,<651558R77f28qG>{zut1b=8h50;&15=<6<>1]>;6?u+5ef9a>"2lj0n7psr}:k227<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0::?5Y15296~"2lm0n7);kc;g8yx{z3`;=?7>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;357>P6<909w);kd;g8 0bd2l1vqps4i047>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e286783>!4603;?;6X=1`82I46k3;pZnm52z&2?1]=9>52z&6`a4=zutw0e<:n:18'64>=9==0Z??n:0yO64e=9r\ho742f3_;?<74=#=mi1=6sr}|9j51d=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=9l4V063>7}#=mn1=6*:db82?x{zu2c:8n4?:%02{M02g?7|^ji1>v*>8c820f=Q9=:1>v*:de82?!3ck3;0qpsr;h37`?6=,;;36<:8;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{n6=4+20:9511<^;;j6hn4>;|~y>i60=0;6)<>8;3;0>P59h0:wA<>c;3xRfe=:r.:4o4>859U516=:r.>hi4>;%7gg?747=83:p(??7:4f8L76d3`;?47>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37<>P6<909w);kd;g8 0bd2l1vqps4i06:>5<#:821=994H334?S46i3;p@??l:0yUgf<5s-;3n7?;9:T205<5s-?oh7k4$4f`>`=zutw0e<;;:18'64>=9==0Z??n:0yO64e=9r\ho74333_;?<7`=#=mi1i6sr}|9j50`=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=8h4V063>7}#=mn1i6*:db8f?x{zu2c::?4?:%02{M02g?7|^ji1>v*>8c8227=Q9=:1>v*:de8f?!3ck3o0qpsr;h357?6=,;;36<:8;W02e?7|D;;h64181!3cl3o0(8jl:d9~yx{hn4j;|~y>o6>?0;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>679U516=:r.>hi4j;%7gg?c<7[<>a;3xH77d28q]on4={%3;f?71?2\:8=4={%7g`?7<,<651558R77f28qG>{zut1b=9l50;&15=<6<>1]>;6?u+5ef95>"2lj0:7psr}:k20f<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0:8n5Y15296~"2lm0:7);kc;38yx{z3`;?h7>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37`>P6<909w);kd;38 0bd281vqps4i06f>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>n7[?;0;0x 0bc281/9im51:~yx=n9=l1<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515d8R4272;q/9ij51:&6`f<63twvq6a>8583>!4603;386X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?xd5j;0;694?:1y'64>=9190D?>l;h374181!3cl3;0(8jl:09~yx{26=4+20:9511<^;;j60Z<:?:3y'1ab=92.>hn4>;|~y>i60=0;6)<>8;3;0>P59h0:wA<>c;3xRfe=:r.:4o4>859U516=:r.>hi4>;%7gg?76<729q/><655e9K65e36=4+20:9511<^;;j6hn4j;|~y>i60=0;6)<>8;3;0>P59h0:wA<>c;3xRfe=:r.:4o4>859U516=:r.>hi4>;%7gg?77<729q/><65b69K65e<6=4+20:9511<3f;387>5$33;>4>33_8:m7?tL33`>4}Qkj09w)?7b;3;0>P6<909w);kd;38 0bd281vqps4}c061?6=;3:1=9==0Z??n:0yO64e=9r\ho742?3_;?<74=#=mi1=6sr}|9j51?=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=974V063>7}#=mn1o6*:db8`?x{zu2e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de82?!3ck3;0qpsr;|`111<72?0;6=u+20:9513<@;:h7)?98;32?l73?3:1(??7:064?S46i3;p@??l:0yU53?=:r.:4o4>469U516=:r.>hi4>;%7gg?7=k<1]>f3<^8>;6?u+5ef95>"2lj0:7psr}:k`2?6=,;;36n84V33b>7}K:8i1=vX>6881!7?j3i=7[?;0;0x 0bc2:1/9im53:~yI46j3>pZ?>6:2yUgd<5s-?on7m9;%174?3cl2w]oo4={%7gf?73?2.88=4:de9~ 3072k1vZ?>6:2yUgd<5s-?on7m9;%174?3cl2w]oo4={%7gf?73?2.88=4:db9~ 3072k1vZ?>6:2yUgd<5s-?on7m9;%174?3cl2w]oo4={%7gf?e23-9?<7;kd:'236=j2w]=5o52zT`e?4|,0bc3t.=:=4>0:~y>o5810;6)<>8;03<>P59h09wA<>c;3xR40>2;q/=5l521:8R4272;q/9ij5f:&6`f76?3-9?<7;kd:'236=n2wvq6g=0683>!46038;;6X=1`81I46k3;pZ<86:3y'5=d=:9=0Z<:?:3y'1ab=n2.>hn4i;|~H77e28q]>8;%174?3cl2w/:;>5f:~y>i60=0;6)<>8;3;0>=zj;>o6=4<:183!46038:96F=0b9j51>=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=964V063>7}#=mn1=6*:db82?x{zu2c:844?:%02{M02g?7|^ji1>v*>8c820<=Q9=:1>v*:de82?!3ck3;0qpsr;n3;0?6=,;;36<6;;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{51;294~"59109=k5G21a8k4>3290/><651968?xd5=90;694?:1y'64>==m1C>=m4i06;>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>37[?;0;0x 0bc2l1/9im5e:~yx=n9=31<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515;8R4272;q/9ij5e:&6`f5583>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a4=zutw0qo<:1;2954<729q/><655e9K65e36=4+20:9511<^;;j6{zut1b=8:50;&15=<6<>1]>;6?u+5ef9a>"2lj0n7psr}:k21c<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0:9k5Y15296~"2lm0n7);kc;g8yx{z3`;=>7>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;356>P6<909w);kd;g8 0bd2l1vqps4i040>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28<87[?;0;0x 0bc2l1/9im5e:~yx=n9?>1<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l51768R4272;q/9ij5e:&6`f6483>!4603;?;6X=1`82I46k3;pZnm52z&2<1]=9>52z&6`a3:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?96:T205<5s-?oh7k4$4f`>`=zutw0e<88:18'64>=9==0Z??n:0yO64e=9r\ho74003_;?<7`=#=mi1i6sr}|9j51g=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=9o4V063>7}#=mn1i6*:db8f?x{zu2c:8o4?:%02{M02g?7|^ji1>v*>8c820g=Q9=:1>v*:de8f?!3ck3o0qpsr;h37g?6=,;;36<:8;W02e?7|D;;h64181!3cl3o0(8jl:d9~yx{o6=4+20:9511<^;;j6hn4>;|~y>o68;373>P59h0:wA<>c;3xRfe=:r.:4o4>4g9U516=:r.>hi4>;%7gg?7a;3xH77d28q]on4={%3;f?7?<2\:8=4={%7g`?7<,==m1C>=m4i06;>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>37[?;0;0x 0bc2l1/9im5e:~yx=n9=31<7*=198202=O:8=0Z??n:0yO64e=9r\ho742>3_;?<7`=#=mi1i6sr}|9j502=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=8:4V063>7}#=mn1i6*:db8f?x{zu2c:9k4?:%02{M02g?7|^ji1>v*>8c821c=Q9=:1>v*:de8f?!3ck3o0qpsr;h356?6=,;;36<:8;W02e?7|D;;h66X>4181!3cl3o0(8jl:d9~yx{0Z<:?:3y'1ab=m2.>hn4j;|~y>o6><0;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>649U516=:r.>hi4j;%7gg?c<7[<>a;3xH77d28q]on4={%3;f?71>2\:8=4={%7g`?c<,<651558R77f28qG>{zut1b=9o50;&15=<6<>1]>;6?u+5ef9a>"2lj0n7psr}:k20g<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0:8o5Y15296~"2lm0n7);kc;g8yx{z3`;?o7>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37g>P6<909w);kd;g8 0bd2l1vqps4i06g>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>o7[?;0;0x 0bc281/9im51:~yx=n9=o1<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515g8R4272;q/9ij51:&6`f<63twvq6g>4g83>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?j7?<3:1(??7:0:7?S46i3;p@??l:0yUgf<5s-;3n7?74:T205<5s-?oh7?4$4f`>4=zutw0qo<;f;290?6=8r.9=54>829K65e36=4+20:9511<^;;j6hn4>;|~y>o6==0;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>559U516=:r.>hi4>;%7gg?7a;3xH77d28q]on4={%3;f?7?<2\:8=4={%7g`?7<,50z&15=<2l2B9hn4j;|~y>o6<00;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>489U516=:r.>hi4j;%7gg?ca;3xH77d28q]on4={%3;f?7?<2\:8=4={%7g`?7<,7>50z&15=5<#:821=5:4V33b>4}K:8i1=vXlc;0x 4>e282?7[?;0;0x 0bc281/9im51:~yx=zj=i36=4<:183!46038:96F=0b9j51>=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=964V063>7}#=mn1=6*:db82?x{zu2c:844?:%02{M02g?7|^ji1>v*>8c820<=Q9=:1>v*:de8`?!3ck3i0qpsr;n3;0?6=,;;36<6;;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{h;7>56;294~"5910:885G21a8 40?28;0e<:8:18'64>=9==0Z??n:0yO64e=9r\::44={%3;f?73?2\:8=4={%7g`?7<,7}#91h1o85Y15296~"2lm0:7);kc;38yx{z3`i=6=4+20:9g3=Q:8k1>vB=1b82S71138p(<6m:b48R4272;q/9ij53:&6`f<43twv@??m:5yU65?=;r\hm7f0<,:>;68jk;|T`f?4|,5b:U65?=;r\hm7f0<,:>;68jk;|T`f?4|,5b:U65?=;r\hm7f0<,:>;68jk;|T`f?4|,0bc3t.=:=4=;|T2a;0xH77d28q]=;752z&252z&6`a7}#=mh1>=64$263>0bc3t.=:=4i;|~?l47?3:1(??7:324?S46i38p@??l:0yU53?=:r.:4o4=069U516=:r.>hi4i;%7gg?`;68jk;|&525{M02g?7|^ji1>v*>8c820==Q9=:1>v*:de82?!3ck3;0qpsr;h37=?6=,;;36<:8;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{5<6290;w)<>8;02b>N58j1d=5:50;&15=<60=10qo:mf;297?6=8r.9=54:d:J14f=n9=21<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515:8R4272;q/9ij51:&6`f<63twvq6g>4883>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?j7?<3:1(??7:0:7?S46i3;p@??l:0yUgf<5s-;3n7?74:T205<5s-?oh7?4$4f`>4=zutw0qo:l0;297?6=8r.9=54:d:J14f=n9=21<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515:8R4272;q/9ij51:&6`f<63twvq6g>4883>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?j7?<3:1(??7:0:7?S46i3;p@??l:0yUgf<5s-;3n7?74:T205<5s-?oh7?4$4f`>4=zutw0qo:l1;292?6=8r.9=54:d:J14f=n9=21<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515:8R4272;q/9ij5e:&6`f4883>!4603;?;6F=169U64g=9rF9=n4>{Wa`>7}#91h1=974V063>7}#=mn1i6*:db8f?x{zu2c:994?:%020Z<:?:3y'1ab=m2.>hn4j;|~y>o6=o0;6)<>8;373>N59>1]>;6?u+5ef9a>"2lj0n7psr}:k227<72-8:47?;7:J152=Q:8k1=vB=1b82Sed2;q/=5l51708R4272;q/9ij5e:&6`f8583>!4603;386X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?xd3k;0;6;4?:1y'64>==m1C>=m4i06;>5<#:821=994H334?S46i3;p@??l:0yUgf<5s-;3n7?;8:T205<5s-?oh7k4$4f`>`=zutw0e<:6:18'64>=9==0D??8;W02e?7|D;;h64181!3cl3o0(8jl:d9~yx{a;3xH77d28q]on4={%3;f?72<2\:8=4={%7g`?c<,<651558R77f28qG>{zut1b=;<50;&15=<6<>1C><94V33b>4}K:8i1=vXlc;0x 4>e28<97[?;0;0x 0bc2l1/9im5e:~yx=h91>1<7*=1982<1=Q:8k1=vB=1b82Sed2;q/=5l51968R4272;q/9ij51:&6`f<63twvq6sm4b194??=83:p(??7:4f8L76d3`;?47>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37<>P6<909w);kd;58 0bd2>1vqps4i06:>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>27[?;0;0x 0bc2l1/9im5e:~yx=n9<>1<7*=198202=O:8=0Z??n:0yO64e=9r\ho74333_;?<72=#=mi1;6sr}|9j50`=83.9=54>469K641<^;;j6{zut1b=;=50;&15=<6<>1C><94V33b>4}K:8i1=vXlc;0x 4>e28<87[?;0;0x 0bc2l1/9im5e:~yx=n9?>1<7*=198202=O:8=0Z??n:0yO64e=9r\ho74033_;?<7`=#=mi1i6sr}|9j533=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=;;4V063>7}#=mn1i6*:db8f?x{zu2e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de82?!3ck3;0qpsr;|`7g1<7200;6=u+20:91a=O:9i0e<:7:18'64>=9==0Z??n:0yO64e=9r\ho742?3_;?<72=#=mi1;6sr}|9j51?=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=974V063>7}#=mn1i6*:db8f?x{zu2c:994?:%020Z<:?:3y'1ab=?2.>hn48;|~y>o6=o0;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>5g9U516=:r.>hi4j;%7gg?c<7E<>7:T15d<6sE8:o7?tVba96~"60k0::?5Y15296~"2lm0n7);kc;g8yx{z3`;=?7>5$33;>4203A8:;6X=1`82I46k3;pZnm52z&2:1]=9>52z&6`a{M02g?7|^ji1>v*>8c8221=Q9=:1>v*:de8f?!3ck3o0qpsr;h351?6=,;;36<:8;W02e?7|D;;h64181!3cl3o0(8jl:d9~yx{5<>290;w)<>8;7g?M47k2c:854?:%02{M02g?7|^ji1>v*>8c820==Q9=:1>v*:de84?!3ck3=0qpsr;h37=?6=,;;36<:8;I023>P59h0:wA<>c;3xRfe=:r.:4o4>489U516=:r.>hi4j;%7gg?c<7[<>a;3xH77d28q]on4={%3;f?72<2\:8=4={%7g`?1<,<651558L7703_8:m7?tL33`>4}Qkj09w)?7b;36b>P6<909w);kd;58 0bd2>1vqps4i041>5<#:821=994H334?S46i3;p@??l:0yUgf<5s-;3n7?92:T205<5s-?oh7k4$4f`>`=zutw0e<8<:18'64>=9==0D??8;W02e?7|D;;h64181!3cl3o0(8jl:d9~yx{a;3xH77d28q]on4={%3;f?71<2\:8=4={%7g`?c<,<651558R77f28qG>{zut1d=5:50;&15=<60=1]>;6?u+5ef95>"2lj0:7psr}:a0gc=8321<7>t$33;>4>43A8;o6g>4983>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?l7313:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?;9:T205<5s-?oh7?4$4f`>4=zutw0e<;;:18'64>=9==0Z??n:0yO64e=9r\ho74333_;?<74=#=mi1=6sr}|9j50`=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=8h4V063>7}#=mn1=6*:db82?x{zu2c::?4?:%02{M02g?7|^ji1>v*>8c8227=Q9=:1>v*:de82?!3ck3;0qpsr;h357?6=,;;36<:8;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{0Z<:?:3y'1ab=92.>hn4>;|~y>{e>::1<7<50;2x 77?2k=0D?>l;h373?6=,;;36<:8;:m2<1<72-8:47?74:T15d<6sE8:o7?tVba96~"60k0:495Y15296~"2lm0:7);kc;38yx{z3th=?;4?:383>5}#:821n:5G21a8m420290/><651558?j7?<3:1(??7:0:7?S46i3;p@??l:0yUgf<5s-;3n7?74:T205<5s-?oh7?4$4f`>4=zutw0qo8449K65e<,8<365<#:821=994V33b>4}K:8i1=vX>6881!7?j3;?;6X>4181!3cl3;0(8jl:09~yx{P59h0:wA<>c;3xR40>2;q/=5l5c49U516=:r.>hi4>;%7gg?7=k?1]>f0<^8>;6?u+5ef97>"2lj087psrL33a>1}Q:931?vXla;0x 0be2j<0(>:?:4fg?xPdj38p(8jm:064?!5383?oh6s+6729f>{Q:931?vXla;0x 0be2j<0(>:?:4fg?xPdj38p(8jm:064?!5383?oo6s+6729f>{Q:931?vXla;0x 0be2j<0(>:?:4fg?xPdj38p(8jm:b78 627255ef8y!0183;;7psr;h037;W02e?4|D;;h65$33;>7603_8:m74}Q9?31>v*>8c8142=Q9=:1>v*:de8e?!3ck3l0qpsC20`95~P59809w[mn:3y'1ad=:9=0(>:?:4fg?x"1>90m7psr;n3;0?6=,;;36<6;;:a267=8391<7>t$33;>7723A8;o6g>4983>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?l7313:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?;9:T205<5s-?oh7?4$4f`>4=zutw0c<6;:18'64>=91>0Z??n:0yO64e=9r\ho74>33_;?<74=#=mi1=6sr}|9~f355290:6=4?{%02N58j1b=9650;&15=<6<>1]><^8>;6?u+5ef9a>"2lj0n7psr}:k20<<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0:845Y15296~"2lm0n7);kc;g8yx{z3f;387>5$33;>4>33_8:m7?tL33`>4}Qkj09w)?7b;3;0>P6<909w);kd;38 0bd281vqps4}c401?6=<3:1N58j1b=9650;&15=<6<>1]><^8>;6?u+5ef9a>"2lj0n7psr}:k20<<72-8:47?;7:J152=Q:8k1=vB=1b82Sed2;q/=5l515;8R4272;q/9ij5e:&6`f5583>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a4=zutw0qo8<3;297?6=8r.9=54>829K65e36=4+20:9511<^;;j6hn4>;|~y>i60=0;6)<>8;3;0>P59h0:wA<>c;3xRfe=:r.:4o4>859U516=:r.>hi4>;%7gg?70<729q/><655e9K65e36=4+20:9511<@;;<7[<>a;3xH77d28q]on4={%3;f?7302\:8=4={%7g`??<,290/><651558R77f28qG>{zut1b=8:50;&15=<6<>1C><94V33b>4}K:8i1=vXlc;0x 4>e28??7[?;0;0x 0bc201/9im59:~yx=n943a3_;?<7<=#=mi156sr}|9l5=2=83.9=54>859U64g=9rF9=n4>{Wa`>7}#91h1=5:4V063>7}#=mn1=6*:db82?x{zu2wi:>650;794?6|,;;368j4H32`?l7303:1(??7:064?M46?2\9=l4>{M02g?7|^ji1>v*>8c820==Q9=:1>v*:de8:?!3ck330qpsr;h37=?6=,;;36<:8;I023>P59h0:wA<>c;3xRfe=:r.:4o4>489U516=:r.>hi46;%7gg??<7E<>7:T15d<6sE8:o7?tVba96~"60k0:995Y15296~"2lm027);kc;;8yx{z3`;>j7>5$33;>4203A8:;6X=1`82I46k3;pZnm52z&252z&6`a4=zutw0qo8<9;297?6=8r.9=54>829K65e36=4+20:9511<^;;j6hn4>;|~y>i60=0;6)<>8;3;0>P59h0:wA<>c;3xRfe=:r.:4o4>859U516=:r.>hi4>;%7gg?77<729q/><65b69K65e<6=4+20:9511<3f;387>5$33;>4>33_8:m7?tL33`>4}Qkj09w)?7b;3;0>P6<909w);kd;38 0bd281vqps4}c106?6=:3:1N58j1b=9950;&15=<6<>10c<6;:18'64>=91>0Z??n:0yO64e=9r\ho74>33_;?<74=#=mi1=6sr}|9~f65?29086=4?{%02hn4>;|~y>o6<00;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>489U516=:r.>hi4l;%7gg?ea;3xH77d28q]on4={%3;f?7?<2\:8=4={%7g`?7<,50z&15=<60:1C>=m4i06;>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>37[?;0;0x 0bc281/9im51:~yx=n9=31<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515;8R4272;q/9ij51:&6`f<63twvq6a>8583>!4603;386X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?xd4;<0;6;4?:1y'64>=9=?0D?>l;%355$33;>4203_8:m7?tL33`>4}Q9?31>v*>8c8202=Q9=:1>v*:de82?!3ck3;0qpsr;ha6>5<#:821o85Y20c95~J59j0:w[?99;0x 4>e2j?0Z<:?:3y'1ab=92.>hn4>;|~y>od>3:1(??7:b48R77f2;qG>5+5ea97>{zuE8:n7:tV32:>6}Qkh09w);kb;a5?!5383?oh6sYcc81!3cj3;?;6*<4186`a=z,?<;6o5rV32:>6}Qkh09w);kb;a5?!5383?oh6sYcc81!3cj3;?;6*<4186`f=z,?<;6o5rV32:>6}Qkh09w);kb;a5?!5383?oh6sYcc81!3cj3i>7)=;0;7g`>{#>?:1>6sY19c96~Pdi38p(8jm:b48 6272{zu2c9<54?:%02<^8>;6?u+5ef9b>"2lj0m7psrL33a>4}Q:8;1>vXla;0x 0be2;:37)=;0;7g`>{#>?:1j6sr}:k142<72-8:477}#91h1>=94V063>7}#=mn1j6*:db8e?x{zD;;i6{zu2e:494?:%025<7s-8:47<>5:J14f=n9=21<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515:8R4272;q/9ij51:&6`f<63twvq6g>4883>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?j7?<3:1(??7:0:7?S46i3;p@??l:0yUgf<5s-;3n7?74:T205<5s-?oh7?4$4f`>4=zutw0qo==e;295?6=8r.9=54=1g9K65e5}#:8219i5G21a8m42?290/><651558L7703_8:m7?tL33`>4}Qkj09w)?7b;37<>P6<909w);kd;58 0bd2>1vqps4i06:>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>27[?;0;0x 0bc2>1/9im57:~yx=n9<>1<7*=198202=O:8=0Z??n:0yO64e=9r\ho74333_;?<72=#=mi1;6sr}|9j50`=83.9=54>469K641<^;;j6{zut1b=;=50;&15=<6<>1C><94V33b>4}K:8i1=vXlc;0x 4>e28<87[?;0;0x 0bc2l1/9im5e:~yx=h91>1<7*=1982<1=Q:8k1=vB=1b82Sed2;q/=5l51968R4272;q/9ij51:&6`f<63twvq6sm32394?1=83:p(??7:4f8L76d3`;?47>5$33;>4203A8:;6X=1`82I46k3;pZnm52z&252z&6`a<03-?oo794}|~?l7313:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?;9:T205<5s-?oh794$4f`>2=zutw0e<;;:18'64>=9==0D??8;W02e?7|D;;h686X>4181!3cl3=0(8jl:69~yx{a;3xH77d28q]on4={%3;f?72n2\:8=4={%7g`?1<,<651558L7703_8:m7?tL33`>4}Qkj09w)?7b;356>P6<909w);kd;g8 0bd2l1vqps4i040>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28<87[?;0;0x 0bc2l1/9im5e:~yx=h91>1<7*=1982<1=Q:8k1=vB=1b82Sed2;q/=5l51968R4272;q/9ij51:&6`f<63twvq6sm33d94?5=83:p(??7:0:0?M47k2c:854?:%02{M02g?7|^ji1>v*>8c820==Q9=:1>v*:de82?!3ck3;0qpsr;h37=?6=,;;36<:8;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{5<4290;w)<>8;7g?M47k2c:854?:%02hn48;|~y>o6<00;6)<>8;373>N59>1]>;6?u+5ef93>"2lj0<7psr}:m2<1<72-8:47?74:T15d<6sE8:o7?tVba96~"60k0:495Y15296~"2lm0:7);kc;38yx{z3th8?>4?:283>5}#:8219i5G21a8m42?290/><651558R77f28qG>{zut1b=9750;&15=<6<>1]>;6?u+5ef9=>"2lj027psr}:m2<1<72-8:47?74:T15d<6sE8:o7?tVba96~"60k0:495Y15296~"2lm0:7);kc;38yx{z3th88:4?:383>5}#:821n:5G21a8m420290/><651558?j7?<3:1(??7:0:7?S46i3;p@??l:0yUgf<5s-;3n7?74:T205<5s-?oh7?4$4f`>4=zutw0qo=;e;296?6=8r.9=54m7:J14f=n9==1<7*=198202=5<1290;w)<>8;371>N58j1/=;65109j511=83.9=54>469U64g=9rF9=n4>{W35=?4|,82i6<:8;W374?4|,{zut1bo84?:%024}Q9?31>v*>8c8`1>P6<909w);kd;38 0bd281vqps4ib494?"5910h:6X=1`81I46k3;pZ<86:3y'5=d=k?1]=9>52z&6`a<43-?oo7=4}|O64d=7}#=mh1o;5+35291ab;68jk;|&5257}#=mh1o;5+35291ab;68jl;|&5257}#=mh1o;5+35291ab4}|8m76?290/><6521:8R77f2;qG>c=zutF9=o4>{W025?4|^jk1>v*:dc814==#;=:19ij4}%454?`8:18'64>=:9=0Z??n:3yO64e=9r\::44={%3;f?47?2\:8=4={%7g`?`<,3290/><651968?xd4<10;6>4?:1y'64>=:8?0D?>l;h37P59h0:wA<>c;3xRfe=:r.:4o4>499U516=:r.>hi4>;%7gg?7<7[<>a;3xH77d28q]on4={%3;f?7312\:8=4={%7g`?7<,3290/><651968R77f28qG>{zut1vn>:6:182>5<7s-8:47<>f:J14f=h91>1<7*=1982<1=53;294~"5910>h6F=0b9j51>=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=964V063>7}#=mn1=6*:db82?x{zu2c:844?:%02{M02g?7|^ji1>v*>8c820<=Q9=:1>v*:de82?!3ck3;0qpsr;n3;0?6=,;;36<6;;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{56;294~"5910>h6F=0b9j51>=83.9=54>469K641<^;;j6{zut1b=8:50;&15=<6<>1]>;6?u+5ef9a>"2lj0n7psr}:k21c<72-8:47?;7:J152=Q:8k1=vB=1b82Sed2;q/=5l514d8R4272;q/9ij5e:&6`f6383>!4603;?;6F=169U64g=9rF9=n4>{Wa`>7}#91h1=;<4V063>7}#=mn1i6*:db8f?x{zu2e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de82?!3ck3;0qpsr;|`00a<72>0;6=u+20:91a=O:9i0e<:7:18'64>=9==0D??8;W02e?7|D;;h64181!3cl3=0(8jl:69~yx{26=4+20:9511<^;;j60Z<:?:3y'1ab=?2.>hn48;|~y>o6=o0;6)<>8;373>N59>1]>;6?u+5ef9a>"2lj0n7psr}:k227<72-8:47?;7:J152=Q:8k1=vB=1b82Sed2;q/=5l51708R4272;q/9ij5e:&6`f6283>!4603;?;6F=169U64g=9rF9=n4>{Wa`>7}#91h1=;=4V063>7}#=mn1i6*:db8f?x{zu2e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de82?!3ck3;0qpsr;|`00d<72=0;6=u+20:95=5<@;:h7d?;8;29 77?28><7[<>a;3xH77d28q]on4={%3;f?7302\:8=4={%7g`?7<,290/><651558R77f28qG>{zut1b=8:50;&15=<6<>1]>;6?u+5ef95>"2lj0:7psr}:m2<1<72-8:47?74:T15d<6sE8:o7?tVba96~"60k0:495Y15296~"2lm0:7);kc;38yx{z3th88k4?:683>5}#:8219i5G21a8m42?290/><651558R77f28qG>{zut1b=9750;&15=<6<>1C><94V33b>4}K:8i1=vXlc;0x 4>e28>27[?;0;0x 0bc2o1/9im5f:~yx=n9<>1<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l51468R4272;q/9ij5f:&6`f5g83>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a{M02g?7|^ji1>v*>8c8227=Q9=:1>v*:de8e?!3ck3l0qpsr;h357?6=,;;36<:8;W02e?7|D;;h64181!3cl3l0(8jl:g9~yx{58;7g?M47k2c:854?:%02hn46;|~y>o6<00;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>489U516=:r.>hi4i;%7gg?`<7E<>7:T15d<6sE8:o7?tVba96~"60k0:995Y15296~"2lm0m7);kc;d8yx{z3`;>j7>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;36b>P6<909w);kd;d8 0bd2o1vqps4i041>5<#:821=994H334?S46i3;p@??l:0yUgf<5s-;3n7?92:T205<5s-?oh7h4$4f`>c=zutw0e<8<:18'64>=9==0D??8;W02e?7|D;;h64181!3cl3l0(8jl:g9~yx{a;3xH77d28q]on4={%3;f?71<2\:8=4={%7g`?`<,3290/><651968R77f28qG>{zut1vn>;>:18;>5<7s-8:47;k;I03g>o6<10;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>499U516=:r.>hi4i;%7gg?`<7[<>a;3xH77d28q]on4={%3;f?7312\:8=4={%7g`?`<,<651558L7703_8:m7?tL33`>4}Qkj09w)?7b;360>P6<909w);kd;d8 0bd2o1vqps4i07e>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28?m7[?;0;0x 0bc2o1/9im5f:~yx=n9?81<7*=198202=O:8=0Z??n:0yO64e=9r\ho74053_;?<7c=#=mi1j6sr}|9j535=83.9=54>469K641<^;;j6{zut1d=5:50;&15=<60=1]>;6?u+5ef95>"2lj0:7psr}:a704=83>1<7>t$33;>4>43A8;o6g>4983>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?l7313:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?;9:T205<5s-?oh7?4$4f`>4=zutw0e<;;:18'64>=9==0Z??n:0yO64e=9r\ho74333_;?<74=#=mi1=6sr}|9l5=2=83.9=54>859U64g=9rF9=n4>{Wa`>7}#91h1=5:4V063>7}#=mn1=6*:db82?x{zu2wi?8;50;094?6|,;;36o94H32`?l73?3:1(??7:064?>i60=0;6)<>8;3;0>P59h0:wA<>c;3xRfe=:r.:4o4>859U516=:r.>hi4>;%7gg?77<729q/><65b69K65e<6=4+20:9511<3f;387>5$33;>4>33_8:m7?tL33`>4}Qkj09w)?7b;3;0>P6<909w);kd;38 0bd281vqps4}c155?6=>3:1<651558R77f28qG>4=zutw0en;50;&15={M02g?7|^8<26?u+19`9g0=Q9=:1>v*:de82?!3ck3;0qpsr;ha5>5<#:821o;5Y20c96~J59j0:w[?99;0x 4>e2j<0Z<:?:3y'1ab=;2.>hn4<;|~H77e2=q]>=753zT`e?4|,0bc3t\hn74203-9?<7;kd:'236=j2w]>=753zT`e?4|,0bc3t\hn74203-9?<7;kc:'236=j2w]>=753zT`e?4|,0bc3t\hn7f3<,:>;68jk;|&525<53t\:4l4={Wab>7}#=mh1o;5+35291abhi4i;%7gg?`<,:>;68jk;|&525a;0xH77d28q]=;752z&21]=9>52z&6`a7}#=mh1>=94$263>0bc3t.=:=4i;|~?j7?<3:1(??7:0:7?>{e;<<1<7=50;2x 77?2;;>7E4883>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?j7?<3:1(??7:0:7?S46i3;p@??l:0yUgf<5s-;3n7?74:T205<5s-?oh7?4$4f`>4=zutw0qo=:7;295?6=8r.9=54=1g9K65e5}#:8219i5G21a8m42?290/><651558R77f28qG>{zut1b=9750;&15=<6<>1]>;6?u+5ef95>"2lj0:7psr}:m2<1<72-8:47?74:T15d<6sE8:o7?tVba96~"60k0:495Y15296~"2lm0:7);kc;38yx{z3th89l4?:283>5}#:8219i5G21a8m42?290/><651558R77f28qG>{zut1b=9750;&15=<6<>1C><94V33b>4}K:8i1=vXlc;0x 4>e28>27[?;0;0x 0bc2l1/9im5e:~yx=h91>1<7*=1982<1=Q:8k1=vB=1b82Sed2;q/=5l51968R4272;q/9ij51:&6`f<63twvq6sm34`94?2=83:p(??7:4f8L76d3`;?47>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37<>P6<909w);kd;58 0bd2>1vqps4i06:>5<#:821=994H334?S46i3;p@??l:0yUgf<5s-;3n7?;9:T205<5s-?oh7k4$4f`>`=zutw0e<;;:18'64>=9==0D??8;W02e?7|D;;h686X>4181!3cl3o0(8jl:d9~yx{5<1290;w)<>8;7g?M47k2c:854?:%02{M02g?7|^ji1>v*>8c820==Q9=:1>v*:de84?!3ck3=0qpsr;h37=?6=,;;36<:8;I023>P59h0:wA<>c;3xRfe=:r.:4o4>489U516=:r.>hi48;%7gg?1<7[<>a;3xH77d28q]on4={%3;f?72<2\:8=4={%7g`?1<,<651558L7703_8:m7?tL33`>4}Qkj09w)?7b;36b>P6<909w);kd;g8 0bd2l1vqps4i041>5<#:821=994H334?S46i3;p@??l:0yUgf<5s-;3n7?92:T205<5s-?oh7k4$4f`>`=zutw0c<6;:18'64>=91>0Z??n:0yO64e=9r\ho74>33_;?<74=#=mi1=6sr}|9~f63c290:=7>50z&15=<2l2B9hn48;|~y>o6<00;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>489U516=:r.>hi48;%7gg?1<7[<>a;3xH77d28q]on4={%3;f?72<2\:8=4={%7g`?1<,<651558R77f28qG>{zut1b=;<50;&15=<6<>1]>;6?u+5ef93>"2lj0<7psr}:k226<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0::>5Y15296~"2lm0<7);kc;58yx{z3`;=87>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;350>P6<909w);kd;58 0bd2>1vqps4i046>5<#:821=994H334?S46i3;p@??l:0yUgf<5s-;3n7?95:T205<5s-?oh794$4f`>2=zutw0e<89:18'64>=9==0D??8;W02e?7|D;;h64181!3cl3o0(8jl:d9~yx{a;3xH77d28q]on4={%3;f?71?2\:8=4={%7g`?c<,<651558L7703_8:m7?tL33`>4}Qkj09w)?7b;37e>P6<909w);kd;g8 0bd2l1vqps4i06a>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>i7[?;0;0x 0bc281/9im51:~yx=n9=i1<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515a8R4272;q/9ij51:&6`f<63twvq6g>4e83>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?l73m3:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?;e:T205<5s-?oh7?4$4f`>4=zutw0e<:i:18'64>=9==0Z??n:0yO64e=9r\ho742a3_;?<74=#=mi1=6sr}|9l5=2=83.9=54>859U64g=9rF9=n4>{Wa`>7}#91h1=5:4V063>7}#=mn1=6*:db82?x{zu2wi?8650;494?6|,;;36<6<;I03g>o6<10;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>499U516=:r.>hi4>;%7gg?7<7[<>a;3xH77d28q]on4={%3;f?7312\:8=4={%7g`?7<,<651558R77f28qG>{zut1b=8h50;&15=<6<>1]>;6?u+5ef95>"2lj0:7psr}:k227<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0::?5Y15296~"2lm0:7);kc;38yx{z3f;387>5$33;>4>33_8:m7?tL33`>4}Qkj09w)?7b;3;0>P6<909w);kd;38 0bd281vqps4}c16b?6==3:1N58j1b=9650;&15=<6<>1C><94V33b>4}K:8i1=vXlc;0x 4>e28>37[?;0;0x 0bc201/9im59:~yx=n9=31<7*=198202=O:8=0Z??n:0yO64e=9r\ho742>3_;?<7<=#=mi156sr}|9j502=83.9=54>469K641<^;;j6hn46;|~y>i60=0;6)<>8;3;0>P59h0:wA<>c;3xRfe=:r.:4o4>859U516=:r.>hi4>;%7gg?77<729q/><65b69K65e<6=4+20:9511<3f;387>5$33;>4>33_8:m7?tL33`>4}Qkj09w)?7b;3;0>P6<909w);kd;38 0bd281vqps4}c15a?6=>3:1<651558R77f28qG>4=zutw0en;50;&15={M02g?7|^8<26?u+19`9g0=Q9=:1>v*:de82?!3ck3;0qpsr;ha5>5<#:821o;5Y20c96~J59j0:w[?99;0x 4>e2j<0Z<:?:3y'1ab=;2.>hn4<;|~H77e2=q]>=753zT`e?4|,0bc3t\hn74203-9?<7;kd:'236=j2w]>=753zT`e?4|,0bc3t\hn74203-9?<7;kc:'236=j2w]>=753zT`e?4|,0bc3t\hn7f3<,:>;68jk;|&525<53t\:4l4={Wab>7}#=mh1o;5+35291abhi4i;%7gg?`<,:>;68jk;|&525a;0xH77d28q]=;752z&21]=9>52z&6`a7}#=mh1>=94$263>0bc3t.=:=4i;|~?j7?<3:1(??7:0:7?>{e;?91<7=50;2x 77?2;;>7E5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37=>P6<909w);kd;38 0bd281vqps4o0:7>5<#:821=5:4V33b>4}K:8i1=vXlc;0x 4>e282?7[?;0;0x 0bc281/9im51:~yx=zj::183!46038:j6F=0b9l5=2=83.9=54>8598yg51>3:1?7>50z&15=<2l2B9hn4>;|~y>o6<00;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>489U516=:r.>hi4>;%7gg?7a;3xH77d28q]on4={%3;f?7?<2\:8=4={%7g`?7<,50z&15=<2l2B9hn4>;|~y>o6<00;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>489U516=:r.>hi4>;%7gg?7a;3xH77d28q]on4={%3;f?7?<2\:8=4={%7g`?7<,50z&15=<2l2B9hn48;|~y>o6<00;6)<>8;373>N59>1]>;6?u+5ef93>"2lj0<7psr}:k211<72-8:47?;7:J152=Q:8k1=vB=1b82Sed2;q/=5l51468R4272;q/9ij5e:&6`f5g83>!4603;?;6F=169U64g=9rF9=n4>{Wa`>7}#91h1=8h4V063>7}#=mn1i6*:db8f?x{zu2c::?4?:%02{M02g?7|^ji1>v*>8c8227=Q9=:1>v*:de8f?!3ck3o0qpsr;n3;0?6=,;;36<6;;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{56;294~"5910>h6F=0b9j51>=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=964V063>7}#=mn1;6*:db84?x{zu2c:844?:%02{M02g?7|^ji1>v*>8c820<=Q9=:1>v*:de8f?!3ck3o0qpsr;h360?6=,;;36<:8;I023>P59h0:wA<>c;3xRfe=:r.:4o4>559U516=:r.>hi4j;%7gg?c<7E<>7:T15d<6sE8:o7?tVba96~"60k0:9k5Y15296~"2lm0n7);kc;g8yx{z3`;=>7>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;356>P6<909w);kd;g8 0bd2l1vqps4o0:7>5<#:821=5:4V33b>4}K:8i1=vXlc;0x 4>e282?7[?;0;0x 0bc281/9im51:~yx=zj:4883>!4603;?;6F=169U64g=9rF9=n4>{Wa`>7}#91h1=974V063>7}#=mn1;6*:db84?x{zu2c:994?:%020Z<:?:3y'1ab=?2.>hn48;|~y>o6=o0;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>5g9U516=:r.>hi4j;%7gg?c<7E<>7:T15d<6sE8:o7?tVba96~"60k0::?5Y15296~"2lm0n7);kc;g8yx{z3`;=?7>5$33;>4203A8:;6X=1`82I46k3;pZnm52z&2:1]=9>52z&6`a`=zutw0c<6;:18'64>=91>0Z??n:0yO64e=9r\ho74>33_;?<74=#=mi1=6sr}|9~f60e29036=4?{%024983>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a<03-?oo794}|~?l7313:1(??7:064?M46?2\9=l4>{M02g?7|^ji1>v*>8c820<=Q9=:1>v*:de84?!3ck3=0qpsr;h360?6=,;;36<:8;W02e?7|D;;h686X>4181!3cl3=0(8jl:69~yx{a;3xH77d28q]on4={%3;f?72n2\:8=4={%7g`?1<,<651558L7703_8:m7?tL33`>4}Qkj09w)?7b;356>P6<909w);kd;g8 0bd2l1vqps4i040>5<#:821=994H334?S46i3;p@??l:0yUgf<5s-;3n7?93:T205<5s-?oh7k4$4f`>`=zutw0e<8;:18'64>=9==0Z??n:0yO64e=9r\ho74033_;?<7`=#=mi1i6sr}|9l5=2=83.9=54>859U64g=9rF9=n4>{Wa`>7}#91h1=5:4V063>7}#=mn1=6*:db82?x{zu2wi?;m50;:94?6|,;;368j4H32`?l7303:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?;8:T205<5s-?oh794$4f`>2=zutw0e<:6:18'64>=9==0D??8;W02e?7|D;;h64181!3cl3=0(8jl:69~yx{hn4j;|~y>o6>;0;6)<>8;373>N59>1]>;6?u+5ef9a>"2lj0n7psr}:k226<72-8:47?;7:J152=Q:8k1=vB=1b82Sed2;q/=5l51718R4272;q/9ij5e:&6`f6583>!4603;?;6X=1`82I46k3;pZnm52z&2=1]=9>52z&6`a4=zutw0qo=95;29829K65e36=4+20:9511<^;;j6hn4>;|~y>o6==0;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>559U516=:r.>hi4>;%7gg?7<7[<>a;3xH77d28q]on4={%3;f?72n2\:8=4={%7g`?7<,<651558R77f28qG>{zut1b=;=50;&15=<6<>1]>;6?u+5ef95>"2lj0:7psr}:k221<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0::95Y15296~"2lm0:7);kc;38yx{z3f;387>5$33;>4>33_8:m7?tL33`>4}Qkj09w)?7b;3;0>P6<909w);kd;38 0bd281vqps4}c1:6?6=:3:1N58j1b=9950;&15=<6<>10c<6;:18'64>=91>0Z??n:0yO64e=9r\ho74>33_;?<74=#=mi1=6sr}|9~f6??290=6=4?{%02!4603i>7[<>a;3xH77d28q]=;752z&27}#91h1o;5Y15296~"2lm087);kc;18yx{K:8h18vX=0880Sef2;q/9il5c79'716==mn0q[mm:3y'1ad=9==0(>:?:4fg?x"1>90i7pX=0880Sef2;q/9il5c79'716==mn0q[mm:3y'1ad=9==0(>:?:4f`?x"1>90i7pX=0880Sef2;q/9il5c79'716==mn0q[mm:3y'1ad=k<1/?9>55ef8y!0183h0q[?7a;0xRfg=:r.>ho4l6:&005<2lm1v(;8?:028yx{<^;;j6?uC20a95~P6>009w)?7b;03<>P6<909w);kd;d8 0bd2o1vqpB=1c82S46938pZno52z&6`g<5811/?9>55ef8y!0183l0qps4i324>5<#:821>=94V33b>7}K:8i1=vX>6881!7?j38;;6X>4181!3cl3l0(8jl:g9~yxJ59k0:w[<>1;0xRfg=:r.>ho4=069'716==mn0q)890;d8yx{5}#:821><;4H32`?l7303:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?;8:T205<5s-?oh7?4$4f`>4=zutw0e<:6:18'64>=9==0Z??n:0yO64e=9r\ho742>3_;?<74=#=mi1=6sr}|9l5=2=83.9=54>859U64g=9rF9=n4>{Wa`>7}#91h1=5:4V063>7}#=mn1=6*:db82?x{zu2wi?4;50;394?6|,;;36??i;I03g>i60=0;6)<>8;3;0>=zj:3=6=4<:183!4603?o7E4883>!4603;?;6F=169U64g=9rF9=n4>{Wa`>7}#91h1=974V063>7}#=mn1;6*:db84?x{zu2e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de82?!3ck3;0qpsr;|`0=6<72:0;6=u+20:91a=O:9i0e<:7:18'64>=9==0Z??n:0yO64e=9r\ho742?3_;?<7`=#=mi1i6sr}|9j51?=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=974V063>7}#=mn1i6*:db8f?x{zu2e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de82?!3ck3;0qpsr;|`7=`<72;0;6=u+20:9f2=O:9i0e<:8:18'64>=9==07b?74;29 77?282?7[<>a;3xH77d28q]on4={%3;f?7?<2\:8=4={%7g`?7<,3:1:7>50z&15=<6<<1C>=m4$04;>47<6=4+20:9511<^;;j6009w)?7b;373>P6<909w);kd;38 0bd281vqps4ib794?"5910h96X=1`82I46k3;pZ<86:3y'5=d=k<1]=9>52z&6`a<63-?oo7?4}|~?le1290/><65c79U64g=:rF9=n4>{W35=?4|,82i6n84V063>7}#=mn1?6*:db80?x{zD;;i69uY21;97~Pdi38p(8jm:b48 6272<7)=;0;7g`>{#>?:1n6sY21;97~Pdi38p(8jm:b48 6272<7)=;0;7gg>{#>?:1n6sY21;97~Pdi38p(8jm:b48 6272:?:4fg?x"1>90i7pX>8`81Sef2;q/9il5c79'716==mn0q)890;33?x{z3`8;47>5$33;>76?3_8:m74}Q9?31>v*>8c814==Q9=:1>v*:de8e?!3ck3l0qpsC20`95~P59809w[mn:3y'1ad=:920(>:?:4fg?x"1>90m7psr;h033?6=,;;36?>8;W02e?4|D;;h65$33;>4>332wi8l>50;194?6|,;;36??:;I03g>o6<10;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>499U516=:r.>hi4>;%7gg?7<7[<>a;3xH77d28q]on4={%3;f?7312\:8=4={%7g`?7<,3290/><651968R77f28qG>{zut1vn9o>:182>5<7s-8:47<>f:J14f=h91>1<7*=1982<1=j?7>53;294~"5910>h6F=0b9j51>=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=964V063>7}#=mn1i6*:db8f?x{zu2c:844?:%02hn48;|~y>i60=0;6)<>8;3;0>P59h0:wA<>c;3xRfe=:r.:4o4>859U516=:r.>hi4>;%7gg?76<729q/><655e9K65e36=4+20:9511<@;;<7[<>a;3xH77d28q]on4={%3;f?7302\:8=4={%7g`?c<,290/><651558R77f28qG>{zut1d=5:50;&15=<60=1]>;6?u+5ef95>"2lj0:7psr}:a0d4=8391<7>t$33;>4>43A8;o6g>4983>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?l7313:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?;9:T205<5s-?oh7?4$4f`>4=zutw0c<6;:18'64>=91>0Z??n:0yO64e=9r\ho74>33_;?<74=#=mi1=6sr}|9~f1?a29086=4?{%024983>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a{M02g?7|^ji1>v*>8c820<=Q9=:1>v*:de8f?!3ck3o0qpsr;n3;0?6=,;;36<6;;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{j;7>52;294~"5910i;6F=0b9j511=83.9=54>4698k4>3290/><651968R77f28qG>{zut1vn9ok:185>5<7s-8:47?;5:J14f=#9?21=<5f15594?"5910:8:5Y20c95~J59j0:w[?99;0x 4>e28><7[?;0;0x 0bc281/9im51:~yx=nk<0;6)<>8;a6?S46i3;p@??l:0yU53?=:r.:4o4l5:T205<5s-?oh7?4$4f`>4=zutw0en850;&15=2\9=l4={M02g?7|^8<26?u+19`9g3=Q9=:1>v*:de80?!3ck390qpsC20`90~P58008w[mn:3y'1ad=k?1/?9>55ef8ySee2;q/9il51558 627255ef8ySee2;q/9il51558 627255ef8ySee2;q/9il5c49'716==mn0q)890;`8yS7?i38pZno52z&6`g2.88=4:de9~ 30728:0qps4i32;>5<#:821>=64V33b>7}K:8i1=vX>6881!7?j38;46X>4181!3cl3l0(8jl:g9~yxJ59k0:w[<>1;0xRfg=:r.>ho4=099'716==mn0q)890;d8yx{009w)?7b;033>P6<909w);kd;d8 0bd2o1vqpB=1c82S46938pZno52z&6`g<58>1/?9>55ef8y!0183l0qps4o0:7>5<#:821=5:4;|`7e<<72:0;6=u+20:9643<@;:h7d?;8;29 77?28><7[<>a;3xH77d28q]on4={%3;f?7302\:8=4={%7g`?7<,290/><651558R77f28qG>{zut1d=5:50;&15=<60=1]>;6?u+5ef95>"2lj0:7psr}:a0dg=83;1<7>t$33;>77a3A8;o6a>8583>!4603;3865rb5ca>5<4290;w)<>8;7g?M47k2c:854?:%02hn48;|~y>o6<00;6)<>8;373>N59>1]>;6?u+5ef93>"2lj0<7psr}:m2<1<72-8:47?74:T15d<6sE8:o7?tVba96~"60k0:495Y15296~"2lm0:7);kc;38yx{z3th?m54?:283>5}#:8219i5G21a8m42?290/><651558R77f28qG>{zut1b=9750;&15=<6<>1]>;6?u+5ef9a>"2lj0n7psr}:m2<1<72-8:47?74:T15d<6sE8:o7?tVba96~"60k0:495Y15296~"2lm0:7);kc;38yx{z3th?o44?:383>5}#:821n:5G21a8m420290/><651558?j7?<3:1(??7:0:7?S46i3;p@??l:0yUgf<5s-;3n7?74:T205<5s-?oh7?4$4f`>4=zutw0qo:k6;297?6=8r.9=54=149K65e36=4+20:9511<^;;j6hn4l;|~y>i60=0;6)<>8;3;0>P59h0:wA<>c;3xRfe=:r.:4o4>859U516=:r.>hi4>;%7gg?73<729q/><651578L76d3-;=47?>;h373?6=,;;36<:8;W02e?7|D;;h66=4+20:9g0=Q:8k1=vB=1b82S71138p(<6m:b78R4272;q/9ij51:&6`f<63twvq6gl6;29 77?2j<0Z??n:3yO64e=9r\::44={%3;f?e13_;?<76=#=mi1?6sr}M02f?2|^;:26>uYc`81!3cj3i=7)=;0;7g`>{Qkk09w);kb;373>"4<90>hi5r$743>g=z^;:26>uYc`81!3cj3i=7)=;0;7g`>{Qkk09w);kb;373>"4<90>hn5r$743>g=z^;:26>uYc`81!3cj3i=7)=;0;7g`>{Qkk09w);kb;a6?!5383?oh6s+67296>{Q91k1>vXla;0x 0be2j<0(>:?:4fg?x"1>90:<6sr}:k14=<72-8:477}#91h1>=64V063>7}#=mn1j6*:db8e?x{zD;;i6{zu2c9<:4?:%02;6?u+5ef9b>"2lj0m7psrL33a>4}Q:8;1>vXla;0x 0be2;:<7)=;0;7g`>{#>?:1j6sr}:m2<1<72-8:47?74:9~f1ef29086=4?{%02{zut1b=9750;&15=<6<>1]>;6?u+5ef95>"2lj0:7psr}:m2<1<72-8:47?74:T15d<6sE8:o7?tVba96~"60k0:495Y15296~"2lm0:7);kc;38yx{z3th?oo4?:083>5}#:821>{el;h374181!3cl3o0(8jl:d9~yx{26=4+20:9511<^;;j60Z<:?:3y'1ab=92.>hn4>;|~y>{el;h37P59h0:wA<>c;3xRfe=:r.:4o4>499U516=:r.>hi4j;%7gg?c<7E<>7:T15d<6sE8:o7?tVba96~"60k0:845Y15296~"2lm0n7);kc;g8yx{z3f;387>5$33;>4>33_8:m7?tL33`>4}Qkj09w)?7b;3;0>P6<909w);kd;38 0bd281vqps4}c6`b?6=;3:1N58j1b=9650;&15=<6<>1]><^8>;6?u+5ef95>"2lj0:7psr}:k20<<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0:845Y15296~"2lm0:7);kc;38yx{z3f;387>5$33;>4>33_8:m7?tL33`>4}Qkj09w)?7b;3;0>P6<909w);kd;38 0bd281vqps4}c6g4?6=;3:1N58j1b=9650;&15=<6<>1]><^8>;6?u+5ef95>"2lj0:7psr}:k20<<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0:845Y15296~"2lm0:7);kc;38yx{z3f;387>5$33;>4>33_8:m7?tL33`>4}Qkj09w)?7b;3;0>P6<909w);kd;38 0bd281vqps4}c6g5?6=;3:1N58j1b=9650;&15=<6<>1C><94V33b>4}K:8i1=vXlc;0x 4>e28>37[?;0;0x 0bc2>1/9im57:~yx=n9=31<7*=198202=O:8=0Z??n:0yO64e=9r\ho742>3_;?<72=#=mi1;6sr}|9l5=2=83.9=54>859U64g=9rF9=n4>{Wa`>7}#91h1=5:4V063>7}#=mn1=6*:db82?x{zu2wi8i<50;194?6|,;;368j4H32`?l7303:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?;8:T205<5s-?oh794$4f`>2=zutw0e<:6:18'64>=9==0Z??n:0yO64e=9r\ho742>3_;?<7`=#=mi1i6sr}|9l5=2=83.9=54>859U64g=9rF9=n4>{Wa`>7}#91h1=5:4V063>7}#=mn1=6*:db82?x{zu2wi8i=50;694?6|,;;368j4H32`?l7303:1(??7:064?M46?2\9=l4>{M02g?7|^ji1>v*>8c820==Q9=:1>v*:de84?!3ck3=0qpsr;h37=?6=,;;36<:8;W02e?7|D;;h64181!3cl3=0(8jl:69~yx{a;3xH77d28q]on4={%3;f?72<2\:8=4={%7g`?c<,3290/><651968R77f28qG>{zut1vn9ml:18;>5<7s-8:47?73:J14f=n9=21<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515:8R4272;q/9ij51:&6`f<63twvq6g>4883>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?l72<3:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?:4:T205<5s-?oh7?4$4f`>4=zutw0e<;i:18'64>=9==0Z??n:0yO64e=9r\ho743a3_;?<74=#=mi1=6sr}|9j534=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=;<4V063>7}#=mn1=6*:db82?x{zu2c::>4?:%02{M02g?7|^ji1>v*>8c8226=Q9=:1>v*:de82?!3ck3;0qpsr;h350?6=,;;36<:8;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{5<5290;w)<>8;`4?M47k2c:8:4?:%02;6?u+5ef95>"2lj0:7psr}:a0ab=8381<7>t$33;>g1<@;:h7d?;7;29 77?28><76a>8583>!4603;386X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?xd3m<0;6>4?:1y'64>=:8?0D?>l;h374181!3cl3;0(8jl:09~yx{26=4+20:9511<^;;j60Z<:?:3y'1ab=92.>hn4>;|~y>{e1<7850;2x 77?28>>7E{M02g?7|^8<26?u+19`9511<^8>;6?u+5ef95>"2lj0:7psr}:k`1?6=,;;36n;4V33b>4}K:8i1=vX>6881!7?j3i>7[?;0;0x 0bc281/9im51:~yx=nk?0;6)<>8;a5?S46i38p@??l:0yU53?=:r.:4o4l6:T205<5s-?oh7=4$4f`>6=zutF9=o4;{W03=?5|^jk1>v*:dc8`2>"4<90>hi5rVb`96~"2lk0:8:5+35291abv*:dc8`2>"4<90>hi5rVb`96~"2lk0:8:5+35291aev*:dc8`2>"4<90>hi5rVb`96~"2lk0h96*<4186`a=z,?<;6?5rV0:b>7}Qkh09w);kb;a5?!5383?oh6s+672955=zut1b>=650;&15=<5811]>76?3_;?<7c=#=mi1j6sr}M02f?7|^;;:6?uYc`81!3cj38;46*<4186`a=z,?<;6k5r}|9j651=83.9=54=069U64g=:rF9=n4>{W35=?4|,82i6?>8;W374?4|,{zuE8:n7?tV332>7}Qkh09w);kb;033>"4<90>hi5r$743>c=zut1d=5:50;&15=<60=10qo:k8;297?6=8r.9=54=149K65e36=4+20:9511<^;;j6hn4>;|~y>i60=0;6)<>8;3;0>P59h0:wA<>c;3xRfe=:r.:4o4>859U516=:r.>hi4>;%7gg?74<729q/><6520d8L76d3f;387>5$33;>4>332wi8il50;194?6|,;;368j4H32`?l7303:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?;8:T205<5s-?oh7?4$4f`>4=zutw0e<:6:18'64>=9==0Z??n:0yO64e=9r\ho742>3_;?<74=#=mi1=6sr}|9l5=2=83.9=54>859U64g=9rF9=n4>{Wa`>7}#91h1=5:4V063>7}#=mn1=6*:db82?x{zu2wi8im50;694?6|,;;368j4H32`?l7303:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?;8:T205<5s-?oh794$4f`>2=zutw0e<:6:18'64>=9==0D??8;W02e?7|D;;h64181!3cl3o0(8jl:d9~yx{0Z<:?:3y'1ab=92.>hn4>;|~y>{e5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37=>P6<909w);kd;38 0bd281vqps4o0:7>5<#:821=5:4V33b>4}K:8i1=vXlc;0x 4>e282?7[?;0;0x 0bc281/9im51:~yx=zj=nn6=4<:183!4603?o7E5$33;>4203A8:;6X=1`82I46k3;pZnm52z&252z&6`a4=zutw0qo:kf;291?6=8r.9=54:d:J14f=n9=21<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515:8R4272;q/9ij59:&6`f<>3twvq6g>4883>!4603;?;6F=169U64g=9rF9=n4>{Wa`>7}#91h1=974V063>7}#=mn1j6*:db8e?x{zu2c:994?:%020Z<:?:3y'1ab=n2.>hn4i;|~y>o6=o0;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>5g9U516=:r.>hi4i;%7gg?`a;3xH77d28q]on4={%3;f?7?<2\:8=4={%7g`?7<,<4?:1y'64>==m1C>=m4i06;>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>37[?;0;0x 0bc201/9im59:~yx=n9=31<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515;8R4272;q/9ij59:&6`f<>3twvq6g>5583>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a<>3-?oo774}|~?l72n3:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?:f:T205<5s-?oh774$4f`><=zutw0e<8=:18'64>=9==0Z??n:0yO64e=9r\ho74053_;?<7<=#=mi156sr}|9j535=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=;=4V063>7}#=mn156*:db8:?x{zu2c::94?:%02{M02g?7|^ji1>v*>8c8221=Q9=:1>v*:de8:?!3ck330qpsr;h351?6=,;;36<:8;W02e?7|D;;h64181!3cl330(8jl:89~yx{hn46;|~y>o68;373>P59h0:wA<>c;3xRfe=:r.:4o4>4`9U516=:r.>hi46;%7gg??<7[<>a;3xH77d28q]on4={%3;f?73j2\:8=4={%7g`??<,<651558R77f28qG>{zut1b=9j50;&15=<6<>1]>;6?u+5ef9=>"2lj027psr}:k20`<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0:8h5Y15296~"2lm027);kc;;8yx{z3`;?j7>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37b>P6<909w);kd;;8 0bd201vqps4i073>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28?;7[?;0;0x 0bc2o1/9im5f:~yx=n9<;1<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l51438R4272;q/9ij51:&6`f<63twvq6g>5383>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?l72;3:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?:3:T205<5s-?oh7?4$4f`>4=zutw0e<;::18'64>=9==0Z??n:0yO64e=9r\ho74323_;?<74=#=mi1=6sr}|9j500=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=884V063>7}#=mn1=6*:db82?x{zu2c:9:4?:%02{M02g?7|^ji1>v*>8c8212=Q9=:1>v*:de82?!3ck3;0qpsr;h3646X>4181!3cl3;0(8jl:09~yx{hn4>;|~y>o6=k0;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>5c9U516=:r.>hi4>;%7gg?7<7[<>a;3xH77d28q]on4={%3;f?72k2\:8=4={%7g`?7<,<651558R77f28qG>{zut1b=8k50;&15=<6<>1]>;6?u+5ef95>"2lj0:7psr}:k225<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0::=5Y15296~"2lm0:7);kc;38yx{z3`;==7>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;355>P6<909w);kd;38 0bd281vqps4o0:7>5<#:821=5:4V33b>4}K:8i1=vXlc;0x 4>e282?7[?;0;0x 0bc281/9im51:~yx=zj=o:6=4=1;294~"5910>h6F=0b9j51>=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=964V063>7}#=mn156*:db8:?x{zu2c:844?:%02{M02g?7|^ji1>v*>8c820<=Q9=:1>v*:de8:?!3ck330qpsr;h360?6=,;;36<:8;W02e?7|D;;h686X>4181!3cl330(8jl:89~yx{hn46;|~y>o6>:0;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>629U516=:r.>hi46;%7gg??<7[<>a;3xH77d28q]on4={%3;f?71<2\:8=4={%7g`??<,<651558R77f28qG>{zut1b=;850;&15=<6<>1]>;6?u+5ef9=>"2lj027psr}:k222<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0:::5Y15296~"2lm027);kc;;8yx{z3`;?m7>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37e>P6<909w);kd;;8 0bd201vqps4i06a>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>i7[?;0;0x 0bc201/9im59:~yx=n9=i1<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515a8R4272;q/9ij59:&6`f<>3twvq6g>4e83>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a<>3-?oo774}|~?l73m3:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?;e:T205<5s-?oh774$4f`><=zutw0e<:i:18'64>=9==0Z??n:0yO64e=9r\ho742a3_;?<7<=#=mi156sr}|9j506=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=8>4V063>7}#=mn1j6*:db8e?x{zu2c:9<4?:%02{M02g?7|^ji1>v*>8c8214=Q9=:1>v*:de82?!3ck3;0qpsr;h366?6=,;;36<:8;W02e?7|D;;h6>6X>4181!3cl3;0(8jl:09~yx{hn4>;|~y>o6=?0;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>579U516=:r.>hi4>;%7gg?7<7[<>a;3xH77d28q]on4={%3;f?72?2\:8=4={%7g`?7<,<651558R77f28qG>{zut1b=8750;&15=<6<>1]>;6?u+5ef95>"2lj0:7psr}:k21d<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0:9l5Y15296~"2lm0:7);kc;38yx{z3`;>n7>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;36f>P6<909w);kd;38 0bd281vqps4i07`>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28?h7[?;0;0x 0bc281/9im51:~yx=n95d83>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?l7183:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?90:T205<5s-?oh7?4$4f`>4=zutw0e<8>:18'64>=9==0Z??n:0yO64e=9r\ho74063_;?<74=#=mi1=6sr}|9l5=2=83.9=54>859U64g=9rF9=n4>{Wa`>7}#91h1=5:4V063>7}#=mn1=6*:db82?x{zu2wi8h<50;794?6|,;;36<6<;I03g>o6<10;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>499U516=:r.>hi4>;%7gg?7<7[<>a;3xH77d28q]on4={%3;f?7312\:8=4={%7g`?7<,<651558R77f28qG>{zut1b=8h50;&15=<6<>1]>;6?u+5ef95>"2lj0:7psr}:m2<1<72-8:47?74:T15d<6sE8:o7?tVba96~"60k0:495Y15296~"2lm0:7);kc;38yx{z3th?i;4?:383>5}#:821n:5G21a8m420290/><651558?j7?<3:1(??7:0:7?S46i3;p@??l:0yUgf<5s-;3n7?74:T205<5s-?oh7?4$4f`>4=zutw0qo:je;292?6=8r.9=54>449K65e<,8<365<#:821=994V33b>4}K:8i1=vX>6881!7?j3;?;6X>4181!3cl3;0(8jl:09~yx{P59h0:wA<>c;3xR40>2;q/=5l5c49U516=:r.>hi4>;%7gg?7=k?1]>f0<^8>;6?u+5ef97>"2lj087psrL33a>1}Q:931?vXla;0x 0be2j<0(>:?:4fg?xPdj38p(8jm:064?!5383?oh6s+6729f>{Q:931?vXla;0x 0be2j<0(>:?:4fg?xPdj38p(8jm:064?!5383?oo6s+6729f>{Q:931?vXla;0x 0be2j<0(>:?:4fg?xPdj38p(8jm:b78 627255ef8y!0183;;7psr;h037;W02e?4|D;;h65$33;>7603_8:m74}Q9?31>v*>8c8142=Q9=:1>v*:de8e?!3ck3l0qpsC20`95~P59809w[mn:3y'1ad=:9=0(>:?:4fg?x"1>90m7psr;n3;0?6=,;;36<6;;:a0`>=8391<7>t$33;>7723A8;o6g>4983>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?l7313:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?;9:T205<5s-?oh7?4$4f`>4=zutw0c<6;:18'64>=91>0Z??n:0yO64e=9r\ho74>33_;?<74=#=mi1=6sr}|9~f1c>290:6=4?{%02N58j1b=9650;&15=<6<>1]><^8>;6?u+5ef9a>"2lj0n7psr}:k20<<72-8:47?;7:J152=Q:8k1=vB=1b82Sed2;q/=5l515;8R4272;q/9ij57:&6`f<03twvq6a>8583>!4603;386X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?xd3mj0;6>4?:1y'64>==m1C>=m4i06;>5<#:821=994H334?S46i3;p@??l:0yUgf<5s-;3n7?;8:T205<5s-?oh7k4$4f`>`=zutw0e<:6:18'64>=9==0Z??n:0yO64e=9r\ho742>3_;?<7`=#=mi1i6sr}|9l5=2=83.9=54>859U64g=9rF9=n4>{Wa`>7}#91h1=5:4V063>7}#=mn1=6*:db82?x{zu2wi8ho50;194?6|,;;36<6<;I03g>o6<10;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>499U516=:r.>hi4>;%7gg?7<7[<>a;3xH77d28q]on4={%3;f?7312\:8=4={%7g`?7<,3290/><651968R77f28qG>{zut1vn9k8:180>5<7s-8:47;k;I03g>o6<10;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>499U516=:r.>hi4j;%7gg?c<7E<>7:T15d<6sE8:o7?tVba96~"60k0:845Y15296~"2lm0n7);kc;g8yx{z3f;387>5$33;>4>33_8:m7?tL33`>4}Qkj09w)?7b;3;0>P6<909w);kd;38 0bd281vqps4}c6fb?6=:3:1N58j1b=9950;&15=<6<>10c<6;:18'64>=91>0Z??n:0yO64e=9r\ho74>33_;?<74=#=mi1=6sr}|9~f1`2290=6=4?{%02!4603i>7[<>a;3xH77d28q]=;752z&27}#91h1o;5Y15296~"2lm087);kc;18yx{K:8h18vX=0880Sef2;q/9il5c79'716==mn0q[mm:3y'1ad=9==0(>:?:4fg?x"1>90i7pX=0880Sef2;q/9il5c79'716==mn0q[mm:3y'1ad=9==0(>:?:4f`?x"1>90i7pX=0880Sef2;q/9il5c79'716==mn0q[mm:3y'1ad=k<1/?9>55ef8y!0183h0q[?7a;0xRfg=:r.>ho4l6:&005<2lm1v(;8?:028yx{<^;;j6?uC20a95~P6>009w)?7b;03<>P6<909w);kd;d8 0bd2o1vqpB=1c82S46938pZno52z&6`g<5811/?9>55ef8y!0183l0qps4i324>5<#:821>=94V33b>7}K:8i1=vX>6881!7?j38;;6X>4181!3cl3l0(8jl:g9~yxJ59k0:w[<>1;0xRfg=:r.>ho4=069'716==mn0q)890;d8yx{5}#:821><;4H32`?l7303:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?;8:T205<5s-?oh7?4$4f`>4=zutw0e<:6:18'64>=9==0Z??n:0yO64e=9r\ho742>3_;?<74=#=mi1=6sr}|9l5=2=83.9=54>859U64g=9rF9=n4>{Wa`>7}#91h1=5:4V063>7}#=mn1=6*:db82?x{zu2wi8k<50;394?6|,;;36??i;I03g>i60=0;6)<>8;3;0>=zj=l86=4<:183!4603?o7E4883>!4603;?;6F=169U64g=9rF9=n4>{Wa`>7}#91h1=974V063>7}#=mn1;6*:db84?x{zu2e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de82?!3ck3;0qpsr;|`7b5<72:0;6=u+20:91a=O:9i0e<:7:18'64>=9==0Z??n:0yO64e=9r\ho742?3_;?<7`=#=mi1i6sr}|9j51?=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=974V063>7}#=mn1i6*:db8f?x{zu2e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de82?!3ck3;0qpsr;|`02c<72;0;6=u+20:9f2=O:9i0e<:8:18'64>=9==07b?74;29 77?282?7[<>a;3xH77d28q]on4={%3;f?7?<2\:8=4={%7g`?7<,7>50z&15=5<#:821=5:4V33b>4}K:8i1=vXlc;0x 4>e282?7[?;0;0x 0bc281/9im51:~yx=zj:==6=4=:183!4603h<7E859U64g=9rF9=n4>{Wa`>7}#91h1=5:4V063>7}#=mn1=6*:db82?x{zu2wi?:m50;494?6|,;;36<::;I03g>"6>10:=6g>4683>!4603;?;6X=1`82I46k3;pZ<86:3y'5=d=9==0Z<:?:3y'1ab=92.>hn4>;|~y>od=3:1(??7:b78R77f28qG>{zut1bo;4?:%024}Q9?31>v*>8c8`2>P6<909w);kd;18 0bd2:1vqpB=1c87S47139pZno52z&6`g2.88=4:de9~Rfd=:r.>ho4>469'716==mn0q)890;`8yS47139pZno52z&6`g2.88=4:de9~Rfd=:r.>ho4>469'716==mi0q)890;`8yS47139pZno52z&6`g2.88=4:de9~Rfd=:r.>ho4l5:&005<2lm1v(;8?:39~R4>f2;q]ol4={%7gf?e13-9?<7;kd:'236=991vqp5f21:94?"59109<55Y20c96~J59j0:w[?99;0x 4>e2;:37[?;0;0x 0bc2o1/9im5f:~yI46j3;pZ??>:3yUgd<5s-?on7vB=1b82S71138p(<6m:324?S73838p(8jk:g9'1ae=n2wvqA<>b;3xR7762;q]ol4={%7gf?47?2.88=4:de9~ 3072o1vqp5`19694?"5910:4954}c144?6=;3:1=9==0Z??n:0yO64e=9r\ho742?3_;?<74=#=mi1=6sr}|9j51?=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=974V063>7}#=mn1=6*:db82?x{zu2e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de82?!3ck3;0qpsr;|`034<7280;6=u+20:964`<@;:h7b?74;29 77?282?76sm36194?5=83:p(??7:4f8L76d3`;?47>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37<>P6<909w);kd;38 0bd281vqps4i06:>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>27[?;0;0x 0bc281/9im51:~yx=h91>1<7*=1982<1=Q:8k1=vB=1b82Sed2;q/=5l51968R4272;q/9ij51:&6`f<63twvq6sm36694?76290;w)<>8;7g?M47k2c:854?:%02{M02g?7|^ji1>v*>8c820==Q9=:1>v*:de84?!3ck3=0qpsr;h37=?6=,;;36<:8;I023>P59h0:wA<>c;3xRfe=:r.:4o4>489U516=:r.>hi48;%7gg?1<7[<>a;3xH77d28q]on4={%3;f?72<2\:8=4={%7g`?1<,<651558R77f28qG>{zut1b=;<50;&15=<6<>1]>;6?u+5ef93>"2lj0<7psr}:k226<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0::>5Y15296~"2lm0<7);kc;58yx{z3`;=87>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;350>P6<909w);kd;58 0bd2>1vqps4i046>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28<>7[?;0;0x 0bc2>1/9im57:~yx=n9?<1<7*=198202=O:8=0Z??n:0yO64e=9r\ho74013_;?<72=#=mi1;6sr}|9j531=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=;94V063>7}#=mn1i6*:db8f?x{zu2c:8l4?:%02hn4j;|~y>o68;373>N59>1]>;6?u+5ef9a>"2lj0n7psr}:k20f<72-8:47?;7:J152=Q:8k1=vB=1b82Sed2;q/=5l515a8R4272;q/9ij5e:&6`f4e83>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a4=zutw0e<:i:18'64>=9==0Z??n:0yO64e=9r\ho742a3_;?<74=#=mi1=6sr}|9l5=2=83.9=54>859U64g=9rF9=n4>{Wa`>7}#91h1=5:4V063>7}#=mn1=6*:db82?x{zu2wi?:;50;32>5<7s-8:47;k;I03g>o6<10;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>499U516=:r.>hi48;%7gg?1<7E<>7:T15d<6sE8:o7?tVba96~"60k0:845Y15296~"2lm0<7);kc;58yx{z3`;>87>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;360>P6<909w);kd;58 0bd2>1vqps4i07e>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28?m7[?;0;0x 0bc2>1/9im57:~yx=n9?81<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l51708R4272;q/9ij57:&6`f<03twvq6g>6283>!4603;?;6X=1`82I46k3;pZnm52z&2:1]=9>52z&6`a<03-?oo794}|~?l71<3:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?94:T205<5s-?oh794$4f`>2=zutw0e<8::18'64>=9==0Z??n:0yO64e=9r\ho74023_;?<72=#=mi1;6sr}|9j530=83.9=54>469K641<^;;j6hn4j;|~y>o68;373>N59>1]>;6?u+5ef9a>"2lj0n7psr}:k20g<72-8:47?;7:J152=Q:8k1=vB=1b82Sed2;q/=5l515`8R4272;q/9ij5e:&6`f4b83>!4603;?;6F=169U64g=9rF9=n4>{Wa`>7}#91h1=9m4V063>7}#=mn1i6*:db8f?x{zu2c:8i4?:%02{M02g?7|^ji1>v*>8c820a=Q9=:1>v*:de8f?!3ck3o0qpsr;h37a?6=,;;36<:8;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{m6=4+20:9511<^;;j60Z<:?:3y'1ab=92.>hn4>;|~y>{e;>81<7:50;2x 77?28287E5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37=>P6<909w);kd;38 0bd281vqps4i077>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28??7[?;0;0x 0bc281/9im51:~yx=h91>1<7*=1982<1=Q:8k1=vB=1b82Sed2;q/=5l51968R4272;q/9ij51:&6`f<63twvq6sm36594?76290;w)<>8;7g?M47k2c:854?:%02{M02g?7|^ji1>v*>8c820==Q9=:1>v*:de8:?!3ck330qpsr;h37=?6=,;;36<:8;W02e?7|D;;h64181!3cl330(8jl:89~yx{hn46;|~y>o6>;0;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>639U516=:r.>hi46;%7gg??<7[<>a;3xH77d28q]on4={%3;f?71;2\:8=4={%7g`??<,<651558R77f28qG>{zut1b=;;50;&15=<6<>1C><94V33b>4}K:8i1=vXlc;0x 4>e28<>7[?;0;0x 0bc201/9im59:~yx=n9?<1<7*=198202=O:8=0Z??n:0yO64e=9r\ho74013_;?<7c=#=mi1j6sr}|9j531=83.9=54>469K641<^;;j6{zut1b=9l50;&15=<6<>1]>;6?u+5ef95>"2lj0:7psr}:k20f<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0:8n5Y15296~"2lm0:7);kc;38yx{z3`;?h7>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37`>P6<909w);kd;38 0bd281vqps4i06f>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>n7[?;0;0x 0bc281/9im51:~yx=n9=l1<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515d8R4272;q/9ij51:&6`f<63twvq6a>8583>!4603;386X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?xd4?10;6l;h374181!3cl330(8jl:89~yx{26=4+20:9511<@;;<7[<>a;3xH77d28q]on4={%3;f?7312\:8=4={%7g`??<,<651558R77f28qG>{zut1b=8h50;&15=<6<>1]>;6?u+5ef9=>"2lj027psr}:k227<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0::?5Y15296~"2lm027);kc;;8yx{z3`;=?7>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;357>P6<909w);kd;;8 0bd201vqps4i047>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e283twvq6g>6783>!4603;?;6F=169U64g=9rF9=n4>{Wa`>7}#91h1=;84V063>7}#=mn156*:db8:?x{zu2c:::4?:%02{M02g?7|^ji1>v*>8c8222=Q9=:1>v*:de8e?!3ck3l0qpsr;h37e?6=,;;36<:8;I023>P59h0:wA<>c;3xRfe=:r.:4o4>4`9U516=:r.>hi4i;%7gg?`<7E<>7:T15d<6sE8:o7?tVba96~"60k0:8o5Y15296~"2lm0m7);kc;d8yx{z3`;?o7>5$33;>4203A8:;6X=1`82I46k3;pZnm52z&252z&6`a4=zutw0e<:j:18'64>=9==0Z??n:0yO64e=9r\ho742b3_;?<74=#=mi1=6sr}|9j51`=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=9h4V063>7}#=mn1=6*:db82?x{zu2e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de82?!3ck3;0qpsr;|`03<<728;1<7>t$33;>0b<@;:h7d?;8;29 77?28><7[<>a;3xH77d28q]on4={%3;f?7302\:8=4={%7g`??<,290/><651558L7703_8:m7?tL33`>4}Qkj09w)?7b;37=>P6<909w);kd;;8 0bd201vqps4i077>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28??7[?;0;0x 0bc201/9im59:~yx=n93twvq6g>6383>!4603;?;6X=1`82I46k3;pZnm52z&2;1]=9>52z&6`a<>3-?oo774}|~?l71;3:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?93:T205<5s-?oh774$4f`><=zutw0e<8;:18'64>=9==0Z??n:0yO64e=9r\ho74033_;?<7<=#=mi156sr}|9j533=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=;;4V063>7}#=mn156*:db8:?x{zu2c::;4?:%02hn46;|~y>o6>>0;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>669U516=:r.>hi4i;%7gg?`<7E<>7:T15d<6sE8:o7?tVba96~"60k0:8l5Y15296~"2lm0m7);kc;d8yx{z3`;?n7>5$33;>4203A8:;6X=1`82I46k3;pZnm52z&252z&6`a{M02g?7|^ji1>v*>8c820f=Q9=:1>v*:de8e?!3ck3l0qpsr;h37`?6=,;;36<:8;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{n6=4+20:9511<^;;j6hn4>;|~y>i60=0;6)<>8;3;0>P59h0:wA<>c;3xRfe=:r.:4o4>859U516=:r.>hi4>;%7gg?71<729q/><651918L76d3`;?47>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37<>P6<909w);kd;38 0bd281vqps4i06:>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>27[?;0;0x 0bc281/9im51:~yx=n9<>1<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l51468R4272;q/9ij51:&6`f<63twvq6a>8583>!4603;386X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?xd2:<0;6?4?:1y'64>=j>1C>=m4i064>5<#:821=994;n3;0?6=,;;36<6;;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{52;294~"5910i;6F=0b9j511=83.9=54>4698k4>3290/><651968R77f28qG>{zut1vn85<7s-8:47l8;I03g>o6<>0;6)<>8;373>=h91>1<7*=1982<1=Q:8k1=vB=1b82Sed2;q/=5l51968R4272;q/9ij51:&6`f<63twvq6sm52094?5=83:p(??7:336?M47k2c:854?:%02{M02g?7|^ji1>v*>8c820==Q9=:1>v*:de82?!3ck3;0qpsr;h37=?6=,;;36<:8;W02e?7|D;;h64181!3cl3i0(8jl:b9~yx{5<1290;w)<>8;371>N58j1/=;65109j511=83.9=54>469U64g=9rF9=n4>{W35=?4|,82i6<:8;W374?4|,{zut1bo84?:%024}Q9?31>v*>8c8`1>P6<909w);kd;38 0bd281vqps4ib494?"5910h:6X=1`81I46k3;pZ<86:3y'5=d=k?1]=9>52z&6`a<43-?oo7=4}|O64d=7}#=mh1o;5+35291ab;68jk;|&5257}#=mh1o;5+35291ab;68jl;|&5257}#=mh1o;5+35291ab4}|8m76?290/><6521:8R77f2;qG>c=zutF9=o4>{W025?4|^jk1>v*:dc814==#;=:19ij4}%454?`8:18'64>=:9=0Z??n:3yO64e=9r\::44={%3;f?47?2\:8=4={%7g`?`<,3290/><651968?xd2:?0;6>4?:1y'64>=:8?0D?>l;h374181!3cl3;0(8jl:09~yx{26=4+20:9511<^;;j60Z<:?:3y'1ab=92.>hn4>;|~y>{e=;=1<7?50;2x 77?2;;m7E290?6=4?{%024983>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a<03-?oo794}|~?l7313:1(??7:064?M46?2\9=l4>{M02g?7|^ji1>v*>8c820<=Q9=:1>v*:de8f?!3ck3o0qpsr;h360?6=,;;36<:8;I023>P59h0:wA<>c;3xRfe=:r.:4o4>559U516=:r.>hi4j;%7gg?ca;3xH77d28q]on4={%3;f?7?<2\:8=4={%7g`?7<,50z&15=<2l2B9hn4j;|~y>o6<00;6)<>8;373>N59>1]>;6?u+5ef9a>"2lj0n7psr}:k211<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0:995Y15296~"2lm0n7);kc;g8yx{z3`;>j7>5$33;>4203A8:;6X=1`82I46k3;pZnm52z&252z&6`a{M02g?7|^ji1>v*>8c8227=Q9=:1>v*:de8f?!3ck3o0qpsr;h357?6=,;;36<:8;I023>P59h0:wA<>c;3xRfe=:r.:4o4>629U516=:r.>hi4j;%7gg?ca;3xH77d28q]on4={%3;f?7?<2\:8=4={%7g`?7<,50z&15=<2l2B9{zut1b=9750;&15=<6<>1]>;6?u+5ef9a>"2lj0n7psr}:k211<72-8:47?;7:J152=Q:8k1=vB=1b82Sed2;q/=5l51468R4272;q/9ij5e:&6`f5g83>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a{M02g?7|^ji1>v*>8c8227=Q9=:1>v*:de8f?!3ck3o0qpsr;h357?6=,;;36<:8;W02e?7|D;;h64181!3cl3o0(8jl:d9~yx{0Z<:?:3y'1ab=92.>hn4>;|~y>{e=;i1<7650;2x 77?2l;h374181!3cl3o0(8jl:d9~yx{26=4+20:9511<^;;j6{zut1b=8h50;&15=<6<>1]>;6?u+5ef9a>"2lj0n7psr}:k227<72-8:47?;7:J152=Q:8k1=vB=1b82Sed2;q/=5l51708R4272;q/9ij5e:&6`f6283>!4603;?;6X=1`82I46k3;pZnm52z&2:1]=9>52z&6`a`=zutw0c<6;:18'64>=91>0Z??n:0yO64e=9r\ho74>33_;?<74=#=mi1=6sr}|9~f04?290>6=4?{%02hn4>;|~y>o6<00;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>489U516=:r.>hi4>;%7gg?7<7[<>a;3xH77d28q]on4={%3;f?72<2\:8=4={%7g`?7<,<651558R77f28qG>{zut1d=5:50;&15=<60=1]>;6?u+5ef95>"2lj0:7psr}:a17c=83=1<7>t$33;>0b<@;:h7d?;8;29 77?28><7E<>7:T15d<6sE8:o7?tVba96~"60k0:855Y15296~"2lm027);kc;;8yx{z3`;?57>5$33;>4203A8:;6X=1`82I46k3;pZnm52z&252z&6`a<>3-?oo774}|~?l72<3:1(??7:064?M46?2\9=l4>{M02g?7|^ji1>v*>8c8211=Q9=:1>v*:de8:?!3ck330qpsr;h36b?6=,;;36<:8;W02e?7|D;;h6j6X>4181!3cl330(8jl:89~yx{a;3xH77d28q]on4={%3;f?71:2\:8=4={%7g`?`<,<651558R77f28qG>{zut1d=5:50;&15=<60=1]>;6?u+5ef95>"2lj0:7psr}:a677=8381<7>t$33;>g1<@;:h7d?;7;29 77?28><76a>8583>!4603;386X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?xd5:90;6?4?:1y'64>=j>1C>=m4i064>5<#:821=994;n3;0?6=,;;36<6;;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{56;294~"5910:885G21a8 40?28;0e<:8:18'64>=9==0Z??n:0yO64e=9r\::44={%3;f?73?2\:8=4={%7g`?7<,7}#91h1o85Y15296~"2lm0:7);kc;38yx{z3`i=6=4+20:9g3=Q:8k1>vB=1b82S71138p(<6m:b48R4272;q/9ij53:&6`f<43twv@??m:5yU65?=;r\hm7f0<,:>;68jk;|T`f?4|,5b:U65?=;r\hm7f0<,:>;68jk;|T`f?4|,5b:U65?=;r\hm7f0<,:>;68jk;|T`f?4|,0bc3t.=:=4=;|T2a;0xH77d28q]=;752z&252z&6`a7}#=mh1>=64$263>0bc3t.=:=4i;|~?l47?3:1(??7:324?S46i38p@??l:0yU53?=:r.:4o4=069U516=:r.>hi4i;%7gg?`;68jk;|&525{M02g?7|^ji1>v*>8c820==Q9=:1>v*:de82?!3ck3;0qpsr;h37=?6=,;;36<:8;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{5<6290;w)<>8;02b>N58j1d=5:50;&15=<60=10qo<=4;290?6=8r.9=54:d:J14f=n9=21<7*=198202=O:8=0Z??n:0yO64e=9r\ho742?3_;?<7`=#=mi1i6sr}|9j51?=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=974V063>7}#=mn1i6*:db8f?x{zu2c:994?:%020Z<:?:3y'1ab=m2.>hn4j;|~y>i60=0;6)<>8;3;0>P59h0:wA<>c;3xRfe=:r.:4o4>859U516=:r.>hi4>;%7gg?77<729q/><65b69K65e<6=4+20:9511<3f;387>5$33;>4>33_8:m7?tL33`>4}Qkj09w)?7b;3;0>P6<909w);kd;38 0bd281vqps4}c121?6=:3:1N58j1b=9950;&15=<6<>10c<6;:18'64>=91>0Z??n:0yO64e=9r\ho74>33_;?<74=#=mi1=6sr}|9~f67d29096=4?{%024683>!4603;?;65`19694?"5910:495Y20c95~J59j0:w[ml:3y'5=d=91>0Z<:?:3y'1ab=92.>hn4>;|~y>{e;;91<7=50;2x 77?2;;>7E5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37=>P6<909w);kd;a8 0bd2j1vqps4o0:7>5<#:821=5:4V33b>4}K:8i1=vXlc;0x 4>e282?7[?;0;0x 0bc281/9im51:~yx=zj:896=49:183!4603;?96F=0b9'53>=981b=9950;&15=<6<>1]>4203_;?<74=#=mi1=6sr}|9jg0<72-8:47m:;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{P59h09wA<>c;3xR40>2;q/=5l5c79U516=:r.>hi4<;%7gg?57}#=mh1=994$263>0bc3t.=:=4m;|T14<<4s_ij6?u+5e`9g3=#;=:19ij4}Waa>7}#=mh1=994$263>0bd3t.=:=4m;|T14<<4s_ij6?u+5e`9g3=#;=:19ij4}Waa>7}#=mh1o85+35291abv*:dc8`2>"4<90>hi5r$743>467:18'64>=:920Z??n:3yO64e=9r\::44={%3;f?4702\:8=4={%7g`?`<,<652158R77f2;qG>c=zutF9=o4>{W025?4|^jk1>v*:dc8142=#;=:19ij4}%454?`=91>07pl<1683>6<729q/><652078L76d3`;?47>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37<>P6<909w);kd;38 0bd281vqps4i06:>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>27[?;0;0x 0bc281/9im51:~yx=h91>1<7*=1982<1=Q:8k1=vB=1b82Sed2;q/=5l51968R4272;q/9ij51:&6`f<63twvq6sm30:94?7=83:p(??7:33e?M47k2e:494?:%02?n:187>5<7s-8:47;k;I03g>o6<10;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>499U516=:r.>hi4j;%7gg?c<7E<>7:T15d<6sE8:o7?tVba96~"60k0:845Y15296~"2lm0n7);kc;g8yx{z3`;>87>5$33;>4203A8:;6X=1`82I46k3;pZnm52z&252z&6`a4=zutw0qo=>b;291?6=8r.9=54:d:J14f=n9=21<7*=198202=O:8=0Z??n:0yO64e=9r\ho742?3_;?<7`=#=mi1i6sr}|9j51?=83.9=54>469K641<^;;j60Z<:?:3y'1ab=m2.>hn4j;|~y>o6=o0;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>5g9U516=:r.>hi4j;%7gg?ca;3xH77d28q]on4={%3;f?7?<2\:8=4={%7g`?7<,50z&15=<60:1C>=m4i06;>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>37[?;0;0x 0bc281/9im51:~yx=n9=31<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515;8R4272;q/9ij51:&6`f<63twvq6a>8583>!4603;386X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?xd49m0;6;4?:1y'64>==m1C>=m4i06;>5<#:821=994H334?S46i3;p@??l:0yUgf<5s-;3n7?;8:T205<5s-?oh774$4f`><=zutw0e<:6:18'64>=9==0Z??n:0yO64e=9r\ho742>3_;?<7<=#=mi156sr}|9j502=83.9=54>469K641<^;;j6{zut1b=;<50;&15=<6<>1]>;6?u+5ef9b>"2lj0m7psr}:m2<1<72-8:47?74:T15d<6sE8:o7?tVba96~"60k0:495Y15296~"2lm0:7);kc;38yx{z3th8=h4?:783>5}#:8219i5G21a8m42?290/><651558L7703_8:m7?tL33`>4}Qkj09w)?7b;37<>P6<909w);kd;;8 0bd201vqps4i06:>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>27[?;0;0x 0bc201/9im59:~yx=n9<>1<7*=198202=O:8=0Z??n:0yO64e=9r\ho74333_;?<7<=#=mi156sr}|9j50`=83.9=54>469K641<^;;j6hn4i;|~y>i60=0;6)<>8;3;0>P59h0:wA<>c;3xRfe=:r.:4o4>859U516=:r.>hi4>;%7gg?72<729q/><655e9K65e36=4+20:9511<@;;<7[<>a;3xH77d28q]on4={%3;f?7302\:8=4={%7g`??<,290/><651558R77f28qG>{zut1b=8:50;&15=<6<>1]>;6?u+5ef9=>"2lj027psr}:k21c<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0:9k5Y15296~"2lm0m7);kc;d8yx{z3`;=>7>5$33;>4203A8:;6X=1`82I46k3;pZnm52z&2;1]=9>52z&6`ac=zutw0c<6;:18'64>=91>0Z??n:0yO64e=9r\ho74>33_;?<74=#=mi1=6sr}|9~f647290?6=4?{%02hn4>;|~y>o6<00;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>489U516=:r.>hi4>;%7gg?7<7[<>a;3xH77d28q]on4={%3;f?72<2\:8=4={%7g`?7<,3290/><651968R77f28qG>{zut1vn8hj:181>5<7s-8:47l8;I03g>o6<>0;6)<>8;373>=h91>1<7*=1982<1=Q:8k1=vB=1b82Sed2;q/=5l51968R4272;q/9ij51:&6`f<63twvq6sm61c94?0=83:p(??7:066?M47k2.::54>1:k202<72-8:47?;7:T15d<6sE8:o7?tV04:>7}#91h1=994V063>7}#=mn1=6*:db82?x{zu2ch97>5$33;>f3<^;;j6009w)?7b;a6?S73838p(8jk:09'1ae=92wvqp5fc783>!4603i=7[<>a;0xH77d28q]=;752z&22\:8=4={%7g`?5<,5r}|N15g<3s_8;57=tVbc96~"2lk0h:6*<4186`a=z^jh1>v*:dc8202=#;=:19ij4}%454?dv*:dc8202=#;=:19im4}%454?dv*:dc8`1>"4<90>hi5r$743>7=z^82j6?uYc`81!3cj3i=7)=;0;7g`>{#>?:1==5r}|9j65>=83.9=54=099U64g=:rF9=n4>{W35=?4|,82i6?>7;W374?4|,{zuE8:n7?tV332>7}Qkh09w);kb;03<>"4<90>hi5r$743>c=zut1b>=950;&15=<58>1]>7603_;?<7c=#=mi1j6sr}M02f?7|^;;:6?uYc`81!3cj38;;6*<4186`a=z,?<;6k5r}|9l5=2=83.9=54>8598yg3an3:1?7>50z&15=<59<1C>=m4i06;>5<#:821=994H334?S46i3;p@??l:0yUgf<5s-;3n7?;8:T205<5s-?oh7?4$4f`>4=zutw0e<:6:18'64>=9==0Z??n:0yO64e=9r\ho742>3_;?<74=#=mi1=6sr}|9l5=2=83.9=54>859U64g=9rF9=n4>{Wa`>7}#91h1=5:4V063>7}#=mn1=6*:db82?x{zu2wi:=>50;394?6|,;;36??i;I03g>i60=0;6)<>8;3;0>=zj?:96=4<:183!4603?o7E5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37=>P6<909w);kd;38 0bd281vqps4o0:7>5<#:821=5:4V33b>4}K:8i1=vXlc;0x 4>e282?7[?;0;0x 0bc281/9im51:~yx=zj?:86=4<:183!4603?o7E5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37=>P6<909w);kd;38 0bd281vqps4o0:7>5<#:821=5:4V33b>4}K:8i1=vXlc;0x 4>e282?7[?;0;0x 0bc281/9im51:~yx=zj?:?6=4<:183!4603?o7E5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37=>P6<909w);kd;g8 0bd2l1vqps4o0:7>5<#:821=5:4V33b>4}K:8i1=vXlc;0x 4>e282?7[?;0;0x 0bc281/9im51:~yx=zj?:>6=4<:183!4603?o7E5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37=>P6<909w);kd;g8 0bd2l1vqps4o0:7>5<#:821=5:4V33b>4}K:8i1=vXlc;0x 4>e282?7[?;0;0x 0bc281/9im51:~yx=zj?:=6=4;:183!4603?o7E4883>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a{M02g?7|^ji1>v*>8c8211=Q9=:1>v*:de8f?!3ck3o0qpsr;n3;0?6=,;;36<6;;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{54;294~"5910>h6F=0b9j51>=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=964V063>7}#=mn1i6*:db8f?x{zu2c:844?:%02{M02g?7|^ji1>v*>8c820<=Q9=:1>v*:de8f?!3ck3o0qpsr;h360?6=,;;36<:8;I023>P59h0:wA<>c;3xRfe=:r.:4o4>559U516=:r.>hi4j;%7gg?ca;3xH77d28q]on4={%3;f?7?<2\:8=4={%7g`?7<,50z&15=<2l2B9hn48;|~y>o6<00;6)<>8;373>N59>1]>;6?u+5ef9a>"2lj0n7psr}:k211<72-8:47?;7:J152=Q:8k1=vB=1b82Sed2;q/=5l51468R4272;q/9ij5e:&6`f5g83>!4603;?;6F=169U64g=9rF9=n4>{Wa`>7}#91h1=8h4V063>7}#=mn1i6*:db8f?x{zu2c::?4?:%02hn4j;|~y>i60=0;6)<>8;3;0>P59h0:wA<>c;3xRfe=:r.:4o4>859U516=:r.>hi4>;%7gg?7=<729q/><651918L76d3`;?47>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37<>P6<909w);kd;38 0bd281vqps4i06:>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>27[?;0;0x 0bc281/9im51:~yx=n9<>1<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l51468R4272;q/9ij51:&6`f<63twvq6g>5g83>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?l71:3:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?92:T205<5s-?oh7?4$4f`>4=zutw0e<8<:18'64>=9==0Z??n:0yO64e=9r\ho74043_;?<74=#=mi1=6sr}|9j532=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=;:4V063>7}#=mn1=6*:db82?x{zu2e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de82?!3ck3;0qpsr;|`04`<72;0;6=u+20:9f2=O:9i0e<:8:18'64>=9==07b?74;29 77?282?7[<>a;3xH77d28q]on4={%3;f?7?<2\:8=4={%7g`?7<,50z&15=<6<<1C>=m4$04;>47<6=4+20:9511<^;;j6009w)?7b;373>P6<909w);kd;38 0bd281vqps4ib794?"5910h96X=1`82I46k3;pZ<86:3y'5=d=k<1]=9>52z&6`a<63-?oo7?4}|~?le1290/><65c79U64g=:rF9=n4>{W35=?4|,82i6n84V063>7}#=mn1?6*:db80?x{zD;;i69uY21;97~Pdi38p(8jm:b48 6272<7)=;0;7g`>{#>?:1n6sY21;97~Pdi38p(8jm:b48 6272<7)=;0;7gg>{#>?:1n6sY21;97~Pdi38p(8jm:b48 6272:?:4fg?x"1>9097pX>8`81Sef2;q/9il5c79'716==mn0q)890;78yx{<^;;j6?uC20a95~P6>009w)?7b;03<>P6<909w);kd;d8 0bd2o1vqpB=1c82S46938pZno52z&6`g<5811/?9>55ef8y!0183l0qps4i324>5<#:821>=94V33b>7}K:8i1=vX>6881!7?j38;;6X>4181!3cl3l0(8jl:g9~yxJ59k0:w[<>1;0xRfg=:r.>ho4=069'716==mn0q)890;d8yx{5}#:821><;4H32`?l7303:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?;8:T205<5s-?oh7?4$4f`>4=zutw0e<:6:18'64>=9==0Z??n:0yO64e=9r\ho742>3_;?<74=#=mi1=6sr}|9l5=2=83.9=54>859U64g=9rF9=n4>{Wa`>7}#91h1=5:4V063>7}#=mn1=6*:db82?x{zu2wi?i60=0;6)<>8;3;0>=zj:;96=4::183!4603?o7E4883>!4603;?;6F=169U64g=9rF9=n4>{Wa`>7}#91h1=974V063>7}#=mn1i6*:db8f?x{zu2c:994?:%020Z<:?:3y'1ab=m2.>hn4j;|~y>o6=o0;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>5g9U516=:r.>hi4j;%7gg?ca;3xH77d28q]on4={%3;f?7?<2\:8=4={%7g`?7<,50z&15=<2l2B9hn47;|~y>o6<00;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>489U516=:r.>hi47;%7gg?>a;3xH77d28q]on4={%3;f?7?<2\:8=4={%7g`?7<,7>50z&15=5<#:821=5:4V33b>4}K:8i1=vXlc;0x 4>e282?7[?;0;0x 0bc281/9im51:~yx=zj=8o6=49:183!4603;?96F=0b9'53>=981b=9950;&15=<6<>1]>4203_;?<74=#=mi1=6sr}|9jg0<72-8:47m:;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{P59h09wA<>c;3xR40>2;q/=5l5c79U516=:r.>hi4<;%7gg?57}#=mh1=994$263>0bc3t.=:=4m;|T14<<4s_ij6?u+5e`9g3=#;=:19ij4}Waa>7}#=mh1=994$263>0bd3t.=:=4m;|T14<<4s_ij6?u+5e`9g3=#;=:19ij4}Waa>7}#=mh1o85+35291abv*:dc8`2>"4<90>hi5r$743>467:18'64>=:920Z??n:3yO64e=9r\::44={%3;f?4702\:8=4={%7g`?`<,<652158R77f2;qG>c=zutF9=o4>{W025?4|^jk1>v*:dc8142=#;=:19ij4}%454?`=91>07pl;2783>6<729q/><652078L76d3`;?47>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37<>P6<909w);kd;38 0bd281vqps4i06:>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>27[?;0;0x 0bc281/9im51:~yx=h91>1<7*=1982<1=Q:8k1=vB=1b82Sed2;q/=5l51968R4272;q/9ij51:&6`f<63twvq6sm43594?7=83:p(??7:33e?M47k2e:494?:%025<7s-8:47;k;I03g>o6<10;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>499U516=:r.>hi4j;%7gg?c<7[<>a;3xH77d28q]on4={%3;f?7312\:8=4={%7g`?c<,3290/><651968R77f28qG>{zut1vn95<7s-8:47;k;I03g>o6<10;6)<>8;373>N59>1]><^8>;6?u+5ef9a>"2lj0n7psr}:k20<<72-8:47?;7:J152=Q:8k1=vB=1b82Sed2;q/=5l515;8R4272;q/9ij5e:&6`f5583>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a4=zutw0qo:=b;292?6=8r.9=54:d:J14f=n9=21<7*=198202=O:8=0Z??n:0yO64e=9r\ho742?3_;?<7`=#=mi1i6sr}|9j51?=83.9=54>469K641<^;;j6{zut1b=8h50;&15=<6<>1]>;6?u+5ef9a>"2lj0n7psr}:k227<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0::?5Y15296~"2lm0n7);kc;g8yx{z3f;387>5$33;>4>33_8:m7?tL33`>4}Qkj09w)?7b;3;0>P6<909w);kd;38 0bd281vqps4}c61=9==0Z??n:0yO64e=9r\ho742?3_;?<74=#=mi1=6sr}|9j51?=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=974V063>7}#=mn1=6*:db82?x{zu2c:994?:%02{M02g?7|^ji1>v*>8c8211=Q9=:1>v*:de82?!3ck3;0qpsr;n3;0?6=,;;36<6;;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{52;294~"5910i;6F=0b9j511=83.9=54>4698k4>3290/><651968R77f28qG>{zut1vn;?9:185>5<7s-8:47?;5:J14f=#9?21=<5f15594?"5910:8:5Y20c95~J59j0:w[?99;0x 4>e28><7[?;0;0x 0bc281/9im51:~yx=nk<0;6)<>8;a6?S46i3;p@??l:0yU53?=:r.:4o4l5:T205<5s-?oh7?4$4f`>4=zutw0en850;&15=2\9=l4={M02g?7|^8<26?u+19`9g3=Q9=:1>v*:de80?!3ck390qpsC20`90~P58008w[mn:3y'1ad=k?1/?9>55ef8ySee2;q/9il51558 627255ef8ySee2;q/9il51558 627255ef8ySee2;q/9il5c49'716==mn0q)890;08yS7?i38pZno52z&6`g2.88=4:de9~ 30728:0qps4i32;>5<#:821>=64V33b>7}K:8i1=vX>6881!7?j38;46X>4181!3cl3l0(8jl:g9~yxJ59k0:w[<>1;0xRfg=:r.>ho4=099'716==mn0q)890;d8yx{009w)?7b;033>P6<909w);kd;d8 0bd2o1vqpB=1c82S46938pZno52z&6`g<58>1/?9>55ef8y!0183l0qps4o0:7>5<#:821=5:4;|`54f<72:0;6=u+20:9643<@;:h7d?;8;29 77?28><7[<>a;3xH77d28q]on4={%3;f?7302\:8=4={%7g`?7<,290/><651558R77f28qG>{zut1d=5:50;&15=<60=1]>;6?u+5ef95>"2lj0:7psr}:a25b=83;1<7>t$33;>77a3A8;o6a>8583>!4603;3865rb72e>5<4290;w)<>8;7g?M47k2c:854?:%02{M02g?7|^ji1>v*>8c820==Q9=:1>v*:de82?!3ck3;0qpsr;h37=?6=,;;36<:8;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{5<4290;w)<>8;7g?M47k2c:854?:%02{M02g?7|^ji1>v*>8c820==Q9=:1>v*:de8f?!3ck3o0qpsr;h37=?6=,;;36<:8;W02e?7|D;;h64181!3cl3o0(8jl:d9~yx{5<4290;w)<>8;7g?M47k2c:854?:%02hn4j;|~y>o6<00;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>489U516=:r.>hi4j;%7gg?ca;3xH77d28q]on4={%3;f?7?<2\:8=4={%7g`?7<,50z&15=<2l2B9{zut1b=9750;&15=<6<>1]>;6?u+5ef9a>"2lj0n7psr}:k211<72-8:47?;7:J152=Q:8k1=vB=1b82Sed2;q/=5l51468R4272;q/9ij5e:&6`f5g83>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a4=zutw0qo8>3;291?6=8r.9=54:d:J14f=n9=21<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515:8R4272;q/9ij5e:&6`f4883>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a{M02g?7|^ji1>v*>8c8211=Q9=:1>v*:de8f?!3ck3o0qpsr;h36b?6=,;;36<:8;W02e?7|D;;h6j6X>4181!3cl3o0(8jl:d9~yx{5<>290;w)<>8;7g?M47k2c:854?:%02hn48;|~y>o6<00;6)<>8;373>N59>1]>;6?u+5ef93>"2lj0<7psr}:k211<72-8:47?;7:J152=Q:8k1=vB=1b82Sed2;q/=5l51468R4272;q/9ij57:&6`f<03twvq6g>5g83>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a{M02g?7|^ji1>v*>8c8227=Q9=:1>v*:de8f?!3ck3o0qpsr;h357?6=,;;36<:8;I023>P59h0:wA<>c;3xRfe=:r.:4o4>629U516=:r.>hi4j;%7gg?c<7[<>a;3xH77d28q]on4={%3;f?71<2\:8=4={%7g`?c<,<651558L7703_8:m7?tL33`>4}Qkj09w)?7b;351>P6<909w);kd;g8 0bd2l1vqps4o0:7>5<#:821=5:4V33b>4}K:8i1=vXlc;0x 4>e282?7[?;0;0x 0bc281/9im51:~yx=zj?:n6=48:183!4603;3?6F=0b9j51>=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=964V063>7}#=mn1=6*:db82?x{zu2c:844?:%02{M02g?7|^ji1>v*>8c820<=Q9=:1>v*:de82?!3ck3;0qpsr;h360?6=,;;36<:8;W02e?7|D;;h686X>4181!3cl3;0(8jl:09~yx{hn4>;|~y>o6>:0;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>629U516=:r.>hi4>;%7gg?7a;3xH77d28q]on4={%3;f?7?<2\:8=4={%7g`?7<,7>50z&15=5<#:821=5:4V33b>4}K:8i1=vXlc;0x 4>e282?7[?;0;0x 0bc281/9im51:~yx=zj=;36=49:183!4603;?96F=0b9'53>=981b=9950;&15=<6<>1]>4203_;?<74=#=mi1=6sr}|9jg0<72-8:47m:;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{P59h09wA<>c;3xR40>2;q/=5l5c79U516=:r.>hi4<;%7gg?57}#=mh1=994$263>0bc3t.=:=4m;|T14<<4s_ij6?u+5e`9g3=#;=:19ij4}Waa>7}#=mh1=994$263>0bd3t.=:=4m;|T14<<4s_ij6?u+5e`9g3=#;=:19ij4}Waa>7}#=mh1o85+35291abv*:dc8`2>"4<90>hi5r$743>467:18'64>=:920Z??n:3yO64e=9r\::44={%3;f?4702\:8=4={%7g`?`<,<652158R77f2;qG>c=zutF9=o4>{W025?4|^jk1>v*:dc8142=#;=:19ij4}%454?`=91>07pl;1383>6<729q/><652078L76d3`;?47>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37<>P6<909w);kd;38 0bd281vqps4i06:>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>27[?;0;0x 0bc281/9im51:~yx=h91>1<7*=1982<1=Q:8k1=vB=1b82Sed2;q/=5l51968R4272;q/9ij51:&6`f<63twvq6sm40194?7=83:p(??7:33e?M47k2e:494?:%025<7s-8:47;k;I03g>o6<10;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>499U516=:r.>hi4j;%7gg?c<7[<>a;3xH77d28q]on4={%3;f?7312\:8=4={%7g`?c<,3290/><651968R77f28qG>{zut1vn9?9:180>5<7s-8:47;k;I03g>o6<10;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>499U516=:r.>hi4j;%7gg?c<7E<>7:T15d<6sE8:o7?tVba96~"60k0:845Y15296~"2lm0n7);kc;g8yx{z3f;387>5$33;>4>33_8:m7?tL33`>4}Qkj09w)?7b;3;0>P6<909w);kd;38 0bd281vqps4}c620?6=;3:1=9==0Z??n:0yO64e=9r\ho742?3_;?<74=#=mi1=6sr}|9j51?=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=974V063>7}#=mn1=6*:db82?x{zu2e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de82?!3ck3;0qpsr;|`75<<72;0;6=u+20:9f2=O:9i0e<:8:18'64>=9==07b?74;29 77?282?7[<>a;3xH77d28q]on4={%3;f?7?<2\:8=4={%7g`?7<,50z&15=<59<1C>=m4i06;>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>37[?;0;0x 0bc281/9im51:~yx=n9=31<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515;8R4272;q/9ij5c:&6`f8583>!4603;386X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?xd3::0;6;4?:1y'64>=9=?0D?>l;%355$33;>4203_8:m7?tL33`>4}Q9?31>v*>8c8202=Q9=:1>v*:de82?!3ck3;0qpsr;ha6>5<#:821o85Y20c95~J59j0:w[?99;0x 4>e2j?0Z<:?:3y'1ab=92.>hn4>;|~y>od>3:1(??7:b48R77f2;qG>5+5ea97>{zuE8:n7:tV32:>6}Qkh09w);kb;a5?!5383?oh6sYcc81!3cj3;?;6*<4186`a=z,?<;6o5rV32:>6}Qkh09w);kb;a5?!5383?oh6sYcc81!3cj3;?;6*<4186`f=z,?<;6o5rV32:>6}Qkh09w);kb;a5?!5383?oh6sYcc81!3cj3i>7)=;0;7g`>{#>?:1>6sY19c96~Pdi38p(8jm:b48 6272{zu2c9<54?:%02<^8>;6?u+5ef9b>"2lj0m7psrL33a>4}Q:8;1>vXla;0x 0be2;:37)=;0;7g`>{#>?:1j6sr}:k142<72-8:477}#91h1>=94V063>7}#=mn1j6*:db8e?x{zD;;i6{zu2e:494?:%025<7s-8:47<>5:J14f=n9=21<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515:8R4272;q/9ij51:&6`f<63twvq6g>4883>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?j7?<3:1(??7:0:7?S46i3;p@??l:0yUgf<5s-;3n7?74:T205<5s-?oh7?4$4f`>4=zutw0qo:>b;295?6=8r.9=54=1g9K65e5}#:8219i5G21a8m42?290/><651558L7703_8:m7?tL33`>4}Qkj09w)?7b;37<>P6<909w);kd;g8 0bd2l1vqps4i06:>5<#:821=994H334?S46i3;p@??l:0yUgf<5s-;3n7?;9:T205<5s-?oh7k4$4f`>`=zutw0e<;;:18'64>=9==0Z??n:0yO64e=9r\ho74333_;?<7`=#=mi1i6sr}|9l5=2=83.9=54>859U64g=9rF9=n4>{Wa`>7}#91h1=5:4V063>7}#=mn1=6*:db82?x{zu2wi8`=zutw0e<:6:18'64>=9==0Z??n:0yO64e=9r\ho742>3_;?<7`=#=mi1i6sr}|9j502=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=8:4V063>7}#=mn1i6*:db8f?x{zu2c:9k4?:%02{M02g?7|^ji1>v*>8c821c=Q9=:1>v*:de84?!3ck3=0qpsr;h356?6=,;;36<:8;W02e?7|D;;h66X>4181!3cl3o0(8jl:d9~yx{5<0290;w)<>8;7g?M47k2c:854?:%02{M02g?7|^ji1>v*>8c820==Q9=:1>v*:de8f?!3ck3o0qpsr;h37=?6=,;;36<:8;W02e?7|D;;h64181!3cl3o0(8jl:d9~yx{hn48;|~y>o6>;0;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>639U516=:r.>hi4j;%7gg?c<7[<>a;3xH77d28q]on4={%3;f?71;2\:8=4={%7g`?c<,3290/><651968R77f28qG>{zut1vn95<7s-8:47;k;I03g>o6<10;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>499U516=:r.>hi4j;%7gg?c<7[<>a;3xH77d28q]on4={%3;f?7312\:8=4={%7g`?c<,<651558R77f28qG>{zut1b=8h50;&15=<6<>1]>;6?u+5ef93>"2lj0<7psr}:k227<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0::?5Y15296~"2lm0n7);kc;g8yx{z3`;=?7>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;357>P6<909w);kd;g8 0bd2l1vqps4o0:7>5<#:821=5:4V33b>4}K:8i1=vXlc;0x 4>e282?7[?;0;0x 0bc281/9im51:~yx=zj=8:6=48:183!4603?o7E5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37=>P6<909w);kd;g8 0bd2l1vqps4i077>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28??7[?;0;0x 0bc2l1/9im5e:~yx=n96383>!4603;?;6X=1`82I46k3;pZnm52z&2;1]=9>52z&6`a`=zutw0c<6;:18'64>=91>0Z??n:0yO64e=9r\ho74>33_;?<74=#=mi1=6sr}|9~f17d290=6=4?{%02hn4>;|~y>o6<00;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>489U516=:r.>hi4>;%7gg?7<7[<>a;3xH77d28q]on4={%3;f?72<2\:8=4={%7g`?7<,<651558R77f28qG>{zut1b=;<50;&15=<6<>1]>;6?u+5ef95>"2lj0:7psr}:m2<1<72-8:47?74:T15d<6sE8:o7?tVba96~"60k0:495Y15296~"2lm0:7);kc;38yx{z3th==:4?:383>5}#:821n:5G21a8m420290/><651558?j7?<3:1(??7:0:7?S46i3;p@??l:0yUgf<5s-;3n7?74:T205<5s-?oh7?4$4f`>4=zutw0qo8=2;292?6=8r.9=54>449K65e<,8<365<#:821=994V33b>4}K:8i1=vX>6881!7?j3;?;6X>4181!3cl3;0(8jl:09~yx{P59h0:wA<>c;3xR40>2;q/=5l5c49U516=:r.>hi4>;%7gg?7=k?1]>f0<^8>;6?u+5ef97>"2lj087psrL33a>1}Q:931?vXla;0x 0be2j<0(>:?:4fg?xPdj38p(8jm:064?!5383?oh6s+6729f>{Q:931?vXla;0x 0be2j<0(>:?:4fg?xPdj38p(8jm:064?!5383?oo6s+6729f>{Q:931?vXla;0x 0be2j<0(>:?:4fg?xPdj38p(8jm:b78 627255ef8y!0183;;7psr;h037;W02e?4|D;;h65$33;>7603_8:m74}Q9?31>v*>8c8142=Q9=:1>v*:de8e?!3ck3l0qpsC20`95~P59809w[mn:3y'1ad=:9=0(>:?:4fg?x"1>90m7psr;n3;0?6=,;;36<6;;:a24>=8391<7>t$33;>7723A8;o6g>4983>!4603;?;6F=169U64g=9rF9=n4>{Wa`>7}#91h1=964V063>7}#=mn1=6*:db82?x{zu2c:844?:%02{M02g?7|^ji1>v*>8c820<=Q9=:1>v*:de82?!3ck3;0qpsr;n3;0?6=,;;36<6;;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{51;294~"59109=k5G21a8k4>3290/><651968?xd19k0;6>4?:1y'64>==m1C>=m4i06;>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>37[?;0;0x 0bc281/9im51:~yx=n9=31<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515;8R4272;q/9ij51:&6`f<63twvq6a>8583>!4603;386X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?xd19j0;6>4?:1y'64>==m1C>=m4i06;>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>37[?;0;0x 0bc2l1/9im5e:~yx=n9=31<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515;8R4272;q/9ij5e:&6`f8583>!4603;386X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?xd19m0;694?:1y'64>==m1C>=m4i06;>5<#:821=994H334?S46i3;p@??l:0yUgf<5s-;3n7?;8:T205<5s-?oh794$4f`>2=zutw0e<:6:18'64>=9==0Z??n:0yO64e=9r\ho742>3_;?<7`=#=mi1i6sr}|9j502=83.9=54>469K641<^;;j60Z<:?:3y'1ab=92.>hn4>;|~y>{e>8o1<7:50;2x 77?2l;h374181!3cl3o0(8jl:d9~yx{26=4+20:9511<^;;j6{zut1d=5:50;&15=<60=1]>;6?u+5ef95>"2lj0:7psr}:a24`=83?1<7>t$33;>0b<@;:h7d?;8;29 77?28><7E<>7:T15d<6sE8:o7?tVba96~"60k0:855Y15296~"2lm0n7);kc;g8yx{z3`;?57>5$33;>4203A8:;6X=1`82I46k3;pZnm52z&252z&6`a{M02g?7|^ji1>v*>8c8211=Q9=:1>v*:de8f?!3ck3o0qpsr;h36b?6=,;;36<:8;I023>P59h0:wA<>c;3xRfe=:r.:4o4>5g9U516=:r.>hi4j;%7gg?ca;3xH77d28q]on4={%3;f?7?<2\:8=4={%7g`?7<,50z&15=<2l2B9{zut1b=9750;&15=<6<>1C><94V33b>4}K:8i1=vXlc;0x 4>e28>27[?;0;0x 0bc2l1/9im5e:~yx=n9<>1<7*=198202=O:8=0Z??n:0yO64e=9r\ho74333_;?<7`=#=mi1i6sr}|9j50`=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=8h4V063>7}#=mn1i6*:db8f?x{zu2e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de82?!3ck3;0qpsr;|`55d<72>0;6=u+20:95=5<@;:h7d?;8;29 77?28><7[<>a;3xH77d28q]on4={%3;f?7302\:8=4={%7g`?7<,290/><651558R77f28qG>{zut1b=8:50;&15=<6<>1]>;6?u+5ef95>"2lj0:7psr}:k21c<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0:9k5Y15296~"2lm0:7);kc;38yx{z3`;=>7>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;356>P6<909w);kd;38 0bd281vqps4i040>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28<87[?;0;0x 0bc281/9im51:~yx=h91>1<7*=1982<1=Q:8k1=vB=1b82Sed2;q/=5l51968R4272;q/9ij51:&6`f<63twvq6sm50:94?4=83:p(??7:c58L76d3`;?;7>5$33;>42032e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de82?!3ck3;0qpsr;|`661<72?0;6=u+20:9513<@;:h7)?98;32?l73?3:1(??7:064?S46i3;p@??l:0yU53?=:r.:4o4>469U516=:r.>hi4>;%7gg?7=k<1]>f3<^8>;6?u+5ef95>"2lj0:7psr}:k`2?6=,;;36n84V33b>7}K:8i1=vX>6881!7?j3i=7[?;0;0x 0bc2:1/9im53:~yI46j3>pZ?>6:2yUgd<5s-?on7m9;%174?3cl2w]oo4={%7gf?73?2.88=4:de9~ 3072k1vZ?>6:2yUgd<5s-?on7m9;%174?3cl2w]oo4={%7gf?73?2.88=4:db9~ 3072k1vZ?>6:2yUgd<5s-?on7m9;%174?3cl2w]oo4={%7gf?e23-9?<7;kd:'236=:2w]=5o52zT`e?4|,0bc3t.=:=4>0:~y>o5810;6)<>8;03<>P59h09wA<>c;3xR40>2;q/=5l521:8R4272;q/9ij5f:&6`f76?3-9?<7;kd:'236=n2wvq6g=0683>!46038;;6X=1`81I46k3;pZ<86:3y'5=d=:9=0Z<:?:3y'1ab=n2.>hn4i;|~H77e28q]>8;%174?3cl2w/:;>5f:~y>i60=0;6)<>8;3;0>=zj<;26=4<:183!46038:96F=0b9j51>=83.9=54>469K641<^;;j6hn4>;|~y>i60=0;6)<>8;3;0>P59h0:wA<>c;3xRfe=:r.:4o4>859U516=:r.>hi4>;%7gg?74<729q/><6520d8L76d3f;387>5$33;>4>332wi94=zutw0e<:6:18'64>=9==0Z??n:0yO64e=9r\ho742>3_;?<74=#=mi1=6sr}|9l5=2=83.9=54>859U64g=9rF9=n4>{Wa`>7}#91h1=5:4V063>7}#=mn1=6*:db82?x{zu2wi94=zutw0e<:6:18'64>=9==0Z??n:0yO64e=9r\ho742>3_;?<74=#=mi1=6sr}|9l5=2=83.9=54>859U64g=9rF9=n4>{Wa`>7}#91h1=5:4V063>7}#=mn1=6*:db82?x{zu2wi9`=zutw0e<:6:18'64>=9==0Z??n:0yO64e=9r\ho742>3_;?<7`=#=mi1i6sr}|9j502=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=8:4V063>7}#=mn1i6*:db8f?x{zu2e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de82?!3ck3;0qpsr;|`65c<72=0;6=u+20:91a=O:9i0e<:7:18'64>=9==0D??8;W02e?7|D;;h64181!3cl3o0(8jl:d9~yx{26=4+20:9511<^;;j6{zut1d=5:50;&15=<60=1]>;6?u+5ef95>"2lj0:7psr}:a176=83?1<7>t$33;>0b<@;:h7d?;8;29 77?28><7[<>a;3xH77d28q]on4={%3;f?7302\:8=4={%7g`?c<,290/><651558L7703_8:m7?tL33`>4}Qkj09w)?7b;37=>P6<909w);kd;g8 0bd2l1vqps4i077>5<#:821=994H334?S46i3;p@??l:0yUgf<5s-;3n7?:4:T205<5s-?oh7k4$4f`>`=zutw0e<;i:18'64>=9==0Z??n:0yO64e=9r\ho743a3_;?<7`=#=mi1i6sr}|9l5=2=83.9=54>859U64g=9rF9=n4>{Wa`>7}#91h1=5:4V063>7}#=mn1=6*:db82?x{zu2wi9??50;494?6|,;;368j4H32`?l7303:1(??7:064?M46?2\9=l4>{M02g?7|^ji1>v*>8c820==Q9=:1>v*:de84?!3ck3=0qpsr;h37=?6=,;;36<:8;I023>P59h0:wA<>c;3xRfe=:r.:4o4>489U516=:r.>hi48;%7gg?1<7E<>7:T15d<6sE8:o7?tVba96~"60k0:995Y15296~"2lm0n7);kc;g8yx{z3`;>j7>5$33;>4203A8:;6X=1`82I46k3;pZnm52z&252z&6`a`=zutw0c<6;:18'64>=91>0Z??n:0yO64e=9r\ho74>33_;?<74=#=mi1=6sr}|9~f045290=6=4?{%024983>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a<03-?oo794}|~?l7313:1(??7:064?M46?2\9=l4>{M02g?7|^ji1>v*>8c820<=Q9=:1>v*:de8f?!3ck3o0qpsr;h360?6=,;;36<:8;I023>P59h0:wA<>c;3xRfe=:r.:4o4>559U516=:r.>hi4j;%7gg?c<7E<>7:T15d<6sE8:o7?tVba96~"60k0:9k5Y15296~"2lm0n7);kc;g8yx{z3`;=>7>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;356>P6<909w);kd;g8 0bd2l1vqps4o0:7>5<#:821=5:4V33b>4}K:8i1=vXlc;0x 4>e282?7[?;0;0x 0bc281/9im51:~yx=zj<;i6=47:183!4603;3?6F=0b9j51>=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=964V063>7}#=mn1=6*:db82?x{zu2c:844?:%02{M02g?7|^ji1>v*>8c820<=Q9=:1>v*:de82?!3ck3;0qpsr;h360?6=,;;36<:8;W02e?7|D;;h686X>4181!3cl3;0(8jl:09~yx{hn4>;|~y>o6>:0;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>629U516=:r.>hi4>;%7gg?7<7[<>a;3xH77d28q]on4={%3;f?71<2\:8=4={%7g`?7<,3290/><651968R77f28qG>{zut1vn;:j:181>5<7s-8:47l8;I03g>o6<>0;6)<>8;373>=h91>1<7*=1982<1=Q:8k1=vB=1b82Sed2;q/=5l51968R4272;q/9ij51:&6`f<63twvq6sm64194?0=83:p(??7:066?M47k2.::54>1:k202<72-8:47?;7:T15d<6sE8:o7?tV04:>7}#91h1=994V063>7}#=mn1=6*:db82?x{zu2ch97>5$33;>f3<^;;j6009w)?7b;a6?S73838p(8jk:09'1ae=92wvqp5fc783>!4603i=7[<>a;0xH77d28q]=;752z&22\:8=4={%7g`?5<,5r}|N15g<3s_8;57=tVbc96~"2lk0h:6*<4186`a=z^jh1>v*:dc8202=#;=:19ij4}%454?dv*:dc8202=#;=:19im4}%454?dv*:dc8`1>"4<90>hi5r$743>7=z^82j6?uYc`81!3cj3i=7)=;0;7g`>{#>?:1==5r}|9j65>=83.9=54=099U64g=:rF9=n4>{W35=?4|,82i6?>7;W374?4|,{zuE8:n7?tV332>7}Qkh09w);kb;03<>"4<90>hi5r$743>c=zut1b>=950;&15=<58>1]>7603_;?<7c=#=mi1j6sr}M02f?7|^;;:6?uYc`81!3cj38;;6*<4186`a=z,?<;6k5r}|9l5=2=83.9=54>8598yg03n3:1?7>50z&15=<59<1C>=m4i06;>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>37[?;0;0x 0bc281/9im51:~yx=n9=31<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515;8R4272;q/9ij51:&6`f<63twvq6a>8583>!4603;386X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?xd1=90;6<4?:1y'64>=:8l0D?>l;n3;0?6=,;;36<6;;:a207=8391<7>t$33;>0b<@;:h7d?;8;29 77?28><7E<>7:T15d<6sE8:o7?tVba96~"60k0:855Y15296~"2lm0n7);kc;g8yx{z3`;?57>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37=>P6<909w);kd;g8 0bd2l1vqps4o0:7>5<#:821=5:4V33b>4}K:8i1=vXlc;0x 4>e282?7[?;0;0x 0bc281/9im51:~yx=zj?886=4=:183!4603h<7E859U64g=9rF9=n4>{Wa`>7}#91h1=5:4V063>7}#=mn1=6*:db82?x{zu2wi:?h50;194?6|,;;36??:;I03g>o6<10;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>499U516=:r.>hi4>;%7gg?7<7[<>a;3xH77d28q]on4={%3;f?7312\:8=4={%7g`?e<,3290/><651968R77f28qG>{zut1vn;5<7s-8:47?;5:J14f=#9?21=<5f15594?"5910:8:5Y20c95~J59j0:w[?99;0x 4>e28><7[?;0;0x 0bc281/9im51:~yx=nk<0;6)<>8;a6?S46i3;p@??l:0yU53?=:r.:4o4l5:T205<5s-?oh7?4$4f`>4=zutw0en850;&15=2\9=l4={M02g?7|^8<26?u+19`9g3=Q9=:1>v*:de80?!3ck390qpsC20`90~P58008w[mn:3y'1ad=k?1/?9>55ef8ySee2;q/9il51558 627255ef8ySee2;q/9il51558 627255ef8ySee2;q/9il5c49'716==mn0q)890;08yS7?i38pZno52z&6`g2.88=4:de9~ 30728:0qps4i32;>5<#:821>=64V33b>7}K:8i1=vX>6881!7?j38;46X>4181!3cl3l0(8jl:g9~yxJ59k0:w[<>1;0xRfg=:r.>ho4=099'716==mn0q)890;d8yx{009w)?7b;033>P6<909w);kd;d8 0bd2o1vqpB=1c82S46938pZno52z&6`g<58>1/?9>55ef8y!0183l0qps4o0:7>5<#:821=5:4;|`561<72:0;6=u+20:9643<@;:h7d?;8;29 77?28><7E<>7:T15d<6sE8:o7?tVba96~"60k0:855Y15296~"2lm0:7);kc;38yx{z3`;?57>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37=>P6<909w);kd;38 0bd281vqps4o0:7>5<#:821=5:4V33b>4}K:8i1=vXlc;0x 4>e282?7[?;0;0x 0bc281/9im51:~yx=zj?8>6=4>:183!46038:j6F=0b9l5=2=83.9=54>8598yg05?3:1?7>50z&15=<2l2B9hn4>;|~y>o6<00;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>489U516=:r.>hi4>;%7gg?7a;3xH77d28q]on4={%3;f?7?<2\:8=4={%7g`?7<,50z&15=<2l2B9{zut1b=9750;&15=<6<>1]>;6?u+5ef9a>"2lj0n7psr}:k211<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0:995Y15296~"2lm0n7);kc;g8yx{z3f;387>5$33;>4>33_8:m7?tL33`>4}Qkj09w)?7b;3;0>P6<909w);kd;38 0bd281vqps4}c41=?6==3:1N58j1b=9650;&15=<6<>1C><94V33b>4}K:8i1=vXlc;0x 4>e28>37[?;0;0x 0bc2l1/9im5e:~yx=n9=31<7*=198202=O:8=0Z??n:0yO64e=9r\ho742>3_;?<7`=#=mi1i6sr}|9j502=83.9=54>469K641<^;;j6{zut1d=5:50;&15=<60=1]>;6?u+5ef95>"2lj0:7psr}:a27g=83<1<7>t$33;>0b<@;:h7d?;8;29 77?28><7[<>a;3xH77d28q]on4={%3;f?7302\:8=4={%7g`?1<,290/><651558L7703_8:m7?tL33`>4}Qkj09w)?7b;37=>P6<909w);kd;58 0bd2>1vqps4i077>5<#:821=994H334?S46i3;p@??l:0yUgf<5s-;3n7?:4:T205<5s-?oh7k4$4f`>`=zutw0e<;i:18'64>=9==0D??8;W02e?7|D;;h6j6X>4181!3cl3o0(8jl:d9~yx{a;3xH77d28q]on4={%3;f?71:2\:8=4={%7g`?c<,3290/><651968R77f28qG>{zut1vn;5<7s-8:47;k;I03g>o6<10;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>499U516=:r.>hi48;%7gg?1<7[<>a;3xH77d28q]on4={%3;f?7312\:8=4={%7g`?c<,<651558L7703_8:m7?tL33`>4}Qkj09w)?7b;360>P6<909w);kd;g8 0bd2l1vqps4i07e>5<#:821=994H334?S46i3;p@??l:0yUgf<5s-;3n7?:f:T205<5s-?oh7k4$4f`>`=zutw0e<8=:18'64>=9==0D??8;W02e?7|D;;h66X>4181!3cl3o0(8jl:d9~yx{5<1290;w)<>8;7g?M47k2c:854?:%02hn48;|~y>o6<00;6)<>8;373>N59>1]>;6?u+5ef9a>"2lj0n7psr}:k211<72-8:47?;7:J152=Q:8k1=vB=1b82Sed2;q/=5l51468R4272;q/9ij5e:&6`f5g83>!4603;?;6F=169U64g=9rF9=n4>{Wa`>7}#91h1=8h4V063>7}#=mn1i6*:db8f?x{zu2c::?4?:%02hn4j;|~y>i60=0;6)<>8;3;0>P59h0:wA<>c;3xRfe=:r.:4o4>859U516=:r.>hi4>;%7gg?72<729q/><651918L76d3`;?47>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37<>P6<909w);kd;38 0bd281vqps4i06:>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>27[?;0;0x 0bc281/9im51:~yx=n9<>1<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l51468R4272;q/9ij51:&6`f<63twvq6g>5g83>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?l71:3:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?92:T205<5s-?oh7?4$4f`>4=zutw0e<8<:18'64>=9==0Z??n:0yO64e=9r\ho74043_;?<74=#=mi1=6sr}|9l5=2=83.9=54>859U64g=9rF9=n4>{Wa`>7}#91h1=5:4V063>7}#=mn1=6*:db82?x{zu2wi?lj50;094?6|,;;36o94H32`?l73?3:1(??7:064?>i60=0;6)<>8;3;0>P59h0:wA<>c;3xRfe=:r.:4o4>859U516=:r.>hi4>;%7gg?76<729q/><652078L76d3`;?47>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37<>P6<909w);kd;38 0bd281vqps4i06:>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>27[?;0;0x 0bc2j1/9im5c:~yx=h91>1<7*=1982<1=Q:8k1=vB=1b82Sed2;q/=5l51968R4272;q/9ij51:&6`f<63twvq6sm3c794?0=83:p(??7:066?M47k2.::54>1:k202<72-8:47?;7:T15d<6sE8:o7?tV04:>7}#91h1=994V063>7}#=mn1=6*:db82?x{zu2ch97>5$33;>f3<^;;j6009w)?7b;a6?S73838p(8jk:09'1ae=92wvqp5fc783>!4603i=7[<>a;0xH77d28q]=;752z&22\:8=4={%7g`?5<,5r}|N15g<3s_8;57=tVbc96~"2lk0h:6*<4186`a=z^jh1>v*:dc8202=#;=:19ij4}%454?dv*:dc8202=#;=:19im4}%454?dv*:dc8`1>"4<90>hi5r$743>7=z^82j6?uYc`81!3cj3i=7)=;0;7g`>{#>?:1==5r}|9j65>=83.9=54=099U64g=:rF9=n4>{W35=?4|,82i6?>7;W374?4|,{zuE8:n7?tV332>7}Qkh09w);kb;03<>"4<90>hi5r$743>c=zut1b>=950;&15=<58>1]>7603_;?<7c=#=mi1j6sr}M02f?7|^;;:6?uYc`81!3cj38;;6*<4186`a=z,?<;6k5r}|9l5=2=83.9=54>8598yg5fm3:1?7>50z&15=<59<1C>=m4i06;>5<#:821=994H334?S46i3;p@??l:0yUgf<5s-;3n7?;8:T205<5s-?oh7?4$4f`>4=zutw0e<:6:18'64>=9==0Z??n:0yO64e=9r\ho742>3_;?<74=#=mi1=6sr}|9l5=2=83.9=54>859U64g=9rF9=n4>{Wa`>7}#91h1=5:4V063>7}#=mn1=6*:db82?x{zu2wi?lh50;394?6|,;;36??i;I03g>i60=0;6)<>8;3;0>=zj:h:6=4;:183!4603?o7E5$33;>4203A8:;6X=1`82I46k3;pZnm52z&252z&6`a`=zutw0c<6;:18'64>=91>0Z??n:0yO64e=9r\ho74>33_;?<74=#=mi1=6sr}|9~f6d5290<6=4?{%024983>!4603;?;6F=169U64g=9rF9=n4>{Wa`>7}#91h1=964V063>7}#=mn1i6*:db8f?x{zu2c:844?:%02hn4j;|~y>o6==0;6)<>8;373>N59>1]>;6?u+5ef9a>"2lj0n7psr}:k21c<72-8:47?;7:J152=Q:8k1=vB=1b82Sed2;q/=5l514d8R4272;q/9ij5e:&6`f6383>!4603;?;6F=169U64g=9rF9=n4>{Wa`>7}#91h1=;<4V063>7}#=mn1i6*:db8f?x{zu2c::>4?:%02{M02g?7|^ji1>v*>8c8226=Q9=:1>v*:de8f?!3ck3o0qpsr;n3;0?6=,;;36<6;;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{57;294~"5910>h6F=0b9j51>=83.9=54>469K641<^;;j6{zut1b=8:50;&15=<6<>1C><94V33b>4}K:8i1=vXlc;0x 4>e28??7[?;0;0x 0bc2l1/9im5e:~yx=n943a3_;?<7`=#=mi1i6sr}|9j534=83.9=54>469K641<^;;j6{zut1d=5:50;&15=<60=1]>;6?u+5ef95>"2lj0:7psr}:a7g6=83>1<7>t$33;>4>43A8;o6g>4983>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?l7313:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?;9:T205<5s-?oh7?4$4f`>4=zutw0e<;;:18'64>=9==0Z??n:0yO64e=9r\ho74333_;?<74=#=mi1=6sr}|9l5=2=83.9=54>859U64g=9rF9=n4>{Wa`>7}#91h1=5:4V063>7}#=mn1=6*:db82?x{zu2wi9<>50;094?6|,;;36o94H32`?l73?3:1(??7:064?>i60=0;6)<>8;3;0>P59h0:wA<>c;3xRfe=:r.:4o4>859U516=:r.>hi4>;%7gg?73<729q/><651578L76d3-;=47?>;h373?6=,;;36<:8;W02e?7|D;;h66=4+20:9g0=Q:8k1=vB=1b82S71138p(<6m:b78R4272;q/9ij51:&6`f<63twvq6gl6;29 77?2j<0Z??n:3yO64e=9r\::44={%3;f?e13_;?<76=#=mi1?6sr}M02f?2|^;:26>uYc`81!3cj3i=7)=;0;7g`>{Qkk09w);kb;373>"4<90>hi5r$743>g=z^;:26>uYc`81!3cj3i=7)=;0;7g`>{Qkk09w);kb;373>"4<90>hn5r$743>g=z^;:26>uYc`81!3cj3i=7)=;0;7g`>{Qkk09w);kb;a6?!5383?oh6s+67296>{Q91k1>vXla;0x 0be2j<0(>:?:4fg?x"1>90:<6sr}:k14=<72-8:477}#91h1>=64V063>7}#=mn1j6*:db8e?x{zD;;i6{zu2c9<:4?:%02;6?u+5ef9b>"2lj0m7psrL33a>4}Q:8;1>vXla;0x 0be2;:<7)=;0;7g`>{#>?:1j6sr}:m2<1<72-8:47?74:9~f07629086=4?{%02hn4>;|~y>o6<00;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>489U516=:r.>hi4>;%7gg?7a;3xH77d28q]on4={%3;f?7?<2\:8=4={%7g`?7<,50z&15=<59o1C>=m4o0:7>5<#:821=5:4;|`651<72:0;6=u+20:91a=O:9i0e<:7:18'64>=9==0Z??n:0yO64e=9r\ho742?3_;?<7`=#=mi1i6sr}|9j51?=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=974V063>7}#=mn1i6*:db8f?x{zu2e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de82?!3ck3;0qpsr;|`650<72:0;6=u+20:91a=O:9i0e<:7:18'64>=9==0Z??n:0yO64e=9r\ho742?3_;?<7`=#=mi1i6sr}|9j51?=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=974V063>7}#=mn1i6*:db8f?x{zu2e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de82?!3ck3;0qpsr;|`656<72:0;6=u+20:95=5<@;:h7d?;8;29 77?28><7[<>a;3xH77d28q]on4={%3;f?7302\:8=4={%7g`?7<,290/><651558R77f28qG>{zut1d=5:50;&15=<60=1]>;6?u+5ef95>"2lj0:7psr}:a1`2=8381<7>t$33;>g1<@;:h7d?;7;29 77?28><76a>8583>!4603;386X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?xd2mo0;6;4?:1y'64>=9=?0D?>l;%355$33;>4203_8:m7?tL33`>4}Q9?31>v*>8c8202=Q9=:1>v*:de82?!3ck3;0qpsr;ha6>5<#:821o85Y20c95~J59j0:w[?99;0x 4>e2j?0Z<:?:3y'1ab=92.>hn4>;|~y>od>3:1(??7:b48R77f2;qG>5+5ea97>{zuE8:n7:tV32:>6}Qkh09w);kb;a5?!5383?oh6sYcc81!3cj3;?;6*<4186`a=z,?<;6o5rV32:>6}Qkh09w);kb;a5?!5383?oh6sYcc81!3cj3;?;6*<4186`f=z,?<;6o5rV32:>6}Qkh09w);kb;a5?!5383?oh6sYcc81!3cj3i>7)=;0;7g`>{#>?:1>6sY19c96~Pdi38p(8jm:b48 6272{zu2c9<54?:%02<^8>;6?u+5ef9b>"2lj0m7psrL33a>4}Q:8;1>vXla;0x 0be2;:37)=;0;7g`>{#>?:1j6sr}:k142<72-8:477}#91h1>=94V063>7}#=mn1j6*:db8e?x{zD;;i6{zu2e:494?:%025<7s-8:47<>5:J14f=n9=21<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515:8R4272;q/9ij51:&6`f<63twvq6g>4883>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?j7?<3:1(??7:0:7?S46i3;p@??l:0yUgf<5s-;3n7?74:T205<5s-?oh7?4$4f`>4=zutw0qo;j6;295?6=8r.9=54=1g9K65ei54?:283>5}#:8219i5G21a8m42?290/><651558R77f28qG>{zut1b=9750;&15=<6<>1]>;6?u+5ef95>"2lj0:7psr}:m2<1<72-8:47?74:T15d<6sE8:o7?tVba96~"60k0:495Y15296~"2lm0:7);kc;38yx{z3th>i44?:283>5}#:8219i5G21a8m42?290/><651558R77f28qG>{zut1b=9750;&15=<6<>1]>;6?u+5ef9a>"2lj0n7psr}:m2<1<72-8:47?74:T15d<6sE8:o7?tVba96~"60k0:495Y15296~"2lm0:7);kc;38yx{z3th>il4?:283>5}#:8219i5G21a8m42?290/><651558R77f28qG>{zut1b=9750;&15=<6<>1]>;6?u+5ef9a>"2lj0n7psr}:m2<1<72-8:47?74:T15d<6sE8:o7?tVba96~"60k0:495Y15296~"2lm0:7);kc;38yx{z3th>io4?:483>5}#:8219i5G21a8m42?290/><651558L7703_8:m7?tL33`>4}Qkj09w)?7b;37<>P6<909w);kd;g8 0bd2l1vqps4i06:>5<#:821=994H334?S46i3;p@??l:0yUgf<5s-;3n7?;9:T205<5s-?oh7k4$4f`>`=zutw0e<;;:18'64>=9==0Z??n:0yO64e=9r\ho74333_;?<7`=#=mi1i6sr}|9j50`=83.9=54>469K641<^;;j60Z<:?:3y'1ab=92.>hn4>;|~y>{e=li1<7;50;2x 77?2l;h37P59h0:wA<>c;3xRfe=:r.:4o4>499U516=:r.>hi4j;%7gg?c<7E<>7:T15d<6sE8:o7?tVba96~"60k0:845Y15296~"2lm0n7);kc;g8yx{z3`;>87>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;360>P6<909w);kd;g8 0bd2l1vqps4i07e>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28?m7[?;0;0x 0bc2l1/9im5e:~yx=h91>1<7*=1982<1=Q:8k1=vB=1b82Sed2;q/=5l51968R4272;q/9ij51:&6`f<63twvq6sm5df94?0=83:p(??7:4f8L76d3`;?47>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37<>P6<909w);kd;58 0bd2>1vqps4i06:>5<#:821=994H334?S46i3;p@??l:0yUgf<5s-;3n7?;9:T205<5s-?oh7k4$4f`>`=zutw0e<;;:18'64>=9==0D??8;W02e?7|D;;h686X>4181!3cl3o0(8jl:d9~yx{a;3xH77d28q]on4={%3;f?72n2\:8=4={%7g`?c<,<651558L7703_8:m7?tL33`>4}Qkj09w)?7b;356>P6<909w);kd;g8 0bd2l1vqps4o0:7>5<#:821=5:4V33b>4}K:8i1=vXlc;0x 4>e282?7[?;0;0x 0bc281/9im51:~yx=zj=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=964V063>7}#=mn1=6*:db82?x{zu2c:844?:%02{M02g?7|^ji1>v*>8c820<=Q9=:1>v*:de82?!3ck3;0qpsr;h360?6=,;;36<:8;W02e?7|D;;h686X>4181!3cl3;0(8jl:09~yx{hn4>;|~y>o6>:0;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>629U516=:r.>hi4>;%7gg?7a;3xH77d28q]on4={%3;f?7?<2\:8=4={%7g`?7<,7>50z&15=5<#:821=5:4V33b>4}K:8i1=vXlc;0x 4>e282?7[?;0;0x 0bc281/9im51:~yx=zj;l26=49:183!4603;?96F=0b9'53>=981b=9950;&15=<6<>1]>4203_;?<74=#=mi1=6sr}|9jg0<72-8:47m:;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{P59h09wA<>c;3xR40>2;q/=5l5c79U516=:r.>hi4<;%7gg?57}#=mh1=994$263>0bc3t.=:=4m;|T14<<4s_ij6?u+5e`9g3=#;=:19ij4}Waa>7}#=mh1=994$263>0bd3t.=:=4m;|T14<<4s_ij6?u+5e`9g3=#;=:19ij4}Waa>7}#=mh1o85+35291abv*:dc8`2>"4<90>hi5r$743>0=zut1b>=650;&15=<5811]>76?3_;?<7c=#=mi1j6sr}M02f?7|^;;:6?uYc`81!3cj38;46*<4186`a=z,?<;6k5r}|9j651=83.9=54=069U64g=:rF9=n4>{W35=?4|,82i6?>8;W374?4|,{zuE8:n7?tV332>7}Qkh09w);kb;033>"4<90>hi5r$743>c=zut1d=5:50;&15=<60=10qo36=4+20:9511<^;;j6hn4>;|~y>i60=0;6)<>8;3;0>P59h0:wA<>c;3xRfe=:r.:4o4>859U516=:r.>hi4>;%7gg?74<729q/><6520d8L76d3f;387>5$33;>4>332wi>k850;694?6|,;;368j4H32`?l7303:1(??7:064?M46?2\9=l4>{M02g?7|^ji1>v*>8c820==Q9=:1>v*:de8f?!3ck3o0qpsr;h37=?6=,;;36<:8;W02e?7|D;;h64181!3cl3o0(8jl:d9~yx{a;3xH77d28q]on4={%3;f?72<2\:8=4={%7g`?c<,3290/><651968R77f28qG>{zut1vn?h8:187>5<7s-8:47;k;I03g>o6<10;6)<>8;373>N59>1]><^8>;6?u+5ef9a>"2lj0n7psr}:k20<<72-8:47?;7:J152=Q:8k1=vB=1b82Sed2;q/=5l515;8R4272;q/9ij5e:&6`f5583>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a4=zutw0qo829K65e36=4+20:9511<^;;j6hn4>;|~y>i60=0;6)<>8;3;0>P59h0:wA<>c;3xRfe=:r.:4o4>859U516=:r.>hi4>;%7gg?76<729q/><655e9K65e36=4+20:9511<^;;j6hn47;|~y>i60=0;6)<>8;3;0>P59h0:wA<>c;3xRfe=:r.:4o4>859U516=:r.>hi4>;%7gg?77<729q/><65b69K65e<6=4+20:9511<3f;387>5$33;>4>33_8:m7?tL33`>4}Qkj09w)?7b;3;0>P6<909w);kd;38 0bd281vqps4}c137?6=;3:1=9==0Z??n:0yO64e=9r\ho742?3_;?<74=#=mi1=6sr}|9j51?=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=974V063>7}#=mn1o6*:db8`?x{zu2e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de82?!3ck3;0qpsr;|`047<72?0;6=u+20:9513<@;:h7)?98;32?l73?3:1(??7:064?S46i3;p@??l:0yU53?=:r.:4o4>469U516=:r.>hi4>;%7gg?7=k<1]>f3<^8>;6?u+5ef95>"2lj0:7psr}:k`2?6=,;;36n84V33b>7}K:8i1=vX>6881!7?j3i=7[?;0;0x 0bc2:1/9im53:~yI46j3>pZ?>6:2yUgd<5s-?on7m9;%174?3cl2w]oo4={%7gf?73?2.88=4:de9~ 3072k1vZ?>6:2yUgd<5s-?on7m9;%174?3cl2w]oo4={%7gf?73?2.88=4:db9~ 3072k1vZ?>6:2yUgd<5s-?on7m9;%174?3cl2w]oo4={%7gf?e23-9?<7;kd:'236=:2w]=5o52zT`e?4|,0bc3t.=:=4:;|~?l4703:1(??7:32;?S46i38p@??l:0yU53?=:r.:4o4=099U516=:r.>hi4i;%7gg?`<,:>;68jk;|&525a;0xH77d28q]=;752z&21]=9>52z&6`a7}#=mh1>=94$263>0bc3t.=:=4i;|~?j7?<3:1(??7:0:7?>{e:oi1<7=50;2x 77?2;;>7E5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37=>P6<909w);kd;38 0bd281vqps4o0:7>5<#:821=5:4V33b>4}K:8i1=vXlc;0x 4>e282?7[?;0;0x 0bc281/9im51:~yx=zj;lo6=4>:183!46038:j6F=0b9l5=2=83.9=54>8598yg4an3:1?7>50z&15=<2l2B9hn4j;|~y>o6<00;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>489U516=:r.>hi4j;%7gg?ca;3xH77d28q]on4={%3;f?7?<2\:8=4={%7g`?7<,50z&15=<2l2B9{zut1b=9750;&15=<6<>1]>;6?u+5ef9a>"2lj0n7psr}:k211<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0:995Y15296~"2lm0n7);kc;g8yx{z3f;387>5$33;>4>33_8:m7?tL33`>4}Qkj09w)?7b;3;0>P6<909w);kd;38 0bd281vqps4}c0ea?6=;3:1=9==0Z??n:0yO64e=9r\ho742?3_;?<74=#=mi1=6sr}|9j51?=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=974V063>7}#=mn1=6*:db82?x{zu2e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de82?!3ck3;0qpsr;|`1bg<72:0;6=u+20:91a=O:9i0e<:7:18'64>=9==0Z??n:0yO64e=9r\ho742?3_;?<7==#=mi146sr}|9j51?=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=974V063>7}#=mn146*:db8;?x{zu2e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de82?!3ck3;0qpsr;|`0f2<72;0;6=u+20:9f2=O:9i0e<:8:18'64>=9==07b?74;29 77?282?7[<>a;3xH77d28q]on4={%3;f?7?<2\:8=4={%7g`?7<,50z&15=<6<<1C>=m4$04;>47<6=4+20:9511<^;;j6009w)?7b;373>P6<909w);kd;38 0bd281vqps4ib794?"5910h96X=1`82I46k3;pZ<86:3y'5=d=k<1]=9>52z&6`a<63-?oo7?4}|~?le1290/><65c79U64g=:rF9=n4>{W35=?4|,82i6n84V063>7}#=mn1?6*:db80?x{zD;;i69uY21;97~Pdi38p(8jm:b48 6272<7)=;0;7g`>{#>?:1n6sY21;97~Pdi38p(8jm:b48 6272<7)=;0;7gg>{#>?:1n6sY21;97~Pdi38p(8jm:b48 6272:?:4fg?x"1>9097pX>8`81Sef2;q/9il5c79'716==mn0q)890;33?x{z3`8;47>5$33;>76?3_8:m74}Q9?31>v*>8c814==Q9=:1>v*:de8e?!3ck3l0qpsC20`95~P59809w[mn:3y'1ad=:920(>:?:4fg?x"1>90m7psr;h033?6=,;;36?>8;W02e?4|D;;h65$33;>4>332wi?o650;194?6|,;;36??:;I03g>o6<10;6)<>8;373>N59>1]><^8>;6?u+5ef95>"2lj0:7psr}:k20<<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0:845Y15296~"2lm0:7);kc;38yx{z3f;387>5$33;>4>33_8:m7?tL33`>4}Qkj09w)?7b;3;0>P6<909w);kd;38 0bd281vqps4}c1a=?6=93:1=91>07pl6<729q/><655e9K65e36=4+20:9511<^;;j6hn4j;|~y>i60=0;6)<>8;3;0>P59h0:wA<>c;3xRfe=:r.:4o4>859U516=:r.>hi4>;%7gg?76<729q/><655e9K65e36=4+20:9511<@;;<7[<>a;3xH77d28q]on4={%3;f?7302\:8=4={%7g`?c<,290/><651558L7703_8:m7?tL33`>4}Qkj09w)?7b;37=>P6<909w);kd;g8 0bd2l1vqps4o0:7>5<#:821=5:4V33b>4}K:8i1=vXlc;0x 4>e282?7[?;0;0x 0bc281/9im51:~yx=zj:ho6=4<:183!4603?o7E4883>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a4=zutw0qo=ma;290?6=8r.9=54>829K65e36=4+20:9511<^;;j6hn4>;|~y>o6==0;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>559U516=:r.>hi4>;%7gg?7a;3xH77d28q]on4={%3;f?7?<2\:8=4={%7g`?7<,7>50z&15=5<#:821=5:4V33b>4}K:8i1=vXlc;0x 4>e282?7[?;0;0x 0bc281/9im51:~yx=zj;l;6=49:183!4603;?96F=0b9'53>=981b=9950;&15=<6<>1]>4203_;?<74=#=mi1=6sr}|9jg0<72-8:47m:;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{P59h09wA<>c;3xR40>2;q/=5l5c79U516=:r.>hi4<;%7gg?57}#=mh1=994$263>0bc3t.=:=4m;|T14<<4s_ij6?u+5e`9g3=#;=:19ij4}Waa>7}#=mh1=994$263>0bd3t.=:=4m;|T14<<4s_ij6?u+5e`9g3=#;=:19ij4}Waa>7}#=mh1o85+35291abv*:dc8`2>"4<90>hi5r$743>0=zut1b>=650;&15=<5811]>76?3_;?<7c=#=mi1j6sr}M02f?7|^;;:6?uYc`81!3cj38;46*<4186`a=z,?<;6k5r}|9j651=83.9=54=069U64g=:rF9=n4>{W35=?4|,82i6?>8;W374?4|,{zuE8:n7?tV332>7}Qkh09w);kb;033>"4<90>hi5r$743>c=zut1d=5:50;&15=<60=10qo36=4+20:9511<^;;j6hn4>;|~y>i60=0;6)<>8;3;0>P59h0:wA<>c;3xRfe=:r.:4o4>859U516=:r.>hi4>;%7gg?74<729q/><6520d8L76d3f;387>5$33;>4>332wi>hk50;194?6|,;;368j4H32`?l7303:1(??7:064?M46?2\9=l4>{M02g?7|^ji1>v*>8c820==Q9=:1>v*:de8f?!3ck3o0qpsr;h37=?6=,;;36<:8;I023>P59h0:wA<>c;3xRfe=:r.:4o4>489U516=:r.>hi4j;%7gg?ca;3xH77d28q]on4={%3;f?7?<2\:8=4={%7g`?7<,50z&15=<2l2B9hn47;|~y>o6<00;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>489U516=:r.>hi47;%7gg?>a;3xH77d28q]on4={%3;f?7?<2\:8=4={%7g`?7<,7>50z&15=5<#:821=5:4V33b>4}K:8i1=vXlc;0x 4>e282?7[?;0;0x 0bc281/9im51:~yx=zj::o6=4<:183!46038:96F=0b9j51>=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=964V063>7}#=mn1=6*:db82?x{zu2c:844?:%02{M02g?7|^ji1>v*>8c820<=Q9=:1>v*:de8`?!3ck3i0qpsr;n3;0?6=,;;36<6;;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{56;294~"5910:885G21a8 40?28;0e<:8:18'64>=9==0Z??n:0yO64e=9r\::44={%3;f?73?2\:8=4={%7g`?7<,7}#91h1o85Y15296~"2lm0:7);kc;38yx{z3`i=6=4+20:9g3=Q:8k1>vB=1b82S71138p(<6m:b48R4272;q/9ij53:&6`f<43twv@??m:5yU65?=;r\hm7f0<,:>;68jk;|T`f?4|,5b:U65?=;r\hm7f0<,:>;68jk;|T`f?4|,5b:U65?=;r\hm7f0<,:>;68jk;|T`f?4|,0bc3t.=:=4=;|T27:18'64>=:920Z??n:3yO64e=9r\::44={%3;f?4702\:8=4={%7g`?`<,<652158R77f2;qG>c=zutF9=o4>{W025?4|^jk1>v*:dc8142=#;=:19ij4}%454?`=91>07pl<0783>6<729q/><652078L76d3`;?47>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37<>P6<909w);kd;38 0bd281vqps4i06:>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>27[?;0;0x 0bc281/9im51:~yx=h91>1<7*=1982<1=Q:8k1=vB=1b82Sed2;q/=5l51968R4272;q/9ij51:&6`f<63twvq6sm31594?7=83:p(??7:33e?M47k2e:494?:%02>6:180>5<7s-8:47;k;I03g>o6<10;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>499U516=:r.>hi4j;%7gg?c<7[<>a;3xH77d28q]on4={%3;f?7312\:8=4={%7g`?c<,3290/><651968R77f28qG>{zut1vn>>n:186>5<7s-8:47;k;I03g>o6<10;6)<>8;373>N59>1]><^8>;6?u+5ef9a>"2lj0n7psr}:k20<<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0:845Y15296~"2lm0n7);kc;g8yx{z3`;>87>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;360>P6<909w);kd;g8 0bd2l1vqps4i07e>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28?m7[?;0;0x 0bc2l1/9im5e:~yx=h91>1<7*=1982<1=Q:8k1=vB=1b82Sed2;q/=5l51968R4272;q/9ij51:&6`f<63twvq6sm31:94?5=83:p(??7:0:0?M47k2c:854?:%02{M02g?7|^ji1>v*>8c820==Q9=:1>v*:de82?!3ck3;0qpsr;h37=?6=,;;36<:8;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{5<4290;w)<>8;7g?M47k2c:854?:%02{M02g?7|^ji1>v*>8c820==Q9=:1>v*:de8;?!3ck320qpsr;h37=?6=,;;36<:8;W02e?7|D;;h64181!3cl320(8jl:99~yx{5<5290;w)<>8;`4?M47k2c:8:4?:%02;6?u+5ef95>"2lj0:7psr}:a7fg=83<1<7>t$33;>4223A8;o6*>69825>o6<>0;6)<>8;373>P59h0:wA<>c;3xR40>2;q/=5l51558R4272;q/9ij51:&6`f<63twvq6gl5;29 77?2j?0Z??n:0yO64e=9r\::44={%3;f?e23_;?<74=#=mi1=6sr}|9jg3<72-8:47m9;W02e?4|D;;h64181!3cl390(8jl:29~yxJ59k0?w[ho4l6:&005<2lm1vZnl52z&6`g<6<>1/?9>55ef8y!0183h0q[ho4l6:&005<2lm1vZnl52z&6`g<6<>1/?9>55ea8y!0183h0q[ho4l6:&005<2lm1vZnl52z&6`g5119~yx=n:921<7*=19814==Q:8k1>vB=1b82S71138p(<6m:32;?S73838p(8jk:g9'1ae=n2wvqA<>b;3xR7762;q]ol4={%7gf?4702.88=4:de9~ 3072o1vqp5f21594?"59109<:5Y20c96~J59j0:w[?99;0x 4>e2;:<7[?;0;0x 0bc2o1/9im5f:~yI46j3;pZ??>:3yUgd<5s-?on71<7*=1982<1=53;294~"59109=85G21a8m42?290/><651558L7703_8:m7?tL33`>4}Qkj09w)?7b;37<>P6<909w);kd;38 0bd281vqps4i06:>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>27[?;0;0x 0bc281/9im51:~yx=h91>1<7*=1982<1=Q:8k1=vB=1b82Sed2;q/=5l51968R4272;q/9ij51:&6`f<63twvq6sm3b094?7=83:p(??7:33e?M47k2e:494?:%02m;:180>5<7s-8:47;k;I03g>o6<10;6)<>8;373>N59>1]><^8>;6?u+5ef9a>"2lj0n7psr}:k20<<72-8:47?;7:J152=Q:8k1=vB=1b82Sed2;q/=5l515;8R4272;q/9ij5e:&6`f8583>!4603;386X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?xd4k<0;6>4?:1y'64>==m1C>=m4i06;>5<#:821=994H334?S46i3;p@??l:0yUgf<5s-;3n7?;8:T205<5s-?oh7k4$4f`>`=zutw0e<:6:18'64>=9==0D??8;W02e?7|D;;h64181!3cl3o0(8jl:d9~yx{5<4290;w)<>8;7g?M47k2c:854?:%02hn4j;|~y>o6<00;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>489U516=:r.>hi4j;%7gg?ca;3xH77d28q]on4={%3;f?7?<2\:8=4={%7g`?7<,50z&15=<2l2B9hn4j;|~y>o6<00;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>489U516=:r.>hi4j;%7gg?c<7[<>a;3xH77d28q]on4={%3;f?72<2\:8=4={%7g`?c<,3290/><651968R77f28qG>{zut1vn>m7:187>5<7s-8:47;k;I03g>o6<10;6)<>8;373>N59>1]><^8>;6?u+5ef9a>"2lj0n7psr}:k20<<72-8:47?;7:J152=Q:8k1=vB=1b82Sed2;q/=5l515;8R4272;q/9ij5e:&6`f5583>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a4=zutw0qo=l3;292?6=8r.9=54>829K65e36=4+20:9511<^;;j6hn4>;|~y>o6==0;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>559U516=:r.>hi4>;%7gg?7<7[<>a;3xH77d28q]on4={%3;f?72n2\:8=4={%7g`?7<,<651558R77f28qG>{zut1d=5:50;&15=<60=1]>;6?u+5ef95>"2lj0:7psr}:a7fd=8381<7>t$33;>g1<@;:h7d?;7;29 77?28><76a>8583>!4603;386X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?xd4l=0;6>4?:1y'64>=:8?0D?>l;h374181!3cl3;0(8jl:09~yx{26=4+20:9511<^;;j60Z<:?:3y'1ab=92.>hn4>;|~y>{e;m91<7850;2x 77?28>>7E{M02g?7|^8<26?u+19`9511<^8>;6?u+5ef95>"2lj0:7psr}:k`1?6=,;;36n;4V33b>4}K:8i1=vX>6881!7?j3i>7[?;0;0x 0bc281/9im51:~yx=nk?0;6)<>8;a5?S46i38p@??l:0yU53?=:r.:4o4l6:T205<5s-?oh7=4$4f`>6=zutF9=o4;{W03=?5|^jk1>v*:dc8`2>"4<90>hi5rVb`96~"2lk0:8:5+35291abv*:dc8`2>"4<90>hi5rVb`96~"2lk0:8:5+35291aev*:dc8`2>"4<90>hi5rVb`96~"2lk0h96*<4186`a=z,?<;6?5rV0:b>7}Qkh09w);kb;a5?!5383?oh6s+672955=zut1b>=650;&15=<5811]>76?3_;?<7c=#=mi1j6sr}M02f?7|^;;:6?uYc`81!3cj38;46*<4186`a=z,?<;6k5r}|9j651=83.9=54=069U64g=:rF9=n4>{W35=?4|,82i6?>8;W374?4|,{zuE8:n7?tV332>7}Qkh09w);kb;033>"4<90>hi5r$743>c=zut1d=5:50;&15=<60=10qo=lc;297?6=8r.9=54=149K65e36=4+20:9511<^;;j6hn4>;|~y>i60=0;6)<>8;3;0>P59h0:wA<>c;3xRfe=:r.:4o4>859U516=:r.>hi4>;%7gg?74<729q/><6520d8L76d3f;387>5$33;>4>332wi?nh50;694?6|,;;368j4H32`?l7303:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?;8:T205<5s-?oh7k4$4f`>`=zutw0e<:6:18'64>=9==0D??8;W02e?7|D;;h64181!3cl3o0(8jl:d9~yx{0Z<:?:3y'1ab=92.>hn4>;|~y>{e;m:1<7;50;2x 77?2l;h374181!3cl3o0(8jl:d9~yx{26=4+20:9511<^;;j60Z<:?:3y'1ab=m2.>hn4j;|~y>o6=o0;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>5g9U516=:r.>hi4j;%7gg?ca;3xH77d28q]on4={%3;f?7?<2\:8=4={%7g`?7<,50z&15=<2l2B9hn4j;|~y>o6<00;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>489U516=:r.>hi4j;%7gg?c<7[<>a;3xH77d28q]on4={%3;f?72<2\:8=4={%7g`?c<,<651558L7703_8:m7?tL33`>4}Qkj09w)?7b;36b>P6<909w);kd;g8 0bd2l1vqps4o0:7>5<#:821=5:4V33b>4}K:8i1=vXlc;0x 4>e282?7[?;0;0x 0bc281/9im51:~yx=zj:in6=4;:183!4603;3?6F=0b9j51>=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=964V063>7}#=mn1=6*:db82?x{zu2c:844?:%02{M02g?7|^ji1>v*>8c820<=Q9=:1>v*:de82?!3ck3;0qpsr;h360?6=,;;36<:8;W02e?7|D;;h686X>4181!3cl3;0(8jl:09~yx{5<5290;w)<>8;`4?M47k2c:8:4?:%02;6?u+5ef95>"2lj0:7psr}:a7a`=8391<7>t$33;>7723A8;o6g>4983>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?l7313:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?;9:T205<5s-?oh7m4$4f`>f=zutw0c<6;:18'64>=91>0Z??n:0yO64e=9r\ho74>33_;?<74=#=mi1=6sr}|9~f6bb290=6=4?{%02!4603i>7[<>a;3xH77d28q]=;752z&27}#91h1o;5Y15296~"2lm087);kc;18yx{K:8h18vX=0880Sef2;q/9il5c79'716==mn0q[mm:3y'1ad=9==0(>:?:4fg?x"1>90i7pX=0880Sef2;q/9il5c79'716==mn0q[mm:3y'1ad=9==0(>:?:4f`?x"1>90i7pX=0880Sef2;q/9il5c79'716==mn0q[mm:3y'1ad=k<1/?9>55ef8y!018380q[?7a;0xRfg=:r.>ho4l6:&005<2lm1v(;8?:028yx{<^;;j6?uC20a95~P6>009w)?7b;03<>P6<909w);kd;d8 0bd2o1vqpB=1c82S46938pZno52z&6`g<5811/?9>55ef8y!0183l0qps4i324>5<#:821>=94V33b>7}K:8i1=vX>6881!7?j38;;6X>4181!3cl3l0(8jl:g9~yxJ59k0:w[<>1;0xRfg=:r.>ho4=069'716==mn0q)890;d8yx{5}#:821><;4H32`?l7303:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?;8:T205<5s-?oh7?4$4f`>4=zutw0e<:6:18'64>=9==0Z??n:0yO64e=9r\ho742>3_;?<74=#=mi1=6sr}|9l5=2=83.9=54>859U64g=9rF9=n4>{Wa`>7}#91h1=5:4V063>7}#=mn1=6*:db82?x{zu2wi?i950;394?6|,;;36??i;I03g>i60=0;6)<>8;3;0>=zj:n26=4;:183!4603?o7E5$33;>4203A8:;6X=1`82I46k3;pZnm52z&252z&6`a`=zutw0c<6;:18'64>=91>0Z??n:0yO64e=9r\ho74>33_;?<74=#=mi1=6sr}|9~f6bf290=6=4?{%024983>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a`=zutw0e<;;:18'64>=9==0Z??n:0yO64e=9r\ho74333_;?<7`=#=mi1i6sr}|9j50`=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=8h4V063>7}#=mn1i6*:db8f?x{zu2c::?4?:%02{M02g?7|^ji1>v*>8c8227=Q9=:1>v*:de8f?!3ck3o0qpsr;n3;0?6=,;;36<6;;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{56;294~"5910>h6F=0b9j51>=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=964V063>7}#=mn1i6*:db8f?x{zu2c:844?:%02{M02g?7|^ji1>v*>8c820<=Q9=:1>v*:de8f?!3ck3o0qpsr;h360?6=,;;36<:8;W02e?7|D;;h686X>4181!3cl3o0(8jl:d9~yx{{zut1d=5:50;&15=<60=1]>;6?u+5ef95>"2lj0:7psr}:a7ae=83<1<7>t$33;>0b<@;:h7d?;8;29 77?28><7[<>a;3xH77d28q]on4={%3;f?7302\:8=4={%7g`?c<,290/><651558R77f28qG>{zut1b=8:50;&15=<6<>1C><94V33b>4}K:8i1=vXlc;0x 4>e28??7[?;0;0x 0bc2l1/9im5e:~yx=n943a3_;?<7`=#=mi1i6sr}|9j534=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=;<4V063>7}#=mn1i6*:db8f?x{zu2e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de82?!3ck3;0qpsr;|`0`=<72<0;6=u+20:95=5<@;:h7d?;8;29 77?28><7[<>a;3xH77d28q]on4={%3;f?7302\:8=4={%7g`?7<,290/><651558R77f28qG>{zut1b=8:50;&15=<6<>1]>;6?u+5ef95>"2lj0:7psr}:k21c<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0:9k5Y15296~"2lm0:7);kc;38yx{z3f;387>5$33;>4>33_8:m7?tL33`>4}Qkj09w)?7b;3;0>P6<909w);kd;38 0bd281vqps4}c0`0?6=:3:1N58j1b=9950;&15=<6<>10c<6;:18'64>=91>0Z??n:0yO64e=9r\ho74>33_;?<74=#=mi1=6sr}|9~f7e?29096=4?{%024683>!4603;?;65`19694?"5910:495Y20c95~J59j0:w[ml:3y'5=d=91>0Z<:?:3y'1ab=92.>hn4>;|~y>{e:jo1<7=50;2x 77?2;;>7E5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37=>P6<909w);kd;a8 0bd2j1vqps4o0:7>5<#:821=5:4V33b>4}K:8i1=vXlc;0x 4>e282?7[?;0;0x 0bc281/9im51:~yx=zj;io6=49:183!4603;?96F=0b9'53>=981b=9950;&15=<6<>1]>4203_;?<74=#=mi1=6sr}|9jg0<72-8:47m:;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{P59h09wA<>c;3xR40>2;q/=5l5c79U516=:r.>hi4<;%7gg?57}#=mh1=994$263>0bc3t.=:=4m;|T14<<4s_ij6?u+5e`9g3=#;=:19ij4}Waa>7}#=mh1=994$263>0bd3t.=:=4m;|T14<<4s_ij6?u+5e`9g3=#;=:19ij4}Waa>7}#=mh1o85+35291abv*:dc8`2>"4<90>hi5r$743>467:18'64>=:920Z??n:3yO64e=9r\::44={%3;f?4702\:8=4={%7g`?`<,<652158R77f2;qG>c=zutF9=o4>{W025?4|^jk1>v*:dc8142=#;=:19ij4}%454?`=91>07pl=c483>6<729q/><652078L76d3`;?47>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37<>P6<909w);kd;38 0bd281vqps4i06:>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>27[?;0;0x 0bc281/9im51:~yx=h91>1<7*=1982<1=Q:8k1=vB=1b82Sed2;q/=5l51968R4272;q/9ij51:&6`f<63twvq6sm2b494?7=83:p(??7:33e?M47k2e:494?:%025<7s-8:47;k;I03g>o6<10;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>499U516=:r.>hi4j;%7gg?c<7E<>7:T15d<6sE8:o7?tVba96~"60k0:845Y15296~"2lm0n7);kc;g8yx{z3`;>87>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;360>P6<909w);kd;g8 0bd2l1vqps4i07e>5<#:821=994H334?S46i3;p@??l:0yUgf<5s-;3n7?:f:T205<5s-?oh7k4$4f`>`=zutw0c<6;:18'64>=91>0Z??n:0yO64e=9r\ho74>33_;?<74=#=mi1=6sr}|9~f7e>290=6=4?{%024983>!4603;?;6F=169U64g=9rF9=n4>{Wa`>7}#91h1=964V063>7}#=mn156*:db8:?x{zu2c:844?:%02hn46;|~y>o6==0;6)<>8;373>N59>1]>;6?u+5ef9=>"2lj027psr}:k21c<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0:9k5Y15296~"2lm027);kc;;8yx{z3`;=>7>5$33;>4203A8:;6X=1`82I46k3;pZnm52z&2;1]=9>52z&6`a4=zutw0qo42?3_;?<7<=#=mi156sr}|9j51?=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=974V063>7}#=mn156*:db8:?x{zu2c:994?:%02{M02g?7|^ji1>v*>8c8211=Q9=:1>v*:de8:?!3ck330qpsr;h36b?6=,;;36<:8;W02e?7|D;;h6j6X>4181!3cl3l0(8jl:g9~yx{a;3xH77d28q]on4={%3;f?71:2\:8=4={%7g`?`<,3290/><651968R77f28qG>{zut1vn?mm:180>5<7s-8:47?73:J14f=n9=21<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515:8R4272;q/9ij51:&6`f<63twvq6g>4883>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?j7?<3:1(??7:0:7?S46i3;p@??l:0yUgf<5s-;3n7?74:T205<5s-?oh7?4$4f`>4=zutw0qo:68;296?6=8r.9=54m7:J14f=n9==1<7*=198202=5<1290;w)<>8;371>N58j1/=;65109j511=83.9=54>469U64g=9rF9=n4>{W35=?4|,82i6<:8;W374?4|,{zut1bo84?:%024}Q9?31>v*>8c8`1>P6<909w);kd;38 0bd281vqps4ib494?"5910h:6X=1`81I46k3;pZ<86:3y'5=d=k?1]=9>52z&6`a<43-?oo7=4}|O64d=7}#=mh1o;5+35291ab;68jk;|&5257}#=mh1o;5+35291ab;68jl;|&5257}#=mh1o;5+35291ab4}|8m76?290/><6521:8R77f2;qG>c=zutF9=o4>{W025?4|^jk1>v*:dc814==#;=:19ij4}%454?`8:18'64>=:9=0Z??n:3yO64e=9r\::44={%3;f?47?2\:8=4={%7g`?`<,3290/><651968?xd3100;6>4?:1y'64>=:8?0D?>l;h374181!3cl3;0(8jl:09~yx{26=4+20:9511<^;;j60Z<:?:3y'1ab=92.>hn4>;|~y>{e<0k1<7?50;2x 77?2;;m7E4983>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a`=zutw0c<6;:18'64>=91>0Z??n:0yO64e=9r\ho74>33_;?<74=#=mi1=6sr}|9~f7ea29096=4?{%024683>!4603;?;65`19694?"5910:495Y20c95~J59j0:w[ml:3y'5=d=91>0Z<:?:3y'1ab=92.>hn4>;|~y>{e:m<1<7850;2x 77?28>>7E{M02g?7|^8<26?u+19`9511<^8>;6?u+5ef95>"2lj0:7psr}:k`1?6=,;;36n;4V33b>4}K:8i1=vX>6881!7?j3i>7[?;0;0x 0bc281/9im51:~yx=nk?0;6)<>8;a5?S46i38p@??l:0yU53?=:r.:4o4l6:T205<5s-?oh7=4$4f`>6=zutF9=o4;{W03=?5|^jk1>v*:dc8`2>"4<90>hi5rVb`96~"2lk0:8:5+35291abv*:dc8`2>"4<90>hi5rVb`96~"2lk0:8:5+35291aev*:dc8`2>"4<90>hi5rVb`96~"2lk0h96*<4186`a=z,?<;6?5rV0:b>7}Qkh09w);kb;a5?!5383?oh6s+672955=zut1b>=650;&15=<5811]>76?3_;?<7c=#=mi1j6sr}M02f?7|^;;:6?uYc`81!3cj38;46*<4186`a=z,?<;6k5r}|9j651=83.9=54=069U64g=:rF9=n4>{W35=?4|,82i6?>8;W374?4|,{zuE8:n7?tV332>7}Qkh09w);kb;033>"4<90>hi5r$743>c=zut1d=5:50;&15=<60=10qo36=4+20:9511<^;;j6hn4>;|~y>i60=0;6)<>8;3;0>P59h0:wA<>c;3xRfe=:r.:4o4>859U516=:r.>hi4>;%7gg?74<729q/><6520d8L76d3f;387>5$33;>4>332wi>i=50;194?6|,;;368j4H32`?l7303:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?;8:T205<5s-?oh7k4$4f`>`=zutw0e<:6:18'64>=9==0D??8;W02e?7|D;;h64181!3cl3o0(8jl:d9~yx{5<4290;w)<>8;7g?M47k2c:854?:%02hn4j;|~y>o6<00;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>489U516=:r.>hi4j;%7gg?ca;3xH77d28q]on4={%3;f?7?<2\:8=4={%7g`?7<,50z&15=<60:1C>=m4i06;>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>37[?;0;0x 0bc281/9im51:~yx=n9=31<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515;8R4272;q/9ij51:&6`f<63twvq6a>8583>!4603;386X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?xd3n?0;6?4?:1y'64>=j>1C>=m4i064>5<#:821=994;n3;0?6=,;;36<6;;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{7>56;294~"5910:885G21a8 40?28;0e<:8:18'64>=9==0Z??n:0yO64e=9r\::44={%3;f?73?2\:8=4={%7g`?7<,7}#91h1o85Y15296~"2lm0:7);kc;38yx{z3`i=6=4+20:9g3=Q:8k1>vB=1b82S71138p(<6m:b48R4272;q/9ij53:&6`f<43twv@??m:5yU65?=;r\hm7f0<,:>;68jk;|T`f?4|,5b:U65?=;r\hm7f0<,:>;68jk;|T`f?4|,5b:U65?=;r\hm7f0<,:>;68jk;|T`f?4|,0bc3t.=:=4=;|T2a;0xH77d28q]=;752z&252z&6`a7}#=mh1>=64$263>0bc3t.=:=4i;|~?l47?3:1(??7:324?S46i38p@??l:0yU53?=:r.:4o4=069U516=:r.>hi4i;%7gg?`;68jk;|&525hn4>;|~y>o6<00;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>489U516=:r.>hi4>;%7gg?7a;3xH77d28q]on4={%3;f?7?<2\:8=4={%7g`?7<,50z&15=<59o1C>=m4o0:7>5<#:821=5:4;|`7bd<72:0;6=u+20:91a=O:9i0e<:7:18'64>=9==0Z??n:0yO64e=9r\ho742?3_;?<7`=#=mi1i6sr}|9j51?=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=974V063>7}#=mn1i6*:db8f?x{zu2e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de82?!3ck3;0qpsr;|`7bg<72:0;6=u+20:91a=O:9i0e<:7:18'64>=9==0D??8;W02e?7|D;;h64181!3cl3o0(8jl:d9~yx{26=4+20:9511<@;;<7[<>a;3xH77d28q]on4={%3;f?7312\:8=4={%7g`?c<,3290/><651968R77f28qG>{zut1vn9hl:180>5<7s-8:47;k;I03g>o6<10;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>499U516=:r.>hi4>;%7gg?7<7[<>a;3xH77d28q]on4={%3;f?7312\:8=4={%7g`?7<,3290/><651968R77f28qG>{zut1vn9hk:180>5<7s-8:47;k;I03g>o6<10;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>499U516=:r.>hi4>;%7gg?7<7[<>a;3xH77d28q]on4={%3;f?7312\:8=4={%7g`?7<,3290/><651968R77f28qG>{zut1vn9hj:180>5<7s-8:47;k;I03g>o6<10;6)<>8;373>N59>1]><^8>;6?u+5ef93>"2lj0<7psr}:k20<<72-8:47?;7:J152=Q:8k1=vB=1b82Sed2;q/=5l515;8R4272;q/9ij57:&6`f<03twvq6a>8583>!4603;386X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?xd3no0;6>4?:1y'64>==m1C>=m4i06;>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>37[?;0;0x 0bc2>1/9im57:~yx=n9=31<7*=198202=O:8=0Z??n:0yO64e=9r\ho742>3_;?<7`=#=mi1i6sr}|9l5=2=83.9=54>859U64g=9rF9=n4>{Wa`>7}#91h1=5:4V063>7}#=mn1=6*:db82?x{zu2wi9=>50;194?6|,;;368j4H32`?l7303:1(??7:064?M46?2\9=l4>{M02g?7|^ji1>v*>8c820==Q9=:1>v*:de8f?!3ck3o0qpsr;h37=?6=,;;36<:8;I023>P59h0:wA<>c;3xRfe=:r.:4o4>489U516=:r.>hi4j;%7gg?ca;3xH77d28q]on4={%3;f?7?<2\:8=4={%7g`?7<,50z&15=<60:1C>=m4i06;>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>37[?;0;0x 0bc281/9im51:~yx=n9=31<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515;8R4272;q/9ij51:&6`f<63twvq6g>5583>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?l72n3:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?:f:T205<5s-?oh7?4$4f`>4=zutw0e<8=:18'64>=9==0Z??n:0yO64e=9r\ho74053_;?<74=#=mi1=6sr}|9j535=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=;=4V063>7}#=mn1=6*:db82?x{zu2c::94?:%02{M02g?7|^ji1>v*>8c8221=Q9=:1>v*:de82?!3ck3;0qpsr;n3;0?6=,;;36<6;;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{52;294~"5910i;6F=0b9j511=83.9=54>4698k4>3290/><651968R77f28qG>{zut1vn8k<:185>5<7s-8:47?;5:J14f=#9?21=<5f15594?"5910:8:5Y20c95~J59j0:w[?99;0x 4>e28><7[?;0;0x 0bc281/9im51:~yx=nk<0;6)<>8;a6?S46i3;p@??l:0yU53?=:r.:4o4l5:T205<5s-?oh7?4$4f`>4=zutw0en850;&15=2\9=l4={M02g?7|^8<26?u+19`9g3=Q9=:1>v*:de80?!3ck390qpsC20`90~P58008w[mn:3y'1ad=k?1/?9>55ef8ySee2;q/9il51558 627255ef8ySee2;q/9il51558 627255ef8ySee2;q/9il5c49'716==mn0q)890;08yS7?i38pZno52z&6`g2.88=4:de9~ 30728:0qps4i32;>5<#:821>=64V33b>7}K:8i1=vX>6881!7?j38;46X>4181!3cl3l0(8jl:g9~yxJ59k0:w[<>1;0xRfg=:r.>ho4=099'716==mn0q)890;d8yx{009w)?7b;033>P6<909w);kd;d8 0bd2o1vqpB=1c82S46938pZno52z&6`g<58>1/?9>55ef8y!0183l0qps4o0:7>5<#:821=5:4;|`6`c<72:0;6=u+20:9643<@;:h7d?;8;29 77?28><7E<>7:T15d<6sE8:o7?tVba96~"60k0:855Y15296~"2lm0:7);kc;38yx{z3`;?57>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37=>P6<909w);kd;38 0bd281vqps4o0:7>5<#:821=5:4V33b>4}K:8i1=vXlc;0x 4>e282?7[?;0;0x 0bc281/9im51:~yx=zj:183!46038:j6F=0b9l5=2=83.9=54>8598yg3b93:1?7>50z&15=<2l2B9hn48;|~y>o6<00;6)<>8;373>N59>1]>;6?u+5ef9a>"2lj0n7psr}:m2<1<72-8:47?74:T15d<6sE8:o7?tVba96~"60k0:495Y15296~"2lm0:7);kc;38yx{z3th=8?4?:383>5}#:821n:5G21a8m420290/><651558?j7?<3:1(??7:0:7?S46i3;p@??l:0yUgf<5s-;3n7?74:T205<5s-?oh7?4$4f`>4=zutw0qo8;7;292?6=8r.9=54>449K65e<,8<365<#:821=994V33b>4}K:8i1=vX>6881!7?j3;?;6X>4181!3cl3;0(8jl:09~yx{P59h0:wA<>c;3xR40>2;q/=5l5c49U516=:r.>hi4>;%7gg?7=k?1]>f0<^8>;6?u+5ef97>"2lj087psrL33a>1}Q:931?vXla;0x 0be2j<0(>:?:4fg?xPdj38p(8jm:064?!5383?oh6s+6729f>{Q:931?vXla;0x 0be2j<0(>:?:4fg?xPdj38p(8jm:064?!5383?oo6s+6729f>{Q:931?vXla;0x 0be2j<0(>:?:4fg?xPdj38p(8jm:b78 627255ef8y!0183;;7psr;h037;W02e?4|D;;h65$33;>7603_8:m74}Q9?31>v*>8c8142=Q9=:1>v*:de8e?!3ck3l0qpsC20`95~P59809w[mn:3y'1ad=:9=0(>:?:4fg?x"1>90m7psr;n3;0?6=,;;36<6;;:a215=8391<7>t$33;>7723A8;o6g>4983>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?l7313:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?;9:T205<5s-?oh7?4$4f`>4=zutw0c<6;:18'64>=91>0Z??n:0yO64e=9r\ho74>33_;?<74=#=mi1=6sr}|9~f323290:6=4?{%02N58j1b=9650;&15=<6<>1C><94V33b>4}K:8i1=vXlc;0x 4>e28>37[?;0;0x 0bc2l1/9im5e:~yx=n9=31<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515;8R4272;q/9ij5e:&6`f8583>!4603;386X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?xd4nl0;6?4?:1y'64>=j>1C>=m4i064>5<#:821=994;n3;0?6=,;;36<6;;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{;m7>53;294~"59109=85G21a8m42?290/><651558R77f28qG>{zut1b=9750;&15=<6<>1]>;6?u+5ef9g>"2lj0h7psr}:m2<1<72-8:47?74:T15d<6sE8:o7?tVba96~"60k0:495Y15296~"2lm0:7);kc;38yx{z3th?<44?:783>5}#:821=9;4H32`?!7103;:7d?;7;29 77?28><7[<>a;3xH77d28q]=;752z&21]=9>52z&6`a<63-?oo7?4}|~?le2290/><65c49U64g=9rF9=n4>{W35=?4|,82i6n;4V063>7}#=mn1=6*:db82?x{zu2ch:7>5$33;>f0<^;;j6?uC20a95~P6>009w)?7b;a5?S73838p(8jk:29'1ae=;2wvqA<>b;6xR76>2:q]ol4={%7gf?e13-9?<7;kd:Ugg<5s-?on7?;7:&005<2lm1v(;8?:c9~R76>2:q]ol4={%7gf?e13-9?<7;kd:Ugg<5s-?on7?;7:&005<2lj1v(;8?:c9~R76>2:q]ol4={%7gf?e13-9?<7;kd:Ugg<5s-?on7m:;%174?3cl2w/:;>52:U5=g=:r\hm7f0<,:>;68jk;|&525<682wvq6g=0983>!46038;46X=1`81I46k3;pZ<86:3y'5=d=:920Z<:?:3y'1ab=n2.>hn4i;|~H77e28q]>7;%174?3cl2w/:;>5f:~y>o58>0;6)<>8;033>P59h09wA<>c;3xR40>2;q/=5l52158R4272;q/9ij5f:&6`f7603-9?<7;kd:'236=n2wvq6a>8583>!4603;3865rb2de>5<4290;w)<>8;021>N58j1b=9650;&15=<6<>1]><^8>;6?u+5ef95>"2lj0:7psr}:k20<<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0:845Y15296~"2lm0:7);kc;38yx{z3f;387>5$33;>4>33_8:m7?tL33`>4}Qkj09w)?7b;3;0>P6<909w);kd;38 0bd281vqps4}c634?6=93:1=91>07pl;0383>6<729q/><655e9K65e36=4+20:9511<^;;j6hn4>;|~y>i60=0;6)<>8;3;0>P59h0:wA<>c;3xRfe=:r.:4o4>859U516=:r.>hi4>;%7gg?70<729q/><655e9K65e36=4+20:9511<@;;<7[<>a;3xH77d28q]on4={%3;f?7302\:8=4={%7g`?c<,290/><651558L7703_8:m7?tL33`>4}Qkj09w)?7b;37=>P6<909w);kd;g8 0bd2l1vqps4i077>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28??7[?;0;0x 0bc2l1/9im5e:~yx=n98583>!4603;386X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?xd38=0;654?:1y'64>==m1C>=m4i06;>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>37[?;0;0x 0bc2l1/9im5e:~yx=n9=31<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515;8R4272;q/9ij5e:&6`f5583>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a2=zutw0e<8=:18'64>=9==0Z??n:0yO64e=9r\ho74053_;?<7`=#=mi1i6sr}|9j535=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=;=4V063>7}#=mn1;6*:db84?x{zu2c::94?:%02{M02g?7|^ji1>v*>8c8221=Q9=:1>v*:de8f?!3ck3o0qpsr;n3;0?6=,;;36<6;;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{;97>58;294~"5910>h6F=0b9j51>=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=964V063>7}#=mn1i6*:db8f?x{zu2c:844?:%02{M02g?7|^ji1>v*>8c820<=Q9=:1>v*:de8f?!3ck3o0qpsr;h360?6=,;;36<:8;W02e?7|D;;h686X>4181!3cl3o0(8jl:d9~yx{{zut1b=;=50;&15=<6<>1C><94V33b>4}K:8i1=vXlc;0x 4>e28<87[?;0;0x 0bc2>1/9im57:~yx=n9?>1<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l51768R4272;q/9ij5e:&6`f8583>!4603;386X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?xd38?0;644?:1y'64>==m1C>=m4i06;>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>37[?;0;0x 0bc2l1/9im5e:~yx=n9=31<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515;8R4272;q/9ij5e:&6`f5583>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a2=zutw0e<8=:18'64>=9==0Z??n:0yO64e=9r\ho74053_;?<7`=#=mi1i6sr}|9j535=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=;=4V063>7}#=mn1;6*:db84?x{zu2c::94?:%02{M02g?7|^ji1>v*>8c8221=Q9=:1>v*:de8f?!3ck3o0qpsr;h351?6=,;;36<:8;W02e?7|D;;h64181!3cl3o0(8jl:d9~yx{5<>290;w)<>8;7g?M47k2c:854?:%02{M02g?7|^ji1>v*>8c820==Q9=:1>v*:de8f?!3ck3o0qpsr;h37=?6=,;;36<:8;W02e?7|D;;h64181!3cl3o0(8jl:d9~yx{hn48;|~y>o6>;0;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>639U516=:r.>hi4j;%7gg?c<7[<>a;3xH77d28q]on4={%3;f?71;2\:8=4={%7g`?1<,<651558R77f28qG>{zut1b=;;50;&15=<6<>1]>;6?u+5ef9a>"2lj0n7psr}:m2<1<72-8:47?74:T15d<6sE8:o7?tVba96~"60k0:495Y15296~"2lm0:7);kc;38yx{z3th?<<4?:683>5}#:821=5=4H32`?l7303:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?;8:T205<5s-?oh7?4$4f`>4=zutw0e<:6:18'64>=9==0Z??n:0yO64e=9r\ho742>3_;?<74=#=mi1=6sr}|9j502=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=8:4V063>7}#=mn1=6*:db82?x{zu2c:9k4?:%02{M02g?7|^ji1>v*>8c821c=Q9=:1>v*:de82?!3ck3;0qpsr;h356?6=,;;36<:8;W02e?7|D;;h66X>4181!3cl3;0(8jl:09~yx{0Z<:?:3y'1ab=92.>hn4>;|~y>{e<9h1<7<50;2x 77?2k=0D?>l;h373?6=,;;36<:8;:m2<1<72-8:47?74:T15d<6sE8:o7?tVba96~"60k0:495Y15296~"2lm0:7);kc;38yx{z3th?==4?:783>5}#:821=9;4H32`?!7103;:7d?;7;29 77?28><7[<>a;3xH77d28q]=;752z&21]=9>52z&6`a<63-?oo7?4}|~?le2290/><65c49U64g=9rF9=n4>{W35=?4|,82i6n;4V063>7}#=mn1=6*:db82?x{zu2ch:7>5$33;>f0<^;;j6?uC20a95~P6>009w)?7b;a5?S73838p(8jk:29'1ae=;2wvqA<>b;6xR76>2:q]ol4={%7gf?e13-9?<7;kd:Ugg<5s-?on7?;7:&005<2lm1v(;8?:c9~R76>2:q]ol4={%7gf?e13-9?<7;kd:Ugg<5s-?on7?;7:&005<2lj1v(;8?:c9~R76>2:q]ol4={%7gf?e13-9?<7;kd:Ugg<5s-?on7m:;%174?3cl2w/:;>52:U5=g=:r\hm7f0<,:>;68jk;|&525<682wvq6g=0983>!46038;46X=1`81I46k3;pZ<86:3y'5=d=:920Z<:?:3y'1ab=n2.>hn4i;|~H77e28q]>7;%174?3cl2w/:;>5f:~y>o58>0;6)<>8;033>P59h09wA<>c;3xR40>2;q/=5l52158R4272;q/9ij5f:&6`f7603-9?<7;kd:'236=n2wvq6a>8583>!4603;3865rb52`>5<4290;w)<>8;021>N58j1b=9650;&15=<6<>1]><^8>;6?u+5ef95>"2lj0:7psr}:k20<<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0:845Y15296~"2lm0:7);kc;38yx{z3f;387>5$33;>4>33_8:m7?tL33`>4}Qkj09w)?7b;3;0>P6<909w);kd;38 0bd281vqps4}c63`?6=93:1=91>07pl;0d83>6<729q/><655e9K65e36=4+20:9511<^;;j6hn4j;|~y>i60=0;6)<>8;3;0>P59h0:wA<>c;3xRfe=:r.:4o4>859U516=:r.>hi4>;%7gg?77<729q/><65b69K65e<6=4+20:9511<3f;387>5$33;>4>33_8:m7?tL33`>4}Qkj09w)?7b;3;0>P6<909w);kd;38 0bd281vqps4}c47`?6=>3:1<651558R77f28qG>4=zutw0en;50;&15={M02g?7|^8<26?u+19`9g0=Q9=:1>v*:de82?!3ck3;0qpsr;ha5>5<#:821o;5Y20c96~J59j0:w[?99;0x 4>e2j<0Z<:?:3y'1ab=;2.>hn4<;|~H77e2=q]>=753zT`e?4|,0bc3t\hn74203-9?<7;kd:'236=j2w]>=753zT`e?4|,0bc3t\hn74203-9?<7;kc:'236=j2w]>=753zT`e?4|,0bc3t\hn7f3<,:>;68jk;|&525<53t\:4l4={Wab>7}#=mh1o;5+35291abhi4i;%7gg?`<,:>;68jk;|&525a;0xH77d28q]=;752z&21]=9>52z&6`a7}#=mh1>=94$263>0bc3t.=:=4i;|~?j7?<3:1(??7:0:7?>{e>=31<7=50;2x 77?2;;>7E5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37=>P6<909w);kd;38 0bd281vqps4o0:7>5<#:821=5:4V33b>4}K:8i1=vXlc;0x 4>e282?7[?;0;0x 0bc281/9im51:~yx=zj?>j6=4>:183!46038:j6F=0b9l5=2=83.9=54>8598yg03j3:1?7>50z&15=<2l2B9hn4j;|~y>o6<00;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>489U516=:r.>hi4j;%7gg?ca;3xH77d28q]on4={%3;f?7?<2\:8=4={%7g`?7<,7>50z&15=5<#:821=5:4V33b>4}K:8i1=vXlc;0x 4>e282?7[?;0;0x 0bc281/9im51:~yx=zj;8h6=49:183!4603;?96F=0b9'53>=981b=9950;&15=<6<>1]>4203_;?<74=#=mi1=6sr}|9jg0<72-8:47m:;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{P59h09wA<>c;3xR40>2;q/=5l5c79U516=:r.>hi4<;%7gg?57}#=mh1=994$263>0bc3t.=:=4m;|T14<<4s_ij6?u+5e`9g3=#;=:19ij4}Waa>7}#=mh1=994$263>0bd3t.=:=4m;|T14<<4s_ij6?u+5e`9g3=#;=:19ij4}Waa>7}#=mh1o85+35291abv*:dc8`2>"4<90>hi5r$743>467:18'64>=:920Z??n:3yO64e=9r\::44={%3;f?4702\:8=4={%7g`?`<,<652158R77f2;qG>c=zutF9=o4>{W025?4|^jk1>v*:dc8142=#;=:19ij4}%454?`=91>07pl=2983>6<729q/><652078L76d3`;?47>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37<>P6<909w);kd;38 0bd281vqps4i06:>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>27[?;0;0x 0bc281/9im51:~yx=h91>1<7*=1982<1=Q:8k1=vB=1b82Sed2;q/=5l51968R4272;q/9ij51:&6`f<63twvq6sm23;94?7=83:p(??7:33e?M47k2e:494?:%025<7s-8:47;k;I03g>o6<10;6)<>8;373>N59>1]><^8>;6?u+5ef93>"2lj0<7psr}:k20<<72-8:47?;7:J152=Q:8k1=vB=1b82Sed2;q/=5l515;8R4272;q/9ij57:&6`f<03twvq6a>8583>!4603;386X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?xd4:=0;6?4?:1y'64>=j>1C>=m4i064>5<#:821=994;n3;0?6=,;;36<6;;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{56;294~"5910:885G21a8 40?28;0e<:8:18'64>=9==0Z??n:0yO64e=9r\::44={%3;f?73?2\:8=4={%7g`?7<,7}#91h1o85Y15296~"2lm0:7);kc;38yx{z3`i=6=4+20:9g3=Q:8k1>vB=1b82S71138p(<6m:b48R4272;q/9ij53:&6`f<43twv@??m:5yU65?=;r\hm7f0<,:>;68jk;|T`f?4|,5b:U65?=;r\hm7f0<,:>;68jk;|T`f?4|,5b:U65?=;r\hm7f0<,:>;68jk;|T`f?4|,0bc3t.=:=4=;|T2a;0xH77d28q]=;752z&252z&6`a7}#=mh1>=64$263>0bc3t.=:=4i;|~?l47?3:1(??7:324?S46i38p@??l:0yU53?=:r.:4o4=069U516=:r.>hi4i;%7gg?`;68jk;|&525{M02g?7|^ji1>v*>8c820==Q9=:1>v*:de82?!3ck3;0qpsr;h37=?6=,;;36<:8;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{5<6290;w)<>8;02b>N58j1d=5:50;&15=<60=10qo==8;290?6=8r.9=54:d:J14f=n9=21<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515:8R4272;q/9ij5e:&6`f4883>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a{M02g?7|^ji1>v*>8c8211=Q9=:1>v*:de8f?!3ck3o0qpsr;n3;0?6=,;;36<6;;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{54;294~"5910>h6F=0b9j51>=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=964V063>7}#=mn1i6*:db8f?x{zu2c:844?:%02hn4j;|~y>o6==0;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>559U516=:r.>hi4j;%7gg?ca;3xH77d28q]on4={%3;f?7?<2\:8=4={%7g`?7<,50z&15=<60:1C>=m4i06;>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>37[?;0;0x 0bc281/9im51:~yx=n9=31<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515;8R4272;q/9ij51:&6`f<63twvq6a>8583>!4603;386X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?xd4m90;6?4?:1y'64>=j>1C>=m4i064>5<#:821=994;n3;0?6=,;;36<6;;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{56;294~"5910:885G21a8 40?28;0e<:8:18'64>=9==0Z??n:0yO64e=9r\::44={%3;f?73?2\:8=4={%7g`?7<,7}#91h1o85Y15296~"2lm0:7);kc;38yx{z3`i=6=4+20:9g3=Q:8k1>vB=1b82S71138p(<6m:b48R4272;q/9ij53:&6`f<43twv@??m:5yU65?=;r\hm7f0<,:>;68jk;|T`f?4|,5b:U65?=;r\hm7f0<,:>;68jk;|T`f?4|,5b:U65?=;r\hm7f0<,:>;68jk;|T`f?4|,0bc3t.=:=4=;|T2a;0xH77d28q]=;752z&252z&6`a7}#=mh1>=64$263>0bc3t.=:=4i;|~?l47?3:1(??7:324?S46i38p@??l:0yU53?=:r.:4o4=069U516=:r.>hi4i;%7gg?`;68jk;|&525{M02g?7|^ji1>v*>8c820==Q9=:1>v*:de82?!3ck3;0qpsr;h37=?6=,;;36<:8;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{5<6290;w)<>8;02b>N58j1d=5:50;&15=<60=10qo=j3;293?6=8r.9=54:d:J14f=n9=21<7*=198202=O:8=0Z??n:0yO64e=9r\ho742?3_;?<7`=#=mi1i6sr}|9j51?=83.9=54>469K641<^;;j6{zut1b=8h50;&15=<6<>1C><94V33b>4}K:8i1=vXlc;0x 4>e28?m7[?;0;0x 0bc2l1/9im5e:~yx=n9?81<7*=198202=O:8=0Z??n:0yO64e=9r\ho74053_;?<7`=#=mi1i6sr}|9j535=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=;=4V063>7}#=mn1i6*:db8f?x{zu2e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de82?!3ck3;0qpsr;|`0a3<72;0;6=u+20:9f2=O:9i0e<:8:18'64>=9==07b?74;29 77?282?7[<>a;3xH77d28q]on4={%3;f?7?<2\:8=4={%7g`?7<,50z&15=<6<<1C>=m4$04;>47<6=4+20:9511<^;;j6009w)?7b;373>P6<909w);kd;38 0bd281vqps4ib794?"5910h96X=1`82I46k3;pZ<86:3y'5=d=k<1]=9>52z&6`a<63-?oo7?4}|~?le1290/><65c79U64g=:rF9=n4>{W35=?4|,82i6n84V063>7}#=mn1?6*:db80?x{zD;;i69uY21;97~Pdi38p(8jm:b48 6272<7)=;0;7g`>{#>?:1n6sY21;97~Pdi38p(8jm:b48 6272<7)=;0;7gg>{#>?:1n6sY21;97~Pdi38p(8jm:b48 6272:?:4fg?x"1>9097pX>8`81Sef2;q/9il5c79'716==mn0q)890;33?x{z3`8;47>5$33;>76?3_8:m74}Q9?31>v*>8c814==Q9=:1>v*:de8e?!3ck3l0qpsC20`95~P59809w[mn:3y'1ad=:920(>:?:4fg?x"1>90m7psr;h033?6=,;;36?>8;W02e?4|D;;h65$33;>4>332wi?h950;194?6|,;;36??:;I03g>o6<10;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>499U516=:r.>hi4>;%7gg?7<7[<>a;3xH77d28q]on4={%3;f?7312\:8=4={%7g`?7<,3290/><651968R77f28qG>{zut1vn>k7:182>5<7s-8:47<>f:J14f=h91>1<7*=1982<1=53;294~"5910>h6F=0b9j51>=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=964V063>7}#=mn1;6*:db84?x{zu2c:844?:%02{M02g?7|^ji1>v*>8c820<=Q9=:1>v*:de84?!3ck3=0qpsr;n3;0?6=,;;36<6;;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{7>52;294~"5910i;6F=0b9j511=83.9=54>4698k4>3290/><651968R77f28qG>{zut1vn>hk:185>5<7s-8:47?;5:J14f=#9?21=<5f15594?"5910:8:5Y20c95~J59j0:w[?99;0x 4>e28><7[?;0;0x 0bc281/9im51:~yx=nk<0;6)<>8;a6?S46i3;p@??l:0yU53?=:r.:4o4l5:T205<5s-?oh7?4$4f`>4=zutw0en850;&15=2\9=l4={M02g?7|^8<26?u+19`9g3=Q9=:1>v*:de80?!3ck390qpsC20`90~P58008w[mn:3y'1ad=k?1/?9>55ef8ySee2;q/9il51558 627255ef8ySee2;q/9il51558 627255ef8ySee2;q/9il5c49'716==mn0q)890;08yS7?i38pZno52z&6`g2.88=4:de9~ 30728:0qps4i32;>5<#:821>=64V33b>7}K:8i1=vX>6881!7?j38;46X>4181!3cl3l0(8jl:g9~yxJ59k0:w[<>1;0xRfg=:r.>ho4=099'716==mn0q)890;d8yx{009w)?7b;033>P6<909w);kd;d8 0bd2o1vqpB=1c82S46938pZno52z&6`g<58>1/?9>55ef8y!0183l0qps4o0:7>5<#:821=5:4;|`0b6<72:0;6=u+20:9643<@;:h7d?;8;29 77?28><7E<>7:T15d<6sE8:o7?tVba96~"60k0:855Y15296~"2lm0:7);kc;38yx{z3`;?57>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37=>P6<909w);kd;38 0bd281vqps4o0:7>5<#:821=5:4V33b>4}K:8i1=vXlc;0x 4>e282?7[?;0;0x 0bc281/9im51:~yx=zj:l?6=4>:183!46038:j6F=0b9l5=2=83.9=54>8598yg5a>3:1?7>50z&15=<2l2B9hn4>;|~y>o6<00;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>489U516=:r.>hi4>;%7gg?7a;3xH77d28q]on4={%3;f?7?<2\:8=4={%7g`?7<,50z&15=<2l2B9hn4j;|~y>o6<00;6)<>8;373>N59>1]>;6?u+5ef9a>"2lj0n7psr}:m2<1<72-8:47?74:T15d<6sE8:o7?tVba96~"60k0:495Y15296~"2lm0:7);kc;38yx{z3th8j54?:583>5}#:8219i5G21a8m42?290/><651558L7703_8:m7?tL33`>4}Qkj09w)?7b;37<>P6<909w);kd;g8 0bd2l1vqps4i06:>5<#:821=994H334?S46i3;p@??l:0yUgf<5s-;3n7?;9:T205<5s-?oh7k4$4f`>`=zutw0e<;;:18'64>=9==0D??8;W02e?7|D;;h686X>4181!3cl3o0(8jl:d9~yx{5<2290;w)<>8;7g?M47k2c:854?:%02{M02g?7|^ji1>v*>8c820==Q9=:1>v*:de8f?!3ck3o0qpsr;h37=?6=,;;36<:8;W02e?7|D;;h64181!3cl3o0(8jl:d9~yx{hn4j;|~y>i60=0;6)<>8;3;0>P59h0:wA<>c;3xRfe=:r.:4o4>859U516=:r.>hi4>;%7gg?73<729q/><655e9K65e36=4+20:9511<^;;j6hn4j;|~y>o6==0;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>559U516=:r.>hi48;%7gg?1<7[<>a;3xH77d28q]on4={%3;f?72n2\:8=4={%7g`?c<,<651558R77f28qG>{zut1d=5:50;&15=<60=1]>;6?u+5ef95>"2lj0:7psr}:a7cd=83<1<7>t$33;>0b<@;:h7d?;8;29 77?28><7[<>a;3xH77d28q]on4={%3;f?7302\:8=4={%7g`?c<,290/><651558R77f28qG>{zut1b=8:50;&15=<6<>1]>;6?u+5ef93>"2lj0<7psr}:k21c<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0:9k5Y15296~"2lm0n7);kc;g8yx{z3`;=>7>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;356>P6<909w);kd;g8 0bd2l1vqps4o0:7>5<#:821=5:4V33b>4}K:8i1=vXlc;0x 4>e282?7[?;0;0x 0bc281/9im51:~yx=zj:l>6=48:183!4603;3?6F=0b9j51>=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=964V063>7}#=mn1=6*:db82?x{zu2c:844?:%02{M02g?7|^ji1>v*>8c820<=Q9=:1>v*:de82?!3ck3;0qpsr;h360?6=,;;36<:8;W02e?7|D;;h686X>4181!3cl3;0(8jl:09~yx{hn4>;|~y>o6>:0;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>629U516=:r.>hi4>;%7gg?7a;3xH77d28q]on4={%3;f?7?<2\:8=4={%7g`?7<,7>50z&15=5<#:821=5:4V33b>4}K:8i1=vXlc;0x 4>e282?7[?;0;0x 0bc281/9im51:~yx=zj;o;6=49:183!4603;?96F=0b9'53>=981b=9950;&15=<6<>1]>4203_;?<74=#=mi1=6sr}|9jg0<72-8:47m:;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{P59h09wA<>c;3xR40>2;q/=5l5c79U516=:r.>hi4<;%7gg?57}#=mh1=994$263>0bc3t.=:=4m;|T14<<4s_ij6?u+5e`9g3=#;=:19ij4}Waa>7}#=mh1=994$263>0bd3t.=:=4m;|T14<<4s_ij6?u+5e`9g3=#;=:19ij4}Waa>7}#=mh1o85+35291abv*:dc8`2>"4<90>hi5r$743>0=zut1b>=650;&15=<5811]>76?3_;?<7c=#=mi1j6sr}M02f?7|^;;:6?uYc`81!3cj38;46*<4186`a=z,?<;6k5r}|9j651=83.9=54=069U64g=:rF9=n4>{W35=?4|,82i6?>8;W374?4|,{zuE8:n7?tV332>7}Qkh09w);kb;033>"4<90>hi5r$743>c=zut1d=5:50;&15=<60=10qo36=4+20:9511<^;;j6hn4>;|~y>i60=0;6)<>8;3;0>P59h0:wA<>c;3xRfe=:r.:4o4>859U516=:r.>hi4>;%7gg?74<729q/><6520d8L76d3f;387>5$33;>4>332wi>im50;194?6|,;;368j4H32`?l7303:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?;8:T205<5s-?oh7k4$4f`>`=zutw0e<:6:18'64>=9==0D??8;W02e?7|D;;h64181!3cl3o0(8jl:d9~yx{5<4290;w)<>8;7g?M47k2c:854?:%02{M02g?7|^ji1>v*>8c820==Q9=:1>v*:de8f?!3ck3o0qpsr;h37=?6=,;;36<:8;I023>P59h0:wA<>c;3xRfe=:r.:4o4>489U516=:r.>hi4j;%7gg?ca;3xH77d28q]on4={%3;f?7?<2\:8=4={%7g`?7<,50z&15=<2l2B9{zut1b=9750;&15=<6<>1C><94V33b>4}K:8i1=vXlc;0x 4>e28>27[?;0;0x 0bc2l1/9im5e:~yx=n9<>1<7*=198202=O:8=0Z??n:0yO64e=9r\ho74333_;?<7`=#=mi1i6sr}|9j50`=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=8h4V063>7}#=mn1i6*:db8f?x{zu2e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de82?!3ck3;0qpsr;|`1`g<72=0;6=u+20:95=5<@;:h7d?;8;29 77?28><7[<>a;3xH77d28q]on4={%3;f?7302\:8=4={%7g`?7<,290/><651558R77f28qG>{zut1b=8:50;&15=<6<>1]>;6?u+5ef95>"2lj0:7psr}:m2<1<72-8:47?74:T15d<6sE8:o7?tVba96~"60k0:495Y15296~"2lm0:7);kc;38yx{z3th9h54?:283>5}#:8219i5G21a8m42?290/><651558R77f28qG>{zut1b=9750;&15=<6<>1]>;6?u+5ef9<>"2lj037psr}:m2<1<72-8:47?74:T15d<6sE8:o7?tVba96~"60k0:495Y15296~"2lm0:7);kc;38yx{z3th9i<4?:383>5}#:821n:5G21a8m420290/><651558?j7?<3:1(??7:0:7?S46i3;p@??l:0yUgf<5s-;3n7?74:T205<5s-?oh7?4$4f`>4=zutw0qo449K65e<,8<365<#:821=994V33b>4}K:8i1=vX>6881!7?j3;?;6X>4181!3cl3;0(8jl:09~yx{P59h0:wA<>c;3xR40>2;q/=5l5c49U516=:r.>hi4>;%7gg?7=k?1]>f0<^8>;6?u+5ef97>"2lj087psrL33a>1}Q:931?vXla;0x 0be2j<0(>:?:4fg?xPdj38p(8jm:064?!5383?oh6s+6729f>{Q:931?vXla;0x 0be2j<0(>:?:4fg?xPdj38p(8jm:064?!5383?oo6s+6729f>{Q:931?vXla;0x 0be2j<0(>:?:4fg?xPdj38p(8jm:b78 627255ef8y!0183?0qps4i32;>5<#:821>=64V33b>7}K:8i1=vX>6881!7?j38;46X>4181!3cl3l0(8jl:g9~yxJ59k0:w[<>1;0xRfg=:r.>ho4=099'716==mn0q)890;d8yx{009w)?7b;033>P6<909w);kd;d8 0bd2o1vqpB=1c82S46938pZno52z&6`g<58>1/?9>55ef8y!0183l0qps4o0:7>5<#:821=5:4;|`1a6<72:0;6=u+20:9643<@;:h7d?;8;29 77?28><7[<>a;3xH77d28q]on4={%3;f?7302\:8=4={%7g`?7<,290/><651558R77f28qG>{zut1d=5:50;&15=<60=1]>;6?u+5ef95>"2lj0:7psr}:a6`2=83;1<7>t$33;>77a3A8;o6a>8583>!4603;3865rb3g5>5<3290;w)<>8;7g?M47k2c:854?:%02{M02g?7|^ji1>v*>8c820==Q9=:1>v*:de8f?!3ck3o0qpsr;h37=?6=,;;36<:8;W02e?7|D;;h64181!3cl3o0(8jl:d9~yx{a;3xH77d28q]on4={%3;f?72<2\:8=4={%7g`?c<,3290/><651968R77f28qG>{zut1vn?k8:186>5<7s-8:47;k;I03g>o6<10;6)<>8;373>N59>1]><^8>;6?u+5ef9a>"2lj0n7psr}:k20<<72-8:47?;7:J152=Q:8k1=vB=1b82Sed2;q/=5l515;8R4272;q/9ij5e:&6`f5583>!4603;?;6F=169U64g=9rF9=n4>{Wa`>7}#91h1=8:4V063>7}#=mn1i6*:db8f?x{zu2c:9k4?:%02{M02g?7|^ji1>v*>8c821c=Q9=:1>v*:de8f?!3ck3o0qpsr;n3;0?6=,;;36<6;;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{53;294~"5910:4>5G21a8m42?290/><651558R77f28qG>{zut1b=9750;&15=<6<>1]>;6?u+5ef95>"2lj0:7psr}:m2<1<72-8:47?74:T15d<6sE8:o7?tVba96~"60k0:495Y15296~"2lm0:7);kc;38yx{z3th9i?4?:283>5}#:8219i5G21a8m42?290/><651558R77f28qG>{zut1b=9750;&15=<6<>1]>;6?u+5ef9<>"2lj037psr}:m2<1<72-8:47?74:T15d<6sE8:o7?tVba96~"60k0:495Y15296~"2lm0:7);kc;38yx{z3th88<4?:383>5}#:821n:5G21a8m420290/><651558?j7?<3:1(??7:0:7?S46i3;p@??l:0yUgf<5s-;3n7?74:T205<5s-?oh7?4$4f`>4=zutw0qo=;6;292?6=8r.9=54>449K65e<,8<365<#:821=994V33b>4}K:8i1=vX>6881!7?j3;?;6X>4181!3cl3;0(8jl:09~yx{P59h0:wA<>c;3xR40>2;q/=5l5c49U516=:r.>hi4>;%7gg?7=k?1]>f0<^8>;6?u+5ef97>"2lj087psrL33a>1}Q:931?vXla;0x 0be2j<0(>:?:4fg?xPdj38p(8jm:064?!5383?oh6s+6729f>{Q:931?vXla;0x 0be2j<0(>:?:4fg?xPdj38p(8jm:064?!5383?oo6s+6729f>{Q:931?vXla;0x 0be2j<0(>:?:4fg?xPdj38p(8jm:b78 627255ef8y!0183;;7psr;h037;W02e?4|D;;h65$33;>7603_8:m74}Q9?31>v*>8c8142=Q9=:1>v*:de8e?!3ck3l0qpsC20`95~P59809w[mn:3y'1ad=:9=0(>:?:4fg?x"1>90m7psr;n3;0?6=,;;36<6;;:a714=8391<7>t$33;>7723A8;o6g>4983>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?l7313:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?;9:T205<5s-?oh7?4$4f`>4=zutw0c<6;:18'64>=91>0Z??n:0yO64e=9r\ho74>33_;?<74=#=mi1=6sr}|9~f624290:6=4?{%02N58j1b=9650;&15=<6<>1C><94V33b>4}K:8i1=vXlc;0x 4>e28>37[?;0;0x 0bc2>1/9im57:~yx=n9=31<7*=198202=O:8=0Z??n:0yO64e=9r\ho742>3_;?<72=#=mi1;6sr}|9l5=2=83.9=54>859U64g=9rF9=n4>{Wa`>7}#91h1=5:4V063>7}#=mn1=6*:db82?x{zu2wi?:k50;094?6|,;;36o94H32`?l73?3:1(??7:064?>i60=0;6)<>8;3;0>P59h0:wA<>c;3xRfe=:r.:4o4>859U516=:r.>hi4>;%7gg?73<729q/><651578L76d3-;=47?>;h373?6=,;;36<:8;W02e?7|D;;h66=4+20:9g0=Q:8k1=vB=1b82S71138p(<6m:b78R4272;q/9ij51:&6`f<63twvq6gl6;29 77?2j<0Z??n:3yO64e=9r\::44={%3;f?e13_;?<76=#=mi1?6sr}M02f?2|^;:26>uYc`81!3cj3i=7)=;0;7g`>{Qkk09w);kb;373>"4<90>hi5r$743>g=z^;:26>uYc`81!3cj3i=7)=;0;7g`>{Qkk09w);kb;373>"4<90>hn5r$743>g=z^;:26>uYc`81!3cj3i=7)=;0;7g`>{Qkk09w);kb;a6?!5383?oh6s+67296>{Q91k1>vXla;0x 0be2j<0(>:?:4fg?x"1>90:<6sr}:k14=<72-8:477}#91h1>=64V063>7}#=mn1j6*:db8e?x{zD;;i6{zu2c9<:4?:%02;6?u+5ef9b>"2lj0m7psrL33a>4}Q:8;1>vXla;0x 0be2;:<7)=;0;7g`>{#>?:1j6sr}:m2<1<72-8:47?74:9~f61a29086=4?{%02{zut1b=9750;&15=<6<>1]>;6?u+5ef95>"2lj0:7psr}:m2<1<72-8:47?74:T15d<6sE8:o7?tVba96~"60k0:495Y15296~"2lm0:7);kc;38yx{z3th84=4?:083>5}#:821>{e;181<7=50;2x 77?2l;h374181!3cl3o0(8jl:d9~yx{26=4+20:9511<@;;<7[<>a;3xH77d28q]on4={%3;f?7312\:8=4={%7g`?c<,3290/><651968R77f28qG>{zut1vn>6<:187>5<7s-8:47;k;I03g>o6<10;6)<>8;373>N59>1]><^8>;6?u+5ef9a>"2lj0n7psr}:k20<<72-8:47?;7:J152=Q:8k1=vB=1b82Sed2;q/=5l515;8R4272;q/9ij5e:&6`f5583>!4603;?;6F=169U64g=9rF9=n4>{Wa`>7}#91h1=8:4V063>7}#=mn1i6*:db8f?x{zu2e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de82?!3ck3;0qpsr;|`0<4<72:0;6=u+20:95=5<@;:h7d?;8;29 77?28><7[<>a;3xH77d28q]on4={%3;f?7302\:8=4={%7g`?7<,290/><651558R77f28qG>{zut1d=5:50;&15=<60=1]>;6?u+5ef95>"2lj0:7psr}:a7=0=8381<7>t$33;>g1<@;:h7d?;7;29 77?28><76a>8583>!4603;386X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?xd40k0;6;4?:1y'64>=9=?0D?>l;%355$33;>4203_8:m7?tL33`>4}Q9?31>v*>8c8202=Q9=:1>v*:de82?!3ck3;0qpsr;ha6>5<#:821o85Y20c95~J59j0:w[?99;0x 4>e2j?0Z<:?:3y'1ab=92.>hn4>;|~y>od>3:1(??7:b48R77f2;qG>5+5ea97>{zuE8:n7:tV32:>6}Qkh09w);kb;a5?!5383?oh6sYcc81!3cj3;?;6*<4186`a=z,?<;6o5rV32:>6}Qkh09w);kb;a5?!5383?oh6sYcc81!3cj3;?;6*<4186`f=z,?<;6o5rV32:>6}Qkh09w);kb;a5?!5383?oh6sYcc81!3cj3i>7)=;0;7g`>{#>?:1>6sY19c96~Pdi38p(8jm:b48 6272{zu2c9<54?:%02<^8>;6?u+5ef9b>"2lj0m7psrL33a>4}Q:8;1>vXla;0x 0be2;:37)=;0;7g`>{#>?:1j6sr}:k142<72-8:477}#91h1>=94V063>7}#=mn1j6*:db8e?x{zD;;i6{zu2e:494?:%0268:180>5<7s-8:47<>5:J14f=n9=21<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515:8R4272;q/9ij51:&6`f<63twvq6g>4883>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?j7?<3:1(??7:0:7?S46i3;p@??l:0yUgf<5s-;3n7?74:T205<5s-?oh7?4$4f`>4=zutw0qo=78;295?6=8r.9=54=1g9K65e5}#:8219i5G21a8m42?290/><651558L7703_8:m7?tL33`>4}Qkj09w)?7b;37<>P6<909w);kd;58 0bd2>1vqps4i06:>5<#:821=994H334?S46i3;p@??l:0yUgf<5s-;3n7?;9:T205<5s-?oh794$4f`>2=zutw0c<6;:18'64>=91>0Z??n:0yO64e=9r\ho74>33_;?<74=#=mi1=6sr}|9~f6>d29096=4?{%024683>!4603;?;65`19694?"5910:495Y20c95~J59j0:w[ml:3y'5=d=91>0Z<:?:3y'1ab=92.>hn4>;|~y>{e;0;1<7850;2x 77?28>>7E{M02g?7|^8<26?u+19`9511<^8>;6?u+5ef95>"2lj0:7psr}:k`1?6=,;;36n;4V33b>4}K:8i1=vX>6881!7?j3i>7[?;0;0x 0bc281/9im51:~yx=nk?0;6)<>8;a5?S46i38p@??l:0yU53?=:r.:4o4l6:T205<5s-?oh7=4$4f`>6=zutF9=o4;{W03=?5|^jk1>v*:dc8`2>"4<90>hi5rVb`96~"2lk0:8:5+35291abv*:dc8`2>"4<90>hi5rVb`96~"2lk0:8:5+35291aev*:dc8`2>"4<90>hi5rVb`96~"2lk0h96*<4186`a=z,?<;6?5rV0:b>7}Qkh09w);kb;a5?!5383?oh6s+672955=zut1b>=650;&15=<5811]>76?3_;?<7c=#=mi1j6sr}M02f?7|^;;:6?uYc`81!3cj38;46*<4186`a=z,?<;6k5r}|9j651=83.9=54=069U64g=:rF9=n4>{W35=?4|,82i6?>8;W374?4|,{zuE8:n7?tV332>7}Qkh09w);kb;033>"4<90>hi5r$743>c=zut1d=5:50;&15=<60=10qo=7d;297?6=8r.9=54=149K65e36=4+20:9511<^;;j6hn4>;|~y>i60=0;6)<>8;3;0>P59h0:wA<>c;3xRfe=:r.:4o4>859U516=:r.>hi4>;%7gg?74<729q/><6520d8L76d3f;387>5$33;>4>332wi?5h50;194?6|,;;368j4H32`?l7303:1(??7:064?M46?2\9=l4>{M02g?7|^ji1>v*>8c820==Q9=:1>v*:de84?!3ck3=0qpsr;h37=?6=,;;36<:8;I023>P59h0:wA<>c;3xRfe=:r.:4o4>489U516=:r.>hi48;%7gg?1a;3xH77d28q]on4={%3;f?7?<2\:8=4={%7g`?7<,13:1>7>50z&15=5<#:821=5:4V33b>4}K:8i1=vXlc;0x 4>e282?7[?;0;0x 0bc281/9im51:~yx=zj:3n6=49:183!4603;?96F=0b9'53>=981b=9950;&15=<6<>1]>4203_;?<74=#=mi1=6sr}|9jg0<72-8:47m:;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{P59h09wA<>c;3xR40>2;q/=5l5c79U516=:r.>hi4<;%7gg?57}#=mh1=994$263>0bc3t.=:=4m;|T14<<4s_ij6?u+5e`9g3=#;=:19ij4}Waa>7}#=mh1=994$263>0bd3t.=:=4m;|T14<<4s_ij6?u+5e`9g3=#;=:19ij4}Waa>7}#=mh1o85+35291abv*:dc8`2>"4<90>hi5r$743>467:18'64>=:920Z??n:3yO64e=9r\::44={%3;f?4702\:8=4={%7g`?`<,<652158R77f2;qG>c=zutF9=o4>{W025?4|^jk1>v*:dc8142=#;=:19ij4}%454?`=91>07pl<9`83>6<729q/><652078L76d3`;?47>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37<>P6<909w);kd;38 0bd281vqps4i06:>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>27[?;0;0x 0bc281/9im51:~yx=h91>1<7*=1982<1=Q:8k1=vB=1b82Sed2;q/=5l51968R4272;q/9ij51:&6`f<63twvq6sm38`94?7=83:p(??7:33e?M47k2e:494?:%027l:180>5<7s-8:47;k;I03g>o6<10;6)<>8;373>N59>1]><^8>;6?u+5ef93>"2lj0<7psr}:k20<<72-8:47?;7:J152=Q:8k1=vB=1b82Sed2;q/=5l515;8R4272;q/9ij57:&6`f<03twvq6a>8583>!4603;386X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?xd41o0;6?4?:1y'64>=j>1C>=m4i064>5<#:821=994;n3;0?6=,;;36<6;;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{56;294~"5910:885G21a8 40?28;0e<:8:18'64>=9==0Z??n:0yO64e=9r\::44={%3;f?73?2\:8=4={%7g`?7<,7}#91h1o85Y15296~"2lm0:7);kc;38yx{z3`i=6=4+20:9g3=Q:8k1>vB=1b82S71138p(<6m:b48R4272;q/9ij53:&6`f<43twv@??m:5yU65?=;r\hm7f0<,:>;68jk;|T`f?4|,5b:U65?=;r\hm7f0<,:>;68jk;|T`f?4|,5b:U65?=;r\hm7f0<,:>;68jk;|T`f?4|,0bc3t.=:=4=;|T2a;0xH77d28q]=;752z&252z&6`a7}#=mh1>=64$263>0bc3t.=:=4i;|~?l47?3:1(??7:324?S46i38p@??l:0yU53?=:r.:4o4=069U516=:r.>hi4i;%7gg?`;68jk;|&525{M02g?7|^ji1>v*>8c820==Q9=:1>v*:de82?!3ck3;0qpsr;h37=?6=,;;36<:8;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{5<6290;w)<>8;02b>N58j1d=5:50;&15=<60=10qo=n2;297?6=8r.9=54:d:J14f=n9=21<7*=198202=O:8=0Z??n:0yO64e=9r\ho742?3_;?<72=#=mi1;6sr}|9j51?=83.9=54>469K641<^;;j60Z<:?:3y'1ab=92.>hn4>;|~y>{e;h?1<7<50;2x 77?2k=0D?>l;h373?6=,;;36<:8;:m2<1<72-8:47?74:T15d<6sE8:o7?tVba96~"60k0:495Y15296~"2lm0:7);kc;38yx{z3th8mn4?:783>5}#:821=9;4H32`?!7103;:7d?;7;29 77?28><7[<>a;3xH77d28q]=;752z&21]=9>52z&6`a<63-?oo7?4}|~?le2290/><65c49U64g=9rF9=n4>{W35=?4|,82i6n;4V063>7}#=mn1=6*:db82?x{zu2ch:7>5$33;>f0<^;;j6?uC20a95~P6>009w)?7b;a5?S73838p(8jk:29'1ae=;2wvqA<>b;6xR76>2:q]ol4={%7gf?e13-9?<7;kd:Ugg<5s-?on7?;7:&005<2lm1v(;8?:c9~R76>2:q]ol4={%7gf?e13-9?<7;kd:Ugg<5s-?on7?;7:&005<2lj1v(;8?:c9~R76>2:q]ol4={%7gf?e13-9?<7;kd:Ugg<5s-?on7m:;%174?3cl2w/:;>52:U5=g=:r\hm7f0<,:>;68jk;|&525<682wvq6g=0983>!46038;46X=1`81I46k3;pZ<86:3y'5=d=:920Z<:?:3y'1ab=n2.>hn4i;|~H77e28q]>7;%174?3cl2w/:;>5f:~y>o58>0;6)<>8;033>P59h09wA<>c;3xR40>2;q/=5l52158R4272;q/9ij5f:&6`f7603-9?<7;kd:'236=n2wvq6a>8583>!4603;3865rb2c5>5<4290;w)<>8;021>N58j1b=9650;&15=<6<>1]><^8>;6?u+5ef95>"2lj0:7psr}:k20<<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0:845Y15296~"2lm0:7);kc;38yx{z3f;387>5$33;>4>33_8:m7?tL33`>4}Qkj09w)?7b;3;0>P6<909w);kd;38 0bd281vqps4}c1b3?6=93:1=91>07pl6<729q/><655e9K65e36=4+20:9511<^;;j6hn4j;|~y>i60=0;6)<>8;3;0>P59h0:wA<>c;3xRfe=:r.:4o4>859U516=:r.>hi4>;%7gg?76<729q/><655e9K65e36=4+20:9511<^;;j6hn4j;|~y>i60=0;6)<>8;3;0>P59h0:wA<>c;3xRfe=:r.:4o4>859U516=:r.>hi4>;%7gg?76<729q/><651918L76d3`;?47>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37<>P6<909w);kd;38 0bd281vqps4i06:>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>27[?;0;0x 0bc281/9im51:~yx=h91>1<7*=1982<1=Q:8k1=vB=1b82Sed2;q/=5l51968R4272;q/9ij51:&6`f<63twvq6sm3da94?4=83:p(??7:c58L76d3`;?;7>5$33;>42032e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de82?!3ck3;0qpsr;|`0b4<72?0;6=u+20:9513<@;:h7)?98;32?l73?3:1(??7:064?S46i3;p@??l:0yU53?=:r.:4o4>469U516=:r.>hi4>;%7gg?7=k<1]>f3<^8>;6?u+5ef95>"2lj0:7psr}:k`2?6=,;;36n84V33b>7}K:8i1=vX>6881!7?j3i=7[?;0;0x 0bc2:1/9im53:~yI46j3>pZ?>6:2yUgd<5s-?on7m9;%174?3cl2w]oo4={%7gf?73?2.88=4:de9~ 3072k1vZ?>6:2yUgd<5s-?on7m9;%174?3cl2w]oo4={%7gf?73?2.88=4:db9~ 3072k1vZ?>6:2yUgd<5s-?on7m9;%174?3cl2w]oo4={%7gf?e23-9?<7;kd:'236=:2w]=5o52zT`e?4|,0bc3t.=:=4>0:~y>o5810;6)<>8;03<>P59h09wA<>c;3xR40>2;q/=5l521:8R4272;q/9ij5f:&6`f76?3-9?<7;kd:'236=n2wvq6g=0683>!46038;;6X=1`81I46k3;pZ<86:3y'5=d=:9=0Z<:?:3y'1ab=n2.>hn4i;|~H77e28q]>8;%174?3cl2w/:;>5f:~y>i60=0;6)<>8;3;0>=zj:oo6=4<:183!46038:96F=0b9j51>=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=964V063>7}#=mn1=6*:db82?x{zu2c:844?:%02{M02g?7|^ji1>v*>8c820<=Q9=:1>v*:de82?!3ck3;0qpsr;n3;0?6=,;;36<6;;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{51;294~"59109=k5G21a8k4>3290/><651968?xd4mo0;6>4?:1y'64>==m1C>=m4i06;>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>37[?;0;0x 0bc2l1/9im5e:~yx=n9=31<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515;8R4272;q/9ij5e:&6`f8583>!4603;386X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?xd3:l0;6?4?:1y'64>=j>1C>=m4i064>5<#:821=994;n3;0?6=,;;36<6;;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{8?7>56;294~"5910:885G21a8 40?28;0e<:8:18'64>=9==0Z??n:0yO64e=9r\::44={%3;f?73?2\:8=4={%7g`?7<,7}#91h1o85Y15296~"2lm0:7);kc;38yx{z3`i=6=4+20:9g3=Q:8k1>vB=1b82S71138p(<6m:b48R4272;q/9ij53:&6`f<43twv@??m:5yU65?=;r\hm7f0<,:>;68jk;|T`f?4|,5b:U65?=;r\hm7f0<,:>;68jk;|T`f?4|,5b:U65?=;r\hm7f0<,:>;68jk;|T`f?4|,0bc3t.=:=4=;|T2a;0xH77d28q]=;752z&252z&6`a7}#=mh1>=64$263>0bc3t.=:=4i;|~?l47?3:1(??7:324?S46i38p@??l:0yU53?=:r.:4o4=069U516=:r.>hi4i;%7gg?`;68jk;|&525{M02g?7|^ji1>v*>8c820==Q9=:1>v*:de82?!3ck3;0qpsr;h37=?6=,;;36<:8;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{5<6290;w)<>8;02b>N58j1d=5:50;&15=<60=10qo:<1;297?6=8r.9=54:d:J14f=n9=21<7*=198202=O:8=0Z??n:0yO64e=9r\ho742?3_;?<72=#=mi1;6sr}|9j51?=83.9=54>469K641<^;;j60Z<:?:3y'1ab=92.>hn4>;|~y>{e<:>1<7<50;2x 77?2k=0D?>l;h373?6=,;;36<:8;:m2<1<72-8:47?74:T15d<6sE8:o7?tVba96~"60k0:495Y15296~"2lm0:7);kc;38yx{z3th??44?:783>5}#:821=9;4H32`?!7103;:7d?;7;29 77?28><7[<>a;3xH77d28q]=;752z&21]=9>52z&6`a<63-?oo7?4}|~?le2290/><65c49U64g=9rF9=n4>{W35=?4|,82i6n;4V063>7}#=mn1=6*:db82?x{zu2ch:7>5$33;>f0<^;;j6?uC20a95~P6>009w)?7b;a5?S73838p(8jk:29'1ae=;2wvqA<>b;6xR76>2:q]ol4={%7gf?e13-9?<7;kd:Ugg<5s-?on7?;7:&005<2lm1v(;8?:c9~R76>2:q]ol4={%7gf?e13-9?<7;kd:Ugg<5s-?on7?;7:&005<2lj1v(;8?:c9~R76>2:q]ol4={%7gf?e13-9?<7;kd:Ugg<5s-?on7m:;%174?3cl2w/:;>52:U5=g=:r\hm7f0<,:>;68jk;|&525<682wvq6g=0983>!46038;46X=1`81I46k3;pZ<86:3y'5=d=:920Z<:?:3y'1ab=n2.>hn4i;|~H77e28q]>7;%174?3cl2w/:;>5f:~y>o58>0;6)<>8;033>P59h09wA<>c;3xR40>2;q/=5l52158R4272;q/9ij5f:&6`f7603-9?<7;kd:'236=n2wvq6a>8583>!4603;3865rb516>5<4290;w)<>8;021>N58j1b=9650;&15=<6<>1]><^8>;6?u+5ef95>"2lj0:7psr}:k20<<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0:845Y15296~"2lm0:7);kc;38yx{z3f;387>5$33;>4>33_8:m7?tL33`>4}Qkj09w)?7b;3;0>P6<909w);kd;38 0bd281vqps4}c602?6=93:1=91>07pl;3683>6<729q/><655e9K65e36=4+20:9511<@;;<7[<>a;3xH77d28q]on4={%3;f?7302\:8=4={%7g`?1<,290/><651558L7703_8:m7?tL33`>4}Qkj09w)?7b;37=>P6<909w);kd;58 0bd2>1vqps4o0:7>5<#:821=5:4V33b>4}K:8i1=vXlc;0x 4>e282?7[?;0;0x 0bc281/9im51:~yx=zj=9j6=4=:183!4603h<7E859U64g=9rF9=n4>{Wa`>7}#91h1=5:4V063>7}#=mn1=6*:db82?x{zu2wi8>h50;494?6|,;;36<::;I03g>"6>10:=6g>4683>!4603;?;6X=1`82I46k3;pZ<86:3y'5=d=9==0Z<:?:3y'1ab=92.>hn4>;|~y>od=3:1(??7:b78R77f28qG>{zut1bo;4?:%024}Q9?31>v*>8c8`2>P6<909w);kd;18 0bd2:1vqpB=1c87S47139pZno52z&6`g2.88=4:de9~Rfd=:r.>ho4>469'716==mn0q)890;`8yS47139pZno52z&6`g2.88=4:de9~Rfd=:r.>ho4>469'716==mi0q)890;`8yS47139pZno52z&6`g2.88=4:de9~Rfd=:r.>ho4l5:&005<2lm1v(;8?:39~R4>f2;q]ol4={%7gf?e13-9?<7;kd:'236=991vqp5f21:94?"59109<55Y20c96~J59j0:w[?99;0x 4>e2;:37[?;0;0x 0bc2o1/9im5f:~yI46j3;pZ??>:3yUgd<5s-?on7vB=1b82S71138p(<6m:324?S73838p(8jk:g9'1ae=n2wvqA<>b;3xR7762;q]ol4={%7gf?47?2.88=4:de9~ 3072o1vqp5`19694?"5910:4954}c60f?6=;3:1=9==0Z??n:0yO64e=9r\ho742?3_;?<74=#=mi1=6sr}|9j51?=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=974V063>7}#=mn1=6*:db82?x{zu2e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de82?!3ck3;0qpsr;|`77f<7280;6=u+20:964`<@;:h7b?74;29 77?282?76sm42f94?5=83:p(??7:4f8L76d3`;?47>5$33;>4203A8:;6X=1`82I46k3;pZnm52z&252z&6`a<03-?oo794}|~?l7313:1(??7:064?M46?2\9=l4>{M02g?7|^ji1>v*>8c820<=Q9=:1>v*:de84?!3ck3=0qpsr;n3;0?6=,;;36<6;;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{?<7>52;294~"5910i;6F=0b9j511=83.9=54>4698k4>3290/><651968R77f28qG>{zut1vn9:::185>5<7s-8:47?;5:J14f=#9?21=<5f15594?"5910:8:5Y20c95~J59j0:w[?99;0x 4>e28><7[?;0;0x 0bc281/9im51:~yx=nk<0;6)<>8;a6?S46i3;p@??l:0yU53?=:r.:4o4l5:T205<5s-?oh7?4$4f`>4=zutw0en850;&15=2\9=l4={M02g?7|^8<26?u+19`9g3=Q9=:1>v*:de80?!3ck390qpsC20`90~P58008w[mn:3y'1ad=k?1/?9>55ef8ySee2;q/9il51558 627255ef8ySee2;q/9il51558 627255ef8ySee2;q/9il5c49'716==mn0q)890;08yS7?i38pZno52z&6`g2.88=4:de9~ 30728:0qps4i32;>5<#:821>=64V33b>7}K:8i1=vX>6881!7?j38;46X>4181!3cl3l0(8jl:g9~yxJ59k0:w[<>1;0xRfg=:r.>ho4=099'716==mn0q)890;d8yx{009w)?7b;033>P6<909w);kd;d8 0bd2o1vqpB=1c82S46938pZno52z&6`g<58>1/?9>55ef8y!0183l0qps4o0:7>5<#:821=5:4;|`704<72:0;6=u+20:9643<@;:h7d?;8;29 77?28><7[<>a;3xH77d28q]on4={%3;f?7302\:8=4={%7g`?7<,290/><651558R77f28qG>{zut1d=5:50;&15=<60=1]>;6?u+5ef95>"2lj0:7psr}:a014=83;1<7>t$33;>77a3A8;o6a>8583>!4603;3865rb560>5<4290;w)<>8;7g?M47k2c:854?:%02hn48;|~y>o6<00;6)<>8;373>N59>1]>;6?u+5ef93>"2lj0<7psr}:m2<1<72-8:47?74:T15d<6sE8:o7?tVba96~"60k0:495Y15296~"2lm0:7);kc;38yx{z3th?9?4?:383>5}#:821n:5G21a8m420290/><651558?j7?<3:1(??7:0:7?S46i3;p@??l:0yUgf<5s-;3n7?74:T205<5s-?oh7?4$4f`>4=zutw0qo::7;292?6=8r.9=54>449K65e<,8<365<#:821=994V33b>4}K:8i1=vX>6881!7?j3;?;6X>4181!3cl3;0(8jl:09~yx{P59h0:wA<>c;3xR40>2;q/=5l5c49U516=:r.>hi4>;%7gg?7=k?1]>f0<^8>;6?u+5ef97>"2lj087psrL33a>1}Q:931?vXla;0x 0be2j<0(>:?:4fg?xPdj38p(8jm:064?!5383?oh6s+6729f>{Q:931?vXla;0x 0be2j<0(>:?:4fg?xPdj38p(8jm:064?!5383?oo6s+6729f>{Q:931?vXla;0x 0be2j<0(>:?:4fg?xPdj38p(8jm:b78 627255ef8y!0183;;7psr;h037;W02e?4|D;;h65$33;>7603_8:m74}Q9?31>v*>8c8142=Q9=:1>v*:de8e?!3ck3l0qpsC20`95~P59809w[mn:3y'1ad=:9=0(>:?:4fg?x"1>90m7psr;n3;0?6=,;;36<6;;:a005=8391<7>t$33;>7723A8;o6g>4983>!4603;?;6F=169U64g=9rF9=n4>{Wa`>7}#91h1=964V063>7}#=mn1=6*:db82?x{zu2c:844?:%02{M02g?7|^ji1>v*>8c820<=Q9=:1>v*:de82?!3ck3;0qpsr;n3;0?6=,;;36<6;;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{>87>51;294~"59109=k5G21a8k4>3290/><651968?xd3=<0;6>4?:1y'64>==m1C>=m4i06;>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>37[?;0;0x 0bc2l1/9im5e:~yx=n9=31<7*=198202=O:8=0Z??n:0yO64e=9r\ho742>3_;?<7`=#=mi1i6sr}|9l5=2=83.9=54>859U64g=9rF9=n4>{Wa`>7}#91h1=5:4V063>7}#=mn1=6*:db82?x{zu2wi85750;094?6|,;;36o94H32`?l73?3:1(??7:064?>i60=0;6)<>8;3;0>P59h0:wA<>c;3xRfe=:r.:4o4>859U516=:r.>hi4>;%7gg?73<729q/><651578L76d3-;=47?>;h373?6=,;;36<:8;W02e?7|D;;h66=4+20:9g0=Q:8k1=vB=1b82S71138p(<6m:b78R4272;q/9ij51:&6`f<63twvq6gl6;29 77?2j<0Z??n:3yO64e=9r\::44={%3;f?e13_;?<76=#=mi1?6sr}M02f?2|^;:26>uYc`81!3cj3i=7)=;0;7g`>{Qkk09w);kb;373>"4<90>hi5r$743>g=z^;:26>uYc`81!3cj3i=7)=;0;7g`>{Qkk09w);kb;373>"4<90>hn5r$743>g=z^;:26>uYc`81!3cj3i=7)=;0;7g`>{Qkk09w);kb;a6?!5383?oh6s+67296>{Q91k1>vXla;0x 0be2j<0(>:?:4fg?x"1>90:<6sr}:k14=<72-8:477}#91h1>=64V063>7}#=mn1j6*:db8e?x{zD;;i6{zu2c9<:4?:%02;6?u+5ef9b>"2lj0m7psrL33a>4}Q:8;1>vXla;0x 0be2;:<7)=;0;7g`>{#>?:1j6sr}:m2<1<72-8:47?74:9~f1>f29086=4?{%02hn4>;|~y>o6<00;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>489U516=:r.>hi4>;%7gg?7a;3xH77d28q]on4={%3;f?7?<2\:8=4={%7g`?7<,50z&15=<59o1C>=m4o0:7>5<#:821=5:4;|`7=9==0Z??n:0yO64e=9r\ho742?3_;?<7`=#=mi1i6sr}|9j51?=83.9=54>469K641<^;;j60Z<:?:3y'1ab=92.>hn4>;|~y>{e<0:1<7<50;2x 77?2k=0D?>l;h373?6=,;;36<:8;:m2<1<72-8:47?74:T15d<6sE8:o7?tVba96~"60k0:495Y15296~"2lm0:7);kc;38yx{z3th?594?:383>5}#:821n:5G21a8m420290/><651558?j7?<3:1(??7:0:7?S46i3;p@??l:0yUgf<5s-;3n7?74:T205<5s-?oh7?4$4f`>4=zutw0qo:67;292?6=8r.9=54>449K65e<,8<365<#:821=994V33b>4}K:8i1=vX>6881!7?j3;?;6X>4181!3cl3;0(8jl:09~yx{P59h0:wA<>c;3xR40>2;q/=5l5c49U516=:r.>hi4>;%7gg?7=k?1]>f0<^8>;6?u+5ef97>"2lj087psrL33a>1}Q:931?vXla;0x 0be2j<0(>:?:4fg?xPdj38p(8jm:064?!5383?oh6s+6729f>{Q:931?vXla;0x 0be2j<0(>:?:4fg?xPdj38p(8jm:064?!5383?oo6s+6729f>{Q:931?vXla;0x 0be2j<0(>:?:4fg?xPdj38p(8jm:b78 627255ef8y!0183;;7psr;h037;W02e?4|D;;h65$33;>7603_8:m74}Q9?31>v*>8c8142=Q9=:1>v*:de8e?!3ck3l0qpsC20`95~P59809w[mn:3y'1ad=:9=0(>:?:4fg?x"1>90m7psr;n3;0?6=,;;36<6;;:a0<7=8391<7>t$33;>7723A8;o6g>4983>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?l7313:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?;9:T205<5s-?oh7?4$4f`>4=zutw0c<6;:18'64>=91>0Z??n:0yO64e=9r\ho74>33_;?<74=#=mi1=6sr}|9~f1?5290:6=4?{%02N58j1b=9650;&15=<6<>1]><^8>;6?u+5ef9a>"2lj0n7psr}:k20<<72-8:47?;7:J152=Q:8k1=vB=1b82Sed2;q/=5l515;8R4272;q/9ij5e:&6`f5583>!4603;?;6F=169U64g=9rF9=n4>{Wa`>7}#91h1=8:4V063>7}#=mn1i6*:db8f?x{zu2e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de82?!3ck3;0qpsr;|`7=0<72:0;6=u+20:91a=O:9i0e<:7:18'64>=9==0Z??n:0yO64e=9r\ho742?3_;?<7<=#=mi156sr}|9j51?=83.9=54>469K641<^;;j60Z<:?:3y'1ab=92.>hn4>;|~y>{el;h373?6=,;;36<:8;:m2<1<72-8:47?74:T15d<6sE8:o7?tVba96~"60k0:495Y15296~"2lm0:7);kc;38yx{z3th?nl4?:783>5}#:821=9;4H32`?!7103;:7d?;7;29 77?28><7[<>a;3xH77d28q]=;752z&21]=9>52z&6`a<63-?oo7?4}|~?le2290/><65c49U64g=9rF9=n4>{W35=?4|,82i6n;4V063>7}#=mn1=6*:db82?x{zu2ch:7>5$33;>f0<^;;j6?uC20a95~P6>009w)?7b;a5?S73838p(8jk:29'1ae=;2wvqA<>b;6xR76>2:q]ol4={%7gf?e13-9?<7;kd:Ugg<5s-?on7?;7:&005<2lm1v(;8?:c9~R76>2:q]ol4={%7gf?e13-9?<7;kd:Ugg<5s-?on7?;7:&005<2lj1v(;8?:c9~R76>2:q]ol4={%7gf?e13-9?<7;kd:Ugg<5s-?on7m:;%174?3cl2w/:;>52:U5=g=:r\hm7f0<,:>;68jk;|&525<682wvq6g=0983>!46038;46X=1`81I46k3;pZ<86:3y'5=d=:920Z<:?:3y'1ab=n2.>hn4i;|~H77e28q]>7;%174?3cl2w/:;>5f:~y>o58>0;6)<>8;033>P59h09wA<>c;3xR40>2;q/=5l52158R4272;q/9ij5f:&6`f7603-9?<7;kd:'236=n2wvq6a>8583>!4603;3865rb5ce>5<4290;w)<>8;021>N58j1b=9650;&15=<6<>1C><94V33b>4}K:8i1=vXlc;0x 4>e28>37[?;0;0x 0bc281/9im51:~yx=n9=31<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515;8R4272;q/9ij51:&6`f<63twvq6a>8583>!4603;386X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?xd3j90;6<4?:1y'64>=:8l0D?>l;n3;0?6=,;;36<6;;:a0g4=8391<7>t$33;>0b<@;:h7d?;8;29 77?28><7[<>a;3xH77d28q]on4={%3;f?7302\:8=4={%7g`?7<,290/><651558R77f28qG>{zut1d=5:50;&15=<60=1]>;6?u+5ef95>"2lj0:7psr}:a0g5=8391<7>t$33;>0b<@;:h7d?;8;29 77?28><7[<>a;3xH77d28q]on4={%3;f?7302\:8=4={%7g`?7<,290/><651558R77f28qG>{zut1d=5:50;&15=<60=1]>;6?u+5ef95>"2lj0:7psr}:a0g2=8391<7>t$33;>0b<@;:h7d?;8;29 77?28><7E<>7:T15d<6sE8:o7?tVba96~"60k0:855Y15296~"2lm0n7);kc;g8yx{z3`;?57>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37=>P6<909w);kd;g8 0bd2l1vqps4o0:7>5<#:821=5:4V33b>4}K:8i1=vXlc;0x 4>e282?7[?;0;0x 0bc281/9im51:~yx=zj=h>6=4<:183!4603?o7E5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37=>P6<909w);kd;g8 0bd2l1vqps4o0:7>5<#:821=5:4V33b>4}K:8i1=vXlc;0x 4>e282?7[?;0;0x 0bc281/9im51:~yx=zj=h=6=4;:183!4603?o7E5$33;>4203A8:;6X=1`82I46k3;pZnm52z&252z&6`a`=zutw0c<6;:18'64>=91>0Z??n:0yO64e=9r\ho74>33_;?<74=#=mi1=6sr}|9~f1d0290>6=4?{%024983>!4603;?;6F=169U64g=9rF9=n4>{Wa`>7}#91h1=964V063>7}#=mn1;6*:db84?x{zu2c:844?:%02hn48;|~y>o6==0;6)<>8;373>N59>1]>;6?u+5ef9a>"2lj0n7psr}:k21c<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0:9k5Y15296~"2lm0n7);kc;g8yx{z3f;387>5$33;>4>33_8:m7?tL33`>4}Qkj09w)?7b;3;0>P6<909w);kd;38 0bd281vqps4}c6aN58j1b=9650;&15=<6<>1]><^8>;6?u+5ef93>"2lj0<7psr}:k20<<72-8:47?;7:J152=Q:8k1=vB=1b82Sed2;q/=5l515;8R4272;q/9ij5e:&6`f5583>!4603;?;6F=169U64g=9rF9=n4>{Wa`>7}#91h1=8:4V063>7}#=mn1i6*:db8f?x{zu2c:9k4?:%02{M02g?7|^ji1>v*>8c821c=Q9=:1>v*:de8f?!3ck3o0qpsr;n3;0?6=,;;36<6;;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{i=7>58;294~"5910:4>5G21a8m42?290/><651558R77f28qG>{zut1b=9750;&15=<6<>1]>;6?u+5ef95>"2lj0:7psr}:k211<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0:995Y15296~"2lm0:7);kc;38yx{z3`;>j7>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;36b>P6<909w);kd;38 0bd281vqps4i041>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28<97[?;0;0x 0bc281/9im51:~yx=n9?91<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l51718R4272;q/9ij51:&6`f<63twvq6g>6583>!4603;?;6X=1`82I46k3;pZnm52z&2=1]=9>52z&6`a<63-?oo7?4}|~?j7?<3:1(??7:0:7?S46i3;p@??l:0yUgf<5s-;3n7?74:T205<5s-?oh7?4$4f`>4=zutw0qo;i0;296?6=8r.9=54m7:J14f=n9==1<7*=198202=5<5290;w)<>8;`4?M47k2c:8:4?:%02;6?u+5ef95>"2lj0:7psr}:a1cb=83<1<7>t$33;>4223A8;o6*>69825>o6<>0;6)<>8;373>P59h0:wA<>c;3xR40>2;q/=5l51558R4272;q/9ij51:&6`f<63twvq6gl5;29 77?2j?0Z??n:0yO64e=9r\::44={%3;f?e23_;?<74=#=mi1=6sr}|9jg3<72-8:47m9;W02e?4|D;;h64181!3cl390(8jl:29~yxJ59k0?w[ho4l6:&005<2lm1vZnl52z&6`g<6<>1/?9>55ef8y!0183h0q[ho4l6:&005<2lm1vZnl52z&6`g<6<>1/?9>55ea8y!0183h0q[ho4l6:&005<2lm1vZnl52z&6`g5119~yx=n:921<7*=19814==Q:8k1>vB=1b82S71138p(<6m:32;?S73838p(8jk:g9'1ae=n2wvqA<>b;3xR7762;q]ol4={%7gf?4702.88=4:de9~ 3072o1vqp5f21594?"59109<:5Y20c96~J59j0:w[?99;0x 4>e2;:<7[?;0;0x 0bc2o1/9im5f:~yI46j3;pZ??>:3yUgd<5s-?on71<7*=1982<1=53;294~"59109=85G21a8m42?290/><651558R77f28qG>{zut1b=9750;&15=<6<>1]>;6?u+5ef95>"2lj0:7psr}:m2<1<72-8:47?74:T15d<6sE8:o7?tVba96~"60k0:495Y15296~"2lm0:7);kc;38yx{z3th>j?4?:083>5}#:821>{e=o>1<7=50;2x 77?2l;h374181!3cl3;0(8jl:09~yx{26=4+20:9511<^;;j60Z<:?:3y'1ab=92.>hn4>;|~y>{e=o?1<7;50;2x 77?2l;h374181!3cl3o0(8jl:d9~yx{26=4+20:9511<@;;<7[<>a;3xH77d28q]on4={%3;f?7312\:8=4={%7g`?c<,<651558L7703_8:m7?tL33`>4}Qkj09w)?7b;360>P6<909w);kd;g8 0bd2l1vqps4i07e>5<#:821=994H334?S46i3;p@??l:0yUgf<5s-;3n7?:f:T205<5s-?oh7k4$4f`>`=zutw0c<6;:18'64>=91>0Z??n:0yO64e=9r\ho74>33_;?<74=#=mi1=6sr}|9~f0`1290=6=4?{%024983>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a<03-?oo794}|~?l7313:1(??7:064?M46?2\9=l4>{M02g?7|^ji1>v*>8c820<=Q9=:1>v*:de8f?!3ck3o0qpsr;h360?6=,;;36<:8;I023>P59h0:wA<>c;3xRfe=:r.:4o4>559U516=:r.>hi4j;%7gg?c<7E<>7:T15d<6sE8:o7?tVba96~"60k0:9k5Y15296~"2lm0n7);kc;g8yx{z3`;=>7>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;356>P6<909w);kd;g8 0bd2l1vqps4o0:7>5<#:821=5:4V33b>4}K:8i1=vXlc;0x 4>e282?7[?;0;0x 0bc281/9im51:~yx=zj5$33;>4203A8:;6X=1`82I46k3;pZnm52z&252z&6`a{M02g?7|^ji1>v*>8c8211=Q9=:1>v*:de8f?!3ck3o0qpsr;h36b?6=,;;36<:8;I023>P59h0:wA<>c;3xRfe=:r.:4o4>5g9U516=:r.>hi4j;%7gg?c<7E<>7:T15d<6sE8:o7?tVba96~"60k0::?5Y15296~"2lm0n7);kc;g8yx{z3f;387>5$33;>4>33_8:m7?tL33`>4}Qkj09w)?7b;3;0>P6<909w);kd;38 0bd281vqps4}c7e7?6==3:1=9==0Z??n:0yO64e=9r\ho742?3_;?<74=#=mi1=6sr}|9j51?=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=974V063>7}#=mn1=6*:db82?x{zu2c:994?:%02{M02g?7|^ji1>v*>8c8211=Q9=:1>v*:de82?!3ck3;0qpsr;h36b?6=,;;36<:8;W02e?7|D;;h6j6X>4181!3cl3;0(8jl:09~yx{5<>290;w)<>8;7g?M47k2c:854?:%02hn46;|~y>o6<00;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>489U516=:r.>hi46;%7gg??<7[<>a;3xH77d28q]on4={%3;f?72<2\:8=4={%7g`??<,<651558L7703_8:m7?tL33`>4}Qkj09w)?7b;36b>P6<909w);kd;;8 0bd201vqps4i041>5<#:821=994H334?S46i3;p@??l:0yUgf<5s-;3n7?92:T205<5s-?oh7h4$4f`>c=zutw0e<8<:18'64>=9==0D??8;W02e?7|D;;h64181!3cl3l0(8jl:g9~yx{a;3xH77d28q]on4={%3;f?71<2\:8=4={%7g`?`<,<651558L7703_8:m7?tL33`>4}Qkj09w)?7b;351>P6<909w);kd;d8 0bd2o1vqps4o0:7>5<#:821=5:4V33b>4}K:8i1=vXlc;0x 4>e282?7[?;0;0x 0bc281/9im51:~yx=zj3twvq6g>4883>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a<>3-?oo774}|~?l72<3:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?:4:T205<5s-?oh774$4f`><=zutw0e<;i:18'64>=9==0Z??n:0yO64e=9r\ho743a3_;?<7c=#=mi1j6sr}|9j534=83.9=54>469K641<^;;j6{zut1b=;:50;&15=<6<>1C><94V33b>4}K:8i1=vXlc;0x 4>e284023_;?<7c=#=mi1j6sr}|9l5=2=83.9=54>859U64g=9rF9=n4>{Wa`>7}#91h1=5:4V063>7}#=mn1=6*:db82?x{zu2wi9kl50;194?6|,;;36<6<;I03g>o6<10;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>499U516=:r.>hi4>;%7gg?7<7[<>a;3xH77d28q]on4={%3;f?7312\:8=4={%7g`?7<,3290/><651968R77f28qG>{zut1vn;=l:181>5<7s-8:47l8;I03g>o6<>0;6)<>8;373>=h91>1<7*=1982<1=Q:8k1=vB=1b82Sed2;q/=5l51968R4272;q/9ij51:&6`f<63twvq6sm65394?0=83:p(??7:066?M47k2.::54>1:k202<72-8:47?;7:T15d<6sE8:o7?tV04:>7}#91h1=994V063>7}#=mn1=6*:db82?x{zu2ch97>5$33;>f3<^;;j6009w)?7b;a6?S73838p(8jk:09'1ae=92wvqp5fc783>!4603i=7[<>a;0xH77d28q]=;752z&22\:8=4={%7g`?5<,5r}|N15g<3s_8;57=tVbc96~"2lk0h:6*<4186`a=z^jh1>v*:dc8202=#;=:19ij4}%454?dv*:dc8202=#;=:19im4}%454?dv*:dc8`1>"4<90>hi5r$743>7=z^82j6?uYc`81!3cj3i=7)=;0;7g`>{#>?:1==5r}|9j65>=83.9=54=099U64g=:rF9=n4>{W35=?4|,82i6?>7;W374?4|,{zuE8:n7?tV332>7}Qkh09w);kb;03<>"4<90>hi5r$743>c=zut1b>=950;&15=<58>1]>7603_;?<7c=#=mi1j6sr}M02f?7|^;;:6?uYc`81!3cj38;;6*<4186`a=z,?<;6k5r}|9l5=2=83.9=54>8598yg04l3:1?7>50z&15=<59<1C>=m4i06;>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>37[?;0;0x 0bc281/9im51:~yx=n9=31<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515;8R4272;q/9ij51:&6`f<63twvq6a>8583>!4603;386X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?xd1;l0;6<4?:1y'64>=:8l0D?>l;n3;0?6=,;;36<6;;:a26`=8391<7>t$33;>0b<@;:h7d?;8;29 77?28><7E<>7:T15d<6sE8:o7?tVba96~"60k0:855Y15296~"2lm0n7);kc;g8yx{z3`;?57>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37=>P6<909w);kd;g8 0bd2l1vqps4o0:7>5<#:821=5:4V33b>4}K:8i1=vXlc;0x 4>e282?7[?;0;0x 0bc281/9im51:~yx=zj???6=4=:183!4603h<7E859U64g=9rF9=n4>{Wa`>7}#91h1=5:4V063>7}#=mn1=6*:db82?x{zu2wi:8750;494?6|,;;36<::;I03g>"6>10:=6g>4683>!4603;?;6X=1`82I46k3;pZ<86:3y'5=d=9==0Z<:?:3y'1ab=92.>hn4>;|~y>od=3:1(??7:b78R77f28qG>{zut1bo;4?:%024}Q9?31>v*>8c8`2>P6<909w);kd;18 0bd2:1vqpB=1c87S47139pZno52z&6`g2.88=4:de9~Rfd=:r.>ho4>469'716==mn0q)890;`8yS47139pZno52z&6`g2.88=4:de9~Rfd=:r.>ho4>469'716==mi0q)890;`8yS47139pZno52z&6`g2.88=4:de9~Rfd=:r.>ho4l5:&005<2lm1v(;8?:39~R4>f2;q]ol4={%7gf?e13-9?<7;kd:'236=991vqp5f21:94?"59109<55Y20c96~J59j0:w[?99;0x 4>e2;:37[?;0;0x 0bc2o1/9im5f:~yI46j3;pZ??>:3yUgd<5s-?on7vB=1b82S71138p(<6m:324?S73838p(8jk:g9'1ae=n2wvqA<>b;3xR7762;q]ol4={%7gf?47?2.88=4:de9~ 3072o1vqp5`19694?"5910:4954}c461?6=;3:1=9==0Z??n:0yO64e=9r\ho742?3_;?<74=#=mi1=6sr}|9j51?=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=974V063>7}#=mn1=6*:db82?x{zu2e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de82?!3ck3;0qpsr;|`513<7280;6=u+20:964`<@;:h7b?74;29 77?282?76sm64594?5=83:p(??7:4f8L76d3`;?47>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37<>P6<909w);kd;g8 0bd2l1vqps4i06:>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>27[?;0;0x 0bc2l1/9im5e:~yx=h91>1<7*=1982<1=Q:8k1=vB=1b82Sed2;q/=5l51968R4272;q/9ij51:&6`f<63twvq6sm1`794?4=83:p(??7:c58L76d3`;?;7>5$33;>42032e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de82?!3ck3;0qpsr;|`2eg<72;0;6=u+20:9f2=O:9i0e<:8:18'64>=9==07b?74;29 77?282?7[<>a;3xH77d28q]on4={%3;f?7?<2\:8=4={%7g`?0<,3:1?7>50z&15=<59<1C>=m4i06;>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>37[?;0;0x 0bc281/9im51:~yx=n9=31<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515;8R4272;q/9ij51:&6`f<63twvq6a>8583>!4603;386X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?xd6i>0;6<4?:1y'64>=:8l0D?>l;n3;0?6=,;;36<6;;:a5d?=8391<7>t$33;>0b<@;:h7d?;8;29 77?28><7[<>a;3xH77d28q]on4={%3;f?7302\:8=4={%7g`?1<,290/><651558L7703_8:m7?tL33`>4}Qkj09w)?7b;37=>P6<909w);kd;g8 0bd2l1vqps4o0:7>5<#:821=5:4V33b>4}K:8i1=vXlc;0x 4>e282?7[?;0;0x 0bc281/9im51:~yx=zj8kj6=4<:183!4603?o7E5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37=>P6<909w);kd;58 0bd2>1vqps4o0:7>5<#:821=5:4V33b>4}K:8i1=vXlc;0x 4>e282?7[?;0;0x 0bc281/9im51:~yx=zj8k36=4<:183!4603;3?6F=0b9j51>=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=964V063>7}#=mn1=6*:db82?x{zu2c:844?:%02{M02g?7|^ji1>v*>8c820<=Q9=:1>v*:de82?!3ck3;0qpsr;n3;0?6=,;;36<6;;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{52;294~"5910i;6F=0b9j511=83.9=54>4698k4>3290/><651968R77f28qG>{zut1vn5<7s-8:47l8;I03g>o6<>0;6)<>8;373>=h91>1<7*=1982<1=Q:8k1=vB=1b82Sed2;q/=5l51968R4272;q/9ij56:&6`f<13twvq6sm1`f94?5=83:p(??7:336?M47k2c:854?:%02{M02g?7|^ji1>v*>8c820==Q9=:1>v*:de82?!3ck3;0qpsr;h37=?6=,;;36<:8;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{5<6290;w)<>8;02b>N58j1d=5:50;&15=<60=10qo?m0;297?6=8r.9=54:d:J14f=n9=21<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515:8R4272;q/9ij57:&6`f<03twvq6g>4883>!4603;?;6F=169U64g=9rF9=n4>{Wa`>7}#91h1=974V063>7}#=mn1i6*:db8f?x{zu2e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de82?!3ck3;0qpsr;|`2f4<72:0;6=u+20:91a=O:9i0e<:7:18'64>=9==0Z??n:0yO64e=9r\ho742?3_;?<7`=#=mi1i6sr}|9j51?=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=974V063>7}#=mn1;6*:db84?x{zu2e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de82?!3ck3;0qpsr;|`2ec<72:0;6=u+20:95=5<@;:h7d?;8;29 77?28><7[<>a;3xH77d28q]on4={%3;f?7302\:8=4={%7g`?7<,290/><651558R77f28qG>{zut1d=5:50;&15=<60=1]>;6?u+5ef95>"2lj0:7psr}:a5g5=8381<7>t$33;>g1<@;:h7d?;7;29 77?28><76a>8583>!4603;386X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?xd6j00;6?4?:1y'64>=j>1C>=m4i064>5<#:821=994;n3;0?6=,;;36<6;;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{52;294~"5910i;6F=0b9j511=83.9=54>4698k4>3290/><651968R77f28qG>{zut1vn5<7s-8:47<>5:J14f=n9=21<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515:8R4272;q/9ij51:&6`f<63twvq6g>4883>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?j7?<3:1(??7:0:7?S46i3;p@??l:0yUgf<5s-;3n7?74:T205<5s-?oh7?4$4f`>4=zutw0qo?m5;295?6=8r.9=54=1g9K65e5}#:8219i5G21a8m42?290/><651558R77f28qG>{zut1b=9750;&15=<6<>1C><94V33b>4}K:8i1=vXlc;0x 4>e28>27[?;0;0x 0bc2l1/9im5e:~yx=h91>1<7*=1982<1=Q:8k1=vB=1b82Sed2;q/=5l51968R4272;q/9ij51:&6`f<63twvq6sm1c:94?5=83:p(??7:4f8L76d3`;?47>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37<>P6<909w);kd;g8 0bd2l1vqps4i06:>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>27[?;0;0x 0bc2>1/9im57:~yx=h91>1<7*=1982<1=Q:8k1=vB=1b82Sed2;q/=5l51968R4272;q/9ij51:&6`f<63twvq6sm1c494?5=83:p(??7:0:0?M47k2c:854?:%02{M02g?7|^ji1>v*>8c820==Q9=:1>v*:de82?!3ck3;0qpsr;h37=?6=,;;36<:8;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{5<4290;w)<>8;7g?M47k2c:854?:%02{M02g?7|^ji1>v*>8c820==Q9=:1>v*:de8e?!3ck3l0qpsr;h37=?6=,;;36<:8;W02e?7|D;;h64181!3cl330(8jl:89~yx{5<5290;w)<>8;`4?M47k2c:8:4?:%02;6?u+5ef95>"2lj0:7psr}:a5f4=8381<7>t$33;>g1<@;:h7d?;7;29 77?28><76a>8583>!4603;386X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?xd6k?0;6?4?:1y'64>=j>1C>=m4i064>5<#:821=994;n3;0?6=,;;36<6;;W02e?7|D;;h64181!3cl3<0(8jl:79~yx{53;294~"59109=85G21a8m42?290/><651558R77f28qG>{zut1b=9750;&15=<6<>1]>;6?u+5ef95>"2lj0:7psr}:m2<1<72-8:47?74:T15d<6sE8:o7?tVba96~"60k0:495Y15296~"2lm0:7);kc;38yx{z3th:nh4?:083>5}#:821>{e9j:1<7=50;2x 77?2l;h374181!3cl3=0(8jl:69~yx{26=4+20:9511<@;;<7[<>a;3xH77d28q]on4={%3;f?7312\:8=4={%7g`?c<,3290/><651968R77f28qG>{zut1vn:180>5<7s-8:47;k;I03g>o6<10;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>499U516=:r.>hi4j;%7gg?c<7[<>a;3xH77d28q]on4={%3;f?7312\:8=4={%7g`?1<,3290/><651968R77f28qG>{zut1vn5<7s-8:47?73:J14f=n9=21<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515:8R4272;q/9ij51:&6`f<63twvq6g>4883>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?j7?<3:1(??7:0:7?S46i3;p@??l:0yUgf<5s-;3n7?74:T205<5s-?oh7?4$4f`>4=zutw0qo?l3;297?6=8r.9=54:d:J14f=n9=21<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515:8R4272;q/9ij59:&6`f<>3twvq6g>4883>!4603;?;6F=169U64g=9rF9=n4>{Wa`>7}#91h1=974V063>7}#=mn1j6*:db8e?x{zu2e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de82?!3ck3;0qpsr;|`2g1<72:0;6=u+20:91a=O:9i0e<:7:18'64>=9==0Z??n:0yO64e=9r\ho742?3_;?<7c=#=mi1j6sr}|9j51?=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=974V063>7}#=mn156*:db8:?x{zu2e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de82?!3ck3;0qpsr;|`2g0<72:0;6=u+20:95=5<@;:h7d?;8;29 77?28><7[<>a;3xH77d28q]on4={%3;f?7302\:8=4={%7g`?7<,290/><651558R77f28qG>{zut1d=5:50;&15=<60=1]>;6?u+5ef95>"2lj0:7psr}:a5f1=8381<7>t$33;>g1<@;:h7d?;7;29 77?28><76a>8583>!4603;386X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?xd6km0;6?4?:1y'64>=j>1C>=m4i064>5<#:821=994;n3;0?6=,;;36<6;;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{52;294~"5910i;6F=0b9j511=83.9=54>4698k4>3290/><651968R77f28qG>{zut1vn5<7s-8:47<>5:J14f=n9=21<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515:8R4272;q/9ij51:&6`f<63twvq6g>4883>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?j7?<3:1(??7:0:7?S46i3;p@??l:0yUgf<5s-;3n7?74:T205<5s-?oh7?4$4f`>4=zutw0qo?l9;295?6=8r.9=54=1g9K65e5}#:8219i5G21a8m42?290/><651558R77f28qG>{zut1b=9750;&15=<6<>1]>;6?u+5ef95>"2lj0:7psr}:m2<1<72-8:47?74:T15d<6sE8:o7?tVba96~"60k0:495Y15296~"2lm0:7);kc;38yx{z3th:on4?:283>5}#:8219i5G21a8m42?290/><651558R77f28qG>{zut1b=9750;&15=<6<>1C><94V33b>4}K:8i1=vXlc;0x 4>e28>27[?;0;0x 0bc2l1/9im5e:~yx=h91>1<7*=1982<1=Q:8k1=vB=1b82Sed2;q/=5l51968R4272;q/9ij51:&6`f<63twvq6sm1bc94?5=83:p(??7:0:0?M47k2c:854?:%02{M02g?7|^ji1>v*>8c820==Q9=:1>v*:de82?!3ck3;0qpsr;h37=?6=,;;36<:8;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{5<0290;w)<>8;7g?M47k2c:854?:%02hn46;|~y>o6<00;6)<>8;373>N59>1]>;6?u+5ef9=>"2lj027psr}:k211<72-8:47?;7:J152=Q:8k1=vB=1b82Sed2;q/=5l51468R4272;q/9ij59:&6`f<>3twvq6g>5g83>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a{M02g?7|^ji1>v*>8c8227=Q9=:1>v*:de8e?!3ck3l0qpsr;h357?6=,;;36<:8;W02e?7|D;;h64181!3cl3l0(8jl:g9~yx{5<0290;w)<>8;7g?M47k2c:854?:%02hn46;|~y>o6<00;6)<>8;373>N59>1]>;6?u+5ef9=>"2lj027psr}:k211<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0:995Y15296~"2lm0m7);kc;d8yx{z3`;>j7>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;36b>P6<909w);kd;d8 0bd2o1vqps4i041>5<#:821=994H334?S46i3;p@??l:0yUgf<5s-;3n7?92:T205<5s-?oh7h4$4f`>c=zutw0e<8<:18'64>=9==0Z??n:0yO64e=9r\ho74043_;?<7c=#=mi1j6sr}|9l5=2=83.9=54>859U64g=9rF9=n4>{Wa`>7}#91h1=5:4V063>7}#=mn1=6*:db82?x{zu2wi=i>50;:94?6|,;;368j4H32`?l7303:1(??7:064?M46?2\9=l4>{M02g?7|^ji1>v*>8c820==Q9=:1>v*:de8:?!3ck330qpsr;h37=?6=,;;36<:8;W02e?7|D;;h64181!3cl330(8jl:89~yx{{zut1b=;<50;&15=<6<>1C><94V33b>4}K:8i1=vXlc;0x 4>e28<97[?;0;0x 0bc2o1/9im5f:~yx=n9?91<7*=198202=O:8=0Z??n:0yO64e=9r\ho74043_;?<7c=#=mi1j6sr}|9j532=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=;:4V063>7}#=mn1j6*:db8e?x{zu2e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de82?!3ck3;0qpsr;|`2`4<7210;6=u+20:91a=O:9i0e<:7:18'64>=9==0D??8;W02e?7|D;;h64181!3cl330(8jl:89~yx{26=4+20:9511<^;;j60Z<:?:3y'1ab=12.>hn46;|~y>o6=o0;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>5g9U516=:r.>hi4i;%7gg?`<7E<>7:T15d<6sE8:o7?tVba96~"60k0::?5Y15296~"2lm0m7);kc;d8yx{z3`;=?7>5$33;>4203A8:;6X=1`82I46k3;pZnm52z&2:1]=9>52z&6`ac=zutw0c<6;:18'64>=91>0Z??n:0yO64e=9r\ho74>33_;?<74=#=mi1=6sr}|9~f4b5290>6=4?{%02hn4>;|~y>o6<00;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>489U516=:r.>hi4>;%7gg?7<7[<>a;3xH77d28q]on4={%3;f?72<2\:8=4={%7g`?7<,<651558R77f28qG>{zut1d=5:50;&15=<60=1]>;6?u+5ef95>"2lj0:7psr}:a5a2=8381<7>t$33;>g1<@;:h7d?;7;29 77?28><76a>8583>!4603;386X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?xd6l10;6?4?:1y'64>=j>1C>=m4i064>5<#:821=994;n3;0?6=,;;36<6;;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{52;294~"5910i;6F=0b9j511=83.9=54>4698k4>3290/><651968R77f28qG>{zut1vn5<7s-8:47<>5:J14f=n9=21<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515:8R4272;q/9ij51:&6`f<63twvq6g>4883>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?j7?<3:1(??7:0:7?S46i3;p@??l:0yUgf<5s-;3n7?74:T205<5s-?oh7?4$4f`>4=zutw0qo?k6;295?6=8r.9=54=1g9K65e5}#:8219i5G21a8m42?290/><651558R77f28qG>{zut1b=9750;&15=<6<>1]>;6?u+5ef95>"2lj0:7psr}:m2<1<72-8:47?74:T15d<6sE8:o7?tVba96~"60k0:495Y15296~"2lm0:7);kc;38yx{z3th:h44?:783>5}#:8219i5G21a8m42?290/><651558R77f28qG>{zut1b=9750;&15=<6<>1C><94V33b>4}K:8i1=vXlc;0x 4>e28>27[?;0;0x 0bc2o1/9im5f:~yx=n9<>1<7*=198202=O:8=0Z??n:0yO64e=9r\ho74333_;?<7c=#=mi1j6sr}|9j50`=83.9=54>469K641<^;;j6{zut1d=5:50;&15=<60=1]>;6?u+5ef95>"2lj0:7psr}:a5ag=83=1<7>t$33;>0b<@;:h7d?;8;29 77?28><7E<>7:T15d<6sE8:o7?tVba96~"60k0:855Y15296~"2lm027);kc;;8yx{z3`;?57>5$33;>4203A8:;6X=1`82I46k3;pZnm52z&252z&6`a<>3-?oo774}|~?l72<3:1(??7:064?M46?2\9=l4>{M02g?7|^ji1>v*>8c8211=Q9=:1>v*:de8:?!3ck330qpsr;h36b?6=,;;36<:8;W02e?7|D;;h6j6X>4181!3cl3l0(8jl:g9~yx{a;3xH77d28q]on4={%3;f?71:2\:8=4={%7g`?`<,<651558L7703_8:m7?tL33`>4}Qkj09w)?7b;357>P6<909w);kd;d8 0bd2o1vqps4o0:7>5<#:821=5:4V33b>4}K:8i1=vXlc;0x 4>e282?7[?;0;0x 0bc281/9im51:~yx=zj8ni6=48:183!4603?o7E3twvq6g>4883>!4603;?;6F=169U64g=9rF9=n4>{Wa`>7}#91h1=974V063>7}#=mn156*:db8:?x{zu2c:994?:%02{M02g?7|^ji1>v*>8c8211=Q9=:1>v*:de8e?!3ck3l0qpsr;h36b?6=,;;36<:8;W02e?7|D;;h6j6X>4181!3cl3l0(8jl:g9~yx{a;3xH77d28q]on4={%3;f?71:2\:8=4={%7g`?`<,<651558L7703_8:m7?tL33`>4}Qkj09w)?7b;357>P6<909w);kd;d8 0bd2o1vqps4o0:7>5<#:821=5:4V33b>4}K:8i1=vXlc;0x 4>e282?7[?;0;0x 0bc281/9im51:~yx=zj8nh6=47:183!4603?o7E3twvq6g>4883>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a<>3-?oo774}|~?l72<3:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?:4:T205<5s-?oh774$4f`><=zutw0e<;i:18'64>=9==0D??8;W02e?7|D;;h6j6X>4181!3cl330(8jl:89~yx{a;3xH77d28q]on4={%3;f?71:2\:8=4={%7g`?`<,<651558L7703_8:m7?tL33`>4}Qkj09w)?7b;357>P6<909w);kd;d8 0bd2o1vqps4i047>5<#:821=994H334?S46i3;p@??l:0yUgf<5s-;3n7?94:T205<5s-?oh7h4$4f`>c=zutw0c<6;:18'64>=91>0Z??n:0yO64e=9r\ho74>33_;?<74=#=mi1=6sr}|9~f4bc29036=4?{%024983>!4603;?;6F=169U64g=9rF9=n4>{Wa`>7}#91h1=964V063>7}#=mn156*:db8:?x{zu2c:844?:%02{M02g?7|^ji1>v*>8c820<=Q9=:1>v*:de8:?!3ck330qpsr;h360?6=,;;36<:8;W02e?7|D;;h686X>4181!3cl330(8jl:89~yx{{zut1b=;=50;&15=<6<>1C><94V33b>4}K:8i1=vXlc;0x 4>e28<87[?;0;0x 0bc2o1/9im5f:~yx=n9?>1<7*=198202=O:8=0Z??n:0yO64e=9r\ho74033_;?<7c=#=mi1j6sr}|9l5=2=83.9=54>859U64g=9rF9=n4>{Wa`>7}#91h1=5:4V063>7}#=mn1=6*:db82?x{zu2wi=ik50;494?6|,;;36<6<;I03g>o6<10;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>499U516=:r.>hi4>;%7gg?7<7[<>a;3xH77d28q]on4={%3;f?7312\:8=4={%7g`?7<,<651558R77f28qG>{zut1b=8h50;&15=<6<>1]>;6?u+5ef95>"2lj0:7psr}:k227<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0::?5Y15296~"2lm0:7);kc;38yx{z3f;387>5$33;>4>33_8:m7?tL33`>4}Qkj09w)?7b;3;0>P6<909w);kd;38 0bd281vqps4}c3f4?6=:3:1N58j1b=9950;&15=<6<>10c<6;:18'64>=91>0Z??n:0yO64e=9r\ho74>33_;?<74=#=mi1=6sr}|9~f4c129096=4?{%024683>!4603;?;65`19694?"5910:495Y20c95~J59j0:w[ml:3y'5=d=91>0Z<:?:3y'1ab=92.>hn4>;|~y>{e9li1<7<50;2x 77?2k=0D?>l;h373?6=,;;36<:8;:m2<1<72-8:47?74:T15d<6sE8:o7?tVba96~"60k0:495Y15296~"2lm0=7);kc;48yx{z3th:i<4?:283>5}#:821><;4H32`?l7303:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?;8:T205<5s-?oh7?4$4f`>4=zutw0e<:6:18'64>=9==0Z??n:0yO64e=9r\ho742>3_;?<74=#=mi1=6sr}|9l5=2=83.9=54>859U64g=9rF9=n4>{Wa`>7}#91h1=5:4V063>7}#=mn1=6*:db82?x{zu2wi=h<50;394?6|,;;36??i;I03g>i60=0;6)<>8;3;0>=zj8o?6=4<:183!4603?o7E5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37=>P6<909w);kd;38 0bd281vqps4o0:7>5<#:821=5:4V33b>4}K:8i1=vXlc;0x 4>e282?7[?;0;0x 0bc281/9im51:~yx=zj8o>6=4<:183!4603?o7E5$33;>4203A8:;6X=1`82I46k3;pZnm52z&252z&6`a4=zutw0qo?j3;297?6=8r.9=54>829K65e36=4+20:9511<^;;j6hn4>;|~y>i60=0;6)<>8;3;0>P59h0:wA<>c;3xRfe=:r.:4o4>859U516=:r.>hi4>;%7gg?7e683>2<729q/><655e9K65e36=4+20:9511<^;;j6hn4i;|~y>o6==0;6)<>8;373>N59>1]>;6?u+5ef9b>"2lj0m7psr}:k21c<72-8:47?;7:J152=Q:8k1=vB=1b82Sed2;q/=5l514d8R4272;q/9ij5f:&6`f6383>!4603;?;6F=169U64g=9rF9=n4>{Wa`>7}#91h1=;<4V063>7}#=mn1j6*:db8e?x{zu2c::>4?:%02hn4i;|~y>i60=0;6)<>8;3;0>P59h0:wA<>c;3xRfe=:r.:4o4>859U516=:r.>hi4>;%7gg?7e983>2<729q/><655e9K65e36=4+20:9511<@;;<7[<>a;3xH77d28q]on4={%3;f?7302\:8=4={%7g`??<,290/><651558L7703_8:m7?tL33`>4}Qkj09w)?7b;37=>P6<909w);kd;d8 0bd2o1vqps4i077>5<#:821=994H334?S46i3;p@??l:0yUgf<5s-;3n7?:4:T205<5s-?oh7h4$4f`>c=zutw0e<;i:18'64>=9==0D??8;W02e?7|D;;h6j6X>4181!3cl3l0(8jl:g9~yx{a;3xH77d28q]on4={%3;f?71:2\:8=4={%7g`?`<,<651558L7703_8:m7?tL33`>4}Qkj09w)?7b;357>P6<909w);kd;d8 0bd2o1vqps4o0:7>5<#:821=5:4V33b>4}K:8i1=vXlc;0x 4>e282?7[?;0;0x 0bc281/9im51:~yx=zj8o26=47:183!4603?o7E3twvq6g>4883>!4603;?;6F=169U64g=9rF9=n4>{Wa`>7}#91h1=974V063>7}#=mn156*:db8:?x{zu2c:994?:%02{M02g?7|^ji1>v*>8c8211=Q9=:1>v*:de8e?!3ck3l0qpsr;h36b?6=,;;36<:8;I023>P59h0:wA<>c;3xRfe=:r.:4o4>5g9U516=:r.>hi4i;%7gg?`<7E<>7:T15d<6sE8:o7?tVba96~"60k0::?5Y15296~"2lm0m7);kc;d8yx{z3`;=?7>5$33;>4203A8:;6X=1`82I46k3;pZnm52z&2:1]=9>52z&6`a{M02g?7|^ji1>v*>8c8221=Q9=:1>v*:de8e?!3ck3l0qpsr;n3;0?6=,;;36<6;;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{59;294~"5910>h6F=0b9j51>=83.9=54>469K641<^;;j6hn46;|~y>o6==0;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>559U516=:r.>hi46;%7gg??<7E<>7:T15d<6sE8:o7?tVba96~"60k0:9k5Y15296~"2lm0m7);kc;d8yx{z3`;=>7>5$33;>4203A8:;6X=1`82I46k3;pZnm52z&2;1]=9>52z&6`a{M02g?7|^ji1>v*>8c8226=Q9=:1>v*:de8e?!3ck3l0qpsr;h350?6=,;;36<:8;I023>P59h0:wA<>c;3xRfe=:r.:4o4>659U516=:r.>hi4i;%7gg?`<7E<>7:T15d<6sE8:o7?tVba96~"60k0::85Y15296~"2lm0m7);kc;d8yx{z3f;387>5$33;>4>33_8:m7?tL33`>4}Qkj09w)?7b;3;0>P6<909w);kd;38 0bd281vqps4}c3ff?6==3:1=9==0Z??n:0yO64e=9r\ho742?3_;?<74=#=mi1=6sr}|9j51?=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=974V063>7}#=mn1=6*:db82?x{zu2c:994?:%02{M02g?7|^ji1>v*>8c8211=Q9=:1>v*:de82?!3ck3;0qpsr;h36b?6=,;;36<:8;W02e?7|D;;h6j6X>4181!3cl3;0(8jl:09~yx{5<5290;w)<>8;`4?M47k2c:8:4?:%02;6?u+5ef95>"2lj0:7psr}:a5c5=8381<7>t$33;>g1<@;:h7d?;7;29 77?28><76a>8583>!4603;386X=1`82I46k3;pZnm52z&252z&6`a<13-?oo784}|~?xd6ml0;6>4?:1y'64>=:8?0D?>l;h374181!3cl3;0(8jl:09~yx{26=4+20:9511<^;;j60Z<:?:3y'1ab=92.>hn4>;|~y>{e9ll1<7?50;2x 77?2;;m7E4983>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a<03-?oo794}|~?l7313:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?;9:T205<5s-?oh7k4$4f`>`=zutw0c<6;:18'64>=91>0Z??n:0yO64e=9r\ho74>33_;?<74=#=mi1=6sr}|9~f4`529086=4?{%024983>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a<03-?oo794}|~?l7313:1(??7:064?M46?2\9=l4>{M02g?7|^ji1>v*>8c820<=Q9=:1>v*:de8f?!3ck3o0qpsr;n3;0?6=,;;36<6;;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{53;294~"5910:4>5G21a8m42?290/><651558R77f28qG>{zut1b=9750;&15=<6<>1]>;6?u+5ef95>"2lj0:7psr}:m2<1<72-8:47?74:T15d<6sE8:o7?tVba96~"60k0:495Y15296~"2lm0:7);kc;38yx{z3th:j94?:383>5}#:821n:5G21a8m420290/><651558?j7?<3:1(??7:0:7?S46i3;p@??l:0yUgf<5s-;3n7?74:T205<5s-?oh7?4$4f`>4=zutw0qo?if;296?6=8r.9=54m7:J14f=n9==1<7*=198202=2wvqp5rb0d6>5<4290;w)<>8;021>N58j1b=9650;&15=<6<>1]><^8>;6?u+5ef95>"2lj0:7psr}:k20<<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0:845Y15296~"2lm0:7);kc;38yx{z3f;387>5$33;>4>33_8:m7?tL33`>4}Qkj09w)?7b;3;0>P6<909w);kd;38 0bd281vqps4}c3e2?6=93:1=91>07pl>f983>6<729q/><655e9K65e36=4+20:9511<^;;j6hn4>;|~y>i60=0;6)<>8;3;0>P59h0:wA<>c;3xRfe=:r.:4o4>859U516=:r.>hi4>;%7gg?7f883>6<729q/><655e9K65e36=4+20:9511<^;;j6hn4>;|~y>i60=0;6)<>8;3;0>P59h0:wA<>c;3xRfe=:r.:4o4>859U516=:r.>hi4>;%7gg?7f`83>6<729q/><655e9K65e36=4+20:9511<^;;j6hn48;|~y>i60=0;6)<>8;3;0>P59h0:wA<>c;3xRfe=:r.:4o4>859U516=:r.>hi4>;%7gg?7fc83>6<729q/><655e9K65e36=4+20:9511<^;;j6{zut1d=5:50;&15=<60=1]>;6?u+5ef95>"2lj0:7psr}:a5ce=83>1<7>t$33;>0b<@;:h7d?;8;29 77?28><7[<>a;3xH77d28q]on4={%3;f?7302\:8=4={%7g`?1<,290/><651558L7703_8:m7?tL33`>4}Qkj09w)?7b;37=>P6<909w);kd;58 0bd2>1vqps4i077>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28??7[?;0;0x 0bc2>1/9im57:~yx=h91>1<7*=1982<1=Q:8k1=vB=1b82Sed2;q/=5l51968R4272;q/9ij51:&6`f<63twvq6sm1gf94?2=83:p(??7:4f8L76d3`;?47>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37<>P6<909w);kd;58 0bd2>1vqps4i06:>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>27[?;0;0x 0bc2>1/9im57:~yx=n9<>1<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l51468R4272;q/9ij5e:&6`f8583>!4603;386X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?xd6nl0;694?:1y'64>==m1C>=m4i06;>5<#:821=994H334?S46i3;p@??l:0yUgf<5s-;3n7?;8:T205<5s-?oh794$4f`>2=zutw0e<:6:18'64>=9==0Z??n:0yO64e=9r\ho742>3_;?<72=#=mi1;6sr}|9j502=83.9=54>469K641<^;;j60Z<:?:3y'1ab=92.>hn4>;|~y>{e9o=1<7650;2x 77?28287E5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37=>P6<909w);kd;38 0bd281vqps4i077>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28??7[?;0;0x 0bc281/9im51:~yx=n96383>!4603;?;6X=1`82I46k3;pZnm52z&2;1]=9>52z&6`a<63-?oo7?4}|~?l71;3:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?93:T205<5s-?oh7?4$4f`>4=zutw0e<8;:18'64>=9==0Z??n:0yO64e=9r\ho74033_;?<74=#=mi1=6sr}|9l5=2=83.9=54>859U64g=9rF9=n4>{Wa`>7}#91h1=5:4V063>7}#=mn1=6*:db82?x{zu2wi>=>50;094?6|,;;36o94H32`?l73?3:1(??7:064?>i60=0;6)<>8;3;0>P59h0:wA<>c;3xRfe=:r.:4o4>859U516=:r.>hi4>;%7gg?77<729q/><65b69K65e<6=4+20:9511<3f;387>5$33;>4>33_8:m7?tL33`>4}Qkj09w)?7b;3;0>P6<909w);kd;48 0bd2?1vqps4}c035?6=;3:1=9==0Z??n:0yO64e=9r\ho742?3_;?<74=#=mi1=6sr}|9j51?=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=974V063>7}#=mn1=6*:db82?x{zu2e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de82?!3ck3;0qpsr;|`147<7280;6=u+20:964`<@;:h7b?74;29 77?282?76sm21694?5=83:p(??7:4f8L76d3`;?47>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37<>P6<909w);kd;58 0bd2>1vqps4i06:>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>27[?;0;0x 0bc2l1/9im5e:~yx=h91>1<7*=1982<1=Q:8k1=vB=1b82Sed2;q/=5l51968R4272;q/9ij51:&6`f<63twvq6sm21794?5=83:p(??7:4f8L76d3`;?47>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37<>P6<909w);kd;58 0bd2>1vqps4i06:>5<#:821=994H334?S46i3;p@??l:0yUgf<5s-;3n7?;9:T205<5s-?oh7k4$4f`>`=zutw0c<6;:18'64>=91>0Z??n:0yO64e=9r\ho74>33_;?<74=#=mi1=6sr}|9~f76429086=4?{%02hn4>;|~y>o6<00;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>489U516=:r.>hi4>;%7gg?7a;3xH77d28q]on4={%3;f?7?<2\:8=4={%7g`?7<,7>50z&15=5<#:821=5:4V33b>4}K:8i1=vXlc;0x 4>e282?7[?;0;0x 0bc281/9im51:~yx=zj<859U64g=9rF9=n4>{Wa`>7}#91h1=5:4V063>7}#=mn1:6*:db85?x{zu2wi9;m50;194?6|,;;36??:;I03g>o6<10;6)<>8;373>N59>1]><^8>;6?u+5ef95>"2lj0:7psr}:k20<<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0:845Y15296~"2lm0:7);kc;38yx{z3f;387>5$33;>4>33_8:m7?tL33`>4}Qkj09w)?7b;3;0>P6<909w);kd;38 0bd281vqps4}c75`?6=93:1=91>07pl:6d83>6<729q/><655e9K65e36=4+20:9511<@;;<7[<>a;3xH77d28q]on4={%3;f?7302\:8=4={%7g`?c<,290/><651558R77f28qG>{zut1d=5:50;&15=<60=1]>;6?u+5ef95>"2lj0:7psr}:a12g=8381<7>t$33;>g1<@;:h7d?;7;29 77?28><76a>8583>!4603;386X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?xd2?l0;6?4?:1y'64>=j>1C>=m4i064>5<#:821=994;n3;0?6=,;;36<6;;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{52;294~"5910i;6F=0b9j511=83.9=54>4698k4>3290/><651968R77f28qG>{zut1vn89m:180>5<7s-8:47<>5:J14f=n9=21<7*=198202=O:8=0Z??n:0yO64e=9r\ho742?3_;?<74=#=mi1=6sr}|9j51?=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=974V063>7}#=mn1=6*:db82?x{zu2e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de82?!3ck3;0qpsr;|`63f<7280;6=u+20:964`<@;:h7b?74;29 77?282?76sm56f94?5=83:p(??7:4f8L76d3`;?47>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37<>P6<909w);kd;58 0bd2>1vqps4i06:>5<#:821=994H334?S46i3;p@??l:0yUgf<5s-;3n7?;9:T205<5s-?oh794$4f`>2=zutw0c<6;:18'64>=91>0Z??n:0yO64e=9r\ho74>33_;?<74=#=mi1=6sr}|9~f01a29026=4?{%024983>!4603;?;6F=169U64g=9rF9=n4>{Wa`>7}#91h1=964V063>7}#=mn156*:db8:?x{zu2c:844?:%02hn46;|~y>o6==0;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>559U516=:r.>hi4i;%7gg?`<7[<>a;3xH77d28q]on4={%3;f?72n2\:8=4={%7g`?`<,<651558L7703_8:m7?tL33`>4}Qkj09w)?7b;356>P6<909w);kd;d8 0bd2o1vqps4i040>5<#:821=994H334?S46i3;p@??l:0yUgf<5s-;3n7?93:T205<5s-?oh7h4$4f`>c=zutw0e<8;:18'64>=9==0Z??n:0yO64e=9r\ho74033_;?<7c=#=mi1j6sr}|9j533=83.9=54>469K641<^;;j60Z<:?:3y'1ab=92.>hn4>;|~y>{e=1:1<7?>:183!4603?o7E3twvq6g>4883>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a<>3-?oo774}|~?l72<3:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?:4:T205<5s-?oh774$4f`><=zutw0e<;i:18'64>=9==0D??8;W02e?7|D;;h6j6X>4181!3cl330(8jl:89~yx{a;3xH77d28q]on4={%3;f?71:2\:8=4={%7g`?`<,<651558L7703_8:m7?tL33`>4}Qkj09w)?7b;357>P6<909w);kd;d8 0bd2o1vqps4i047>5<#:821=994H334?S46i3;p@??l:0yUgf<5s-;3n7?94:T205<5s-?oh7h4$4f`>c=zutw0e<8::18'64>=9==0Z??n:0yO64e=9r\ho74023_;?<7c=#=mi1j6sr}|9j530=83.9=54>469K641<^;;j6hn4>;|~y>o68;373>P59h0:wA<>c;3xRfe=:r.:4o4>4`9U516=:r.>hi4>;%7gg?7<7[<>a;3xH77d28q]on4={%3;f?73j2\:8=4={%7g`?7<,<651558R77f28qG>{zut1b=9j50;&15=<6<>1]>;6?u+5ef95>"2lj0:7psr}:k20`<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0:8h5Y15296~"2lm0:7);kc;38yx{z3`;?j7>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37b>P6<909w);kd;38 0bd281vqps4o0:7>5<#:821=5:4V33b>4}K:8i1=vXlc;0x 4>e282?7[?;0;0x 0bc281/9im51:~yx=zj<2:6=4>1;294~"5910>h6F=0b9j51>=83.9=54>469K641<^;;j6hn46;|~y>o6==0;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>559U516=:r.>hi46;%7gg??<7[<>a;3xH77d28q]on4={%3;f?72n2\:8=4={%7g`?`<,<651558L7703_8:m7?tL33`>4}Qkj09w)?7b;356>P6<909w);kd;d8 0bd2o1vqps4i040>5<#:821=994H334?S46i3;p@??l:0yUgf<5s-;3n7?93:T205<5s-?oh7h4$4f`>c=zutw0e<8;:18'64>=9==0D??8;W02e?7|D;;h64181!3cl3l0(8jl:g9~yx{6=4+20:9511<^;;j6{zut1b=;950;&15=<6<>1]>;6?u+5ef95>"2lj0:7psr}:k20d<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0:8l5Y15296~"2lm0:7);kc;38yx{z3`;?n7>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37f>P6<909w);kd;38 0bd281vqps4i06`>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>h7[?;0;0x 0bc281/9im51:~yx=n9=n1<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515f8R4272;q/9ij51:&6`f<63twvq6g>4d83>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?l73n3:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?;f:T205<5s-?oh7?4$4f`>4=zutw0c<6;:18'64>=91>0Z??n:0yO64e=9r\ho74>33_;?<74=#=mi1=6sr}|9~f0>5290?6=4?{%02hn4>;|~y>o6<00;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>489U516=:r.>hi4>;%7gg?7<7[<>a;3xH77d28q]on4={%3;f?72<2\:8=4={%7g`?7<,3290/><651968R77f28qG>{zut1vn8lk:181>5<7s-8:47l8;I03g>o6<>0;6)<>8;373>=h91>1<7*=1982<1=Q:8k1=vB=1b82Sed2;q/=5l51968R4272;q/9ij51:&6`f<63twvq6sm5b394?4=83:p(??7:c58L76d3`;?;7>5$33;>42032e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de82?!3ck3;0qpsr;|`6g3<72;0;6=u+20:9f2=O:9i0e<:8:18'64>=9==07b?74;29 77?282?7[<>a;3xH77d28q]on4={%3;f?7?<2\:8=4={%7g`?0<,50z&15=<59<1C>=m4i06;>5<#:821=994H334?S46i3;p@??l:0yUgf<5s-;3n7?;8:T205<5s-?oh7?4$4f`>4=zutw0e<:6:18'64>=9==0Z??n:0yO64e=9r\ho742>3_;?<74=#=mi1=6sr}|9l5=2=83.9=54>859U64g=9rF9=n4>{Wa`>7}#91h1=5:4V063>7}#=mn1=6*:db82?x{zu2wi9oh50;394?6|,;;36??i;I03g>i60=0;6)<>8;3;0>=zj4883>!4603;?;6F=169U64g=9rF9=n4>{Wa`>7}#91h1=974V063>7}#=mn1;6*:db84?x{zu2e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de82?!3ck3;0qpsr;|`6g7<728;1<7>t$33;>0b<@;:h7d?;8;29 77?28><7[<>a;3xH77d28q]on4={%3;f?7302\:8=4={%7g`??<,290/><651558L7703_8:m7?tL33`>4}Qkj09w)?7b;37=>P6<909w);kd;;8 0bd201vqps4i077>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28??7[?;0;0x 0bc201/9im59:~yx=n93twvq6g>6383>!4603;?;6X=1`82I46k3;pZnm52z&2;1]=9>52z&6`a<>3-?oo774}|~?l71;3:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?93:T205<5s-?oh774$4f`><=zutw0e<8;:18'64>=9==0Z??n:0yO64e=9r\ho74033_;?<7<=#=mi156sr}|9j533=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=;;4V063>7}#=mn156*:db8:?x{zu2c::;4?:%02{M02g?7|^ji1>v*>8c8223=Q9=:1>v*:de8e?!3ck3l0qpsr;h353?6=,;;36<:8;I023>P59h0:wA<>c;3xRfe=:r.:4o4>669U516=:r.>hi46;%7gg??<7[<>a;3xH77d28q]on4={%3;f?73i2\:8=4={%7g`?`<,<651558R77f28qG>{zut1b=9m50;&15=<6<>1C><94V33b>4}K:8i1=vXlc;0x 4>e28>h7[?;0;0x 0bc2o1/9im5f:~yx=n9=n1<7*=198202=O:8=0Z??n:0yO64e=9r\ho742c3_;?<7c=#=mi1j6sr}|9j51c=83.9=54>469K641<^;;j6hn4i;|~y>i60=0;6)<>8;3;0>P59h0:wA<>c;3xRfe=:r.:4o4>859U516=:r.>hi4>;%7gg?747=83:p(??7:4f8L76d3`;?47>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37<>P6<909w);kd;;8 0bd201vqps4i06:>5<#:821=994H334?S46i3;p@??l:0yUgf<5s-;3n7?;9:T205<5s-?oh774$4f`><=zutw0e<;;:18'64>=9==0Z??n:0yO64e=9r\ho74333_;?<7<=#=mi156sr}|9j50`=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=8h4V063>7}#=mn156*:db8:?x{zu2c::?4?:%02{M02g?7|^ji1>v*>8c8227=Q9=:1>v*:de8:?!3ck330qpsr;h357?6=,;;36<:8;W02e?7|D;;h64181!3cl330(8jl:89~yx{hn46;|~y>o6>?0;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>679U516=:r.>hi4i;%7gg?`<7E<>7:T15d<6sE8:o7?tVba96~"60k0:::5Y15296~"2lm027);kc;;8yx{z3`;?m7>5$33;>4203A8:;6X=1`82I46k3;pZnm52z&252z&6`a<>3-?oo774}|~?l73j3:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?;b:T205<5s-?oh7h4$4f`>c=zutw0e<:l:18'64>=9==0D??8;W02e?7|D;;h64181!3cl3l0(8jl:g9~yx{o6=4+20:9511<@;;<7[<>a;3xH77d28q]on4={%3;f?73l2\:8=4={%7g`?`<,<651558L7703_8:m7?tL33`>4}Qkj09w)?7b;37a>P6<909w);kd;d8 0bd2o1vqps4i06e>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>m7[?;0;0x 0bc2o1/9im5f:~yx=h91>1<7*=1982<1=Q:8k1=vB=1b82Sed2;q/=5l51968R4272;q/9ij51:&6`f<63twvq6sm5b694?76290;w)<>8;7g?M47k2c:854?:%02{M02g?7|^ji1>v*>8c820==Q9=:1>v*:de8:?!3ck330qpsr;h37=?6=,;;36<:8;I023>P59h0:wA<>c;3xRfe=:r.:4o4>489U516=:r.>hi46;%7gg??<7[<>a;3xH77d28q]on4={%3;f?72<2\:8=4={%7g`??<,<651558R77f28qG>{zut1b=;<50;&15=<6<>1]>;6?u+5ef9=>"2lj027psr}:k226<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0::>5Y15296~"2lm027);kc;;8yx{z3`;=87>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;350>P6<909w);kd;;8 0bd201vqps4i046>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28<>7[?;0;0x 0bc201/9im59:~yx=n9?<1<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l51748R4272;q/9ij5f:&6`f6683>!4603;?;6F=169U64g=9rF9=n4>{Wa`>7}#91h1=;94V063>7}#=mn156*:db8:?x{zu2c:8l4?:%02{M02g?7|^ji1>v*>8c820d=Q9=:1>v*:de8e?!3ck3l0qpsr;h37f?6=,;;36<:8;W02e?7|D;;h64181!3cl3l0(8jl:g9~yx{h6=4+20:9511<@;;<7[<>a;3xH77d28q]on4={%3;f?73k2\:8=4={%7g`?`<,<651558L7703_8:m7?tL33`>4}Qkj09w)?7b;37`>P6<909w);kd;d8 0bd2o1vqps4i06f>5<#:821=994H334?S46i3;p@??l:0yUgf<5s-;3n7?;e:T205<5s-?oh7h4$4f`>c=zutw0e<:i:18'64>=9==0Z??n:0yO64e=9r\ho742a3_;?<7c=#=mi1j6sr}|9l5=2=83.9=54>859U64g=9rF9=n4>{Wa`>7}#91h1=5:4V063>7}#=mn1=6*:db82?x{zu2wi9n;50;694?6|,;;36<6<;I03g>o6<10;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>499U516=:r.>hi4>;%7gg?7<7[<>a;3xH77d28q]on4={%3;f?7312\:8=4={%7g`?7<,<651558R77f28qG>{zut1d=5:50;&15=<60=1]>;6?u+5ef95>"2lj0:7psr}:a1a6=8381<7>t$33;>g1<@;:h7d?;7;29 77?28><76a>8583>!4603;386X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?xd2l=0;6?4?:1y'64>=j>1C>=m4i064>5<#:821=994;n3;0?6=,;;36<6;;W02e?7|D;;h64181!3cl3<0(8jl:79~yx{53;294~"59109=85G21a8m42?290/><651558L7703_8:m7?tL33`>4}Qkj09w)?7b;37<>P6<909w);kd;38 0bd281vqps4i06:>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>27[?;0;0x 0bc281/9im51:~yx=h91>1<7*=1982<1=Q:8k1=vB=1b82Sed2;q/=5l51968R4272;q/9ij51:&6`f<63twvq6sm5e094?7=83:p(??7:33e?M47k2e:494?:%025<7s-8:47;k;I03g>o6<10;6)<>8;373>N59>1]><^8>;6?u+5ef93>"2lj0<7psr}:k20<<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0:845Y15296~"2lm0n7);kc;g8yx{z3f;387>5$33;>4>33_8:m7?tL33`>4}Qkj09w)?7b;3;0>P6<909w);kd;38 0bd281vqps4}c66N58j1b=9950;&15=<6<>10c<6;:18'64>=91>0Z??n:0yO64e=9r\ho74>33_;?<74=#=mi1=6sr}|9~f13d29096=4?{%024683>!4603;?;65`19694?"5910:495Y20c95~J59j0:w[ml:3y'5=d=91>0Z<:?:3y'1ab=92.>hn4>;|~y>{e>7E{M02g?7|^8<26?u+19`9511<^8>;6?u+5ef95>"2lj0:7psr}:k`1?6=,;;36n;4V33b>4}K:8i1=vX>6881!7?j3i>7[?;0;0x 0bc281/9im51:~yx=nk?0;6)<>8;a5?S46i38p@??l:0yU53?=:r.:4o4l6:T205<5s-?oh7=4$4f`>6=zutF9=o4;{W03=?5|^jk1>v*:dc8`2>"4<90>hi5rVb`96~"2lk0:8:5+35291abv*:dc8`2>"4<90>hi5rVb`96~"2lk0:8:5+35291aev*:dc8`2>"4<90>hi5rVb`96~"2lk0h96*<4186`a=z,?<;6?5rV0:b>7}Qkh09w);kb;a5?!5383?oh6s+672955=zut1b>=650;&15=<5811]>76?3_;?<7c=#=mi1j6sr}M02f?7|^;;:6?uYc`81!3cj38;46*<4186`a=z,?<;6k5r}|9j651=83.9=54=069U64g=:rF9=n4>{W35=?4|,82i6?>8;W374?4|,{zuE8:n7?tV332>7}Qkh09w);kb;033>"4<90>hi5r$743>c=zut1d=5:50;&15=<60=10qo::9;297?6=8r.9=54=149K65e36=4+20:9511<^;;j6hn4>;|~y>i60=0;6)<>8;3;0>P59h0:wA<>c;3xRfe=:r.:4o4>859U516=:r.>hi4>;%7gg?74<729q/><6520d8L76d3f;387>5$33;>4>332wi88l50;194?6|,;;368j4H32`?l7303:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?;8:T205<5s-?oh7k4$4f`>`=zutw0e<:6:18'64>=9==0Z??n:0yO64e=9r\ho742>3_;?<7`=#=mi1i6sr}|9l5=2=83.9=54>859U64g=9rF9=n4>{Wa`>7}#91h1=5:4V063>7}#=mn1=6*:db82?x{zu2wi88j50;;94?6|,;;368j4H32`?l7303:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?;8:T205<5s-?oh7h4$4f`>c=zutw0e<:6:18'64>=9==0Z??n:0yO64e=9r\ho742>3_;?<7c=#=mi1j6sr}|9j502=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=8:4V063>7}#=mn1j6*:db8e?x{zu2c:9k4?:%02{M02g?7|^ji1>v*>8c821c=Q9=:1>v*:de8:?!3ck330qpsr;h356?6=,;;36<:8;W02e?7|D;;h66X>4181!3cl3l0(8jl:g9~yx{0Z<:?:3y'1ab=n2.>hn4i;|~y>o6><0;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>649U516=:r.>hi4i;%7gg?`a;3xH77d28q]on4={%3;f?7?<2\:8=4={%7g`?7<,50z&15=<2l2B9hn4i;|~y>o6<00;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>489U516=:r.>hi4i;%7gg?`<7[<>a;3xH77d28q]on4={%3;f?72<2\:8=4={%7g`?`<,<651558R77f28qG>{zut1b=;<50;&15=<6<>1C><94V33b>4}K:8i1=vXlc;0x 4>e28<97[?;0;0x 0bc2o1/9im5f:~yx=n9?91<7*=198202=O:8=0Z??n:0yO64e=9r\ho74043_;?<7<=#=mi156sr}|9j532=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=;:4V063>7}#=mn1j6*:db8e?x{zu2c::84?:%02{M02g?7|^ji1>v*>8c8220=Q9=:1>v*:de8e?!3ck3l0qpsr;n3;0?6=,;;36<6;;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{>j7>59;294~"5910>h6F=0b9j51>=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=964V063>7}#=mn1j6*:db8e?x{zu2c:844?:%02{M02g?7|^ji1>v*>8c820<=Q9=:1>v*:de8e?!3ck3l0qpsr;h360?6=,;;36<:8;W02e?7|D;;h686X>4181!3cl3l0(8jl:g9~yx{{zut1b=;=50;&15=<6<>1C><94V33b>4}K:8i1=vXlc;0x 4>e28<87[?;0;0x 0bc201/9im59:~yx=n9?>1<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l51768R4272;q/9ij5f:&6`f6483>!4603;?;6X=1`82I46k3;pZnm52z&2<1]=9>52z&6`a4=zutw0qo:90;29=?6=8r.9=54:d:J14f=n9=21<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515:8R4272;q/9ij5f:&6`f4883>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`ac=zutw0e<;i:18'64>=9==0Z??n:0yO64e=9r\ho743a3_;?<7<=#=mi156sr}|9j534=83.9=54>469K641<^;;j6{zut1b=;:50;&15=<6<>1]>;6?u+5ef9b>"2lj0m7psr}:k220<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0::85Y15296~"2lm0m7);kc;d8yx{z3f;387>5$33;>4>33_8:m7?tL33`>4}Qkj09w)?7b;3;0>P6<909w);kd;38 0bd281vqps4}c655?6==3:1=9==0Z??n:0yO64e=9r\ho742?3_;?<74=#=mi1=6sr}|9j51?=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=974V063>7}#=mn1=6*:db82?x{zu2c:994?:%02{M02g?7|^ji1>v*>8c8211=Q9=:1>v*:de82?!3ck3;0qpsr;h36b?6=,;;36<:8;W02e?7|D;;h6j6X>4181!3cl3;0(8jl:09~yx{5<5290;w)<>8;`4?M47k2c:8:4?:%02;6?u+5ef95>"2lj0:7psr}:a16?=8381<7>t$33;>g1<@;:h7d?;7;29 77?28><76a>8583>!4603;386X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?xd2;k0;6?4?:1y'64>=j>1C>=m4i064>5<#:821=994;n3;0?6=,;;36<6;;W02e?7|D;;h64181!3cl3<0(8jl:79~yx{53;294~"59109=85G21a8m42?290/><651558R77f28qG>{zut1b=9750;&15=<6<>1]>;6?u+5ef95>"2lj0:7psr}:m2<1<72-8:47?74:T15d<6sE8:o7?tVba96~"60k0:495Y15296~"2lm0:7);kc;38yx{z3th>?:4?:083>5}#:821>{e=:21<7=50;2x 77?2l;h37P59h0:wA<>c;3xRfe=:r.:4o4>499U516=:r.>hi4j;%7gg?c<7E<>7:T15d<6sE8:o7?tVba96~"60k0:845Y15296~"2lm0n7);kc;g8yx{z3f;387>5$33;>4>33_8:m7?tL33`>4}Qkj09w)?7b;3;0>P6<909w);kd;38 0bd281vqps4}c70e?6=>3:1N58j1b=9650;&15=<6<>1]><^8>;6?u+5ef9b>"2lj0m7psr}:k20<<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0:845Y15296~"2lm0m7);kc;d8yx{z3`;>87>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;360>P6<909w);kd;;8 0bd201vqps4i07e>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28?m7[?;0;0x 0bc2o1/9im5f:~yx=n9?81<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l51708R4272;q/9ij5f:&6`f8583>!4603;386X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?xd2>;0;6?4?:1y'64>=j>1C>=m4i064>5<#:821=994;n3;0?6=,;;36<6;;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{52;294~"5910i;6F=0b9j511=83.9=54>4698k4>3290/><651968R77f28qG>{zut1vn88<:180>5<7s-8:47<>5:J14f=n9=21<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515:8R4272;q/9ij51:&6`f<63twvq6g>4883>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?j7?<3:1(??7:0:7?S46i3;p@??l:0yUgf<5s-;3n7?74:T205<5s-?oh7?4$4f`>4=zutw0qo;94;295?6=8r.9=54=1g9K65e:;4?:583>5}#:8219i5G21a8m42?290/><651558R77f28qG>{zut1b=9750;&15=<6<>1]>;6?u+5ef93>"2lj0<7psr}:k211<72-8:47?;7:J152=Q:8k1=vB=1b82Sed2;q/=5l51468R4272;q/9ij57:&6`f<03twvq6a>8583>!4603;386X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?xd2>>0;6;4?:1y'64>==m1C>=m4i06;>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>37[?;0;0x 0bc2>1/9im57:~yx=n9=31<7*=198202=O:8=0Z??n:0yO64e=9r\ho742>3_;?<72=#=mi1;6sr}|9j502=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=8:4V063>7}#=mn1;6*:db84?x{zu2c:9k4?:%02{M02g?7|^ji1>v*>8c821c=Q9=:1>v*:de84?!3ck3=0qpsr;h356?6=,;;36<:8;I023>P59h0:wA<>c;3xRfe=:r.:4o4>639U516=:r.>hi48;%7gg?1a;3xH77d28q]on4={%3;f?7?<2\:8=4={%7g`?7<,50z&15=<60:1C>=m4i06;>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>37[?;0;0x 0bc281/9im51:~yx=n9=31<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515;8R4272;q/9ij51:&6`f<63twvq6a>8583>!4603;386X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?xd2i80;6?4?:1y'64>=j>1C>=m4i064>5<#:821=994;n3;0?6=,;;36<6;;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{52;294~"5910i;6F=0b9j511=83.9=54>4698k4>3290/><651968R77f28qG>{zut1vn8on:181>5<7s-8:47l8;I03g>o6<>0;6)<>8;373>=h91>1<7*=1982<1=Q:8k1=vB=1b82Sed2;q/=5l51968R4272;q/9ij56:&6`f<13twvq6sm5`094?5=83:p(??7:336?M47k2c:854?:%02hn4>;|~y>o6<00;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>489U516=:r.>hi4>;%7gg?7a;3xH77d28q]on4={%3;f?7?<2\:8=4={%7g`?7<,50z&15=<59o1C>=m4o0:7>5<#:821=5:4;|`6e0<72:0;6=u+20:91a=O:9i0e<:7:18'64>=9==0Z??n:0yO64e=9r\ho742?3_;?<7`=#=mi1i6sr}|9j51?=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=974V063>7}#=mn1i6*:db8f?x{zu2e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de82?!3ck3;0qpsr;|`6e3<72?0;6=u+20:91a=O:9i0e<:7:18'64>=9==0D??8;W02e?7|D;;h64181!3cl3=0(8jl:69~yx{26=4+20:9511<@;;<7[<>a;3xH77d28q]on4={%3;f?7312\:8=4={%7g`?1<,<651558L7703_8:m7?tL33`>4}Qkj09w)?7b;360>P6<909w);kd;58 0bd2>1vqps4i07e>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28?m7[?;0;0x 0bc2l1/9im5e:~yx=n9?81<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l51708R4272;q/9ij5e:&6`f8583>!4603;386X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?xd2i>0;6:4?:1y'64>==m1C>=m4i06;>5<#:821=994H334?S46i3;p@??l:0yUgf<5s-;3n7?;8:T205<5s-?oh794$4f`>2=zutw0e<:6:18'64>=9==0Z??n:0yO64e=9r\ho742>3_;?<72=#=mi1;6sr}|9j502=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=8:4V063>7}#=mn1;6*:db84?x{zu2c:9k4?:%02hn48;|~y>o6>;0;6)<>8;373>N59>1]>;6?u+5ef9a>"2lj0n7psr}:k226<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0::>5Y15296~"2lm0n7);kc;g8yx{z3f;387>5$33;>4>33_8:m7?tL33`>4}Qkj09w)?7b;3;0>P6<909w);kd;38 0bd281vqps4}c7b0?6=<3:1=9==0Z??n:0yO64e=9r\ho742?3_;?<74=#=mi1=6sr}|9j51?=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=974V063>7}#=mn1=6*:db82?x{zu2c:994?:%02{M02g?7|^ji1>v*>8c8211=Q9=:1>v*:de82?!3ck3;0qpsr;n3;0?6=,;;36<6;;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{55;294~"5910>h6F=0b9j51>=83.9=54>469K641<^;;j6{zut1b=8:50;&15=<6<>1C><94V33b>4}K:8i1=vXlc;0x 4>e28??7[?;0;0x 0bc201/9im59:~yx=n98583>!4603;386X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?xd1=h0;6?4?:1y'64>=j>1C>=m4i064>5<#:821=994;n3;0?6=,;;36<6;;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{j7>56;294~"5910:885G21a8 40?28;0e<:8:18'64>=9==0Z??n:0yO64e=9r\::44={%3;f?73?2\:8=4={%7g`?7<,7}#91h1o85Y15296~"2lm0:7);kc;38yx{z3`i=6=4+20:9g3=Q:8k1>vB=1b82S71138p(<6m:b48R4272;q/9ij53:&6`f<43twv@??m:5yU65?=;r\hm7f0<,:>;68jk;|T`f?4|,5b:U65?=;r\hm7f0<,:>;68jk;|T`f?4|,5b:U65?=;r\hm7f0<,:>;68jk;|T`f?4|,0bc3t.=:=4=;|T2a;0xH77d28q]=;752z&252z&6`a7}#=mh1>=64$263>0bc3t.=:=4i;|~?l47?3:1(??7:324?S46i38p@??l:0yU53?=:r.:4o4=069U516=:r.>hi4i;%7gg?`;68jk;|&525{M02g?7|^ji1>v*>8c820==Q9=:1>v*:de82?!3ck3;0qpsr;h37=?6=,;;36<:8;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{5<6290;w)<>8;02b>N58j1d=5:50;&15=<60=10qo8:d;297?6=8r.9=54:d:J14f=n9=21<7*=198202=O:8=0Z??n:0yO64e=9r\ho742?3_;?<7`=#=mi1i6sr}|9j51?=83.9=54>469K641<^;;j60Z<:?:3y'1ab=92.>hn4>;|~y>{e9?l1<7<50;2x 77?2k=0D?>l;h373?6=,;;36<:8;:m2<1<72-8:47?74:T15d<6sE8:o7?tVba96~"60k0:495Y15296~"2lm0:7);kc;38yx{z3th::h4?:383>5}#:821n:5G21a8m420290/><651558?j7?<3:1(??7:0:7?S46i3;p@??l:0yUgf<5s-;3n7?74:T205<5s-?oh7?4$4f`>4=zutw0qo?80;296?6=8r.9=54m7:J14f=n9==1<7*=198202=5<5290;w)<>8;`4?M47k2c:8:4?:%02;6?u+5ef92>"2lj0=7psr}:a527=8391<7>t$33;>7723A8;o6g>4983>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?l7313:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?;9:T205<5s-?oh7?4$4f`>4=zutw0c<6;:18'64>=91>0Z??n:0yO64e=9r\ho74>33_;?<74=#=mi1=6sr}|9~f415290:6=4?{%02=91>07pl>7483>6<729q/><655e9K65e36=4+20:9511<@;;<7[<>a;3xH77d28q]on4={%3;f?7302\:8=4={%7g`?2<,290/><651558L7703_8:m7?tL33`>4}Qkj09w)?7b;37=>P6<909w);kd;68 0bd2=1vqps4o0:7>5<#:821=5:4V33b>4}K:8i1=vXlc;0x 4>e282?7[?;0;0x 0bc281/9im51:~yx=zj021<7<50;2x 77?2k=0D?>l;h373?6=,;;36<:8;:m2<1<72-8:47?74:T15d<6sE8:o7?tVba96~"60k0:495Y15296~"2lm0:7);kc;38yx{z3th2o7>52;294~"5910i;6F=0b9j511=83.9=54>4698k4>3290/><651968R77f28qG>{zut1vnl<50;094?6|,;;36o94H32`?l73?3:1(??7:064?>i60=0;6)<>8;3;0>P59h0:wA<>c;3xRfe=:r.:4o4>859U516=:r.>hi49;%7gg?036=4+20:9511<^;;j6hn4>;|~y>i60=0;6)<>8;3;0>P59h0:wA<>c;3xRfe=:r.:4o4>859U516=:r.>hi4>;%7gg?753;294~"5910>h6F=0b9j51>=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=964V063>7}#=mn1;6*:db84?x{zu2c:844?:%02{M02g?7|^ji1>v*>8c820<=Q9=:1>v*:de84?!3ck3=0qpsr;n3;0?6=,;;36<6;;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37=>P6<909w);kd;d8 0bd2o1vqps4i077>5<#:821=994H334?S46i3;p@??l:0yUgf<5s-;3n7?:4:T205<5s-?oh7h4$4f`>c=zutw0c<6;:18'64>=91>0Z??n:0yO64e=9r\ho74>33_;?<74=#=mi1=6sr}|9~f1<7>t$33;>0b<@;:h7d?;8;29 77?28><7[<>a;3xH77d28q]on4={%3;f?7302\:8=4={%7g`?`<,290/><651558L7703_8:m7?tL33`>4}Qkj09w)?7b;37=>P6<909w);kd;d8 0bd2o1vqps4i077>5<#:821=994H334?S46i3;p@??l:0yUgf<5s-;3n7?:4:T205<5s-?oh7h4$4f`>c=zutw0c<6;:18'64>=91>0Z??n:0yO64e=9r\ho74>33_;?<74=#=mi1=6sr}|9~f<`=83?1<7>t$33;>0b<@;:h7d?;8;29 77?28><7[<>a;3xH77d28q]on4={%3;f?7302\:8=4={%7g`??<,290/><651558L7703_8:m7?tL33`>4}Qkj09w)?7b;37=>P6<909w);kd;d8 0bd2o1vqps4i077>5<#:821=994H334?S46i3;p@??l:0yUgf<5s-;3n7?:4:T205<5s-?oh7h4$4f`>c=zutw0e<;i:18'64>=9==0D??8;W02e?7|D;;h6j6X>4181!3cl3l0(8jl:g9~yx{5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37<>P6<909w);kd;;8 0bd201vqps4i06:>5<#:821=994H334?S46i3;p@??l:0yUgf<5s-;3n7?;9:T205<5s-?oh7h4$4f`>c=zutw0e<;;:18'64>=9==0D??8;W02e?7|D;;h686X>4181!3cl3l0(8jl:g9~yx{a;3xH77d28q]on4={%3;f?72n2\:8=4={%7g`?`<,3290/><651968R77f28qG>{zut1vnl?50;794?6|,;;36<6<;I03g>o6<10;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>499U516=:r.>hi4>;%7gg?7<7[<>a;3xH77d28q]on4={%3;f?7312\:8=4={%7g`?7<,<651558R77f28qG>{zut1b=8h50;&15=<6<>1]>;6?u+5ef95>"2lj0:7psr}:m2<1<72-8:47?74:T15d<6sE8:o7?tVba96~"60k0:495Y15296~"2lm0:7);kc;38yx{z3thj:7>52;294~"5910i;6F=0b9j511=83.9=54>4698k4>3290/><651968R77f28qG>{zut1vnlo50;094?6|,;;36o94H32`?l73?3:1(??7:064?>i60=0;6)<>8;3;0>P59h0:wA<>c;3xRfe=:r.:4o4>859U516=:r.>hi4>;%7gg?72wvqp5rb`594?5=83:p(??7:336?M47k2c:854?:%02{M02g?7|^ji1>v*>8c820==Q9=:1>v*:de82?!3ck3;0qpsr;h37=?6=,;;36<:8;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{2=zutw0e<:6:18'64>=9==0Z??n:0yO64e=9r\ho742>3_;?<72=#=mi1;6sr}|9l5=2=83.9=54>859U64g=9rF9=n4>{Wa`>7}#91h1=5:4V063>7}#=mn1=6*:db82?x{zu2wimo4?:683>5}#:8219i5G21a8m42?290/><651558R77f28qG>{zut1b=9750;&15=<6<>1C><94V33b>4}K:8i1=vXlc;0x 4>e28>27[?;0;0x 0bc201/9im59:~yx=n9<>1<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l51468R4272;q/9ij59:&6`f<>3twvq6g>5g83>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a<>3-?oo774}|~?l71:3:1(??7:064?M46?2\9=l4>{M02g?7|^ji1>v*>8c8227=Q9=:1>v*:de8e?!3ck3l0qpsr;h357?6=,;;36<:8;W02e?7|D;;h64181!3cl3l0(8jl:g9~yx{5<5290;w)<>8;`4?M47k2c:8:4?:%02;6?u+5ef95>"2lj0:7psr}:a0=`=8381<7>t$33;>g1<@;:h7d?;7;29 77?28><76a>8583>!4603;386X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?xd2<<0;6;4?:1y'64>=9=?0D?>l;%355$33;>4203_8:m7?tL33`>4}Q9?31>v*>8c8202=Q9=:1>v*:de82?!3ck3;0qpsr;ha6>5<#:821o85Y20c95~J59j0:w[?99;0x 4>e2j?0Z<:?:3y'1ab=92.>hn4>;|~y>od>3:1(??7:b48R77f2;qG>5+5ea97>{zuE8:n7:tV32:>6}Qkh09w);kb;a5?!5383?oh6sYcc81!3cj3;?;6*<4186`a=z,?<;6o5rV32:>6}Qkh09w);kb;a5?!5383?oh6sYcc81!3cj3;?;6*<4186`f=z,?<;6o5rV32:>6}Qkh09w);kb;a5?!5383?oh6sYcc81!3cj3i>7)=;0;7g`>{#>?:1>6sY19c96~Pdi38p(8jm:b48 6272{zu2c9<54?:%02<^8>;6?u+5ef9b>"2lj0m7psrL33a>4}Q:8;1>vXla;0x 0be2;:37)=;0;7g`>{#>?:1j6sr}:k142<72-8:477}#91h1>=94V063>7}#=mn1j6*:db8e?x{zD;;i6{zu2e:494?:%025<7s-8:47<>5:J14f=n9=21<7*=198202=O:8=0Z??n:0yO64e=9r\ho742?3_;?<74=#=mi1=6sr}|9j51?=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=974V063>7}#=mn1=6*:db82?x{zu2e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de82?!3ck3;0qpsr;|`605<7280;6=u+20:964`<@;:h7b?74;29 77?282?76sm55094?5=83:p(??7:4f8L76d3`;?47>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37<>P6<909w);kd;g8 0bd2l1vqps4i06:>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>27[?;0;0x 0bc2l1/9im5e:~yx=h91>1<7*=1982<1=Q:8k1=vB=1b82Sed2;q/=5l51968R4272;q/9ij51:&6`f<63twvq6sm55194?5=83:p(??7:4f8L76d3`;?47>5$33;>4203A8:;6X=1`82I46k3;pZnm52z&252z&6`a{M02g?7|^ji1>v*>8c820<=Q9=:1>v*:de8f?!3ck3o0qpsr;n3;0?6=,;;36<6;;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{53;294~"5910:4>5G21a8m42?290/><651558R77f28qG>{zut1b=9750;&15=<6<>1]>;6?u+5ef95>"2lj0:7psr}:m2<1<72-8:47?74:T15d<6sE8:o7?tVba96~"60k0:495Y15296~"2lm0:7);kc;38yx{z3th>4;4?:383>5}#:821n:5G21a8m420290/><651558?j7?<3:1(??7:0:7?S46i3;p@??l:0yUgf<5s-;3n7?74:T205<5s-?oh7?4$4f`>4=zutw0qo;7a;296?6=8r.9=54m7:J14f=n9==1<7*=198202=5<5290;w)<>8;`4?M47k2c:8:4?:%02;6?u+5ef92>"2lj0=7psr}:a1=1=8391<7>t$33;>7723A8;o6g>4983>!4603;?;6F=169U64g=9rF9=n4>{Wa`>7}#91h1=964V063>7}#=mn1=6*:db82?x{zu2c:844?:%02{M02g?7|^ji1>v*>8c820<=Q9=:1>v*:de82?!3ck3;0qpsr;n3;0?6=,;;36<6;;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{51;294~"59109=k5G21a8k4>3290/><651968?xd2000;6>4?:1y'64>==m1C>=m4i06;>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>37[?;0;0x 0bc281/9im51:~yx=n9=31<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515;8R4272;q/9ij51:&6`f<63twvq6a>8583>!4603;386X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?xd20k0;6:4?:1y'64>==m1C>=m4i06;>5<#:821=994H334?S46i3;p@??l:0yUgf<5s-;3n7?;8:T205<5s-?oh774$4f`><=zutw0e<:6:18'64>=9==0D??8;W02e?7|D;;h64181!3cl330(8jl:89~yx{a;3xH77d28q]on4={%3;f?72<2\:8=4={%7g`??<,<651558L7703_8:m7?tL33`>4}Qkj09w)?7b;36b>P6<909w);kd;d8 0bd2o1vqps4i041>5<#:821=994H334?S46i3;p@??l:0yUgf<5s-;3n7?92:T205<5s-?oh7h4$4f`>c=zutw0e<8<:18'64>=9==0Z??n:0yO64e=9r\ho74043_;?<7c=#=mi1j6sr}|9l5=2=83.9=54>859U64g=9rF9=n4>{Wa`>7}#91h1=5:4V063>7}#=mn1=6*:db82?x{zu2wi95m50;594?6|,;;368j4H32`?l7303:1(??7:064?M46?2\9=l4>{M02g?7|^ji1>v*>8c820==Q9=:1>v*:de8:?!3ck330qpsr;h37=?6=,;;36<:8;I023>P59h0:wA<>c;3xRfe=:r.:4o4>489U516=:r.>hi46;%7gg??<7E<>7:T15d<6sE8:o7?tVba96~"60k0:995Y15296~"2lm0m7);kc;d8yx{z3`;>j7>5$33;>4203A8:;6X=1`82I46k3;pZnm52z&252z&6`a{M02g?7|^ji1>v*>8c8227=Q9=:1>v*:de8e?!3ck3l0qpsr;h357?6=,;;36<:8;W02e?7|D;;h64181!3cl3l0(8jl:g9~yx{5<0290;w)<>8;7g?M47k2c:854?:%02hn46;|~y>o6<00;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>489U516=:r.>hi46;%7gg??<7E<>7:T15d<6sE8:o7?tVba96~"60k0:995Y15296~"2lm027);kc;;8yx{z3`;>j7>5$33;>4203A8:;6X=1`82I46k3;pZnm52z&252z&6`a{M02g?7|^ji1>v*>8c8227=Q9=:1>v*:de8e?!3ck3l0qpsr;h357?6=,;;36<:8;W02e?7|D;;h64181!3cl3l0(8jl:g9~yx{5<0290;w)<>8;7g?M47k2c:854?:%02hn46;|~y>o6<00;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>489U516=:r.>hi46;%7gg??<7E<>7:T15d<6sE8:o7?tVba96~"60k0:995Y15296~"2lm027);kc;;8yx{z3`;>j7>5$33;>4203A8:;6X=1`82I46k3;pZnm52z&252z&6`a{M02g?7|^ji1>v*>8c8227=Q9=:1>v*:de8e?!3ck3l0qpsr;h357?6=,;;36<:8;W02e?7|D;;h64181!3cl3l0(8jl:g9~yx{5<0290;w)<>8;7g?M47k2c:854?:%02hn46;|~y>o6<00;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>489U516=:r.>hi46;%7gg??<7[<>a;3xH77d28q]on4={%3;f?72<2\:8=4={%7g`??<,<651558L7703_8:m7?tL33`>4}Qkj09w)?7b;36b>P6<909w);kd;d8 0bd2o1vqps4i041>5<#:821=994H334?S46i3;p@??l:0yUgf<5s-;3n7?92:T205<5s-?oh7h4$4f`>c=zutw0e<8<:18'64>=9==0Z??n:0yO64e=9r\ho74043_;?<7c=#=mi1j6sr}|9l5=2=83.9=54>859U64g=9rF9=n4>{Wa`>7}#91h1=5:4V063>7}#=mn1=6*:db82?x{zu2wi94>50;494?6|,;;36<6<;I03g>o6<10;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>499U516=:r.>hi4>;%7gg?7<7[<>a;3xH77d28q]on4={%3;f?7312\:8=4={%7g`?7<,<651558R77f28qG>{zut1b=8h50;&15=<6<>1]>;6?u+5ef95>"2lj0:7psr}:k227<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0::?5Y15296~"2lm0:7);kc;38yx{z3f;387>5$33;>4>33_8:m7?tL33`>4}Qkj09w)?7b;3;0>P6<909w);kd;38 0bd281vqps4}c7:0?6=:3:1N58j1b=9950;&15=<6<>10c<6;:18'64>=91>0Z??n:0yO64e=9r\ho74>33_;?<74=#=mi1=6sr}|9~f0??29096=4?{%024683>!4603;?;65`19694?"5910:495Y20c95~J59j0:w[ml:3y'5=d=91>0Z<:?:3y'1ab=92.>hn4>;|~y>{e=0o1<7<50;2x 77?2k=0D?>l;h373?6=,;;36<:8;:m2<1<72-8:47?74:T15d<6sE8:o7?tVba96~"60k0:495Y15296~"2lm0=7);kc;48yx{z3th>584?:283>5}#:821><;4H32`?l7303:1(??7:064?M46?2\9=l4>{M02g?7|^ji1>v*>8c820==Q9=:1>v*:de82?!3ck3;0qpsr;h37=?6=,;;36<:8;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{5<6290;w)<>8;02b>N58j1d=5:50;&15=<60=10qo;67;291?6=8r.9=54:d:J14f=n9=21<7*=198202=O:8=0Z??n:0yO64e=9r\ho742?3_;?<72=#=mi1;6sr}|9j51?=83.9=54>469K641<^;;j6{zut1b=8h50;&15=<6<>1]>;6?u+5ef9a>"2lj0n7psr}:m2<1<72-8:47?74:T15d<6sE8:o7?tVba96~"60k0:495Y15296~"2lm0:7);kc;38yx{z3th>544?:683>5}#:8219i5G21a8m42?290/><651558L7703_8:m7?tL33`>4}Qkj09w)?7b;37<>P6<909w);kd;;8 0bd201vqps4i06:>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>27[?;0;0x 0bc201/9im59:~yx=n9<>1<7*=198202=O:8=0Z??n:0yO64e=9r\ho74333_;?<7<=#=mi156sr}|9j50`=83.9=54>469K641<^;;j6{zut1b=;=50;&15=<6<>1]>;6?u+5ef9b>"2lj0m7psr}:m2<1<72-8:47?74:T15d<6sE8:o7?tVba96~"60k0:495Y15296~"2lm0:7);kc;38yx{z3th>5l4?:683>5}#:8219i5G21a8m42?290/><651558L7703_8:m7?tL33`>4}Qkj09w)?7b;37<>P6<909w);kd;;8 0bd201vqps4i06:>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>27[?;0;0x 0bc201/9im59:~yx=n9<>1<7*=198202=O:8=0Z??n:0yO64e=9r\ho74333_;?<7<=#=mi156sr}|9j50`=83.9=54>469K641<^;;j6{zut1b=;=50;&15=<6<>1]>;6?u+5ef9b>"2lj0m7psr}:m2<1<72-8:47?74:T15d<6sE8:o7?tVba96~"60k0:495Y15296~"2lm0:7);kc;38yx{z3th>5o4?:983>5}#:8219i5G21a8m42?290/><651558L7703_8:m7?tL33`>4}Qkj09w)?7b;37<>P6<909w);kd;;8 0bd201vqps4i06:>5<#:821=994H334?S46i3;p@??l:0yUgf<5s-;3n7?;9:T205<5s-?oh774$4f`><=zutw0e<;;:18'64>=9==0D??8;W02e?7|D;;h686X>4181!3cl330(8jl:89~yx{{zut1b=;=50;&15=<6<>1C><94V33b>4}K:8i1=vXlc;0x 4>e28<87[?;0;0x 0bc2o1/9im5f:~yx=n9?>1<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l51768R4272;q/9ij5f:&6`f8583>!4603;386X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?xd21j0;654?:1y'64>==m1C>=m4i06;>5<#:821=994H334?S46i3;p@??l:0yUgf<5s-;3n7?;8:T205<5s-?oh774$4f`><=zutw0e<:6:18'64>=9==0Z??n:0yO64e=9r\ho742>3_;?<7<=#=mi156sr}|9j502=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=8:4V063>7}#=mn156*:db8:?x{zu2c:9k4?:%02{M02g?7|^ji1>v*>8c821c=Q9=:1>v*:de8e?!3ck3l0qpsr;h356?6=,;;36<:8;I023>P59h0:wA<>c;3xRfe=:r.:4o4>639U516=:r.>hi4i;%7gg?`<7E<>7:T15d<6sE8:o7?tVba96~"60k0::>5Y15296~"2lm0m7);kc;d8yx{z3`;=87>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;350>P6<909w);kd;d8 0bd2o1vqps4o0:7>5<#:821=5:4V33b>4}K:8i1=vXlc;0x 4>e282?7[?;0;0x 0bc281/9im51:~yx=zj<3o6=4::183!4603;3?6F=0b9j51>=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=964V063>7}#=mn1=6*:db82?x{zu2c:844?:%02{M02g?7|^ji1>v*>8c820<=Q9=:1>v*:de82?!3ck3;0qpsr;h360?6=,;;36<:8;W02e?7|D;;h686X>4181!3cl3;0(8jl:09~yx{0Z<:?:3y'1ab=92.>hn4>;|~y>{e=hl1<7<50;2x 77?2k=0D?>l;h373?6=,;;36<:8;:m2<1<72-8:47?74:T15d<6sE8:o7?tVba96~"60k0:495Y15296~"2lm0:7);kc;38yx{z3th>n>4?:383>5}#:821n:5G21a8m420290/><651558?j7?<3:1(??7:0:7?S46i3;p@??l:0yUgf<5s-;3n7?74:T205<5s-?oh7?4$4f`>4=zutw0qo;ma;296?6=8r.9=54m7:J14f=n9==1<7*=198202=2wvqp5rb4`3>5<4290;w)<>8;021>N58j1b=9650;&15=<6<>1C><94V33b>4}K:8i1=vXlc;0x 4>e28>37[?;0;0x 0bc281/9im51:~yx=n9=31<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515;8R4272;q/9ij51:&6`f<63twvq6a>8583>!4603;386X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?xd2j80;6<4?:1y'64>=:8l0D?>l;n3;0?6=,;;36<6;;:a1g4=8391<7>t$33;>0b<@;:h7d?;8;29 77?28><7[<>a;3xH77d28q]on4={%3;f?7302\:8=4={%7g`?7<,290/><651558R77f28qG>{zut1d=5:50;&15=<60=1]>;6?u+5ef95>"2lj0:7psr}:a1g2=83=1<7>t$33;>0b<@;:h7d?;8;29 77?28><7[<>a;3xH77d28q]on4={%3;f?7302\:8=4={%7g`??<,290/><651558L7703_8:m7?tL33`>4}Qkj09w)?7b;37=>P6<909w);kd;;8 0bd201vqps4i077>5<#:821=994H334?S46i3;p@??l:0yUgf<5s-;3n7?:4:T205<5s-?oh7h4$4f`>c=zutw0e<;i:18'64>=9==0D??8;W02e?7|D;;h6j6X>4181!3cl3l0(8jl:g9~yx{a;3xH77d28q]on4={%3;f?71:2\:8=4={%7g`?`<,<651558L7703_8:m7?tL33`>4}Qkj09w)?7b;357>P6<909w);kd;d8 0bd2o1vqps4o0:7>5<#:821=5:4V33b>4}K:8i1=vXlc;0x 4>e282?7[?;0;0x 0bc281/9im51:~yx=zj6=48:183!4603?o7E5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37=>P6<909w);kd;d8 0bd2o1vqps4i077>5<#:821=994H334?S46i3;p@??l:0yUgf<5s-;3n7?:4:T205<5s-?oh7h4$4f`>c=zutw0e<;i:18'64>=9==0D??8;W02e?7|D;;h6j6X>4181!3cl3l0(8jl:g9~yx{a;3xH77d28q]on4={%3;f?71:2\:8=4={%7g`?`<,<651558L7703_8:m7?tL33`>4}Qkj09w)?7b;357>P6<909w);kd;d8 0bd2o1vqps4o0:7>5<#:821=5:4V33b>4}K:8i1=vXlc;0x 4>e282?7[?;0;0x 0bc281/9im51:~yx=zj3twvq6g>4883>!4603;?;6F=169U64g=9rF9=n4>{Wa`>7}#91h1=974V063>7}#=mn1j6*:db8e?x{zu2c:994?:%020Z<:?:3y'1ab=n2.>hn4i;|~y>o6=o0;6)<>8;373>N59>1]>;6?u+5ef9b>"2lj0m7psr}:k227<72-8:47?;7:J152=Q:8k1=vB=1b82Sed2;q/=5l51708R4272;q/9ij5f:&6`f6283>!4603;?;6F=169U64g=9rF9=n4>{Wa`>7}#91h1=;=4V063>7}#=mn1j6*:db8e?x{zu2e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de82?!3ck3;0qpsr;|`6f2<7210;6=u+20:91a=O:9i0e<:7:18'64>=9==0D??8;W02e?7|D;;h64181!3cl330(8jl:89~yx{26=4+20:9511<@;;<7[<>a;3xH77d28q]on4={%3;f?7312\:8=4={%7g`??<,<651558L7703_8:m7?tL33`>4}Qkj09w)?7b;360>P6<909w);kd;;8 0bd201vqps4i07e>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28?m7[?;0;0x 0bc2o1/9im5f:~yx=n9?81<7*=198202=O:8=0Z??n:0yO64e=9r\ho74053_;?<7c=#=mi1j6sr}|9j535=83.9=54>469K641<^;;j6{zut1d=5:50;&15=<60=1]>;6?u+5ef95>"2lj0:7psr}:a1g>=8321<7>t$33;>0b<@;:h7d?;8;29 77?28><7E<>7:T15d<6sE8:o7?tVba96~"60k0:855Y15296~"2lm027);kc;;8yx{z3`;?57>5$33;>4203A8:;6X=1`82I46k3;pZnm52z&252z&6`a<>3-?oo774}|~?l72<3:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?:4:T205<5s-?oh7h4$4f`>c=zutw0e<;i:18'64>=9==0Z??n:0yO64e=9r\ho743a3_;?<7c=#=mi1j6sr}|9j534=83.9=54>469K641<^;;j6{zut1b=;:50;&15=<6<>1C><94V33b>4}K:8i1=vXlc;0x 4>e281<7*=1982<1=Q:8k1=vB=1b82Sed2;q/=5l51968R4272;q/9ij51:&6`f<63twvq6sm5c;94?0=83:p(??7:0:0?M47k2c:854?:%02{M02g?7|^ji1>v*>8c820==Q9=:1>v*:de82?!3ck3;0qpsr;h37=?6=,;;36<:8;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{hn4>;|~y>o6>;0;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>639U516=:r.>hi4>;%7gg?7a;3xH77d28q]on4={%3;f?7?<2\:8=4={%7g`?7<,7>50z&15=5<#:821=5:4V33b>4}K:8i1=vXlc;0x 4>e282?7[?;0;0x 0bc281/9im51:~yx=zj82;6=4=:183!4603h<7E859U64g=9rF9=n4>{Wa`>7}#91h1=5:4V063>7}#=mn1:6*:db85?x{zu2wi=:j50;194?6|,;;36??:;I03g>o6<10;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>499U516=:r.>hi4>;%7gg?7<7[<>a;3xH77d28q]on4={%3;f?7312\:8=4={%7g`?7<,3290/><651968R77f28qG>{zut1vn<9j:182>5<7s-8:47<>f:J14f=h91>1<7*=1982<1=51;294~"5910:4?5G21a8k4>3290/><651968?xd6?>0;6?4?:1y'64>=j>1C>=m4i064>5<#:821=994;n3;0?6=,;;36<6;;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{52;294~"5910i;6F=0b9j511=83.9=54>4698k4>3290/><651968R77f28qG>{zut1vn<97:180>5<7s-8:47<>5:J14f=n9=21<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515:8R4272;q/9ij51:&6`f<63twvq6g>4883>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?j7?<3:1(??7:0:7?S46i3;p@??l:0yUgf<5s-;3n7?74:T205<5s-?oh7?4$4f`>4=zutw0qo?89;295?6=8r.9=54=1g9K65e5}#:821=5<4H32`?j7?<3:1(??7:0:7?>{eko0;6?4?:1y'64>=j>1C>=m4i064>5<#:821=994;n3;0?6=,;;36<6;;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37=>P6<909w);kd;f8 0bd2m1vqps4o0:7>5<#:821=5:4V33b>4}K:8i1=vXlc;0x 4>e282?7[?;0;0x 0bc281/9im51:~yx=zjm;1<7650;2x 77?2l;h37P59h0:wA<>c;3xRfe=:r.:4o4>499U516=:r.>hi46;%7gg??<7E<>7:T15d<6sE8:o7?tVba96~"60k0:845Y15296~"2lm027);kc;;8yx{z3`;>87>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;360>P6<909w);kd;d8 0bd2o1vqps4i07e>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28?m7[?;0;0x 0bc2o1/9im5f:~yx=n9?81<7*=198202=O:8=0Z??n:0yO64e=9r\ho74053_;?<7c=#=mi1j6sr}|9j535=83.9=54>469K641<^;;j60Z<:?:3y'1ab=n2.>hn4i;|~y>i60=0;6)<>8;3;0>P59h0:wA<>c;3xRfe=:r.:4o4>859U516=:r.>hi4>;%7gg?742?3_;?<7<=#=mi156sr}|9j51?=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=974V063>7}#=mn156*:db8:?x{zu2c:994?:%02{M02g?7|^ji1>v*>8c8211=Q9=:1>v*:de8:?!3ck330qpsr;h36b?6=,;;36<:8;I023>P59h0:wA<>c;3xRfe=:r.:4o4>5g9U516=:r.>hi46;%7gg??<7E<>7:T15d<6sE8:o7?tVba96~"60k0::?5Y15296~"2lm0m7);kc;d8yx{z3`;=?7>5$33;>4203A8:;6X=1`82I46k3;pZnm52z&2:1]=9>52z&6`a{M02g?7|^ji1>v*>8c8221=Q9=:1>v*:de8e?!3ck3l0qpsr;h351?6=,;;36<:8;W02e?7|D;;h64181!3cl3l0(8jl:g9~yx{5$33;>4203A8:;6X=1`82I46k3;pZnm52z&252z&6`a<>3-?oo774}|~?l7313:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?;9:T205<5s-?oh774$4f`><=zutw0e<;;:18'64>=9==0Z??n:0yO64e=9r\ho74333_;?<7<=#=mi156sr}|9j50`=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=8h4V063>7}#=mn1j6*:db8e?x{zu2c::?4?:%02hn4i;|~y>o6>:0;6)<>8;373>N59>1]>;6?u+5ef9b>"2lj0m7psr}:k221<72-8:47?;7:J152=Q:8k1=vB=1b82Sed2;q/=5l51768R4272;q/9ij5f:&6`f6483>!4603;?;6X=1`82I46k3;pZnm52z&2<1]=9>52z&6`a4=zutw0qoj;:1825?6=8r.9=54:d:J14f=n9=21<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515:8R4272;q/9ij59:&6`f<>3twvq6g>4883>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a<>3-?oo774}|~?l72<3:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?:4:T205<5s-?oh774$4f`><=zutw0e<;i:18'64>=9==0Z??n:0yO64e=9r\ho743a3_;?<7<=#=mi156sr}|9j534=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=;<4V063>7}#=mn156*:db8:?x{zu2c::>4?:%02{M02g?7|^ji1>v*>8c8226=Q9=:1>v*:de8:?!3ck330qpsr;h350?6=,;;36<:8;W02e?7|D;;h64181!3cl330(8jl:89~yx{6=4+20:9511<@;;<7[<>a;3xH77d28q]on4={%3;f?71=2\:8=4={%7g`??<,<651558L7703_8:m7?tL33`>4}Qkj09w)?7b;352>P6<909w);kd;;8 0bd201vqps4i044>5<#:821=994H334?S46i3;p@??l:0yUgf<5s-;3n7?97:T205<5s-?oh7h4$4f`>c=zutw0e<:n:18'64>=9==0D??8;W02e?7|D;;h64181!3cl3l0(8jl:g9~yx{i6=4+20:9511<@;;<7[<>a;3xH77d28q]on4={%3;f?73j2\:8=4={%7g`?`<,<651558R77f28qG>{zut1b=9j50;&15=<6<>1]>;6?u+5ef95>"2lj0:7psr}:k20`<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0:8h5Y15296~"2lm0:7);kc;38yx{z3`;?j7>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37b>P6<909w);kd;38 0bd281vqps4o0:7>5<#:821=5:4V33b>4}K:8i1=vXlc;0x 4>e282?7[?;0;0x 0bc281/9im51:~yx=zjm?1<7?>:183!4603?o7E5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37=>P6<909w);kd;;8 0bd201vqps4i077>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28??7[?;0;0x 0bc201/9im59:~yx=n93twvq6g>6383>!4603;?;6X=1`82I46k3;pZnm52z&2;1]=9>52z&6`a<>3-?oo774}|~?l71;3:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?93:T205<5s-?oh774$4f`><=zutw0e<8;:18'64>=9==0Z??n:0yO64e=9r\ho74033_;?<7<=#=mi156sr}|9j533=83.9=54>469K641<^;;j6{zut1b=;950;&15=<6<>1C><94V33b>4}K:8i1=vXlc;0x 4>e28<<7[?;0;0x 0bc2o1/9im5f:~yx=n9=k1<7*=198202=O:8=0Z??n:0yO64e=9r\ho742f3_;?<7c=#=mi1j6sr}|9j51d=83.9=54>469K641<^;;j6hn4i;|~y>o68;373>P59h0:wA<>c;3xRfe=:r.:4o4>4e9U516=:r.>hi4>;%7gg?7<7[<>a;3xH77d28q]on4={%3;f?73m2\:8=4={%7g`?7<,<651558R77f28qG>{zut1d=5:50;&15=<60=1]>;6?u+5ef95>"2lj0:7psr}:a`3<72>0;6=u+20:95=5<@;:h7d?;8;29 77?28><7[<>a;3xH77d28q]on4={%3;f?7302\:8=4={%7g`?7<,290/><651558R77f28qG>{zut1b=8:50;&15=<6<>1]>;6?u+5ef95>"2lj0:7psr}:k21c<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0:9k5Y15296~"2lm0:7);kc;38yx{z3`;=>7>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;356>P6<909w);kd;38 0bd281vqps4i040>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28<87[?;0;0x 0bc281/9im51:~yx=h91>1<7*=1982<1=Q:8k1=vB=1b82Sed2;q/=5l51968R4272;q/9ij51:&6`f<63twvq6smd683>7<729q/><65b69K65e<6=4+20:9511<3f;387>5$33;>4>33_8:m7?tL33`>4}Qkj09w)?7b;3;0>P6<909w);kd;38 0bd281vqps4}cf;>5<693:1N58j1b=9650;&15=<6<>1]><^8>;6?u+5ef9=>"2lj027psr}:k20<<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0:845Y15296~"2lm027);kc;;8yx{z3`;>87>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;360>P6<909w);kd;;8 0bd201vqps4i07e>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28?m7[?;0;0x 0bc201/9im59:~yx=n9?81<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l51708R4272;q/9ij59:&6`f<>3twvq6g>6283>!4603;?;6X=1`82I46k3;pZnm52z&2:1]=9>52z&6`a<>3-?oo774}|~?l71<3:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?94:T205<5s-?oh774$4f`><=zutw0e<8::18'64>=9==0D??8;W02e?7|D;;h64181!3cl330(8jl:89~yx{{zut1b=9o50;&15=<6<>1C><94V33b>4}K:8i1=vXlc;0x 4>e28>j7[?;0;0x 0bc2o1/9im5f:~yx=n9=h1<7*=198202=O:8=0Z??n:0yO64e=9r\ho742e3_;?<7c=#=mi1j6sr}|9j51e=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=9m4V063>7}#=mn1j6*:db8e?x{zu2c:8i4?:%02{M02g?7|^ji1>v*>8c820a=Q9=:1>v*:de82?!3ck3;0qpsr;h37a?6=,;;36<:8;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{m6=4+20:9511<^;;j60Z<:?:3y'1ab=92.>hn4>;|~y>{el00;6l;h374181!3cl330(8jl:89~yx{26=4+20:9511<^;;j60Z<:?:3y'1ab=12.>hn46;|~y>o6=o0;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>5g9U516=:r.>hi46;%7gg??<7[<>a;3xH77d28q]on4={%3;f?71:2\:8=4={%7g`??<,<651558R77f28qG>{zut1b=;:50;&15=<6<>1]>;6?u+5ef9=>"2lj027psr}:k220<72-8:47?;7:J152=Q:8k1=vB=1b82Sed2;q/=5l51778R4272;q/9ij59:&6`f<>3twvq6g>6783>!4603;?;6X=1`82I46k3;pZnm52z&2?1]=9>52z&6`a{M02g?7|^ji1>v*>8c8222=Q9=:1>v*:de8e?!3ck3l0qpsr;h37e?6=,;;36<:8;I023>P59h0:wA<>c;3xRfe=:r.:4o4>4`9U516=:r.>hi4i;%7gg?`<7E<>7:T15d<6sE8:o7?tVba96~"60k0:8o5Y15296~"2lm0m7);kc;d8yx{z3`;?o7>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37g>P6<909w);kd;d8 0bd2o1vqps4i06g>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>o7[?;0;0x 0bc281/9im51:~yx=n9=o1<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515g8R4272;q/9ij51:&6`f<63twvq6g>4g83>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?j7?<3:1(??7:0:7?S46i3;p@??l:0yUgf<5s-;3n7?74:T205<5s-?oh7?4$4f`>4=zutw0qojn:1825?6=8r.9=54:d:J14f=n9=21<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515:8R4272;q/9ij59:&6`f<>3twvq6g>4883>!4603;?;6F=169U64g=9rF9=n4>{Wa`>7}#91h1=974V063>7}#=mn156*:db8:?x{zu2c:994?:%02{M02g?7|^ji1>v*>8c8211=Q9=:1>v*:de8:?!3ck330qpsr;h36b?6=,;;36<:8;W02e?7|D;;h6j6X>4181!3cl330(8jl:89~yx{hn46;|~y>o6>=0;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>659U516=:r.>hi46;%7gg??<7[<>a;3xH77d28q]on4={%3;f?71=2\:8=4={%7g`??<,<651558L7703_8:m7?tL33`>4}Qkj09w)?7b;352>P6<909w);kd;;8 0bd201vqps4i044>5<#:821=994H334?S46i3;p@??l:0yUgf<5s-;3n7?97:T205<5s-?oh774$4f`><=zutw0e<:n:18'64>=9==0Z??n:0yO64e=9r\ho742f3_;?<7c=#=mi1j6sr}|9j51d=83.9=54>469K641<^;;j6{zut1b=9j50;&15=<6<>1C><94V33b>4}K:8i1=vXlc;0x 4>e28>o7[?;0;0x 0bc2o1/9im5f:~yx=n9=o1<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515g8R4272;q/9ij5f:&6`f4g83>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?j7?<3:1(??7:0:7?S46i3;p@??l:0yUgf<5s-;3n7?74:T205<5s-?oh7?4$4f`>4=zutw0qojm:1825?6=8r.9=54:d:J14f=n9=21<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515:8R4272;q/9ij59:&6`f<>3twvq6g>4883>!4603;?;6F=169U64g=9rF9=n4>{Wa`>7}#91h1=974V063>7}#=mn156*:db8:?x{zu2c:994?:%02{M02g?7|^ji1>v*>8c8211=Q9=:1>v*:de8:?!3ck330qpsr;h36b?6=,;;36<:8;W02e?7|D;;h6j6X>4181!3cl330(8jl:89~yx{hn46;|~y>o6>=0;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>659U516=:r.>hi46;%7gg??<7[<>a;3xH77d28q]on4={%3;f?71=2\:8=4={%7g`??<,<651558L7703_8:m7?tL33`>4}Qkj09w)?7b;352>P6<909w);kd;;8 0bd201vqps4i044>5<#:821=994H334?S46i3;p@??l:0yUgf<5s-;3n7?97:T205<5s-?oh774$4f`><=zutw0e<:n:18'64>=9==0Z??n:0yO64e=9r\ho742f3_;?<7c=#=mi1j6sr}|9j51d=83.9=54>469K641<^;;j6{zut1b=9j50;&15=<6<>1C><94V33b>4}K:8i1=vXlc;0x 4>e28>o7[?;0;0x 0bc2o1/9im5f:~yx=n9=o1<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515g8R4272;q/9ij5f:&6`f4g83>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?j7?<3:1(??7:0:7?S46i3;p@??l:0yUgf<5s-;3n7?74:T205<5s-?oh7?4$4f`>4=zutw0qojl:1825?6=8r.9=54:d:J14f=n9=21<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515:8R4272;q/9ij59:&6`f<>3twvq6g>4883>!4603;?;6F=169U64g=9rF9=n4>{Wa`>7}#91h1=974V063>7}#=mn156*:db8:?x{zu2c:994?:%02{M02g?7|^ji1>v*>8c8211=Q9=:1>v*:de8:?!3ck330qpsr;h36b?6=,;;36<:8;W02e?7|D;;h6j6X>4181!3cl330(8jl:89~yx{hn46;|~y>o6>=0;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>659U516=:r.>hi46;%7gg??<7[<>a;3xH77d28q]on4={%3;f?71=2\:8=4={%7g`??<,<651558L7703_8:m7?tL33`>4}Qkj09w)?7b;352>P6<909w);kd;;8 0bd201vqps4i044>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28<<7[?;0;0x 0bc2o1/9im5f:~yx=n9=k1<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515c8R4272;q/9ij5f:&6`f4c83>!4603;?;6F=169U64g=9rF9=n4>{Wa`>7}#91h1=9l4V063>7}#=mn1j6*:db8e?x{zu2c:8n4?:%02hn4i;|~y>o68;373>N59>1]>;6?u+5ef9b>"2lj0m7psr}:k20`<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0:8h5Y15296~"2lm0m7);kc;d8yx{z3`;?j7>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37b>P6<909w);kd;38 0bd281vqps4o0:7>5<#:821=5:4V33b>4}K:8i1=vXlc;0x 4>e282?7[?;0;0x 0bc281/9im51:~yx=zjmn1<7850;2x 77?28287E5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37=>P6<909w);kd;38 0bd281vqps4i077>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28??7[?;0;0x 0bc281/9im51:~yx=n96383>!4603;?;6X=1`82I46k3;pZnm52z&2;1]=9>52z&6`a<63-?oo7?4}|~?j7?<3:1(??7:0:7?S46i3;p@??l:0yUgf<5s-;3n7?74:T205<5s-?oh7?4$4f`>4=zutw0qojj:181>5<7s-8:47l8;I03g>o6<>0;6)<>8;373>=h91>1<7*=1982<1=Q:8k1=vB=1b82Sed2;q/=5l51968R4272;q/9ij51:&6`f<63twvq6smdg83>6<729q/><655e9K65e36=4+20:9511<^;;j6hn4k;|~y>i60=0;6)<>8;3;0>P59h0:wA<>c;3xRfe=:r.:4o4>859U516=:r.>hi4>;%7gg?742?3_;?<7<=#=mi156sr}|9j51?=83.9=54>469K641<^;;j60Z<:?:3y'1ab=n2.>hn4i;|~y>o6=o0;6)<>8;373>N59>1]>;6?u+5ef9b>"2lj0m7psr}:k227<72-8:47?;7:J152=Q:8k1=vB=1b82Sed2;q/=5l51708R4272;q/9ij5f:&6`f6283>!4603;?;6X=1`82I46k3;pZnm52z&2:1]=9>52z&6`a4=zutw0qok>:184>5<7s-8:47;k;I03g>o6<10;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>499U516=:r.>hi46;%7gg??<7E<>7:T15d<6sE8:o7?tVba96~"60k0:845Y15296~"2lm027);kc;;8yx{z3`;>87>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;360>P6<909w);kd;;8 0bd201vqps4i07e>5<#:821=994H334?S46i3;p@??l:0yUgf<5s-;3n7?:f:T205<5s-?oh7h4$4f`>c=zutw0e<8=:18'64>=9==0D??8;W02e?7|D;;h66X>4181!3cl3l0(8jl:g9~yx{0Z<:?:3y'1ab=92.>hn4>;|~y>{em;0;654?:1y'64>==m1C>=m4i06;>5<#:821=994H334?S46i3;p@??l:0yUgf<5s-;3n7?;8:T205<5s-?oh774$4f`><=zutw0e<:6:18'64>=9==0Z??n:0yO64e=9r\ho742>3_;?<7<=#=mi156sr}|9j502=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=8:4V063>7}#=mn156*:db8:?x{zu2c:9k4?:%02hn4i;|~y>o6>;0;6)<>8;373>N59>1]>;6?u+5ef9b>"2lj0m7psr}:k226<72-8:47?;7:J152=Q:8k1=vB=1b82Sed2;q/=5l51718R4272;q/9ij5f:&6`f6583>!4603;?;6X=1`82I46k3;pZnm52z&2=1]=9>52z&6`a4=zutw0qok<:1825?6=8r.9=54:d:J14f=n9=21<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515:8R4272;q/9ij59:&6`f<>3twvq6g>4883>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a<>3-?oo774}|~?l72<3:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?:4:T205<5s-?oh774$4f`><=zutw0e<;i:18'64>=9==0Z??n:0yO64e=9r\ho743a3_;?<7<=#=mi156sr}|9j534=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=;<4V063>7}#=mn156*:db8:?x{zu2c::>4?:%02{M02g?7|^ji1>v*>8c8226=Q9=:1>v*:de8:?!3ck330qpsr;h350?6=,;;36<:8;W02e?7|D;;h64181!3cl330(8jl:89~yx{6=4+20:9511<@;;<7[<>a;3xH77d28q]on4={%3;f?71=2\:8=4={%7g`??<,<651558L7703_8:m7?tL33`>4}Qkj09w)?7b;352>P6<909w);kd;d8 0bd2o1vqps4i044>5<#:821=994H334?S46i3;p@??l:0yUgf<5s-;3n7?97:T205<5s-?oh7h4$4f`>c=zutw0e<:n:18'64>=9==0D??8;W02e?7|D;;h64181!3cl3l0(8jl:g9~yx{i6=4+20:9511<^;;j6hn4>;|~y>o68;373>P59h0:wA<>c;3xRfe=:r.:4o4>4e9U516=:r.>hi4>;%7gg?7<7[<>a;3xH77d28q]on4={%3;f?73m2\:8=4={%7g`?7<,<651558R77f28qG>{zut1d=5:50;&15=<60=1]>;6?u+5ef95>"2lj0:7psr}:aa1<728;1<7>t$33;>0b<@;:h7d?;8;29 77?28><7[<>a;3xH77d28q]on4={%3;f?7302\:8=4={%7g`??<,290/><651558R77f28qG>{zut1b=8:50;&15=<6<>1]>;6?u+5ef9=>"2lj027psr}:k21c<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0:9k5Y15296~"2lm027);kc;;8yx{z3`;=>7>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;356>P6<909w);kd;;8 0bd201vqps4i040>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28<87[?;0;0x 0bc201/9im59:~yx=n9?>1<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l51768R4272;q/9ij59:&6`f<>3twvq6g>6483>!4603;?;6F=169U64g=9rF9=n4>{Wa`>7}#91h1=;;4V063>7}#=mn156*:db8:?x{zu2c::;4?:%02hn4i;|~y>o6>>0;6)<>8;373>N59>1]>;6?u+5ef9b>"2lj0m7psr}:k20d<72-8:47?;7:J152=Q:8k1=vB=1b82Sed2;q/=5l515c8R4272;q/9ij5f:&6`f4c83>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a4=zutw0e<:k:18'64>=9==0Z??n:0yO64e=9r\ho742c3_;?<74=#=mi1=6sr}|9j51c=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=9k4V063>7}#=mn1=6*:db82?x{zu2c:8k4?:%02{M02g?7|^ji1>v*>8c820c=Q9=:1>v*:de82?!3ck3;0qpsr;n3;0?6=,;;36<6;;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{6=48:183!4603;3?6F=0b9j51>=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=964V063>7}#=mn1=6*:db82?x{zu2c:844?:%02{M02g?7|^ji1>v*>8c820<=Q9=:1>v*:de82?!3ck3;0qpsr;h360?6=,;;36<:8;W02e?7|D;;h686X>4181!3cl3;0(8jl:09~yx{hn4>;|~y>o6>:0;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>629U516=:r.>hi4>;%7gg?7a;3xH77d28q]on4={%3;f?7?<2\:8=4={%7g`?7<,4683>!4603;?;65`19694?"5910:495Y20c95~J59j0:w[ml:3y'5=d=91>0Z<:?:3y'1ab=92.>hn4>;|~y>{em>0;6>4?:1y'64>==m1C>=m4i06;>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>37[?;0;0x 0bc2m1/9im5d:~yx=n9=31<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515;8R4272;q/9ij5d:&6`f8583>!4603;386X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?xdb03:1?7>50z&15=<2l2B9{zut1b=9750;&15=<6<>1C><94V33b>4}K:8i1=vXlc;0x 4>e28>27[?;0;0x 0bc2o1/9im5f:~yx=h91>1<7*=1982<1=Q:8k1=vB=1b82Sed2;q/=5l51968R4272;q/9ij51:&6`f<63twvq6sme883>6<729q/><655e9K65e36=4+20:9511<^;;j6{zut1d=5:50;&15=<60=1]>;6?u+5ef95>"2lj0:7psr}:aad<72:0;6=u+20:91a=O:9i0e<:7:18'64>=9==0D??8;W02e?7|D;;h64181!3cl3l0(8jl:g9~yx{26=4+20:9511<@;;<7[<>a;3xH77d28q]on4={%3;f?7312\:8=4={%7g`?`<,3290/><651968R77f28qG>{zut1vnhl50;694?6|,;;368j4H32`?l7303:1(??7:064?M46?2\9=l4>{M02g?7|^ji1>v*>8c820==Q9=:1>v*:de8:?!3ck330qpsr;h37=?6=,;;36<:8;I023>P59h0:wA<>c;3xRfe=:r.:4o4>489U516=:r.>hi46;%7gg??<7E<>7:T15d<6sE8:o7?tVba96~"60k0:995Y15296~"2lm027);kc;;8yx{z3f;387>5$33;>4>33_8:m7?tL33`>4}Qkj09w)?7b;3;0>P6<909w);kd;38 0bd281vqps4}cg`>5<3290;w)<>8;7g?M47k2c:854?:%02hn46;|~y>o6<00;6)<>8;373>N59>1]>;6?u+5ef9=>"2lj027psr}:k211<72-8:47?;7:J152=Q:8k1=vB=1b82Sed2;q/=5l51468R4272;q/9ij5f:&6`f8583>!4603;386X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?xdbl3:1;7>50z&15=<60:1C>=m4i06;>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>37[?;0;0x 0bc281/9im51:~yx=n9=31<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515;8R4272;q/9ij51:&6`f<63twvq6g>5583>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?l72n3:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?:f:T205<5s-?oh7?4$4f`>4=zutw0e<8=:18'64>=9==0Z??n:0yO64e=9r\ho74053_;?<74=#=mi1=6sr}|9j535=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=;=4V063>7}#=mn1=6*:db82?x{zu2e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de82?!3ck3;0qpsr;|`fa?6=:3:1N58j1b=9950;&15=<6<>10c<6;:18'64>=91>0Z??n:0yO64e=9r\ho74>33_;?<74=#=mi1=6sr}|9~f``=83>1<7>t$33;>0b<@;:h7d?;8;29 77?28><7E<>7:T15d<6sE8:o7?tVba96~"60k0:855Y15296~"2lm027);kc;;8yx{z3`;?57>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37=>P6<909w);kd;;8 0bd201vqps4i077>5<#:821=994H334?S46i3;p@??l:0yUgf<5s-;3n7?:4:T205<5s-?oh774$4f`><=zutw0c<6;:18'64>=91>0Z??n:0yO64e=9r\ho74>33_;?<74=#=mi1=6sr}|9~fc6=83>1<7>t$33;>0b<@;:h7d?;8;29 77?28><7E<>7:T15d<6sE8:o7?tVba96~"60k0:855Y15296~"2lm027);kc;;8yx{z3`;?57>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37=>P6<909w);kd;;8 0bd201vqps4i077>5<#:821=994H334?S46i3;p@??l:0yUgf<5s-;3n7?:4:T205<5s-?oh774$4f`><=zutw0c<6;:18'64>=91>0Z??n:0yO64e=9r\ho74>33_;?<74=#=mi1=6sr}|9~fc7=83>1<7>t$33;>0b<@;:h7d?;8;29 77?28><7E<>7:T15d<6sE8:o7?tVba96~"60k0:855Y15296~"2lm027);kc;;8yx{z3`;?57>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37=>P6<909w);kd;;8 0bd201vqps4i077>5<#:821=994H334?S46i3;p@??l:0yUgf<5s-;3n7?:4:T205<5s-?oh774$4f`><=zutw0c<6;:18'64>=91>0Z??n:0yO64e=9r\ho74>33_;?<74=#=mi1=6sr}|9~fc4=83>1<7>t$33;>0b<@;:h7d?;8;29 77?28><7E<>7:T15d<6sE8:o7?tVba96~"60k0:855Y15296~"2lm027);kc;;8yx{z3`;?57>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37=>P6<909w);kd;;8 0bd201vqps4i077>5<#:821=994H334?S46i3;p@??l:0yUgf<5s-;3n7?:4:T205<5s-?oh774$4f`><=zutw0c<6;:18'64>=91>0Z??n:0yO64e=9r\ho74>33_;?<74=#=mi1=6sr}|9~fc5=83?1<7>t$33;>0b<@;:h7d?;8;29 77?28><7E<>7:T15d<6sE8:o7?tVba96~"60k0:855Y15296~"2lm027);kc;;8yx{z3`;?57>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37=>P6<909w);kd;;8 0bd201vqps4i077>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28??7[?;0;0x 0bc201/9im59:~yx=n98583>!4603;386X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?xda<3:1:7>50z&15=<60:1C>=m4i06;>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>37[?;0;0x 0bc281/9im51:~yx=n9=31<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515;8R4272;q/9ij51:&6`f<63twvq6g>5583>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?l72n3:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?:f:T205<5s-?oh7?4$4f`>4=zutw0e<8=:18'64>=9==0Z??n:0yO64e=9r\ho74053_;?<74=#=mi1=6sr}|9l5=2=83.9=54>859U64g=9rF9=n4>{Wa`>7}#91h1=5:4V063>7}#=mn1=6*:db82?x{zu2wij84?:383>5}#:821n:5G21a8m420290/><651558?j7?<3:1(??7:0:7?S46i3;p@??l:0yUgf<5s-;3n7?74:T205<5s-?oh7?4$4f`>4=zutw0qoh9:18:>5<7s-8:47;k;I03g>o6<10;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>499U516=:r.>hi46;%7gg??<7E<>7:T15d<6sE8:o7?tVba96~"60k0:845Y15296~"2lm0m7);kc;d8yx{z3`;>87>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;360>P6<909w);kd;;8 0bd201vqps4i07e>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28?m7[?;0;0x 0bc2o1/9im5f:~yx=n9?81<7*=198202=O:8=0Z??n:0yO64e=9r\ho74053_;?<7c=#=mi1j6sr}|9j535=83.9=54>469K641<^;;j6{zut1b=;;50;&15=<6<>1]>;6?u+5ef9b>"2lj0m7psr}:m2<1<72-8:47?74:T15d<6sE8:o7?tVba96~"60k0:495Y15296~"2lm0:7);kc;38yx{z3thm;7>51083>5}#:8219i5G21a8m42?290/><651558R77f28qG>{zut1b=9750;&15=<6<>1C><94V33b>4}K:8i1=vXlc;0x 4>e28>27[?;0;0x 0bc201/9im59:~yx=n9<>1<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l51468R4272;q/9ij59:&6`f<>3twvq6g>5g83>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a{M02g?7|^ji1>v*>8c8227=Q9=:1>v*:de8:?!3ck330qpsr;h357?6=,;;36<:8;I023>P59h0:wA<>c;3xRfe=:r.:4o4>629U516=:r.>hi46;%7gg??<7E<>7:T15d<6sE8:o7?tVba96~"60k0::95Y15296~"2lm0m7);kc;d8yx{z3`;=97>5$33;>4203A8:;6X=1`82I46k3;pZnm52z&2<1]=9>52z&6`a3:1(??7:064?M46?2\9=l4>{M02g?7|^ji1>v*>8c8223=Q9=:1>v*:de8e?!3ck3l0qpsr;h353?6=,;;36<:8;W02e?7|D;;h64181!3cl3l0(8jl:g9~yx{j6=4+20:9511<^;;j6hn4>;|~y>o68;373>P59h0:wA<>c;3xRfe=:r.:4o4>4b9U516=:r.>hi4>;%7gg?7<7[<>a;3xH77d28q]on4={%3;f?73l2\:8=4={%7g`?7<,<651558R77f28qG>{zut1b=9h50;&15=<6<>1]>;6?u+5ef95>"2lj0:7psr}:m2<1<72-8:47?74:T15d<6sE8:o7?tVba96~"60k0:495Y15296~"2lm0:7);kc;38yx{z3thm47>51083>5}#:8219i5G21a8m42?290/><651558R77f28qG>{zut1b=9750;&15=<6<>1C><94V33b>4}K:8i1=vXlc;0x 4>e28>27[?;0;0x 0bc201/9im59:~yx=n9<>1<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l51468R4272;q/9ij59:&6`f<>3twvq6g>5g83>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a{M02g?7|^ji1>v*>8c8227=Q9=:1>v*:de8:?!3ck330qpsr;h357?6=,;;36<:8;W02e?7|D;;h64181!3cl3l0(8jl:g9~yx{a;3xH77d28q]on4={%3;f?71<2\:8=4={%7g`?`<,<651558L7703_8:m7?tL33`>4}Qkj09w)?7b;351>P6<909w);kd;d8 0bd2o1vqps4i045>5<#:821=994H334?S46i3;p@??l:0yUgf<5s-;3n7?96:T205<5s-?oh7h4$4f`>c=zutw0e<88:18'64>=9==0Z??n:0yO64e=9r\ho74003_;?<7c=#=mi1j6sr}|9j51g=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=9o4V063>7}#=mn1=6*:db82?x{zu2c:8o4?:%02{M02g?7|^ji1>v*>8c820g=Q9=:1>v*:de82?!3ck3;0qpsr;h37g?6=,;;36<:8;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{o6=4+20:9511<^;;j6hn4>;|~y>o68;373>P59h0:wA<>c;3xRfe=:r.:4o4>4g9U516=:r.>hi4>;%7gg?7a;3xH77d28q]on4={%3;f?7?<2\:8=4={%7g`?7<,290:=7>50z&15=<2l2B9hn46;|~y>o6<00;6)<>8;373>N59>1]>;6?u+5ef9=>"2lj027psr}:k211<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0:995Y15296~"2lm027);kc;;8yx{z3`;>j7>5$33;>4203A8:;6X=1`82I46k3;pZnm52z&252z&6`a<=zutw0e<8<:18'64>=9==0D??8;W02e?7|D;;h64181!3cl330(8jl:89~yx{a;3xH77d28q]on4={%3;f?71<2\:8=4={%7g`?`<,<651558L7703_8:m7?tL33`>4}Qkj09w)?7b;351>P6<909w);kd;d8 0bd2o1vqps4i045>5<#:821=994H334?S46i3;p@??l:0yUgf<5s-;3n7?96:T205<5s-?oh7h4$4f`>c=zutw0e<88:18'64>=9==0Z??n:0yO64e=9r\ho74003_;?<7c=#=mi1j6sr}|9j51g=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=9o4V063>7}#=mn1=6*:db82?x{zu2c:8o4?:%02{M02g?7|^ji1>v*>8c820g=Q9=:1>v*:de82?!3ck3;0qpsr;h37g?6=,;;36<:8;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{o6=4+20:9511<^;;j6hn4>;|~y>o68;373>P59h0:wA<>c;3xRfe=:r.:4o4>4g9U516=:r.>hi4>;%7gg?7a;3xH77d28q]on4={%3;f?7?<2\:8=4={%7g`?7<,50z&15=<2l2B9hn46;|~y>o6<00;6)<>8;373>N59>1]>;6?u+5ef9=>"2lj027psr}:k211<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0:995Y15296~"2lm027);kc;;8yx{z3`;>j7>5$33;>4203A8:;6X=1`82I46k3;pZnm52z&252z&6`a<=zutw0e<8<:18'64>=9==0Z??n:0yO64e=9r\ho74043_;?<7c=#=mi1j6sr}|9j532=83.9=54>469K641<^;;j6{zut1b=;850;&15=<6<>1C><94V33b>4}K:8i1=vXlc;0x 4>e28<=7[?;0;0x 0bc2o1/9im5f:~yx=n9?=1<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l51758R4272;q/9ij5f:&6`f4`83>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?l73j3:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?;b:T205<5s-?oh7?4$4f`>4=zutw0e<:l:18'64>=9==0Z??n:0yO64e=9r\ho742d3_;?<74=#=mi1=6sr}|9j51b=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=9j4V063>7}#=mn1=6*:db82?x{zu2c:8h4?:%02{M02g?7|^ji1>v*>8c820`=Q9=:1>v*:de82?!3ck3;0qpsr;h37b?6=,;;36<:8;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{{M02g?7|^ji1>v*>8c820==Q9=:1>v*:de82?!3ck3;0qpsr;h37=?6=,;;36<:8;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{hn4>;|~y>o6>;0;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>639U516=:r.>hi4>;%7gg?7a;3xH77d28q]on4={%3;f?7?<2\:8=4={%7g`?7<,4683>!4603;?;65`19694?"5910:495Y20c95~J59j0:w[ml:3y'5=d=91>0Z<:?:3y'1ab=92.>hn4>;|~y>{enm0;6>4?:1y'64>==m1C>=m4i06;>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>37[?;0;0x 0bc2m1/9im5d:~yx=n9=31<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515;8R4272;q/9ij5d:&6`f8583>!4603;386X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?xdam3:1=<4?:1y'64>==m1C>=m4i06;>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>37[?;0;0x 0bc201/9im59:~yx=n9=31<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515;8R4272;q/9ij59:&6`f<>3twvq6g>5583>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a<>3-?oo774}|~?l72n3:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?:f:T205<5s-?oh774$4f`><=zutw0e<8=:18'64>=9==0Z??n:0yO64e=9r\ho74053_;?<7<=#=mi156sr}|9j535=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=;=4V063>7}#=mn156*:db8:?x{zu2c::94?:%02{M02g?7|^ji1>v*>8c8221=Q9=:1>v*:de8:?!3ck330qpsr;h351?6=,;;36<:8;W02e?7|D;;h64181!3cl3l0(8jl:g9~yx{a;3xH77d28q]on4={%3;f?71>2\:8=4={%7g`??<,<651558L7703_8:m7?tL33`>4}Qkj09w)?7b;353>P6<909w);kd;;8 0bd201vqps4i06b>5<#:821=994H334?S46i3;p@??l:0yUgf<5s-;3n7?;a:T205<5s-?oh7h4$4f`>c=zutw0e<:m:18'64>=9==0D??8;W02e?7|D;;h64181!3cl3l0(8jl:g9~yx{h6=4+20:9511<@;;<7[<>a;3xH77d28q]on4={%3;f?73k2\:8=4={%7g`?`<,<651558L7703_8:m7?tL33`>4}Qkj09w)?7b;37`>P6<909w);kd;d8 0bd2o1vqps4i06f>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>n7[?;0;0x 0bc2o1/9im5f:~yx=n9=l1<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515d8R4272;q/9ij51:&6`f<63twvq6a>8583>!4603;386X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?xdan3:1=<4?:1y'64>==m1C>=m4i06;>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>37[?;0;0x 0bc201/9im59:~yx=n9=31<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515;8R4272;q/9ij59:&6`f<>3twvq6g>5583>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a<>3-?oo774}|~?l72n3:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?:f:T205<5s-?oh774$4f`><=zutw0e<8=:18'64>=9==0Z??n:0yO64e=9r\ho74053_;?<7<=#=mi156sr}|9j535=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=;=4V063>7}#=mn156*:db8:?x{zu2c::94?:%02{M02g?7|^ji1>v*>8c8221=Q9=:1>v*:de8:?!3ck330qpsr;h351?6=,;;36<:8;W02e?7|D;;h64181!3cl3l0(8jl:g9~yx{a;3xH77d28q]on4={%3;f?71>2\:8=4={%7g`??<,<651558R77f28qG>{zut1b=9o50;&15=<6<>1C><94V33b>4}K:8i1=vXlc;0x 4>e28>j7[?;0;0x 0bc2o1/9im5f:~yx=n9=h1<7*=198202=O:8=0Z??n:0yO64e=9r\ho742e3_;?<7c=#=mi1j6sr}|9j51e=83.9=54>469K641<^;;j6{zut1b=9k50;&15=<6<>1]>;6?u+5ef9b>"2lj0m7psr}:k20c<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0:8k5Y15296~"2lm0:7);kc;38yx{z3f;387>5$33;>4>33_8:m7?tL33`>4}Qkj09w)?7b;3;0>P6<909w);kd;38 0bd281vqps4}c334?6=980;6=u+20:91a=O:9i0e<:7:18'64>=9==0Z??n:0yO64e=9r\ho742?3_;?<7<=#=mi156sr}|9j51?=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=974V063>7}#=mn156*:db8:?x{zu2c:994?:%02{M02g?7|^ji1>v*>8c8211=Q9=:1>v*:de8:?!3ck330qpsr;h36b?6=,;;36<:8;W02e?7|D;;h6j6X>4181!3cl330(8jl:89~yx{hn46;|~y>o6>=0;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>659U516=:r.>hi46;%7gg??<7[<>a;3xH77d28q]on4={%3;f?71=2\:8=4={%7g`?`<,<651558L7703_8:m7?tL33`>4}Qkj09w)?7b;352>P6<909w);kd;;8 0bd201vqps4i044>5<#:821=994H334?S46i3;p@??l:0yUgf<5s-;3n7?97:T205<5s-?oh774$4f`><=zutw0e<:n:18'64>=9==0D??8;W02e?7|D;;h64181!3cl3l0(8jl:g9~yx{i6=4+20:9511<@;;<7[<>a;3xH77d28q]on4={%3;f?73j2\:8=4={%7g`?`<,<651558L7703_8:m7?tL33`>4}Qkj09w)?7b;37g>P6<909w);kd;d8 0bd2o1vqps4i06g>5<#:821=994H334?S46i3;p@??l:0yUgf<5s-;3n7?;d:T205<5s-?oh7h4$4f`>c=zutw0e<:j:18'64>=9==0Z??n:0yO64e=9r\ho742b3_;?<7c=#=mi1j6sr}|9j51`=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=9h4V063>7}#=mn1=6*:db82?x{zu2e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de82?!3ck3;0qpsr;|`244<728;1<7>t$33;>0b<@;:h7d?;8;29 77?28><7[<>a;3xH77d28q]on4={%3;f?7302\:8=4={%7g`??<,290/><651558R77f28qG>{zut1b=8:50;&15=<6<>1]>;6?u+5ef9=>"2lj027psr}:k21c<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0:9k5Y15296~"2lm027);kc;;8yx{z3`;=>7>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;356>P6<909w);kd;;8 0bd201vqps4i040>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28<87[?;0;0x 0bc201/9im59:~yx=n9?>1<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l51768R4272;q/9ij59:&6`f<>3twvq6g>6483>!4603;?;6X=1`82I46k3;pZnm52z&2<1]=9>52z&6`a3:1(??7:064?M46?2\9=l4>{M02g?7|^ji1>v*>8c8223=Q9=:1>v*:de8:?!3ck330qpsr;h353?6=,;;36<:8;W02e?7|D;;h64181!3cl3l0(8jl:g9~yx{j6=4+20:9511<@;;<7[<>a;3xH77d28q]on4={%3;f?73i2\:8=4={%7g`?`<,<651558L7703_8:m7?tL33`>4}Qkj09w)?7b;37f>P6<909w);kd;d8 0bd2o1vqps4i06`>5<#:821=994H334?S46i3;p@??l:0yUgf<5s-;3n7?;c:T205<5s-?oh7h4$4f`>c=zutw0e<:k:18'64>=9==0D??8;W02e?7|D;;h64181!3cl3l0(8jl:g9~yx{n6=4+20:9511<^;;j6hn4>;|~y>i60=0;6)<>8;3;0>P59h0:wA<>c;3xRfe=:r.:4o4>859U516=:r.>hi4>;%7gg?70383>47=83:p(??7:4f8L76d3`;?47>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37<>P6<909w);kd;;8 0bd201vqps4i06:>5<#:821=994H334?S46i3;p@??l:0yUgf<5s-;3n7?;9:T205<5s-?oh774$4f`><=zutw0e<;;:18'64>=9==0Z??n:0yO64e=9r\ho74333_;?<7<=#=mi156sr}|9j50`=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=8h4V063>7}#=mn156*:db8:?x{zu2c::?4?:%02{M02g?7|^ji1>v*>8c8227=Q9=:1>v*:de8:?!3ck330qpsr;h357?6=,;;36<:8;W02e?7|D;;h64181!3cl330(8jl:89~yx{hn46;|~y>o6>?0;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>679U516=:r.>hi4i;%7gg?`<7E<>7:T15d<6sE8:o7?tVba96~"60k0:::5Y15296~"2lm027);kc;;8yx{z3`;?m7>5$33;>4203A8:;6X=1`82I46k3;pZnm52z&252z&6`a<>3-?oo774}|~?l73j3:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?;b:T205<5s-?oh7h4$4f`>c=zutw0e<:l:18'64>=9==0D??8;W02e?7|D;;h64181!3cl3l0(8jl:g9~yx{o6=4+20:9511<@;;<7[<>a;3xH77d28q]on4={%3;f?73l2\:8=4={%7g`?`<,<651558L7703_8:m7?tL33`>4}Qkj09w)?7b;37a>P6<909w);kd;d8 0bd2o1vqps4i06e>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>m7[?;0;0x 0bc2o1/9im5f:~yx=h91>1<7*=1982<1=Q:8k1=vB=1b82Sed2;q/=5l51968R4272;q/9ij51:&6`f<63twvq6sm11194?1=83:p(??7:0:0?M47k2c:854?:%02{M02g?7|^ji1>v*>8c820==Q9=:1>v*:de82?!3ck3;0qpsr;h37=?6=,;;36<:8;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{hn4>;|~y>o6>;0;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>639U516=:r.>hi4>;%7gg?7<7[<>a;3xH77d28q]on4={%3;f?71;2\:8=4={%7g`?7<,3290/><651968R77f28qG>{zut1vn<>;:181>5<7s-8:47l8;I03g>o6<>0;6)<>8;373>=h91>1<7*=1982<1=Q:8k1=vB=1b82Sed2;q/=5l51968R4272;q/9ij51:&6`f<63twvq6sm11794?76290;w)<>8;7g?M47k2c:854?:%02{M02g?7|^ji1>v*>8c820==Q9=:1>v*:de8:?!3ck330qpsr;h37=?6=,;;36<:8;I023>P59h0:wA<>c;3xRfe=:r.:4o4>489U516=:r.>hi46;%7gg??<7[<>a;3xH77d28q]on4={%3;f?72<2\:8=4={%7g`??<,<651558R77f28qG>{zut1b=;<50;&15=<6<>1]>;6?u+5ef9=>"2lj027psr}:k226<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0::>5Y15296~"2lm027);kc;;8yx{z3`;=87>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;350>P6<909w);kd;;8 0bd201vqps4i046>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28<>7[?;0;0x 0bc201/9im59:~yx=n9?<1<7*=198202=O:8=0Z??n:0yO64e=9r\ho74013_;?<7<=#=mi156sr}|9j531=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=;94V063>7}#=mn1j6*:db8e?x{zu2c:8l4?:%02{M02g?7|^ji1>v*>8c820d=Q9=:1>v*:de8e?!3ck3l0qpsr;h37f?6=,;;36<:8;I023>P59h0:wA<>c;3xRfe=:r.:4o4>4c9U516=:r.>hi4i;%7gg?`<7E<>7:T15d<6sE8:o7?tVba96~"60k0:8n5Y15296~"2lm0m7);kc;d8yx{z3`;?h7>5$33;>4203A8:;6X=1`82I46k3;pZnm52z&252z&6`ac=zutw0e<:i:18'64>=9==0Z??n:0yO64e=9r\ho742a3_;?<74=#=mi1=6sr}|9l5=2=83.9=54>859U64g=9rF9=n4>{Wa`>7}#91h1=5:4V063>7}#=mn1=6*:db82?x{zu2wi==850;02>5<7s-8:47;k;I03g>o6<10;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>499U516=:r.>hi46;%7gg??<7[<>a;3xH77d28q]on4={%3;f?7312\:8=4={%7g`??<,<651558R77f28qG>{zut1b=8h50;&15=<6<>1]>;6?u+5ef9=>"2lj027psr}:k227<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0::?5Y15296~"2lm027);kc;;8yx{z3`;=?7>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;357>P6<909w);kd;;8 0bd201vqps4i047>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e283twvq6g>6783>!4603;?;6X=1`82I46k3;pZnm52z&2?1]=9>52z&6`a<>3-?oo774}|~?l71?3:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?97:T205<5s-?oh774$4f`><=zutw0e<:n:18'64>=9==0Z??n:0yO64e=9r\ho742f3_;?<7<=#=mi156sr}|9j51d=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=9l4V063>7}#=mn156*:db8:?x{zu2c:8n4?:%02{M02g?7|^ji1>v*>8c820f=Q9=:1>v*:de8:?!3ck330qpsr;h37`?6=,;;36<:8;W02e?7|D;;h64181!3cl330(8jl:89~yx{n6=4+20:9511<^;;j6hn46;|~y>o6=90;6)<>8;373>N59>1]>;6?u+5ef9=>"2lj027psr}:k214<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0:9<5Y15296~"2lm0m7);kc;d8yx{z3`;>>7>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;366>P6<909w);kd;38 0bd281vqps4i070>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28?87[?;0;0x 0bc281/9im51:~yx=n95783>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?l72?3:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?:7:T205<5s-?oh7?4$4f`>4=zutw0e<;7:18'64>=9==0Z??n:0yO64e=9r\ho743?3_;?<74=#=mi1=6sr}|9j50?=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=874V063>7}#=mn1=6*:db82?x{zu2c:9l4?:%02{M02g?7|^ji1>v*>8c821d=Q9=:1>v*:de82?!3ck3;0qpsr;h36f?6=,;;36<:8;W02e?7|D;;h6n6X>4181!3cl3;0(8jl:09~yx{hn4>;|~y>o6=l0;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>5d9U516=:r.>hi4>;%7gg?7<7[<>a;3xH77d28q]on4={%3;f?7182\:8=4={%7g`?7<,<651558R77f28qG>;W374?4|,{zut1d=5:50;&15=<60=1]>;6?u+5ef95>"2lj0:7psr}:a551=838:6=4?{%024983>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a<>3-?oo774}|~?l7313:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?;9:T205<5s-?oh774$4f`><=zutw0e<;;:18'64>=9==0Z??n:0yO64e=9r\ho74333_;?<7<=#=mi156sr}|9j50`=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=8h4V063>7}#=mn156*:db8:?x{zu2c::?4?:%02{M02g?7|^ji1>v*>8c8227=Q9=:1>v*:de8:?!3ck330qpsr;h357?6=,;;36<:8;W02e?7|D;;h64181!3cl330(8jl:89~yx{hn46;|~y>o6>?0;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>679U516=:r.>hi46;%7gg??<7[<>a;3xH77d28q]on4={%3;f?71?2\:8=4={%7g`??<,<651558R77f28qG>{zut1b=9l50;&15=<6<>1]>;6?u+5ef9=>"2lj027psr}:k20f<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0:8n5Y15296~"2lm027);kc;;8yx{z3`;?h7>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37`>P6<909w);kd;;8 0bd201vqps4i06f>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>n7[?;0;0x 0bc201/9im59:~yx=n9=l1<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515d8R4272;q/9ij59:&6`f<>3twvq6g>5183>!4603;?;6F=169U64g=9rF9=n4>{Wa`>7}#91h1=8>4V063>7}#=mn156*:db8:?x{zu2c:9<4?:%02{M02g?7|^ji1>v*>8c8214=Q9=:1>v*:de8e?!3ck3l0qpsr;h366?6=,;;36<:8;W02e?7|D;;h6>6X>4181!3cl3;0(8jl:09~yx{hn4>;|~y>o6=?0;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>579U516=:r.>hi4>;%7gg?7<7[<>a;3xH77d28q]on4={%3;f?72?2\:8=4={%7g`?7<,<651558R77f28qG>{zut1b=8750;&15=<6<>1]>;6?u+5ef95>"2lj0:7psr}:k21d<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0:9l5Y15296~"2lm0:7);kc;38yx{z3`;>n7>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;36f>P6<909w);kd;38 0bd281vqps4i07`>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28?h7[?;0;0x 0bc281/9im51:~yx=n95d83>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?l7183:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?90:T205<5s-?oh7?4$4f`>4=zutw0e<8>:18'64>=9==0Z??n:0yO64e=9r\ho74063_;?<74=#=mi1=6sr}|9l5=2=83.9=54>859U64g=9rF9=n4>{Wa`>7}#91h1=5:4V063>7}#=mn1=6*:db82?x{zu2wi==650;02>5<7s-8:47;k;I03g>o6<10;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>499U516=:r.>hi46;%7gg??<7[<>a;3xH77d28q]on4={%3;f?7312\:8=4={%7g`??<,<651558R77f28qG>{zut1b=8h50;&15=<6<>1]>;6?u+5ef9=>"2lj027psr}:k227<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0::?5Y15296~"2lm027);kc;;8yx{z3`;=?7>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;357>P6<909w);kd;;8 0bd201vqps4i047>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e283twvq6g>6783>!4603;?;6X=1`82I46k3;pZnm52z&2?1]=9>52z&6`a<>3-?oo774}|~?l71?3:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?97:T205<5s-?oh774$4f`><=zutw0e<:n:18'64>=9==0Z??n:0yO64e=9r\ho742f3_;?<7<=#=mi156sr}|9j51d=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=9l4V063>7}#=mn156*:db8:?x{zu2c:8n4?:%02{M02g?7|^ji1>v*>8c820f=Q9=:1>v*:de8:?!3ck330qpsr;h37`?6=,;;36<:8;W02e?7|D;;h64181!3cl330(8jl:89~yx{n6=4+20:9511<^;;j6hn46;|~y>o6=90;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>519U516=:r.>hi4i;%7gg?`<7[<>a;3xH77d28q]on4={%3;f?7292\:8=4={%7g`?`<,<651558R77f28qG>{zut1b=8=50;&15=<6<>1]>;6?u+5ef95>"2lj0:7psr}:k210<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0:985Y15296~"2lm0:7);kc;38yx{z3`;>:7>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;362>P6<909w);kd;38 0bd281vqps4i074>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28?<7[?;0;0x 0bc281/9im51:~yx=n9<21<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l514:8R4272;q/9ij51:&6`f<63twvq6g>5883>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?l72i3:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?:a:T205<5s-?oh7?4$4f`>4=zutw0e<;m:18'64>=9==0Z??n:0yO64e=9r\ho743e3_;?<74=#=mi1=6sr}|9j50e=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=8m4V063>7}#=mn1=6*:db82?x{zu2c:9i4?:%02{M02g?7|^ji1>v*>8c821a=Q9=:1>v*:de82?!3ck3;0qpsr;h36a?6=,;;36<:8;W02e?7|D;;h6i6X>4181!3cl3;0(8jl:09~yx{hn4>;|~y>i60=0;6)<>8;3;0>P59h0:wA<>c;3xRfe=:r.:4o4>859U516=:r.>hi4>;%7gg?70883>77=83:p(??7:4f8L76d3`;?47>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37<>P6<909w);kd;;8 0bd201vqps4i06:>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>27[?;0;0x 0bc201/9im59:~yx=n9<>1<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l51468R4272;q/9ij59:&6`f<>3twvq6g>5g83>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a<>3-?oo774}|~?l71:3:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?92:T205<5s-?oh774$4f`><=zutw0e<8<:18'64>=9==0Z??n:0yO64e=9r\ho74043_;?<7<=#=mi156sr}|9j532=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=;:4V063>7}#=mn156*:db8:?x{zu2c::84?:%02{M02g?7|^ji1>v*>8c8220=Q9=:1>v*:de8:?!3ck330qpsr;h352?6=,;;36<:8;W02e?7|D;;h64181!3cl330(8jl:89~yx{hn46;|~y>o68;373>P59h0:wA<>c;3xRfe=:r.:4o4>4c9U516=:r.>hi46;%7gg??<7[<>a;3xH77d28q]on4={%3;f?73k2\:8=4={%7g`??<,<651558R77f28qG>{zut1b=9k50;&15=<6<>1]>;6?u+5ef9=>"2lj027psr}:k20c<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0:8k5Y15296~"2lm027);kc;;8yx{z3`;><7>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;364>P6<909w);kd;d8 0bd2o1vqps4i072>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28?:7[?;0;0x 0bc2o1/9im5f:~yx=n9<81<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l51408R4272;q/9ij51:&6`f<63twvq6g>5283>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?l72=3:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?:5:T205<5s-?oh7?4$4f`>4=zutw0e<;9:18'64>=9==0Z??n:0yO64e=9r\ho74313_;?<74=#=mi1=6sr}|9j501=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=894V063>7}#=mn1=6*:db82?x{zu2c:954?:%02{M02g?7|^ji1>v*>8c821==Q9=:1>v*:de82?!3ck3;0qpsr;h36=?6=,;;36<:8;W02e?7|D;;h656X>4181!3cl3;0(8jl:09~yx{hn4>;|~y>o6=j0;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>5b9U516=:r.>hi4>;%7gg?7<7[<>a;3xH77d28q]on4={%3;f?72l2\:8=4={%7g`?7<,<651558R77f28qG>{zut1b=;>50;&15=<6<>1]>;6?u+5ef95>"2lj0:7psr}:k224<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0::<5Y15296~"2lm0:7);kc;38yx{z3f;387>5$33;>4>33_8:m7?tL33`>4}Qkj09w)?7b;3;0>P6<909w);kd;38 0bd281vqps4}c33e?6=>3:1=9==0Z??n:0yO64e=9r\ho742?3_;?<74=#=mi1=6sr}|9j51?=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=974V063>7}#=mn1=6*:db82?x{zu2c:994?:%02{M02g?7|^ji1>v*>8c8211=Q9=:1>v*:de82?!3ck3;0qpsr;h36b?6=,;;36<:8;W02e?7|D;;h6j6X>4181!3cl3;0(8jl:09~yx{0Z<:?:3y'1ab=92.>hn4>;|~y>{e99h1<7<50;2x 77?2k=0D?>l;h373?6=,;;36<:8;:m2<1<72-8:47?74:T15d<6sE8:o7?tVba96~"60k0:495Y15296~"2lm0:7);kc;38yx{z3th:5}#:8219i5G21a8m42?290/><651558R77f28qG>{zut1b=9750;&15=<6<>1]>;6?u+5ef9`>"2lj0o7psr}:m2<1<72-8:47?74:T15d<6sE8:o7?tVba96~"60k0:495Y15296~"2lm0:7);kc;38yx{z3th:5}#:8219i5G21a8m42?290/><651558R77f28qG>{zut1b=9750;&15=<6<>1C><94V33b>4}K:8i1=vXlc;0x 4>e28>27[?;0;0x 0bc2o1/9im5f:~yx=n9<>1<7*=198202=O:8=0Z??n:0yO64e=9r\ho74333_;?<7c=#=mi1j6sr}|9j50`=83.9=54>469K641<^;;j6{zut1d=5:50;&15=<60=1]>;6?u+5ef95>"2lj0:7psr}:a55c=83=1<7>t$33;>0b<@;:h7d?;8;29 77?28><7[<>a;3xH77d28q]on4={%3;f?7302\:8=4={%7g`??<,290/><651558L7703_8:m7?tL33`>4}Qkj09w)?7b;37=>P6<909w);kd;;8 0bd201vqps4i077>5<#:821=994H334?S46i3;p@??l:0yUgf<5s-;3n7?:4:T205<5s-?oh7h4$4f`>c=zutw0e<;i:18'64>=9==0D??8;W02e?7|D;;h6j6X>4181!3cl3l0(8jl:g9~yx{a;3xH77d28q]on4={%3;f?71:2\:8=4={%7g`?`<,<651558L7703_8:m7?tL33`>4}Qkj09w)?7b;357>P6<909w);kd;d8 0bd2o1vqps4o0:7>5<#:821=5:4V33b>4}K:8i1=vXlc;0x 4>e282?7[?;0;0x 0bc281/9im51:~yx=zj8:m6=48:183!4603?o7E5$33;>4203A8:;6X=1`82I46k3;pZnm52z&252z&6`a<>3-?oo774}|~?l72<3:1(??7:064?M46?2\9=l4>{M02g?7|^ji1>v*>8c8211=Q9=:1>v*:de8e?!3ck3l0qpsr;h36b?6=,;;36<:8;I023>P59h0:wA<>c;3xRfe=:r.:4o4>5g9U516=:r.>hi4i;%7gg?`<7E<>7:T15d<6sE8:o7?tVba96~"60k0::?5Y15296~"2lm0m7);kc;d8yx{z3`;=?7>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;357>P6<909w);kd;d8 0bd2o1vqps4o0:7>5<#:821=5:4V33b>4}K:8i1=vXlc;0x 4>e282?7[?;0;0x 0bc281/9im51:~yx=zj8;;6=48:183!4603?o7E5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37=>P6<909w);kd;d8 0bd2o1vqps4i077>5<#:821=994H334?S46i3;p@??l:0yUgf<5s-;3n7?:4:T205<5s-?oh7h4$4f`>c=zutw0e<;i:18'64>=9==0D??8;W02e?7|D;;h6j6X>4181!3cl3l0(8jl:g9~yx{a;3xH77d28q]on4={%3;f?71:2\:8=4={%7g`?`<,<651558R77f28qG>{zut1d=5:50;&15=<60=1]>;6?u+5ef95>"2lj0:7psr}:a547=83=1<7>t$33;>0b<@;:h7d?;8;29 77?28><7E<>7:T15d<6sE8:o7?tVba96~"60k0:855Y15296~"2lm027);kc;;8yx{z3`;?57>5$33;>4203A8:;6X=1`82I46k3;pZnm52z&252z&6`a{M02g?7|^ji1>v*>8c8211=Q9=:1>v*:de8e?!3ck3l0qpsr;h36b?6=,;;36<:8;I023>P59h0:wA<>c;3xRfe=:r.:4o4>5g9U516=:r.>hi4i;%7gg?`<7E<>7:T15d<6sE8:o7?tVba96~"60k0::?5Y15296~"2lm0m7);kc;d8yx{z3`;=?7>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;357>P6<909w);kd;d8 0bd2o1vqps4o0:7>5<#:821=5:4V33b>4}K:8i1=vXlc;0x 4>e282?7[?;0;0x 0bc281/9im51:~yx=zj8;96=48:183!4603;3?6F=0b9j51>=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=964V063>7}#=mn1=6*:db82?x{zu2c:844?:%02{M02g?7|^ji1>v*>8c820<=Q9=:1>v*:de82?!3ck3;0qpsr;h360?6=,;;36<:8;W02e?7|D;;h686X>4181!3cl3;0(8jl:09~yx{hn4>;|~y>o6>:0;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>629U516=:r.>hi4>;%7gg?7a;3xH77d28q]on4={%3;f?7?<2\:8=4={%7g`?7<,7>50z&15=5<#:821=5:4V33b>4}K:8i1=vXlc;0x 4>e282?7[?;0;0x 0bc281/9im51:~yx=zj8;?6=4<:183!4603?o7E5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37=>P6<909w);kd;f8 0bd2m1vqps4o0:7>5<#:821=5:4V33b>4}K:8i1=vXlc;0x 4>e282?7[?;0;0x 0bc281/9im51:~yx=zj8;>6=49:183!4603?o7E5$33;>4203A8:;6X=1`82I46k3;pZnm52z&252z&6`a{M02g?7|^ji1>v*>8c8211=Q9=:1>v*:de8e?!3ck3l0qpsr;h36b?6=,;;36<:8;I023>P59h0:wA<>c;3xRfe=:r.:4o4>5g9U516=:r.>hi4i;%7gg?`<7E<>7:T15d<6sE8:o7?tVba96~"60k0::?5Y15296~"2lm0m7);kc;d8yx{z3f;387>5$33;>4>33_8:m7?tL33`>4}Qkj09w)?7b;3;0>P6<909w);kd;38 0bd281vqps4}c322?6=>3:1N58j1b=9650;&15=<6<>1]><^8>;6?u+5ef9=>"2lj027psr}:k20<<72-8:47?;7:J152=Q:8k1=vB=1b82Sed2;q/=5l515;8R4272;q/9ij5f:&6`f5583>!4603;?;6F=169U64g=9rF9=n4>{Wa`>7}#91h1=8:4V063>7}#=mn1j6*:db8e?x{zu2c:9k4?:%02hn4i;|~y>o6>;0;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>639U516=:r.>hi4i;%7gg?`a;3xH77d28q]on4={%3;f?7?<2\:8=4={%7g`?7<,50z&15=<2l2B9hn46;|~y>o6<00;6)<>8;373>N59>1]>;6?u+5ef9=>"2lj027psr}:k211<72-8:47?;7:J152=Q:8k1=vB=1b82Sed2;q/=5l51468R4272;q/9ij5f:&6`f5g83>!4603;?;6F=169U64g=9rF9=n4>{Wa`>7}#91h1=8h4V063>7}#=mn1j6*:db8e?x{zu2c::?4?:%02hn4i;|~y>o6>:0;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>629U516=:r.>hi4i;%7gg?`a;3xH77d28q]on4={%3;f?7?<2\:8=4={%7g`?7<,50z&15=<2l2B9hn46;|~y>o6<00;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>489U516=:r.>hi4i;%7gg?`<7E<>7:T15d<6sE8:o7?tVba96~"60k0:995Y15296~"2lm0m7);kc;d8yx{z3`;>j7>5$33;>4203A8:;6X=1`82I46k3;pZnm52z&252z&6`a{M02g?7|^ji1>v*>8c8227=Q9=:1>v*:de8e?!3ck3l0qpsr;h357?6=,;;36<:8;W02e?7|D;;h64181!3cl3l0(8jl:g9~yx{5<0290;w)<>8;7g?M47k2c:854?:%02hn46;|~y>o6<00;6)<>8;373>N59>1]>;6?u+5ef9b>"2lj0m7psr}:k211<72-8:47?;7:J152=Q:8k1=vB=1b82Sed2;q/=5l51468R4272;q/9ij5f:&6`f5g83>!4603;?;6F=169U64g=9rF9=n4>{Wa`>7}#91h1=8h4V063>7}#=mn1j6*:db8e?x{zu2c::?4?:%02hn4i;|~y>o6>:0;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>629U516=:r.>hi4i;%7gg?`a;3xH77d28q]on4={%3;f?7?<2\:8=4={%7g`?7<,50z&15=<60:1C>=m4i06;>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>37[?;0;0x 0bc281/9im51:~yx=n9=31<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515;8R4272;q/9ij51:&6`f<63twvq6g>5583>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?l72n3:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?:f:T205<5s-?oh7?4$4f`>4=zutw0e<8=:18'64>=9==0Z??n:0yO64e=9r\ho74053_;?<74=#=mi1=6sr}|9j535=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=;=4V063>7}#=mn1=6*:db82?x{zu2e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de82?!3ck3;0qpsr;|`25g<72;0;6=u+20:9f2=O:9i0e<:8:18'64>=9==07b?74;29 77?282?7[<>a;3xH77d28q]on4={%3;f?7?<2\:8=4={%7g`?7<,50z&15=<2l2B9{zut1b=9750;&15=<6<>1C><94V33b>4}K:8i1=vXlc;0x 4>e28>27[?;0;0x 0bc201/9im59:~yx=n9<>1<7*=198202=O:8=0Z??n:0yO64e=9r\ho74333_;?<7<=#=mi156sr}|9l5=2=83.9=54>859U64g=9rF9=n4>{Wa`>7}#91h1=5:4V063>7}#=mn1=6*:db82?x{zu2wi={M02g?7|^ji1>v*>8c820==Q9=:1>v*:de8:?!3ck330qpsr;h37=?6=,;;36<:8;I023>P59h0:wA<>c;3xRfe=:r.:4o4>489U516=:r.>hi46;%7gg??<7E<>7:T15d<6sE8:o7?tVba96~"60k0:995Y15296~"2lm0m7);kc;d8yx{z3f;387>5$33;>4>33_8:m7?tL33`>4}Qkj09w)?7b;3;0>P6<909w);kd;38 0bd281vqps4}c32a?6=<3:1N58j1b=9650;&15=<6<>1C><94V33b>4}K:8i1=vXlc;0x 4>e28>37[?;0;0x 0bc201/9im59:~yx=n9=31<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515;8R4272;q/9ij59:&6`f<>3twvq6g>5583>!4603;?;6F=169U64g=9rF9=n4>{Wa`>7}#91h1=8:4V063>7}#=mn156*:db8:?x{zu2e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de82?!3ck3;0qpsr;|`25c<72=0;6=u+20:91a=O:9i0e<:7:18'64>=9==0D??8;W02e?7|D;;h64181!3cl330(8jl:89~yx{26=4+20:9511<^;;j60Z<:?:3y'1ab=12.>hn46;|~y>i60=0;6)<>8;3;0>P59h0:wA<>c;3xRfe=:r.:4o4>859U516=:r.>hi4>;%7gg?72183>0<729q/><651918L76d3`;?47>5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37<>P6<909w);kd;38 0bd281vqps4i06:>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>27[?;0;0x 0bc281/9im51:~yx=n9<>1<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l51468R4272;q/9ij51:&6`f<63twvq6g>5g83>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?j7?<3:1(??7:0:7?S46i3;p@??l:0yUgf<5s-;3n7?74:T205<5s-?oh7?4$4f`>4=zutw0qo?=1;296?6=8r.9=54m7:J14f=n9==1<7*=198202=5<3290;w)<>8;7g?M47k2c:854?:%02hn46;|~y>o6<00;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>489U516=:r.>hi46;%7gg??<7E<>7:T15d<6sE8:o7?tVba96~"60k0:995Y15296~"2lm027);kc;;8yx{z3f;387>5$33;>4>33_8:m7?tL33`>4}Qkj09w)?7b;3;0>P6<909w);kd;38 0bd281vqps4}c317?6=<3:1N58j1b=9650;&15=<6<>1C><94V33b>4}K:8i1=vXlc;0x 4>e28>37[?;0;0x 0bc201/9im59:~yx=n9=31<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515;8R4272;q/9ij59:&6`f<>3twvq6g>5583>!4603;?;6F=169U64g=9rF9=n4>{Wa`>7}#91h1=8:4V063>7}#=mn156*:db8:?x{zu2e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de82?!3ck3;0qpsr;|`261<72=0;6=u+20:91a=O:9i0e<:7:18'64>=9==0D??8;W02e?7|D;;h64181!3cl330(8jl:89~yx{26=4+20:9511<^;;j6{zut1d=5:50;&15=<60=1]>;6?u+5ef95>"2lj0:7psr}:a573=83?1<7>t$33;>0b<@;:h7d?;8;29 77?28><7E<>7:T15d<6sE8:o7?tVba96~"60k0:855Y15296~"2lm027);kc;;8yx{z3`;?57>5$33;>4203A8:;6X=1`82I46k3;pZnm52z&252z&6`a<>3-?oo774}|~?l72<3:1(??7:064?M46?2\9=l4>{M02g?7|^ji1>v*>8c8211=Q9=:1>v*:de8:?!3ck330qpsr;h36b?6=,;;36<:8;W02e?7|D;;h6j6X>4181!3cl330(8jl:89~yx{5<2290;w)<>8;7g?M47k2c:854?:%02hn46;|~y>o6<00;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>489U516=:r.>hi46;%7gg??<7[<>a;3xH77d28q]on4={%3;f?72<2\:8=4={%7g`??<,<651558R77f28qG>{zut1d=5:50;&15=<60=1]>;6?u+5ef95>"2lj0:7psr}:a571=83<1<7>t$33;>4>43A8;o6g>4983>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?l7313:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?;9:T205<5s-?oh7?4$4f`>4=zutw0e<;;:18'64>=9==0Z??n:0yO64e=9r\ho74333_;?<74=#=mi1=6sr}|9j50`=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=8h4V063>7}#=mn1=6*:db82?x{zu2c::?4?:%02{M02g?7|^ji1>v*>8c8227=Q9=:1>v*:de82?!3ck3;0qpsr;n3;0?6=,;;36<6;;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{52;294~"5910i;6F=0b9j511=83.9=54>4698k4>3290/><651968R77f28qG>{zut1vn<<6:180>5<7s-8:47;k;I03g>o6<10;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>499U516=:r.>hi4k;%7gg?b<7[<>a;3xH77d28q]on4={%3;f?7312\:8=4={%7g`?b<,3290/><651968R77f28qG>{zut1vn<5<7s-8:47;k;I03g>o6<10;6)<>8;373>N59>1]><^8>;6?u+5ef9=>"2lj027psr}:k20<<72-8:47?;7:J152=Q:8k1=vB=1b82Sed2;q/=5l515;8R4272;q/9ij59:&6`f<>3twvq6g>5583>!4603;?;6F=169U64g=9rF9=n4>{Wa`>7}#91h1=8:4V063>7}#=mn156*:db8:?x{zu2c:9k4?:%02hn4i;|~y>o6>;0;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>639U516=:r.>hi4i;%7gg?`a;3xH77d28q]on4={%3;f?7?<2\:8=4={%7g`?7<,50z&15=<2l2B9{zut1b=9750;&15=<6<>1C><94V33b>4}K:8i1=vXlc;0x 4>e28>27[?;0;0x 0bc201/9im59:~yx=n9<>1<7*=198202=O:8=0Z??n:0yO64e=9r\ho74333_;?<7c=#=mi1j6sr}|9j50`=83.9=54>469K641<^;;j6hn4i;|~y>i60=0;6)<>8;3;0>P59h0:wA<>c;3xRfe=:r.:4o4>859U516=:r.>hi4>;%7gg?72b83>3<729q/><655e9K65e36=4+20:9511<@;;<7[<>a;3xH77d28q]on4={%3;f?7302\:8=4={%7g`??<,290/><651558R77f28qG>{zut1b=8:50;&15=<6<>1C><94V33b>4}K:8i1=vXlc;0x 4>e28??7[?;0;0x 0bc201/9im59:~yx=n943a3_;?<7c=#=mi1j6sr}|9j534=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=;<4V063>7}#=mn1j6*:db8e?x{zu2e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de82?!3ck3;0qpsr;|`26a<72?0;6=u+20:91a=O:9i0e<:7:18'64>=9==0D??8;W02e?7|D;;h64181!3cl330(8jl:89~yx{26=4+20:9511<^;;j6{zut1b=8h50;&15=<6<>1C><94V33b>4}K:8i1=vXlc;0x 4>e28?m7[?;0;0x 0bc2o1/9im5f:~yx=n9?81<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l51708R4272;q/9ij5f:&6`f8583>!4603;386X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?xd6:l0;6;4?:1y'64>==m1C>=m4i06;>5<#:821=994H334?S46i3;p@??l:0yUgf<5s-;3n7?;8:T205<5s-?oh774$4f`><=zutw0e<:6:18'64>=9==0Z??n:0yO64e=9r\ho742>3_;?<7<=#=mi156sr}|9j502=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=8:4V063>7}#=mn156*:db8:?x{zu2c:9k4?:%02hn4i;|~y>o6>;0;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>639U516=:r.>hi4i;%7gg?`a;3xH77d28q]on4={%3;f?7?<2\:8=4={%7g`?7<,50z&15=<60:1C>=m4i06;>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>37[?;0;0x 0bc281/9im51:~yx=n9=31<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515;8R4272;q/9ij51:&6`f<63twvq6g>5583>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?l72n3:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?:f:T205<5s-?oh7?4$4f`>4=zutw0e<8=:18'64>=9==0Z??n:0yO64e=9r\ho74053_;?<74=#=mi1=6sr}|9j535=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=;=4V063>7}#=mn1=6*:db82?x{zu2e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de82?!3ck3;0qpsr;|`275<72;0;6=u+20:9f2=O:9i0e<:8:18'64>=9==07b?74;29 77?282?7[<>a;3xH77d28q]on4={%3;f?7?<2\:8=4={%7g`?7<,50z&15=<2l2B9hn46;|~y>o6<00;6)<>8;373>N59>1]>;6?u+5ef9b>"2lj0m7psr}:k211<72-8:47?;7:T15d<6sE8:o7?tVba96~"60k0:995Y15296~"2lm0m7);kc;d8yx{z3f;387>5$33;>4>33_8:m7?tL33`>4}Qkj09w)?7b;3;0>P6<909w);kd;38 0bd281vqps4}c306?6=<3:1N58j1b=9650;&15=<6<>1C><94V33b>4}K:8i1=vXlc;0x 4>e28>37[?;0;0x 0bc2o1/9im5f:~yx=n9=31<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515;8R4272;q/9ij5f:&6`f5583>!4603;?;6F=169U64g=9rF9=n4>{Wa`>7}#91h1=8:4V063>7}#=mn1j6*:db8e?x{zu2e:494?:%02{M02g?7|^ji1>v*>8c82<1=Q9=:1>v*:de82?!3ck3;0qpsr;|`276<72=0;6=u+20:91a=O:9i0e<:7:18'64>=9==0D??8;W02e?7|D;;h64181!3cl3l0(8jl:g9~yx{26=4+20:9511<^;;j6{zut1d=5:50;&15=<60=1]>;6?u+5ef95>"2lj0:7psr}:a562=83?1<7>t$33;>0b<@;:h7d?;8;29 77?28><7E<>7:T15d<6sE8:o7?tVba96~"60k0:855Y15296~"2lm027);kc;;8yx{z3`;?57>5$33;>4203A8:;6X=1`82I46k3;pZnm52z&252z&6`a<>3-?oo774}|~?l72<3:1(??7:064?M46?2\9=l4>{M02g?7|^ji1>v*>8c8211=Q9=:1>v*:de8:?!3ck330qpsr;h36b?6=,;;36<:8;I023>P59h0:wA<>c;3xRfe=:r.:4o4>5g9U516=:r.>hi4i;%7gg?`a;3xH77d28q]on4={%3;f?7?<2\:8=4={%7g`?7<,50z&15=<2l2B9{zut1b=9750;&15=<6<>1C><94V33b>4}K:8i1=vXlc;0x 4>e28>27[?;0;0x 0bc201/9im59:~yx=n9<>1<7*=198202=O:8=0Z??n:0yO64e=9r\ho74333_;?<7c=#=mi1j6sr}|9j50`=83.9=54>469K641<^;;j60Z<:?:3y'1ab=92.>hn4>;|~y>{e9:<1<7850;2x 77?28287E5$33;>4203_8:m7?tL33`>4}Qkj09w)?7b;37=>P6<909w);kd;38 0bd281vqps4i077>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28??7[?;0;0x 0bc281/9im51:~yx=n96383>!4603;?;6X=1`82I46k3;pZnm52z&2;1]=9>52z&6`a<63-?oo7?4}|~?j7?<3:1(??7:0:7?S46i3;p@??l:0yUgf<5s-;3n7?74:T205<5s-?oh7?4$4f`>4=zutw0qo?<7;296?6=8r.9=54m7:J14f=n9==1<7*=198202=5<4290;w)<>8;7g?M47k2c:854?:%02{M02g?7|^ji1>v*>8c820==Q9=:1>v*:de8g?!3ck3n0qpsr;h37=?6=,;;36<:8;W02e?7|D;;h64181!3cl3n0(8jl:e9~yx{5<2290;w)<>8;7g?M47k2c:854?:%02hn46;|~y>o6<00;6)<>8;373>P59h0:wA<>c;3xRfe=:r.:4o4>489U516=:r.>hi46;%7gg??<7E<>7:T15d<6sE8:o7?tVba96~"60k0:995Y15296~"2lm027);kc;;8yx{z3`;>j7>5$33;>4203A8:;6X=1`82I46k3;pZnm52z&252z&6`a4=zutw0qo?42?3_;?<7<=#=mi156sr}|9j51?=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=974V063>7}#=mn156*:db8:?x{zu2c:994?:%020Z<:?:3y'1ab=12.>hn46;|~y>o6=o0;6)<>8;373>N59>1]>;6?u+5ef9b>"2lj0m7psr}:m2<1<72-8:47?74:T15d<6sE8:o7?tVba96~"60k0:495Y15296~"2lm0:7);kc;38yx{z3th:?o4?:483>5}#:8219i5G21a8m42?290/><651558L7703_8:m7?tL33`>4}Qkj09w)?7b;37<>P6<909w);kd;;8 0bd201vqps4i06:>5<#:821=994V33b>4}K:8i1=vXlc;0x 4>e28>27[?;0;0x 0bc201/9im59:~yx=n9<>1<7*=198202=O:8=0Z??n:0yO64e=9r\ho74333_;?<7<=#=mi156sr}|9j50`=83.9=54>469K641<^;;j60Z<:?:3y'1ab=92.>hn4>;|~y>{e9:i1<7;50;2x 77?2l;h37P59h0:wA<>c;3xRfe=:r.:4o4>499U516=:r.>hi46;%7gg??<7[<>a;3xH77d28q]on4={%3;f?7312\:8=4={%7g`??<,<651558L7703_8:m7?tL33`>4}Qkj09w)?7b;360>P6<909w);kd;;8 0bd201vqps4i07e>5<#:821=994H334?S46i3;p@??l:0yUgf<5s-;3n7?:f:T205<5s-?oh7h4$4f`>c=zutw0c<6;:18'64>=91>0Z??n:0yO64e=9r\ho74>33_;?<74=#=mi1=6sr}|9~f45c290>6=4?{%024983>!4603;?;6F=169U64g=9rF9=n4>{Wa`>7}#91h1=964V063>7}#=mn156*:db8:?x{zu2c:844?:%02{M02g?7|^ji1>v*>8c820<=Q9=:1>v*:de8:?!3ck330qpsr;h360?6=,;;36<:8;W02e?7|D;;h686X>4181!3cl330(8jl:89~yx{a;3xH77d28q]on4={%3;f?72n2\:8=4={%7g`?`<,3290/><651968R77f28qG>{zut1vn<=j:184>5<7s-8:47?73:J14f=n9=21<7*=198202=Q:8k1=vB=1b82Sed2;q/=5l515:8R4272;q/9ij51:&6`f<63twvq6g>4883>!4603;?;6X=1`82I46k3;pZnm52z&252z&6`a<63-?oo7?4}|~?l72<3:1(??7:064?S46i3;p@??l:0yUgf<5s-;3n7?:4:T205<5s-?oh7?4$4f`>4=zutw0e<;i:18'64>=9==0Z??n:0yO64e=9r\ho743a3_;?<74=#=mi1=6sr}|9j534=83.9=54>469U64g=9rF9=n4>{Wa`>7}#91h1=;<4V063>7}#=mn1=6*:db82?x{zu2c::>4?:%02{M02g?7|^ji1>v*>8c8226=Q9=:1>v*:de82?!3ck3;0qpsr;n3;0?6=,;;36<6;;W02e?7|D;;h64181!3cl3;0(8jl:09~yx{4>23W2h70l9:064?xue>3:15v3m6;3;0>;5ih0:85524d2951><5=o:6<:7;42?34;;:7?;8:?242<6<116==6515:8946>28>37p}7a;297~;?j3;396P7a:?a1?73?2wxn84?:9y>f0<60=168h>515;891c628>270?i1;37<>;68?0:8452115951?<58:36<:6;<33=?7312wx:44?:2y>2d<60<1U:452928202=z{091<76t=8195=2<5=o;6<;;;<6f5?72<27:o>4>499>550=9<>01<>8:077?87703;>863>088211=z{?=1<7=t=7:95=3;4=m0:855234d951><5=o;6<;i;<6f5?72n278;94>499>72>=9=2018cb820==:=j81=964=4;a>42?34n?6<:7;42?34nj6<:7;42?34ln6<:7;42?34;;>7?;8:?240<6<116==8514d8946028?m70??8;36b>;6800:9k52137951>6=4<{<45>4>23W<>707>:064?xu>93:1=4u29082<1=:;40534>n=7?92:?030<6<116?:9515:8961>28>370;=e;37=>;5k00:84521b2951><55o4>489>`0<6<116h44>499>`g<6<116hn4>499>a1<6<116==>515:8946628>370??6;356>;68>0::?5211:9534<58:26<8=;<311?7312wx:>4?:2y>21<60<1U:>52918202=z{0:1<76t=8295=2<5=o;6<8<;<6f5?71;27:n:4>499>550=9?901<>8:040?87703;=?63>088226=z{?;1<7=t=7095=3a2903w06i:0:7?82b83;=863;e08221=:9k:1=964=025>40334;;;7?94:?24=<6>=16==751768yv3a2908w08?:0:6?[3a342n6<:8;|q;a?6=0r73i7?74:?7a5<6><168h?5177894g>28>370??6;351>;68>0::85211:9533<58:26<8:;|q4g?6=;r7;fm3;?;6s|ad83>3e|5ho1=5:4=42b>42?34?;n7?;8:?1ed<6<0168n=515:891e328>370:l5;37<>;1;>0:855262:951><5:9;6<:7;<105?7302788n4>499>71b=9=201>;m:06;?852n3;>863<69820==:;?31=964=24b>42?34>o=7?;8:?7`6<6<1168ih515:891c728<=70:j1;352>;2:l0:995230f951><5:;n6<:7;<12b?73027==94>499>177=9=201?m6:077?84di3;?463;fd820==:42?34?m57?;8:?6bd<6<116=nk515:894ea28>370?k0;37<>;6l80:85521ec951><58ni6<:7;<3gg?73027:hi4>499>5`?=9=20142?34?=:7?;8:?6e3<6<1169l9515:89<`=9=201ll515;890>e28>370;7c;37<>;20m0:855259g951><5<2m6<:7;<7:=?73027>5l4>499>10187l:06;?83e?3;?463:b9820==:l80:8552d3820==:l:0:8552e1820==:m;0:8552ec820==:mj0:8552eg820==:n90:8552f0820==:n;0:8552f2820==:n?0:85521149530<58:<6<89;<3327:<44>679>55b=9=2013;?463>1b820==:98n1=964=03f>42?34;:j7?;8:?267<6<116=?=515:8944328>370?=5;360>;6:?0:855213c951><588i6<:7;<31g?73027:>i4>499>57c=9=201<=>:06;?874<3;?463>34820==:9:31=964=01b>42?34;8n7?;8:?27f<6<116=>j515:8yv1>2908w09n:0:6?[1>34ko6<:8;|qb`?6=>jq6mi4>859>15e=9=2018>k:06;?84fi3;>863936820<=:;::1=974=212>42>349?o7?;9:?00a<6<016?8m515:8963c28>270=9a;37=>;4>k0:855237a951><5=o;6<88;<6f5?71?278;94>489>723=9=301>98:06:?85003;?563<78820<=:;8l1=974=737>42>34<9m7?;8:?56g<6<116:?m515:897ef28>270;i9;37=>;2nh0:84521bg951?<58im6<:6;<3g4?73127:h<4>489>5ag=9=301de820<=:9l=1=964=0g;>42?34;n57?;9:?2ad<6<016=km515:894`c28>370?ie;37<>;2?o0:8452592951?<5<2:6<:6;<7`6?73127>o>4>489>1f2=9=301888:06;?83f>3;?563:a6820<=:ik0:995259`951?<5<2h6<:6;<7:g?73127>n94>499>1g3=9=2018l9:06;?83e?3;?563:b9820<=:l80:8452d3820<=:l:0:8452d5820<=:l<0:8452d9820<=:l00:8452d`820<=:lk0:8452db820<=:m90:8452e0820==:m;0:8452e2820<=:m=0:8452ec820<=:mj0:8452f2820<=:n>0:8552f9820==:n00:8552f`820==:nl0:8452fg820<=:99:1=974=022>42>34;;>7?;9:?240<6<016==851758946028<<70??8;353>;6800:::5211g951><58:m6<:7;<324?73027:=<4>499>541=9=2011b820<=:98n1=974=005>42>34;9m7?;9:?26g<6<016=>:515;8945228>27p}87;297~;003;396P87:?b1?73?2wxm84?:4dx9d3=91>01;=8:077?80403;?563<318211=:;:;1=8:4=26g>433349>o7?;9:?01c<6=o16?;l515;8960d28>270:k3;37=>;3m90:8l524d3951g<5:=?6<;;;<141?72<278;54>559>72?=9<>01842>348h57?:f:?1gd<6==169k75146890`f28??70?k0;360>;6l80:99521ea9502<58no6<;;;<3fe?72<27:jn4>489>1=6=9<>0186>:077?83d:3;>863:c28211=:=j>1=8:4=444>42>34?j;7?:4:?b=?73027j57?;9:?bf?72n27>4o4>559>1=b=9=30186j:06:?83?n3;?563:98820<=:=0k1=974=4;a>43a34?2o7?:4:?6f1<6<016h?4>559>`6<6==16hl4>559>`g<6==16hn4>559>a4<6<016i?4>559>ag<6==16ik4>489>b5<6<016j<4>489>b7<6<016j>4>559>b2<6<016j54>489>b<<6<016jl4>489>554=9<>01<>::077?877>3;?m63>06820d=:9921=9o4=02:>42f34;;i7?;9:?24c<6<016=<9515;8947d28??70?>e;37=>;69o0:8452130951?<58886<:6;<310?73127:>84>5g9>570=9<>01<2e820<=:9;o1=974=017>43334;857?;9:?27d<6<016=>l515;8945d28>270?{t?<0;6>u27782<0=Y?<16m94>469~wd2=8399w0o;:0:7?837j3;?563936821c=:>:21=8:4=213>43a3498=7?:f:?01f<6==16?8j51468960e28??70=9c;360>;3l80:84524d2951d<5=o:6<:m;<140?72n278;84>5g9>721=9<>01>97:07e?85013;>j63:20820<=:42>348;87?;8:?6g7<6=o169n=514d890e328?m70;97;360>;c<3;>863k5;360>;c03;>863k9;360>;ci3;>j63kb;36b>;ck3;>j63j1;360>;b;3;>863j4;360>;a?3;>863i8;360>;a13;>863ia;360>;am3;>863if;360>;6890:99521139502<58:96<;i;<331?72n27:<;4>4c9>551=9=h01<>7:06a?87713;?n6s|7083>6}:?;0:485Q709>=2<6<>1v4950;048?0282?70=:d;36b>;3m90:8n524d3951e<5:=?6<8=;<141?71:278;:4>5g9>72>=9?801>96:041?847=3;?463:c38227=:=j91=;<4=4a7>405343i6<:7;<;a>42>34?3h7?:4:?g0?72n27o97?:f:?g;68?0:8n52115951e<58:36<:l;<33=?73k27:=h4>559>57e=9<>01<=6:077?xu1n3:1?v380;3;1>X1n272:7?;7:p=3<72;3p14851968963c28<970:j0;37`>;3m80:8i523669535<5:=>6<8<;<143?71:278;54>629>72?=9?901>?k:077?87ai3;?563>fc820==:9oi1=8:4=0dg>42>34;mi7?;9:?6g7<6>:169n=5171890e328<870;69;360>;c<3;=>63k5;356>;c03;=>63k9;356>;ci3;=?63kb;357>;ck3;=?63j3;356>;b<3;=>63i0;360>;am3;=>63if;356>;6890::?521139534<58:96<8<;<331?71;27:<;4>4e9>551=9=n01<>7:06g?87713;?h63>238211=:9:k1=8:4}r4g>5<4s4<7p}65;2960}:1<0:495234f9535<5=o;6<:j;<6f5?73m278;94>659>723=9?>01>98:040?85003;=863<788221=:;8o1=8:4=0d1>42?34?h>7?94:?6g6<6>=169n:5176890?f28??70j;:040?8b228<870j7:040?8b>28<870jn:047?8be28;6880::>521109532<58:>6<8;;<332?73m27:<:4>4d9>55>=9=o01<>6:06f?875;3;>863>3c8211=z{?h1<7=t=7a95=3;4=m0::9524d2951`<5=o:6<:i;<140?71=278;84>649>721=9?>01>97:046?85013;=963>e4820==:=j81=;;4=4a0>40234?h87?95:?6<`<6==16h94>659>`0<6>=16h54>659>`<<6>=16hl4>649>`g<6><16hn4>649>a6<6>=16i94>659>b7<6==16jh4>659>bc<6>=16==>51768946628;68<0::852114951`<58:<6<:i;<334g9>572=9<>01<86s|b883>1}:jh0:485Qb89>fg<6<>16nn4>469~wgd=83;=w0lm:0:7?82?>3i=70=68;a5?825l3i=70:>8;a5?825;3i=70=m5;a5?85en3i=70=la;a5?85c;3i=70=ke;a5?82713i=70=j5;a5?85al3i=70=75;a5?85>93i=70=n4;a5?85fk3i=70=i1;a5?82413i=70:;5;a5?822?3i=7p}mc;29e~;ek3;3863;518`2>;3>l0h:63;7`8`2>;3990h:63<8c8`2>;41l0h:63;328`2>;3;o0h:63;628`2>{t=m21<7=t=4f:>4>23W?o463:d`8202=z{;4=m0::8524e0951><5:=?6<89;<141?71>278;:4>649>72>=9?<01>96:045?830l3;?463:c1820==:=j81=;94=4a0>40034?h87?97:?623<6<0169;9514d890g>28>370;7f;360>;21>0:8552d58220=:l<0::852d98220=:l00::852d`8223=:lk0::;52db8223=:m:0::852e58220=:m10:8552f78211=:n>0::?52f98227=:n00::?52f`8227=:nl0::;52fg8223=:99:1=;84=022>40134;;>7?97:?240<6>?16={tk80;69u2c382<0=Yk816o>4>469>g1<6<>1vn=50;318e4282?70:=b;360>;39l0:9k5240d950`<5=8;6<;i;<615?72n27?<94>5g9>053=99:07e?827?3;>j6343334>>h7?:f:?71`<6=o1688h514d8910728?m70;{tk=0;6<8t=b695=2<5;986n84=37f>f0<5;<26n84=350>f0<5;=n6n84=3;7>f0<5;226n84=3;e>f0<5;kh6n84=3a1>f0<5;9n6n84=36:>f0<5;h<6n84=377>f0<5;8=6n84=201>f0<5;io6n84=3f5>f0<5;8h6n84=20a>f0<5:oi6n84}r375?6=849]517<58>86<:8;<370?73?2wx=9=50;06873;3;3863<3g8`2>;28o0h:63;c68`2>;1;k0h:63<348`2>;4==0h:63<608`2>;4>l0h:63;a78`2>;3im0h:63;d48`2>;3m=0h:63;ed8`2>;3n<0h:63<7b8`2>;2;90h:6390`8`2>;19?0h:639238`2>;2:=0h:639528`2>;1:l0h:63:168`2>;2mo0h:63;9e8`2>;28;0h:63:e28`2>;1<>0h:6394e8`2>;30l0h:63;968`2>;3jh0h:63:fe8`2>;1<80h:639588`2>;2<<0h:6s|15694?5|58>?6<6;;<172?e134<>j7m9;|q603<72:q699951978Z02134??47?;7:p11>=839ow0;;8;3;0>;4;h0:855251;951><5=i86<;i;<6`1?72n278?=4>639>706=9=201>;n:06;?85103;?563<6`8211=:;?h1=8h4=5f`>42?34>oi7?;8:?66<<6<1169?l515:8936128>3708?8;37<>;19;0:85526069502<5?;o6<:7;<716?73027>ii4>499>0c`=9=2018k>:06;?853<3;?463<45820<=:<0?1=964=5`;>42?34?m;7?;8:?6b<<6=o16=nk5146894b728?m70?k9;37<>;6lh0:99521ea950`<5<=o6<:6;<7;4?72n27>o=4>489>1f5=9=k018j<:06;?831>3;>863:668227=:=h<1=8:4=4c4>43a34?j57?;9:?b4?73027>5:4>489>1g1=9<>01i<514d89a2=9?<01i;517489ag=9?=01il517589`?=9=201k9517189c?=9?901kk51758946728<<70??2;37e>;68?0:9=52115950654z?233<6<>169lm51978Z0ge34?jn7?74:p520=83=p1<99:0:7?854>3;?463<37820<=:<:;1=964=512>42>34>8;7?;8:?772<6<01v8;=:180832;3;396P:539>102=9==0q~;:4;297~;2==0:4952384951><5:3=6<:6;|q636<72:q69::51978Z01434?<97?;7:p123=83?p189::0:7?82f;3;?563;ac820==:4333ty>o:4?:2y>1f>=91?0R8m8;<7`=?73?2wx9n750;7x90e>282?70:jb;37=>;3n:0:85524g1951?<5<3<6<;;;|q60c<72:q698>51978Z02a34?>=7?;7:p107=83np18;>:0:7?827<3;=?63;048226=:<9<1=;=4=524>404349357?;8:?0<<<6<016?5h515:896>a28>270::d;357>;3=l0::>5244d9535<5=<;6<8<;|q``?6=;r7hi7?75:\``>;6;o0:8:5rs01e>5<69r7:?k4>859>75`=9=201>>i:06:?84a:3;?463=f3820<=::oh1=964=3da>42>348nn7?;8:?1ag<6<016?=;515:8966228>270=j9;37<>;4m00:84522e:951><5;n36<:6;<0f6?730279i?4>489~w0172908w0;81;3;1>X2?9169:<51558yv30:3:1?v3:7382<1=::;k1=964=30b>42>3ty>9o4?:2y>10e=91?0R8;m;<76`?73?2wx98j50;7x903c282?70=6c;37<>;41j0:84523`0951><5:k96<:6;|q6`0<72:q69i851978Z0b234?o;7?;7:p1a1=83?p18j8:0:7?824l3;?463;3e820<=:<=91=964=560>42>3ty53z?40?7?=2T{ti:0;6?u2a282<1=:9hk1=974}r5f>5<4s4=m6<6:;_5f?8ga28><7p}nf;296~;fn3;3863>b0820<=z{1:1<7=t=9395=351558yvd72909w0l?:0:7?87e03;?56s|8383>6}:0:0:485Q839>f4<6<>1vo?50;0x9g7=91>01:06:?xu?<3:1?v375;3;1>X?<27i>7?;7:pf7<72;q6n?4>859>765=9=30q~69:1808>0282>7S69;<`0>4203tyi?7>52z?a7?7?<27:o94>489~w=>=839p15751978Z=><5k>1=994}r`7>5<5s4h?6<6;;<3ae?7312wx9nm50;1x90ec282>7S;lc:?6gf<60=1v99j:18183dk3;?;63;7d82<1=z{==o6=4={<7`g?e>34>844>859~w12?2909w0;;9;373>;3<10:495rs564>5<5s4??57m6;<673?7?<2wx9:850;1x9010282>7S;86:?633<60=1v98;:181830>3;?;63;6582<1=z{=<>6=4={<742?e>34>=97?74:p1fg=839p18mm:0:6?[3di27>ol4>859~w1172909w0;la;373>;3?90:495rs552>5<5s4?hm7m6;<645?7?<2wx98750;1x903f282>7S;:9:?61<<60=1v>8i:18183213;?;63<6g82<1=z{<>o6=4<{<77a?7?=2T>8i5255f95=252z?60a<6<>169?;51968yv33j3:1?v3:4b82<0=Y==h018:m:0:7?xu5:80;6?u255`9511<5;8:6<6;;|q610<72:q698851978Z03234?>97?74:p740=838p18;::064?856>3;386s|19a94?5|582o6<6:;_3;g>;60j0:495rs0c6>5<5s4;3o7?;7:?2e0<60=1v<7<:18087><3;396P>929>5<5=91>0q~?nc;296~;61:0:8:521`a95=253z?2=3<60<1U=4;4=0;6>4>33ty:n>4?:3y>5<3=9==010;6>u218:95=3{t9ki1<742034;io7?74:p5127:544>859~w4e02909w0?69;373>;6k>0:495rs0;a>5<4s4;2o7?75:\2=g=:90h1=5:4}r3g0?6=:r7:5o4>469>5a2=91>0q~?6d;297~;61l0:485Q18f894?c282?7p}>e183>7}:90n1=994=0g3>4>33ty:5k4?:2y>5d6=91?0R<7i;<3:b?7?<2wx=hj50;0x94?a28><70?jd;3;0>{t9h;1<7=t=0c1>4>23W;j=63>a082<1=z{8l?6=4={<3b5?73?27:j94>859~w4g42908w0?n4;3;1>X6i:16=l=51968yv4783:1>v3>a28202=::9:1=5:4}r75=?6=;r7>:l4>849]13?<5<<26<6;;|q62g<72;q69;751558900e282?7p}:7983>6}:=>31=5;4^45;?83003;386s|56c94?4|5<=36<:8;<74e?7?<2wx9ol50;1x90dd282>7S;mb:?6fg<60=1v8lk:18183ej3;?;63:be82<1=z{oh525bg95=252z?6g`<6<>169i>51968yv32m3:1?v3:5g82<0=Y=:51978Z05434?8?7?74:p163=838p18=<:064?834=3;386s|57294?5|5<<:6<6:;_754>;2>90:495rs441>5<5s4?=<7?;7:?627<60=1v87i:18083f83;396P:9g9>1<`=91>0q~;n1;296~;21o0:8:525`395=2;7>53z?61=<60<1U9894=474>4>33ty=9l4?:3y>101=9==01;;n:0:7?xu6>o0;6?u25``9511<5853c=91>0q~?61;297~;61;0:485Q183894?6282?7p}68;296~;6180:8:529982<1=z{82n6=4<{<3;b?7?=2T:4h5219g95=2?n4>859~w05b2909w0;;2;l0:495rs4:7>5<4s4?397?75:\6<1=:=1>1=5:4}r7;2?6=:r7>494>469>1=0=91>0q~;62;297~;21:0:485Q580890?5282?7p}:9583>7}:=081=994=4;7>4>33ty>mi4?:2y>1dc=91?0R8ok;<7b`?7?<2wx9lh50;0x90gc28><70;nf;3;0>{tjo0;6>u2c182<0=Yjo16nk4>859~w41d2909w0li:064?870k3;386s|be83>6}:jl0:485Qbe9>fa<60=1v<98:1818dc28><70?87;3;0>{t<1?1<7:t=55f>42034>42?349n?7?;8:p02`=838p199k:064?820n3;386s|49594?4|5==m6<:8;<6;3?7?<2wx85>50;0x91>?28>370:70;3;0>{t<121<74>334>3:7?;7:p510=83i:w0?;6;3;0>;30?09<5524949651<5=?;6?>7;<664?47?27?:h4=099>03c=:9=0199n:32;?820i38;;63=32814==:::91>=94=37f>76?348>i7;75215897142;:370<83;033>;5?l09<55226g9651<5;3?6?>7;<0:0?47?278?k4=099>76`=:9=018>i:32;?837n38;;63=88814==::131>=94=3;e>76?3482j7lm5215897e52;:370;5;l09<55222g9651<5;>26?>7;<07=?47?279n:4=099>6g1=:9=01?;;:32;?842<38;;63;c6814==:=94=71a>76?34<8n7;521:896332;:370=:4;033>;4>809<5523739651<5:7;<15a?47?278554=099>7<>=:9=019o9:32;?82f>38;;63;ae814==:=94=5f6>76?34>o97;3n<09<5524g79651<5:=h6?>7;<14g?47?27>?=4=099>166=:9=01?<9:32;?845>38;;63<23814==:;;81>=94=72b>76?34<;m7;19?09<5526049651<5=;36?>7;<62>4=099>075=:9=01;<=:32;?805:38;;63:25814==:=;>1>=94=770>76?34<>?7;29>09<5525059651<57;<7fb?47?279j44=099>6c?=:9=01>>=:32;?857:38;;63=94=3d3>76?348m<7;4l:09<5523e19651<5:nn6?>7;<1ga?47?279oi4=099>6fb=:9=0197k:32;?82>l38;;63=d7814==::m<1>=94=421>76?34?;>7;38009<55241;9651<5=;;6?>7;<624?47?27=8i4=099>21b=:9=01?=94=2g6>76?349n97;5m909<5522d29651<5;o26?>7;<0f=?47?2788;4=099>710=:9=01>6::32;?85?=38;;63<8c814==:;1h1>=94=2;2>76?3492=7;4ij09<5523`a9651<5:l:6?>7;<1e5?47?27??>4=099>065=:9=019=6:32;?824138;;63;3g814==:<:l1>=94=566>76?34>?97b2;:370:7e;033>;31>09<5524859651<5=hj6?>7;<6ae?47?27>ji4=099>1cb=:9=01;:>:32;?803938;;63958814==:><31>=94=540>76?34>=?7{t:9o1<7?<5z?14`<60=168585c49>0=2=9?=0196;:06b?82?<3;?n63;85820f=:<1>1=9j4=5:7>42b34>387?;f:?7154e9>60e=9=o01?;l:06e?837n3i>70;5jo0:8o522cd951e<5;hm6<:k;<0ab?73m279nk4>4g9>6f6=9=k01?m?:06a?84d83;?o63=c1820a=::j:1=9k4=3a3>42a3488n7?;b:?17g<6>l515f8975e28>n70<;5;j0:8o5222a951e<5;9h6<:k;<00g?73m279?n4>4g9>610=9=i01?:9:06g?843>3;?i63=47820c=::==1=9m4=364>42c348?;7?;e:?102<6o:5175897d328>j70;5j=0:8n522c6951b<5;h?6<:j;<0a0?73n279n84>669>6g3=9=k01?l::06a?84e=3;?o63=b4820a=::k?1=9k4=3`6>42a348>=7?;d:?114<68?515d8973528>o70<:2;37a>;5=;0:8k524b59g0=:>:h1o8523279g0=:;<>1o8523739g0=:;42d349>h7?;d:?01a<6>63;e18216=:43134>n<7?:7:?7a5<6=1168h>514;891c728?j70:j0;36f>;3m90:9n524d2950b<5=o;6<;j;<6f4?71827?i=4>609>0`7=9<;019k>:071?82b93;>?63;e08210=:43034>n=7?:8:?7a4<6=0168h?514c891c628?i70:j1;36g>;3m80:9i524d3950c<5=o:6<8?;<6f5?719278;n4l5:?031<6n70=85;37b>;4?>0:8o52365951e<5:=<6<:k;<143?73m278;:4>4g9>72>=9=n01>97:06f?85003;?j63<78820a=:;>31=9k4=25:>42a34?8<7m:;<012?e23499>7m:;<43e?e2349:87m:;<61`?e234<::7m:;<629?7m:;<416?e234?987m:;<467?e234<9i7m:;<1a1?e234?:;7m:;<7fb?e2348m57m:;<136?e2349ij7m:;<0e4?e2349;o7m:;<1`e?e2349o?7m:;<1ga?e2348hh7m:;<6:`?e2348o:7m:;<736?e234?n?7m:;<473?e234>;57m:;<624?e2348?7m:;<60=?e234>8j7m:;<671?e234>>;7m:;<6;a?e234>2;7m:;<6ae?e234?mh7m:;<475?e234<>57m:;<7;4?71?27>4=4>4`9>1=6=9=h0186?:06`?83?83;?h63:81820`=:=1:1=9h4=4:2>40034?3=7?;a:?6<4<6628>o70;71;37a>;2080:8k524719g0=:>0:8o52f6820f=:n>0:8i52f6820`=:n>0:8k52f9820d=:n10:8o52f9820f=:n10:8i52f9820`=:n10:8k52f8820d=:n00:8o52f8820f=:n00:8i52f8820`=:n00:8k52f`820d=:nh0:8o52f`820f=:nh0:8i52f`820`=:nh0:8k52fd820c=:no0:8k52112951`<58::6<:i;<331?73n27:<;4>539>550=9<901<>9:076?877>3;>:63>078212=:99<1=864=025>43>34;;:7?:a:?243<6=k16==8514a8946128?o70??6;36a>;68?0::=521149537<58:<6<;=;<333?72;27:<:4>549>551=9<<01<>8:074?877?3;>463>06821<=:99=1=8o4=024>43e34;;;7?:c:?242<6=m16==9514g8946028<;70??7;355>;6810:9?5211:9505<58:36<;:;<3327:<54>569>55>=9<201<>7:07:?87703;>m63>09821g=:9921=8m4=02;>43c34;;47?:e:?24=<6>916==651738946>28?970??9;367>;6800:985211;9500<58:26<;8;<33=?72027:<44>589>55?=96:07a?87713;>o63>08821a=:9931=8k4=02:>40734;;57?91:p0=7=838p196?:06;?82?93;386s|49094?4|5=2;6<:6;<6;6?7?<2wx?lj50;3382?;3;?563;85820<=:;hn1=5:4=2`2>42?349i>7?;8:?0f6<6<116?ol515:896dd28>370=l4;37<>;4k>0:85523e2951><5:n:6<:7;<1ge?730278ho4>499>7ae=9=201>k<:06:?xu4j>0;6ku24919502<5=2?6<;;;<1a6?731278n>4>489>7g1=91>01>lm:06:?85ek3;?56342>349o=7?;9:?0`d<6<016?il515;896bd28>270=j3;360>{t;j:1<7ht=5:0>43a34>387?:f:?0f7<6==16?o=5146896e7282?70=l4;37=>;4k<0:84523b59502<5:i36<:7;<1g4?72<278h<4>559>7ag=9<>01>jm:077?85ck3;>863639>7g4=9l<:07e?85d03;?56343a349on7?:f:?0`f<6=o16?h=51708yv5c=3:15v3;828226=:<1>1=;=4=2`1>405349i?7?92:?0g=<6==16?i;5196896b>28>370=kc;356>;4m:0::>5rs5:0>5<5s4>3?7?74:?7<7<6<11v>h=:18782?<3;=863;9c820==:<0h1=974=2d1>4>33ty??l4?:3y>0=2=9??019=n:0:7?xu3<90;6?u24969530<5=>;6<6;;|q7<1<72;q685:5196891>528>27p}=2183>g}:<1=1=964=5:4>42>34>>=7?;8:?714<6<0168;h515:8910a28>270:8b;37<>;3?k0:845223295=2<5=?>6<:7;<75a?7302wx89h50;1x912?28><70:;6;373>;3=90:495rs565>5<5s4>?:7?74:?710<6<01v9:6:181823?3;?;63;4882<1=z{=?:6=4={<67=?73?27?9<4>859~w12f2909w0::0;373>;35<5s4>?m7?;8:?70g<60=1v9:l:181823i3;?563;4b82<1=z{=;:6=4>fz?70a<6<1168;o515:8910d28>370:86;37<>;3?10:855243;951><5=8j6<:7;<61f?73027?=<4>859>043=9=2019?k:06;?826m3;?463;1g820==:<;:1=964=502>42?34>;?7?;8:?741<6<1168=;515:8916128>370:?7;37<>;4n>0:85523g;951><5:lj6<:7;<1ef?7302784?4>499>00d=9=2019;k:06;?822m3;?463;5g820==:42?3ty?=44?:0dx912c28>270:;e;37<>;3>h0:845247`951><5===6<:6;<643?73027?>44>489>07g=9=30193;?463;1882<1=:<8n1=974=53f>42>34>:j7?;9:?765<6<0168??515;8916428>270:?4;37=>;38<0:8452414951?<5=:<6<:6;<1e3?731278j54>499>7=4=9=301>6<:06;?85f13;?463;5c820<=:<42>34>>j7?;9:?725<6<01v9:k:181823l3;3863;4b820==z{=8>6=4>ez?70`<6<0168;l515;8910d28>270:87;37=>;3?10:845243795=2<5=8j6<;;;<621?73127?=;4>489>04b=9<>019?j:077?826n3;>863;218211=:<;;1=8:4=520>43334>;87?:4:?740<6==168=851468916028??70=i8;37=>;4n00:84523gc951?<5:li6<:6;<1;7?731278ml4>499>00b=9<>019;j:077?822n3;>863;618211=:=:k1=974}r67a?6=:r7?8h4>859>01e=9=30q~:9d;296~;3>=0:8:5247g95=2=:7>52z?720<6<>168;851968yv21n3:1>v3;678202=:469>031=91>0q~:98;296~;3>>0:855247:95=2=57>52z?722<6<0168;751968yv2>m3:18v3;6`8211=:43334>2i7?74:p03g=838p198n:0:7?82113;?46s|47`94?4|5=j63;798211=:42?34>h>7?;8:?7g6<6<0168n:515;891e228>270=n9;37=>;4ih0:84525b09530<527m:7?;9:?e3?72n27m47?:f:?e=?72n27mm7?:f:?ea?71=27mj7?95:?245<6><16==?51778946528<=7p};6b83>7}:4333ty?;44?:3y>026=9==0199n:0:7?xu3?;0;6?u24639511<5==96<6;;|q73g<72;q68:<51558911e282?7p};7283>7}:<>k1=994=550>4>33ty?;94?:3y>025=9=20199;:0:7?xu3?<0;6?u2461951?<5==>6<6;;|q7a3<72=q68:851468911028??70:88;36b>;3m?0:495rs555>5<5s4><:7?74:?730<6<11v998:181820?3;3863;74820<=z{==36=4={<64559~w7552908w0<=d;373>;5;=0:845222195=251cy>67b=91>01?=>:06;?842k3;?463=64820==::?<1=964=34e>42?348<<7?;8:?13g<6<116>:m515:897?628>370<62;37<>;50?0:8552295951><5;3h6<:7;<0:`?730279m:4>499>6d>=9=201?li:06;?84d83;?463=3c820==:::i1=964=365>42?348?;7?;8:?1f1<6<116>o;515:8973628>370<:2;37<>{t:;l1<742?3489j7?74:p662=838p1?=;:0:7?844;3;?;6s|23g94?4|5;986n;4=30f>4>33ty9?=4?:3y>67`=9=201?=?:0:7?xu5;80;6?u223d951?<5;9:6<6;;|q113<728op1?=>:06:?842>3;3863=5c820==::?=1=964=34e>42>348<=7?;8:?13d<6<116>:l515;897?728>370<61;37=>;50<0:8552294951?<5;3i6<:7;<0:g?731279m;4>499>6d1=9=301?lj:06;?84en3;?563=3`820==:::h1=974=366>42?348?:7?;9:?1f6<6<116>o:515;8973728>370<:1;37=>;5k>0:85522e1951><5;n?6<:7;<11863=2d820==::;o1=974=37a>42>348>;7?;8:?112<6<016>;9515;8970628>370<91;37=>;5?90:8452263951?<5;489>62e=9=301?99:06;?840>3;?563=91820<=::081=974=3:`>42?3483o7?;9:?1<0<6<016>59515;897>628>370<71;37=>;51k0:845228f951?<5;3<6<:7;<0:3?731279m;4>489>6d>=9=301?o=:06;?84f:3;?563=bd820<=::j:1=974=3`b>42?348im7?;9:?17d<6<016>>m515;8975128>370<<6;37=>;5<<0:8452255951?<5;>:6<:7;<075?731279n>4>489>6g3=9=301?oi:06;?84fn3;?563=51820<=::<81=974=36`>42?348?o7?;9:?04`<60=16>k8515:897`028>370;4890:85522dg951><5::26<:7;<13e?7302wx>8j50;1x973128><70<:f;37=>;5=l0:495rs37;>5<5s48>j7?;8:?11=<60=1v?;i:181842n3;3863=5d8202=z{;?<6=4={<06a?e2348>;7?74:p60?=838p1?;7:06;?84213;386s|24c94?4|5;?36<:6;<06e?7?<2wx>8l50;0x973e282?70<:a;37<>{t:?:1<7?n{<06g?731279:=4>859>633=9=301?89:06:?841n3;>863=718211=::>h1=8:4=35`>4333482=7?:4:?1=7<6==16>585146897>028??70<6c;360>;51m0:99522`59502<5;k36<;;;<0ab?72<279o=4>559>66d=9<>01?=l:077?843>3;>863=468211=::k>1=8:4=3`6>433348>=7?:4:?117<6==1v?8n:1823~;5=j0:995227c95=2<5;=:6<;;;<04f?72n279;n4>5g9>6<7=93;>j63=86821c=::0i1=8h4=3;g>43a348j;7?:f:?1e=<6=o16>oh514d897e728?m70<;5;j0:9k52254950`<5;><6<;i;<0a0?72n279n84>5g9>607=943a348<97?74:?13d<6==16>4?5170897?528<970<76;356>;50>0::?5228a9534<5;3o6<8=;<0b3?71:279m54>639>6g`=9?801?m?:041?844j3;=>63=3b8227=::=<1=;<4=364>405348i87?92:?1f0<6>;16>8?51708973528<97p}=8c83>47|5;?h6<8=;<0;f?7?<2795=4>559>64043488n7?93:?17f<6>:16>9851718972028<870;5j<0::>522439535<5;?96<8<;|q1<5<7289p1?;l:040?84>93;=?63=938226=::1:1=5:4=3:6>4333482o7?94:?1=a<6>=16>l95176897g?28;5k90::95222`9532<5;9h6<8;;<072?71<2798:4>659>6g2=9?>01?l::047?84293;=863=538221=z{;3=6=4i{<06g?71<2795;4>859>601?o8:046?84f03;=963=bg8220=::j:1=;;4=31a>4023488o7?95:?103<6><16>995177897d328<>70;5=80::85224095335dz?11f<6><16>l?5196897g128??70;5k90::;5222`9530<5;9h6<89;<072?71>2798:4>679>6g2=9?<01?l::045?84293;=:63=538223=z{;h26=46{<06g?71>279n44>859>6gc=9<>01?=m:044?844k3;=;63=478222=::==1=;94=372>400348>>7?97:p663=83=p1?;l:044?844=3;3863=3`8211=::=<1=9o4=364>42f348>=7?;a:?117<642e348>>7?;b:p6dc=83hp1?;l:06a?84en3;=;63=c18222=:::h1=9o4=31`>42f348?:7?;b:?102<6lk5196897d428??70<:1;37g>;5=;0:8n5rs36a>5<4s48>o7?;c:?10g<60=16>8>51468yv42k3:1>v3=5b82<1=::469>63?=91>0q~<92;296~;5>00:8:5227095=252z?12<859~w7042909w0<92;37<>;5>:0:495rs347>5<5s48=>7?;9:?121<60=1v?8::181841=3;3863=65820==z{;<=6=4={<052?7?<279:94>489~w7002909w0<97;3;0>;5>=0:995rs351>5<4s48=m7?;7:?131<6<016>:=51968yv41k3:1>v3=75820==::?i1=5:4}r040?6=:r79;94>859>625=9==0q~<9b;296~;5?:0h963=6c82<1=z{;859~w70b2909w0<9c;37=>;5>l0:495rs34e>5<5s48=j7?74:?12`<6<11v?9?:18184083;3863=6d820<=z{;=:6=4={<045?7?<279:h4>559~w71c2908w0<85;373>;5?o0:845226g95=252z?13c<6<116>:951968yv40n3:1>v3=7g82<1=::>o1=994}r042?6=:r79;h4l5:?133<60=1v?97:181840?3;?463=7982<1=z{;=26=4={<043?731279;44>859~w71f2909w0<8a;3;0>;5?00:855rs35a>5<5s48489>6<2=91>0q~<7d;296~;51<0:855229f95=252z?1=0<60=16>4:51558yv4?k3:1>v3=958`1>;50j0:495rs3:f>5<5s483h7?;8:?1<`<60=1v?6i:18184?l3;?563=8g82<1=z{;3;6=4={<0:4?7?<2794k4>499~w7?62909w0<61;3;0>;50o0:845rs3;1>5<5s482>7?74:?1=j:18185413;?;63<3g82<1=z{:926=4;ez?07<<60=16>lo514d8935?28?m70=;c;360>;4669>721=9?<01>97:044?85013;=;63<1g821c=:>8>1=8h4=70a>42>34<9o7?;9:?1gd<6=o169k75170890`f28<970?le;36b>;6ko0:9k521e29534<58n:6<8=;<3ge?72n27:ho4>5g9>5ae=9?801e9820<=:9l31=8:4=0gb>43a34;mh7?:4:?2b`<6==169:h514d890>728<970;71;356>;2k;0:8o525b1951d<5m:4>639>eg<6>;1695m5146890?d28?m70;m5;37=>;2j?0:84525c5950`<543a34n96<8=;40534n?6<88;40034n36<88;40034nj6<:n;42f34nh6<:n;43334o96<;i;40134o?6<89;43334l86<;i;42f34lm6<:n;<334?73i27:<<4>4`9>554=9=h01<>::06b?87683;?563>10820<=:9821=974=03:>42>34;:h7?:4:?263<6=o16=?l51468945228??7p}<3c83>7}:;:l1=994=21a>4>33ty8?l4?:3y>76`=k<16?>o51968yv54k3:1>v3<3c820==:;:i1=5:4}r10`?6=:r78?o4>489>76b=91>0q~==c;291~;4;m0:855232f951?<5:8h6<6;;<104?71;278?<4>639~w6262908>v3<3`820<=:=931=974=5a7>43a3498=7?93:?014<6<116?8o515;8960>28>270=9c;36b>;3lj0:84524eg951?<5<826<:6;<71g?73027=<:4>499>25>=9=301;?<:06;?806m3;?463:23820<=:=ln1=974=5de>42>34?n=7?;9:?004<60=1684;515;891d?28>270;i7;37=>;2nh0:9k521bd9502<58n:6<;i;<3g=?73127:ho4>559>5ab=9j63:c3820d=:=j>1=9o4=`2951?<543334n86<;i;40134n26<89;40034o26<:6;43a34l36<8<;40434lm6<88;<335?71?27:<84>669>55>=9<:01<>6:073?xu28l0;6?u25119511<5<:m6<6;;|q646<72;np18><:0:7?837i3;?563:0c8211=:=9i1=974=42g>42>34>h?7?92:?7g1<6>;168n;51708962a28>370=:0;37=>;4=80:84524bf951><5=io6<:6;<71e?73027>>o4>489>17e=9=301863=c`8227=:42>34>i:7?;8:?6g7<6h70h9:041?8`02828i70hi:06a?87783;?n63>00820g=:9981=9m4=012>42>34;8>7?;8:?276<6<116=>:514d8945228?m70?<9;36b>;6;h0:9k5212`950`<589h6<;i;<30`?72n2wx9=:50;0x906a28><70;?4;3;0>{t=9?1<742?34?;97?74:p150=838p18>;:06:?837>3;386s|12294?5|5<:<6<:7;<733?73127:?=4>859~w0602909w0;?7;3;0>;28?0:855rs014>5<4s4?;47?;8:?64=<6<016=>951968yv3703:1>v3:0982<1=:=9<1=974}r73=?6=:r7><44>859>150=9<>0q~;>8;297c}:=9k1=8:4=42`>43334>h=7?;9:?7g7<6<0168n=5171891e328<870:l5;357>;4499>17g=9=3018863:2d8227=:;8n1=8h4=23f>43a349:j7?92:?65=<60=169370;=0;37=>;2:80:99525309502<5<;?6<:7;<720?73127><=4>499>0=e=9=20197<:06;?82e<3;?463;b7820<=:43334?h>7?;d:?6g6<6e28?m70;7c;36b>;20m0:9k5259g950`<5<2m6<;i;<7:=?72n27>5l4>5g9>1370h9:040?8`028<>70h7:046?8`>28<>70hn:046?8`b28>h70hi:06`?87783;?o63>00820f=:9981=9j4=00b>43a34;9n7?:f:?26f<6=o16=?j514d8944b28?m70?<2;37=>{t=9k1<74>334?;:7?:f:p15d=838p18>m:0:7?837>3;=>6s|51a94?4|5<:h6<6;;<732?71;2wx9<>50;0g837l3;>863;c08211=:40334>h87?94:?7g0<6>=1684h515;891c028>270;>e;37=>;29o0:84525329502<5<8:6<;i;<716?72n27>==4>859>143=9=2018>?:06:?82?k3;?563;92820<=:1=974=5`6>42?34?h>7?;e:?6g6<6e28<970;7c;356>;20m0::?5259g9534<5<2m6<8=;<7:=?71:27>5l4>639>1270h9:047?8`028<=70h7:045?8`>28<=70hn:045?8`b28>o70hi:06g?87783;?h63>00820a=:9981=9k4=012>43334;8?7?;9:p15b=838p18>k:0:7?837>3;=86s|29:94?5|5;2;6<:8;<0;e?731279444>859~w7>52909w0<7a;37<>;50;0:495rs3:b>5<5s483m7?74:?1<<<6<>1v?6>:18184?13i>70<71;3;0>{t:191<742?3483?7?74:p6=2=838p1?6=:06:?84?<3;386s|29794?4|5;2>6<6;;<0;0?7302wx>5850;0x97>1282?70<74;37=>{t:1=1<74>3348387?:4:p6859~w7g72909w0;51o0:8:5rs3;4>5<5s482j7m:;<0:3?7?<2wx>4750;0x97??28>370<69;3;0>{t:0k1<742>3482m7?74:p6i3;?46s|28a94?4|5;3h6<6;;<0:e?7312wx>4j50;0x97?c282?70<6a;360>{t:hh1<7=t=3c2>420348jh7?;9:?1ef<60=1v?on:18184f13;?;63=a`82<1=z{;k26=4<{<0b=?7?<27:j54>499>5c>=9=30q~52z?1ea<60=16>lm51558yv4f:3:1>v3=ab8`1>;5i;0:495rs3c7>5<5s48j?7?;8:?1e1<60=1v?o::18184f;3;?563=a482<1=z{;k=6=4={<0b2?7?<279m84>499~w7g02909w0;5i<0:845rs3c;>5<5s48j47?74:?1e0<6==1v8hj:182<~;5ih0::?52321951><5499>5g6=9=301:06;?87e?3;?563>b9820==:9kk1=964=0a3>42>34;h=7?;8:?2g6<6<016=n:515:894ed28>270?j5;37=>;6n80:84521g0951?<58li6<:6;<030?731279<84>489>20b=9=201;;k:06:?8ge28<87p}=c083>6}::k31=994=3a0>42>348h>7?74:p6gd=838p1?m<:06;?84ej3;386s|2b194?4|5;i86<6;;<0`6?73?2wx>oo50;0x97e52j?01?ln:0:7?xu5jj0;6?u22c`951><5;hh6<6;;|q1fa<72;q6>ol515;897dc282?7p}=bd83>7}::ko1=5:4=3`g>42?3ty9nk4?:3y>6g`=91>01?lk:06:?xu5k90;6?u22b295=2<5;ho6<;;;|q17a<72:q6>>;51558975a28>270<{t::=1<742?3488;7?74:p66`=838p1?=i:0:7?844m3;?;6s|22494?4|5;9n6n;4=315>4>33ty9?54?:3y>661=9=201?=7:0:7?xu5;00;6?u2225951?<5;926<6;;|q17d<72;q6>>o51968975>28>37p}=3c83>7}:::h1=5:4=31:>42>3ty9?n4?:3y>66e=91>01?=6:077?xu5<10;6>u22529511<5;>j6<:6;<07=?7?<2wx>9<50;0x972f28>370<;2;3;0>{t:=k1<74>3348?57?;7:p617=838p1?:6:b789726282?7p}=4283>7}::=81=964=360>4>33ty9894?:3y>614=9=301?:;:0:7?xu5<<0;6?u225795=2<5;>?6<:7;|q103<72;q6>9851968972328>27p}=4683>7}::==1=5:4=367>4333ty9n;4?:2y>6dc=9==01?l7:06:?84e?3;386s|2c294?4|5;h36<:7;<0a4?7?<2wx>o650;0x97d?282?70{t:hl1<7f3<5;km6<6;;|q1f4<72;q6>o>515:897d6282?7p}=b383>7}::k:1=974=3`1>4>33ty9n>4?:3y>6g5=91>01?l=:06;?xu5j=0;6?u22c695=2<5;h96<:6;|q1f0<72;q6>o;5196897d528??7p}=5283>6}::=h1=994=376>42>348>87?74:p61b=838p1?;::06;?843l3;386s|24794?4|5;?>6<6;;<060?73?2wx>9m50;0x97332j?01?:l:0:7?xu5<5;>n6<6;;|q10c<72;q6>9j515;8972a282?7p}=5183>7}::<:1=5:4=36e>42?3ty99<4?:3y>607=91>01?:i:06:?xu5=;0;6?u224095=2<5;>m6<;;;|q7g3<72:q68ol5155891e?28>270:l7;3;0>{t42?34>io7?74:p0f>=838p19m7:0:7?82d?3;?;6s|4cf94?4|5=hh6<:7;<6a`?7?<2wx8ok50;0x91dd28>270:me;3;0>{tn<0;6>u24cd951><5=hm6<:6;4>33ty?nk4?:3y>0g`=91>019lj:06;?xuak3:1?v3;c1820==:h<7>52z?7g5<60=168ok515;8yv2d13:1:v3;c0821c=:4>334>o>7?;9:?7`6<6==16i54>489~w1>a290?9v3;c08227=:40234>h87?95:?7g0<6><16?9h51468963728?m70=:1;36b>;3i:0:85524`6951><5=in6<:7;<6`a?73127?io4>499>0`e=9=201>9;:06b?850=3;?m63<79820d=:;>31=9o4=40b>43334?9n7?:f:?66f<6=o169?k51718967c28<970=>e;356>;49o0::>52532950`<5<8:6<8=;<716?71:27?jo4>499>0cd=9=30197<:077?82e>3;>863;b6821c=:42a34?h?7?;f:?6g1<6370;7b;357>;20j0::>5259f9535<5<2n6<8<;<7;b?71;27>544>629>1k3;=863ka;37f>;cj3;?n63kc;37f>;a>3;=963i7;353>;a03;=;63i9;353>;ai3;=;63ie;37a>;an3;?i63>01820`=:99;1=9k4=021>42a34;;97?;b:?26d<6>;16=?l51708944d28<970?=d;356>;6:l0::?521209502<58986<;;;|q7g4<72;q68n?5196891db28??7p};c383>7}:43a3ty?o>4?:3y>0f5=91>019lj:041?xu3k=0;6?u24b695=2<5=hn6<8<;|q7g0<72;q68n;5196891db287}:>::1=994=71a>4>33ty=?=4?:5y>266=91>01;=;:06;?80293;?463944820==z{?926=4={<402?73?27=?44>859~w3512908w08<6;3;0>;2j;0:85525c0951?52z?57g<6<>16:>?51968yv04:3:1>v3930820==:>:81=5:4}r407?6=:r7=?<4>489>265=91>0q~8;8;290~;1;=0:8452627951><5?>>6<:6;<47:50;0x9353282?708<3;37<>{t>9h1<7?i{<401?73127=<94>499>250=9=301;>8:06:?807j3;3863911820==:>8;1=964=731>42>34<:?7?;9:?551<6>;16:2708>e;37=>;1:10:855263c9502<5?8i6<;;;<41g?72<27>io4>499>1`e=9=2018h::06;?804n3;?463>cd8227=:9jl1=;<4=0f3>40434;o=7?93:?63c<6>;1695>5171890>628<8707k:06;?8?b28>3707i:06:?xu19>0;6>;t=716>43334<;87?;9:?540<6<116:=651468937728>2708>4;357>;19>0:495260a951?<5?;m6<:7;<414?73027=>54>489>27?=9=201;j6392b821c=:=l31=964=4gg>43334?m97?;9:?6b3<6<0169k95146890`>28<870;ia;357>;1;o0:84521e;9502<58nj6<8=;<3gf?71:27:hn4>629>5ab=9?901863>e8821c=:9lk1=;<4=45e>40434?3<7?94:?6<4<6>=165i4>489>=c<6==16m=4>559>1g2=9<>018l::077?83e>3;>863:b68227=:=k21=;<4=02g>42>34;;i7?:4:?24c<6==16=<>51468947628??70?>5;37=>;69?0:84521059502<58;36<;;;<32=?72<2wx:>;50;0x9352282?708<3;37=>{t>:=1<74>334<857?;8:p26>=838p1;=7:0:7?80413;?56s|32694?5|5:8h6<:8;<10859~w6542909w0=<2;373>;4;:0:495rs211>5<4s498>7?74:?2gg<6<116=nl515;8yv55l3:1>v3<39820==:;;n1=5:4}r10859>763=9==0q~=<6;296~;4;>0:855232495=252z?072<60=16?>;52158yv55m3:1>v3<2e820==:;;o1=5:4}r11b?6=:r78>i4>489>77`=91>0q~=<0;296~;4;90:495233d951>52z?074<60=16??h515;8yv52;3:1>v3<468202=:;<>1=5:4}r173?6=1r788:4>859>71e=9:k:041?851i3;=>63k1;356>;c:3;=?63k3;357>;b83;>j63j2;356>{t;<81<7420349>>7?74:p71c=839p1>:j:0:7?82cj3;?463;dc820<=z{:>36=4={<160?73?278854>859~w62>2909w0=;8;37<>;4<00:495rs26b>5<5s49?47?;9:?00d<60=1v97;:180853j3;?463<4c820<=:<0>1=5:4}r17f?6=:r788o4>859>71g=9=20q~;i0;29=~;47?93:p71e=838p1>:l:0:7?853i3;?56s|35f94?4|5:>o6<6;;<17e?72<2wx8i950;03853n3;>j63<518227=:;<;1=;<4=27a>42>349>o7?:f:?01a<6>>16?;651468960>28??70=9b;356>;4>j0::?524e595=2<5=nh6<;;;<6gb?73127?i=4>519>722=9=h01>9::06a?850?3;=;63<79820g=:;>31=9l4=e6951g<5m?1=9o4=e:951g<5m31=9o4=ec951e<5mh1=9m4=ea951e<5l;1=8h4=d19531<5l>1=;94=026>42d34;;:7?:1:?24=<6=81v977:18a853n3;=>63<518226=:;<;1=;=4=40b>43a34?9n7?92:?66f<6>;1695;37=>;3110:49524c7951?511y>71`=9?901>;?:047?85293;=863:2`8227=:=;h1=;=4=40`>404349mi7?74:?746<6=o168=:51708916228<970:?6;356>;38>0::?5244f9534<5=?n6<8=;<66b?71:27?:=4>639~w62a2909w0=;f;3;0>;4=;0:855rs273>5<5s49><7?74:?017<6<01v>;>:18185293;3863<538211=z{:<;6=4={<161?73?278:<4>859~w632290:ov3<5482<1=:;405349>h7?;a:?02=<6=o16?;7514d8960e28<870=9c;357>;3lo0:99524d39506<5:=?6<:l;<141?73k278;:4>4`9>72>=9=i01>96:06`?8b328>i70j::06a?8b?28>i70j6:06a?8bf28>o70jm:06g?8bd28>o70k>:041?8c428>j70k;:06b?877=3;?h63>068214=:9931=8?4}r16b?6=:r789h4>469>70`=91>0q~=:e;297~;4=l0:4952e6820==:m>0:845rs275>5<5s49==7?;7:?013<60=1v>;8:181852>3;?463<5682<1=z{:?36=4={<162?731278954>859~w6112908w0=:9;37<>;4=00:845236495=257>52z?01<<60=16?86515:8yv52i3:1>v3<5`82<1=:;<21=974}r16f?6=:r789o4>859>70>=9<>0q~=:c;296~;4=j0:495234:950`h7>52z?01a<60=16?8651708yv51l3:1>v3<638202=:;?o1=5:4}r156?6=9;q6?;<51968960?28<970=99;356>;4>h0::95237`9532<5:4d9~w6042909w0=9e;373>;4>:0:495rs247>5<5s49=?7?;8:?021<60=1v>8::181851;3;?563<6482<1=z{jl1<7=t=245>42?349=:7?;9:?`b?7?<2wx?;850;0x9601282?70=95;37<>{tl>0;6>u2375951><5:<<6<:6;4>33ty8::4?:3y>731=91>01>8::06:?xu4>10;6?u237:95=2<5:<>6<;;;|q02<<72;q6?;751968960228?m7p}<6`83>7}:;?k1=5:4=246>4053ty8:o4?:3y>73d=91>01>8::040?xu4>j0;6?u237a95=2<5:<>6<8;;|q0=2<72;q6?4<5155896??282?7p}<9383>7}:;081=5:4=50a>43a3ty8594?:3y>7<>=9==01>7;:0:7?xu41:0;6?u238:9g0=:;091=5:4}r1:1?6=:r78594>499>7<3=91>0q~=66;296~;41=0:845238495=2>>7>53z?0=6<6<116?4=515;89135282?7p};a483>7}:<0o1=994=5c5>4>33ty?m=4?:3y>0d0=9==019o?:0:7?xu31o0;6?u24`49g0=:<0l1=5:4}r6b5?6=:r7?m=4>499>0d7=91>0q~:n2;296~;3i90:84524`095=2j?7>52z?7e6<60=168l<515:8yv2f?3:1>v3;a5820<=:859>0d4=9=30q~:nc;296~;3i>0:8:524`f95=2j57>52z?7ea<6<>168l751968yv2f03:1>v3;ae8`1>;3i10:495rs5cb>5<5s4>j57?;8:?7ed<60=1v9om:18182f13;?563;ac82<1=z{=l=6=48{<6b489>0c6=9=2019h?:06:?82a>3;3863:43820==:==81=974}r6g0?6=;r7?o44>469>0a0=9=3019j::0:7?xu3kh0;6?u24e4951><5=ij6<6;;|q7`3<72;q68i85196891b228><7p};cc83>7}:4>33ty?on4?:3y>0fg=9=3019ml:0:7?xu3km0;6?u24bf95=2<5=ih6<:7;|q7g`<72;q68nk5196891ed28>27p}j6;297~;3ko0:85524bd951?<5l<1=5:4}r6`b?6=:r7?ok4>859>0fe=9<>0q~kj:18082c83;?463;d1820<=:ml0:495rs5f3>5<5s4>o<7?74:?7gf<6=o1v9j>:18182c93;3863;cb8227=z{=n96=4={<6g6?7?<27?on4>629~w1b42909w0:k3;3;0>;3kj0::95rs5g0>5<4s4>o;7?;7:?7a0<6<0168h:51968yv2b:3:1>v3;de8202=:859>`c<6<116hk4>489~w1b?2909w0:j5;37<>;3l10:495rs5g6>5<5s4>n97?74:?7a1<6<>1v9j6:18182c03;?463;d882<1=z{=nj6=4={<6g859~w1be2909w0:kb;3;0>;3lh0:855rs5f`>5<5s4>oo7?74:?7`d<6<01v9jj:18182cm3;3863;e3820==z{:=o6=46{<6gb?72n278;i4>859>722=9=n01>9::06g?8c728<870k>:040?8c528i7p};dg83>7}:42>3ty?i=4?:3y>0`6=91>019k=:077?xu3m80;6?u24d395=2<5=o96<;i;|q7aa<72;q68h85155891cb282?7p};e983>7}:4>33ty?i:4?:3y>0`c=k<168h951968yv2b13:1>v3;e9820==:489>0`g=91>0q~:jb;296~;3mk0:49524dc951>nj7>52z?7af<6<0168hh51968yv2bk3:1>v3;eb82<1=:469>0c3=91>0q~:i1;296~;3n<0:8:524g395=2m<7>52z?7b0859~w1`52909w0:i1;37<>;3n;0:495rs5d0>5<5s4>m=7?;9:?7b6<60=1v>9m:180851n3;?;63<7e8202=:;>i1=5:4}r14e?6=:r78;;4>469>72g=91>0q~=80;296~;4?j0:8:5236295=252z?035<6<116?:?51968yv50:3:1>v3<71820<=:;>81=5:4}rff>5<4s49859~w6142909w0=83;3;0>;4?;0:855rs257>5<5s49<87?74:?037<6<01v>9::181850=3;3863<738211=z{:=<6=4={<143?7?<278;l4>499~w61?2909w0=88;3;0>;4?h0:845rs25:>5<5s49<57?74:?03d<6==1v84>33ty>?<4?:4y>167=91>018<6:077?835i3;=?63:2c8221=:=;i1=;:4}r71a?6=:r7>>i4>469>17c=91>0q~;=d;297~;2:m0:495213;951><58826<:6;|q663<72;q69><515:89041282?7p}:3383>7}:=:81=5:4=413>4203ty>>:4?:3y>170=9=2018<8:0:7?xu2:10;6?u2534951?<5<836<6;;|q66<<72;q69?751968904?28>37p}:2`83>7}:=;k1=5:4=40;>42>3ty>>o4?:3y>17d=91>018<7:077?xu2:j0;6?u253a95=2<5<836<;i;|q160<72:q6>??51558974728><70<=6;3;0>{t:;81<74203489>7?74:p675=838p1?<=:06;?845;3;386s|23694?4|5;896<:6;<010?7?<2wx?<;50;6x974328>370=>5;3;0>;49h0:855230`951>58z?161<6<016?270;5k>0:84522e1951?<5:836<:6;<11=?7302wx>nh50;:x974328??70=>a;360>;49k0:99522b59502<5;im6<6;;<0g0?731278>54>559>77?=9=30q~==1;290~;49?0:8:523079511<5:886<:6;<116?7?<2wx??>50;0x967d28><70==0;3;0>{t;8i1<7=t=23`>4>334>i>7?;8:?7f7<6<01v>?8:181855;3;?463<1682<1=z{:886=4={<117?7?<278>?4>469~w67?2909w0=>7;37<>;4910:495rs23:>5<5s49:;7?;9:?05<<60=1v>?n:181856i3;3863<18820==z{;8<6=4<{<12f?72n279o:4>5g9>671=91>0q~=>b;296~;49k0:495230;951?52z?05a<60=16??>515:8yv56m3:1>v3<1d82<1=:;;:1=974}r12b?6=:r78=k4>859>776=9<>0q~8?9;296~;2nl0:8:5261c95=252z?54d<6<>169kh51968yv0783:1>v3:fg820==:>9:1=5:4}r435?6=:r7>jk4>489>257=91>0q~;m3;297~;18;0:8552610951?<537p}>1283>6}:>991=964=720>42>34;:?7?74:p255=838p1;><:0:7?80793;?56s|61694?4|5?:?6<6;;<435?72<2wx:?=50;17807=3;?5639078211=:>9=1=8:4=72;>43a34<:=7?;9:?557<6==16:<=51468937c28??708>e;360>;19o0:8452632951?<5?886<6;;<4144>489>27g=9?801;63:e`820==:=ln1=8h4=4d6>43334?m:7?:4:?6b2<6=o169k75176890`f28;6lh0::>521e`9535<58nh6<8;;<3g`?71<27:i:4>5g9>5`>=9;>m3;?5636f;36b>;f83;>j63:b5821c=:=k?1=8h4=4`5>43a34?i;7?93:?6f=<6>:16==j51468946b28?m70??f;36b>;6990:9k52103950`<58;>6<;;;<322?72<27:=:4>5g9>54>=97}:>9=1=5:4=722>4043ty=8h4?:36x936?28<9708>2;36b>;19:0:9k526069532<5?;m6<;;;<414?72<27=8h4>859>27?=9<>018km:06:?83bk3;?563:ee8227=:=o?1=8h4=4d5>43a34?m;7?92:?2`<<6>;16=h95170894c?28<970?j9;357>;6mh0::95256d9532<5<2;6<8:;<7;5?71=272i7?:4:?6f1<6>;169o;5170890d128<970??d;36b>;68l0::?5211d9534<58;;6<8=;<325?71:27:=84>5g9>540=963>188227=z{?:36=4={<43659~w6742909w0=?e;373>;49=0:495rs233>5<5s49:87?;7:?055<60=1v>>i:181856<3i=70=?f;3;0>{t;8;1<742?349:=7?74:p744=838p1>??:06:?856:3;386s|2g394?g|5:;96<:7;<0e5?7?<279j;4>489>6c1=9=301>>?:06:?857i3;?563=db820==::mo1=964=3g5>42?348n;7?;8:p6cg=832p1>?=:06:?84ai3;3863=fg820<=:;9k1=8:4=3fg>42?348oi7?;9:?1a3<6<016>h9515;8yv4bi3:15v3<138211=::o<1=8:4=3d4>433349;<7?:4:?1ad<60=16>hk515;8966f28?m70;5m>0:995rs227>57?:f:?041<60=16?=7515;897bd28>270;5ll0:9k522d49502<5;o<6<;i;|q76f<72;q68?;51558914c282?7p};2783>7}:<;n1=994=505>4>33ty?>:4?:3y>070=9=2019<8:0:7?xu3:10;6?u2434951?<5=836<6;;|q76<<72;q68?751968914?28>37p};2`83>7}:<;k1=5:4=50;>42>3ty?07d=9?8019>m:0:7?85a03;>863<828211=z{=8i6=4={<61f?7?<27?>54>559~w3722909w08?b;373>;19?0:495rs72`>5<5s4<::7?;7:?54f<60=1v;>k:181807k3;?46390e82<1=z{?:n6=4={<43g?73127=

859~w01b2908w08?f;37<>;18o0:845256g95=252z?54c<60=16:=k515:8yv0683:1>v391182<1=:>9o1=974}r425?6=:r7==<4>859>25c=9<>0q~8>2;296~;19;0:495261g950`52z?556<60=16:=k51708yv3b<3:1=5u26069533<5?826<;i;<7f0?7?<27>i44>489>1`g=9=3018km:077?83bk3;>863:f88220=:=ok1=;;4=0af>40434;hj7?93:?2`5<6>=16=i?5176894c>28;2?o0::8525929530<5<2:6<89;<7b2?71:27>m:4>629>1d?=9629~w1702909w0:>1;373>;3910:495rs531>5<5s4>:47?;7:?757<60=1v9?<:181826:3;?463;1282<1=z{=;?6=4={<626?73127?=94>859~w1722909w0:>5;3;0>;39=0:855rs535>5<5s4>::7?74:?751<6<01v9<=:18082613;?;63;25820<=:<;91=5:4}r62e?6=:r7?>94>499>04g=91>0q~:=4;296~;3:=0:49524319511:n7>52z?75d<6<1168v3;1`820<=:<8i1=5:4}r62`?6=:r7?=i4>859>04e=9=20q~=j0;291~;39l0::?524169532<5=:>6<8;;<1f4?7?<278j44>5g9~w17b2909w0:>e;3;0>;39j0:845rs2:5>5<2s4>:j7?92:?743<6>=16?ko514d896>1282?70::e;350>{t;1i1<7;t=53e>40434>;:7?95:?0bd<6>;16?5m51968913b28<>7p};1g83>7}:<8l1=5:4=53`>4333ty8544?:4y>076=9?8019>8:047?85aj3;>j63<9882<1=:<=4>629>051=9??01>hm:041?85>n3;3863;5g8220=z{=8;6=4={<614?7?<27?=n4>5g9~w14b290>w0:=1;356>;3:l0:495244f9532<5=<;6<8;;<70e?72n2wx8>:50;7x914628<870:<4;3;0>;3=m0::8524729533<5<9j6<8=;|q764<72;q68??51968917d28<97p}92083>7}:>8=1=994=701>4>33ty==54?:3y>274=9==01;?7:0:7?xu1900;6?u260:951><5?;26<6;;|q55d<72;q6:<6515;8937f282?7p}>d983>6}:>8h1=964=73a>42>34;o47?74:p24d=838p1;?m:0:7?806i3;?46s|60a94?4|5?;h6<6;;<42e?7312wx:a;360>{t>8o1<74>334<:m7?:f:p214=83hp1;?i:07e?83bj3;>j6394382<1=:9l=1=;=4=0g;>40434?i87?93:?6f0<6>:169o851718946c28<970??e;357>;69<0::?5rs73e>5<5s4<:j7?74:?55d<6>;1v8jj:18a80583;>j63:eb821c=:=mo1=5:4=4d5>40534;;j7?93:?255<6>:16=7;357>;6910::>5210;953552z?565<60=16:v3:198202=:=;>1=5:4}r72=?6=:r7>>94>469>14?=91>0q~;>a;296~;2900:855250c95=252z?65<<6<01694>33ty>=n4?:3y>14e=91>018?m:06;?xu2110;6>u250f951><5<;o6<:6;<7:b;37=>{t=8o1<74>334?:n7?:4:p14`=838p18?i:0:7?836j3;>j6s|53294?4|5<8;6<6;;<72f?71:2wx9??50;0x9046282?70;>b;357>{t=;81<74>334?:n7?94:p204=838p1;:j:064?802;3;386s|65d94?4|5??86<:8;<47b?7?<2wx:8>50;0x932a28>3708:0;3;0>{t><;1<742>34<>=7?74:p202=838p1;;>:06:?802<3;386s|63f94?5|5?886<:8;<41b?73127=>h4>859~w3432909w08=f;37<>;1:=0:495rs70e>5<5s4<9j7?74:?56`<6<>1v;<::181805<3;?46392482<1=z{?8=6=4={<410?73127=>;4>859~w{t>;=1<74>334<9:7?;8:p27>=838p1;<7:0:7?805>3;?56s|63;94?4|5?826<6;;<412?72<2wx:?o50;0x934f282?708=6;36b>{t>;h1<74>334<9:7?92:p27e=838p1;3;=?6s|3c694?5|5:ko6<:8;<1a2?731278n84>859~w6gb2909w0=m6;37<>;4il0:495rs2`5>5<5s49i:7?74:?0f0<6<>1v>oi:18185fm3;?463859~w6c1290iw0=m1;37=>;4j;0::>523cf951><5:i=6<:7;<1`b?731278h=4>5g9>7a?=9=301>jn:041?85b>3;3863559>7g5=9?901>lk:06:?85d>3;?563433349on7?92:?0af<60=1v>l>:18185e93;3863489~w6d42909w0=m3;3;0>;4j90:995rs435>5<5s4?:<7?;7:?652<60=1v8?>:181836?3;?;63:1082<1=z{<;96=4={<725?73027>=?4>859~w0742909w0;>1;37=>;29:0:495rs437>5<5s4?:87?74:?656<6<11v8?::181836=3;3863:12820<=z{ik4>859~w0c22909w0;jf;373>;2m<0:495rs4g5>5<5s4?n97?;8:?6a3<60=1v8k8:18183b=3;?563:e682<1=z{8io6=4<{<7fi54>489>5fb=91>0q~;j8;296~;2m10:49525d5951>52z?6a<<60=169h9515;8yv3bi3:1>v3:e`82<1=:=l=1=8:4}r7ff?6=:r7>io4>859>1`1=952z?6aa<60=169h951718yv4a03:1>v3=f08202=::o31=5:4}r0e7?6=:r79j44>469>6c5=91>0q~859~w7`22909w0;5n<0:495rs3d5>5<5s48m:7?74:?1b0<6<11v?h8:18184a?3;3863=f4820<=z{:::6=4<{<0ee?73?278<>4>489>754=91>0q~52z?046<60=16?=<51558yv4aj3:1>v3<038`2>;5nk0:495rs3dg>5<5s48mo7?;8:?1ba<60=1v?hj:18184ak3;?563=fd82<1=z{;lm6=4={<0eb?7?<279jh4>499~w6672909w0=?0;3;0>;5nl0:845rs2`f>5<5s49i;7?;7:?0fc<60=1v>l7:18185en3;?;63859~w6df2909w0=m8;37=>;4jh0:495rs2`a>5<5s49in7?74:?0fd<6<11v>ll:18185ek3;3863559~w7ca2909w0;5n90:495rs3g`>5<5s48m<7?;7:?1af<60=1v?km:18184a83i=70{t:ln1<742?348nh7?74:p6`c=838p1?kl:06:?84bm3;386s|31`94?5|5::?6<:8;<13`?731278859~w6612909w0=?d;37<>;48?0:495rs22g>5<5s49;h7?74:?04f<6<>1v>>::181857k3i=70=?5;3;0>{t;9=1<742?349;;7?74:p75>=838p1>>9:06:?85703;386s|31;94?4|5::26<6;;<13{t;j31<7420349hm7?74:p7f7=838p1>mn:064?85d93;386s|3b094?4|5:i:6<:7;<1`6?7?<2wx?n=50;0x96e628>270=l3;3;0>{t;j>1<74>3349h?7?;8:p7f3=838p1>m::0:7?85d;3;?56s|3b494?4|5:i=6<6;;<1`7?72<2wx?n950;0x96e0282?70=l3;36b>{t;j21<74>3349h?7?92:p7a4=839p1>mm:064?85c<3;?563859~w6b32909w0=k4;3;0>;4l:0:8:5rs2ag>5<5s49ho7?;8:?0ga<60=1v>mj:18185dk3;?563499~w6b72909w0=k0;3;0>;4kl0:845rs2f2>5<5s49o=7?74:?0g`<6==1v>jk:18085c=3;?;63499>7a0=91>0q~=kf;296~;4lo0:49523eg951152z?0`3<6<116?i951968yv5c03:1>v3859>7a>=9=20q~=ka;296~;4lh0:49523e:951?52z?0`g<60=16?i651468yv5ck3:1>v3469>6fc=9=301?mk:0:7?xu5kk0;6?u22b:9511<5;ii6<6;;|q1g=<72:q6>n651968945?28>370?<8;37=>{t:j?1<742?348h97?74:p6fc=838p1?mj:0:7?84dl3;?;6s|2b494?4|5;i>6<:7;<0`2?7?<2wx>n950;0x97e228>270{t:j31<74>3348hn7?;8:p6fg=838p1?mn:0:7?84dj3;?56s|48a94?4|5=336<:8;<6:`?7?<2wx84750;0x91?c28><70:69;3;0>{t<0k1<742?34>2m7?74:p0j3;386s|2e794?4|5;im6<:8;<0g2?7?<2wx>i>50;0x97b128><70{t:m;1<742?348o=7?74:p6a4=838p1?j?:06:?84c:3;386s|2e194?4|5;n86<6;;<0g6?7302wx>i:50;0x97b3282?70{t=9;1<742034?;>7?74:p0c1=838p18>=:064?82a?3;386s|4g:94?4|5=l<6<:7;<6e270:i9;3;0>{t4>334>m57?;8:p0cd=838p19hm:0:7?82a13;?56s|10`94?5|5=lh6<:7;<6eg?73127:=o4>859~w1`d2909w0:ic;3;0>;3n00:995rs002>5<4s4>mh7?;8:?7ba<6<016=??51968yv2al3:1>v3;fe82<1=:859>0c?=9?80q~:if;296~;3no0:49524g;953552z?645<60=168k751768yv3b:3:1>v3:dd8202=:=l91=5:4}r7gb?6=:r7>i>4>469>1a`=91>0q~;j0;296~;2lo0:85525d295=252z?6`c<6<0169h?51968yv03>3:1>v39438202=:>==1=5:4}r477?6=:r7=8:4>469>215=91>0q~8;4;296~;1<:0:855265695=252z?506<6<016:9;51968yv2703:1?v34>33ty8jk4?:3y>05g=9=201>hi:0:7?xu38h0;6?u241c95=2<5=:26<:8;|q745<72;q6?kh515:89167282?7p};0083>7}:;ol1=974=522>4>33ty?9n4?:2y>054=9=2019>=:06:?822k3;386s|41094?4|5=:96<6;;<635?7302wx8==50;0x9164282?70:?1;37=>{t<9>1<74>334>;=7?:4:p053=838p19>::0:7?82793;>j6s|41494?4|5=:=6<6;;<635?71:2wx8=950;0x9160282?70:?1;357>{t<9l1<742034>:<7?74:p05e=838p19??:064?827k3;386s|41f94?4|5=:h6<:7;<63`?7?<2wx8=k50;0x916d28>270:?e;3;0>{t42?34>;i7?;9:?7e`<60=1v;:l:18180303;?;6394e82<1=z{?>26=4={<47`?73?27=844>859~w32f2909w08;9;37<>;15<5s4:4>469>67e=91>0q~<=8;296~;5:j0:8:5223:95=252z?16=<6<116>?751968yv45i3:1>v3=29820<=::;k1=5:4}r11e?6=:r78>94>469>77d=91>0q~==4;290~;4:=0:495233;9502<58=>6<:7;<341?7312wx??;50;0x964e28><70==5;3;0>{t;;<1<742?3499:7?74:p771=838p1><::06:?855?3;386s|33:94?4|5:836<6;;<113?7302wx??750;0x964>282?70==7;37=>{t;l>1<7420349n97?74:p7`7=838p1>k::064?85b93;386s|3d094?4|5:o:6<:7;<1f6?7?<2wx?h=50;0x96c628>270=j3;3;0>{t;lk1<7420349nn7?74:p7`1=838p1>km:064?85b?3;386s|3d:94?4|5:o<6<:7;<1f270=j9;3;0>{t;oi1<7420349mh7?74:p7c5=838p1>hk:064?85a;3;386s|3g694?4|5:l86<:7;<1e0?7?<2wx?k;50;0x96`428>270=i5;3;0>{t=:31<7=t=2d5>42?349m:7?;9:?67<<60=1v>h9:18185a>3;3863489~w6`?2909w0=i8;3;0>;4n<0:995rs2d:>5<5s49m57?74:?0b0<6=o1v>hn:18185ai3;3863629~w7ba2909w0;5m90:495rs3f:>5<5s48n<7?;7:?1`<<60=1v?j7:18184b83i=70{t:mk1<742?348om7?74:p6ad=838p1?j6:06:?84cj3;386s|2ea94?4|5;nh6<6;;<0gf?7302wx>ij50;0x97bc282?70{t:mo1<74>3348on7?:4:p6`>=838p1?k>:064?84b13;386s|2d394?5|5;o:6<6;;<463?73027=9:4>489~w7c42909w0;5m:0:495rs3g1>5<5s48n57m9;<0f6?7?<2wx>h:50;0x97c428>370{t:l?1<742>348n97?74:p6`0=838p1?k9:0:7?84b=3;?46s|2d594?4|5;o<6<6;;<0f1?7312wx?9;50;0x962628><70=;6;3;0>{t;=81<7420349?>7?74:p715=838p1>:=:06;?853;3;386s|35694?4|5:>96<:6;<170?7?<2wx?5:50;0x961b28><70=75;3;0>{t;>o1<74>334?847?;8:p72`=838p1>6::064?850n3;386s|39294?4|5:=m6<:7;<1;4?7?<2wx?5?50;0x961a28>270=71;3;0>{t;181<74>33493=7?;8:p7=5=838p1>6<:0:7?85?93;?56s|39c94?4|5:2=6<:8;<1;f?7?<2wx?5950;0x96>e28><70=77;3;0>{t;121<742?349347?74:p7=?=838p1>68:06:?85?13;386s|38294?4|5:2h6<:8;<1:5?7?<2wx?5j50;0x96?628><70=7d;3;0>{t;1o1<742?3493i7?74:p7=`=838p1>6k:06:?85?n3;386s|38f94?4|5:326<:8;<1:a?7?<2wx?4o50;0x96?b28><70=6a;3;0>{t;0h1<742?3492n7?74:p77n:06:?85>k3;386s|3`194?4|5:3m6<:8;<1b0?7?<2wx?l>50;0x96g328><70=n0;3;0>{t;h;1<742?349j=7?74:p7d4=838p1>o?:06:?85f:3;386s|3``94?4|5:k>6<:8;<1bg?7?<2wx?l;50;0x96g2282?70;9e;37=>{t;h<1<7420349j:7?74:p7d1=838p1>o9:06;?85f?3;386s|3`:94?4|5:k=6<:6;<1b282?70=n8;37<>{t;hk1<74>3349j47?;9:p7c6=838p1>kl:064?85a93;386s|3df94?4|5:l:6<:8;<1f`?7?<2wx?hk50;0x96cc28>370=je;3;0>{t;ll1<742>349nj7?74:p064=838p19>50;0x914a28>370:<0;3;0>{t<:;1<742>34>8=7?74:p06>=838p19=;:064?82413;386s|42794?4|5=926<:8;<601?7?<2wx8>850;0x915228>370:<6;3;0>{t<:=1<742>34>8;7?74:p06c=838p19=n:064?824n3;386s|42`94?4|5=9m6<:8;<60f?7?<2wx8>m50;0x915e28>370:{t<:n1<742>34>8h7?74:p012=838p19:?:064?823=3;386s|45394?4|5=>>6<:8;<675?7?<2wx89<50;0x912628>370:;2;3;0>{t<=91<742>34>??7?74:p000=838p19;=:064?822?3;386s|44194?4|5=?<6<:8;<667?7?<2wx88:50;0x913428>370::4;3;0>{t<42>34>>97?74:p0=b=838p1966:064?82?m3;386s|49;94?4|5=226<6;;<70b28><70:7a;3;0>{t<1h1<742?34>3n7?74:p0=e=838p196n:06:?82?k3;386s|48494?4|5=3;6<:8;<6:3?7?<2wx84>50;0x91?7282?70;;3;37=>{t<0?1<742034>297?74:p0<7=838p1978:064?82>93;386s|48094?4|5=3:6<:7;<6:6?7?<2wx84=50;0x91?628>270:63;3;0>{t42034>im7?74:p0d`=838p19ln:064?82fn3;386s|4c294?4|5=km6<:7;<6a4?7?<2wx8o?50;0x91ga28>270:m1;3;0>{t4>334>i=7?;8:p57>=839p19l<:06;?82e;3;?563>2982<1=z{=h86=4={<6a7?7?<27?n<4>489~w1d32909w0:m4;3;0>;3j80:995rs5`6>5<5s4>i97?74:?7f4<6=o1v9l9:18182e>3;3863;b08227=z{=h<6=4={<6a3?7?<27?n<4>629~w1d?2909w0:m8;3;0>;3j80::95rs4d`>5<5s4?m<7?;7:?6ba<60=1v8hm:18183a03;?;63:fc82<1=z{499>542=9=30q~;i1;296~;2nm0:8:525g395=27>52z?6b4<6<1169k<51968yv3a;3:1>v3:f0820<=:=o91=5:4}r33f?6=;r7>j94>499>1c2=9=301<>m:0:7?xu2n=0;6?u25g695=2<527p}:f783>7}:=o<1=5:4=4d0>4333ty>j:4?:3y>1c1=91>018h<:07e?xu2n00;6?u25g;95=2<527p}94183>7}:>:i1=994=762>4>33ty=?n4?:2y>26e=91>018o::06;?83f=3;?56s|62f94?4|5?>:6<:8;<40`?7?<2wx:>k50;0x935c28>3708{t>:l1<742>34<8j7?74:p20>=838p1;;;:064?80213;386s|64794?4|5??26<:8;<461?7?<2wx:8850;0x933228>3708:6;3;0>{t><=1<742>34<>;7?74:p5dd=838p1370?n7;3;0>{t9h21<742>34;j47?74:p5d?=838p1<70?m2;3;0>{t9hn1<742034;jh7?74:p5dc=838p150;0x94d7282?70?nf;37<>{t9k;1<74>334;jj7?;9:p5gd=838p1282?70?j4;37<>;6m=0:845rs0`7>5<5s4;in7?;7:?2f1<60=1vb482<1=z{8h=6=4={<3a0?73127:n;4>859~w4d02909w0?m7;3;0>;6j?0:855rs0`;>5<5s4;i47?74:?2f3<6<01vc782<1=z{8i>6=4={<3`6?73?27:o84>859~w4e52908w0?l2;3;0>;6l>0:85521e5951?52z?2g3<6<>16=oj51968yv7em3:1>v3>be820==:9ko1=5:4}r3ab?6=:r7:ni4>489>5g`=91>0q~?l0;296~;6k90:49521cd951>52z?2g4<60=16=oh515;8yv7d;3:1>v3>c282<1=:9j?1=964}r3`0?6=:r7:o94>859>5f3=9=30q~?k3;296~;6k>0:8:521e195=27>52z?2ga<6<>16=i<51968yv7d03:1>v3>d28202=:9j21=5:4}r3`=?6=:r7:o54>499>5f?=91>0q~?la;296~;6k10:84521bc95=252z?2gg<60=16=no515:8yv7dk3:1>v3>cb82<1=:9jk1=974}r3`a?6=:r7:oh4>859>5a4=9=20q~?lf;296~;6ko0:49521e0951?52z?2`5<60=16=i<51468yv7c93:1>v3>d082<1=:9m81=8h4}r3gb?6=:r7:h94>469>5a`=91>0q~?ke;296~;6l10:8:521eg95=252z?2`c<6<>16=i;51968yv7c>3:1>v3>d4820==:9m<1=5:4}r3g3?6=:r7:h84>489>5a1=91>0q~?k9;296~;6l00:49521eg951>52z?2`d<60=16=ik515;8yv7cj3:1>v3>dc82<1=:9mo1=8:4}r3gg?6=:r7:hn4>859>5ac=952z?2a5<6<>16=hm51968yv7bj3:1>v3>e78202=:9lh1=5:4}r3f2?6=;r7:i;4>859>55e=9=201<>l:06:?xu6m80;6?u21da9511<58o:6<6;;|q2a7<72;q6=h?515:894c5282?7p}>e283>7}:9l;1=974=0g0>4>33ty:i94?:3y>5`2=91>0137p}>e983>7}:9l21=5:4=0ga>42>3ty:i44?:3y>5`?=91>01ed83>7}:9o91=994=0gf>4>33ty:ik4?:3y>5`c=9=20137p}>f383>7}:9o81=5:4=0d3>42>3ty:jk4?:3y>5c2=9==016<6;;|q2b3<72;q6=k;515:894`1282?7p}>f683>7}:9o?1=974=0d4>4>33ty:j54?:3y>5c>=91>01f8820==:9o31=974=`c95=252z?2b<<60=16=k9515;8yv7ai3:1>v3>f`82<1=:9o=1=8:4}r3ef?6=:r7:jo4>859>5c1=952z?2ba<60=16=k951718yv7am3:1>v3>fd82<1=:9o=1=;:4}r032?6=:r79<=4>469>650=91>0q~7>52z?144<6<116>=<51968yv47;3:1>v3=00820<=::991=5:4}r030?6=:r79<94>859>655=9=20q~52z?62g<6<>169;h51968yv31k3:1>v3:6g8202=:=?i1=5:4}r75`?6=:r7>:n4>499>13b=91>0q~;9e;296~;2>j0:845257g95=252z?63d<6<>1695=51968yv3?:3:1>v3:7d8202=:=181=5:4}r74f?6=:r7>4>4>469>12d=91>0q~;8c;296~;2?k0:855256a95=252z?63g<6<0169:j51968yv30n3:1>v3:7g82<1=:=181=964}r7;4?6=:r7>4=4>859>1=4=9=30q~;71;296~;2080:4952590950252z?6fa<6<>169n851968yv3d=3:1>v3:c08202=:=j?1=5:4}r7`5?6=;r7>o<4>859>ba<6<116ji4>489~w0db2909w0;l6;373>;2jl0:495rs4`e>5<5s4?ii7?;8:?6fc<60=1v8m?:18183em3;?563:c182<1=z{o84>499~w0e42909w0;l3;3;0>;2k<0:845rs4a7>5<5s4?h87?74:?6g0<6==1v8j;:18183c83;?;63:d582<1=z{h<4>859~w0b52909w0;k1;37<>;2l;0:495rs4f0>5<5s4?o=7?;9:?6`6<60=1v98=:18182203;?;63;6282<1=z{=<:6=4={<66g?73?27?:<4>859~w13>2909w0:93;373>;3=00:495rs57b>5<5s4>>57?;8:?71d<60=1v9;m:18182213;?563;5c82<1=z{=?o6=4={<66`?7?<27?:<4>499~w13b2909w0::e;3;0>;3>80:845rs57e>5<5s4>>j7?74:?724<6==1v98?:18182183;3863;60821c=z{<9i6=4={<701?73?27>?o4>859~w05f2909w0;<9;373>;2;h0:495rs415>5<5s4?8n7?;7:?673<60=1v8=8:181834>3;?463:3682<1=z{<936=4={<702?73127>?54>859~w00?2909w0;92;373>;2>10:495rs440>5<5s4?=47?;7:?626<60=1v88;:181831;3;?463:6582<1=z{<<>6=4={<757?73127>:84>859~w0012909w0;96;3;0>;2><0:855rs444>5<5s4?=;7?74:?620<6<01v8on:18183f93;?;63:a`82<1=z{m44>859~w0g?2908w0;n8;3;0>;2000:855259;951?7>52z?6ed<6<>169l<51968yv3f;3:1>v3:a3820==:=h91=5:4}r7b0?6=:r7>m?4>489>1d2=91>0q~;n5;296~;2i<0:49525`6951>52z?6e3<60=169l:515;8yv3f?3:1>v3:a682<1=:=h>1=8:4}r46a?6=:r7=9l4>469>20`=91>0q~8:b;296~;1=o0:8:5264`95=2o7>52z?51g<6<116:8m51968yv02l3:1>v395c820<=:>469>522=91>0q~?80;296~;6>l0:8:5216295=252z?235<6<>16=:;51968yv7093:1>v3>758202=:9>;1=5:4}r346?6=:r7:;<4>499>524=91>0q~?83;296~;6?80:845216195=242034k96<6;;|qb5?6=:r72o7?;7:?b5?7?<2wx544?:3y>e7<6<>16544>859~w0q~7m:1818?>28>2707m:0:7?xu>l3:1>v36d;3;0>;f93;?46s|9d83>7}:1l0:4952a0820<=z{0l1<75<5s4k;6<6;;43a3tyjo7>52z?b2?73?27jo7?74:peg<72;q6ml4>469>eg<60=1vl950;0x9de=9==01l951968yvg?2909w0o8:06;?8g?282?7p}n9;296~;f?3;?563n9;3;0>{t==>1<7=t=41f>42034>3j7?;7:?600<60=1v8=i:181833=3;?;63:3g82<1=z{<>;6=4={<70b?73027>8=4>859~w0262909w0;;2<80:495rs461>5<5s4??>7?74:?604<6<11v8:<:181833;3;3863:40820<=z{<3:6=4={<7;2?73?27>5<4>859~w0?72909w0;7a;373>;2190:495rs4:4>5<5s4?2=7?;7:?6<2<60=1v867:18183??3;?463:8982<1=z{<226=4={<7;3?73127>444>859~w0>e2909w0;7b;3;0>;2190:855rs4:`>5<5s4?3o7?74:?6=5<6<01v86k:18183?l3;3863:918211=z{<2n6=4={<7;a?7?<27>5=4>5g9~w0>a2909w0;7f;3;0>;2190::?5rs4;f>5<5s4?287?;7:?6=`<60=1v87k:18183>03;?;63:9e82<1=z{<3>6=4={<7:a?73?27>584>859~w0?12909w0;65;37<>;21?0:495rs4;4>5<5s4?297?;9:?6=2<60=1v876:18183>13;3863:9e820==z{<3j6=4={<7:e?7?<27>5i4>489~w0?e2909w0;6b;3;0>;21m0:995rs4;`>5<5s4?2o7?74:?6=a<6=o1v8ln:18183fn3;?;63:b`82<1=z{n44>859~w0d72909w0;ma;373>;2j90:495rs4`2>5<5s4?i<7?;8:?6f4<60=1v8l=:18183e83;?563:b382<1=z{n44>499~w0d22909w0;m5;3;0>;2j00:845rs4`5>5<5s4?i:7?74:?6f<<6==1v8l8:18183e?3;3863:b8821c=z{n44>639~w4>72909w0?8c;373>;6090:495rs05g>5<5s4;3<7?;7:?23a<60=1v<9j:181870l3;?463>7d82<1=z{8=m6=4={<34`?73127:;k4>859~w41e2909w0?87;373>;6?k0:495rs05;>5<5s4;7882<1=z{8=j6=4={<34859~wa0=838p1nh515589a0=91>0q~??4;297~;c83;?463k0;37=>;68=0:495rse294?4|5m:1=5:4=e4951>4>334n=6<:6;|qg6?6=:r7o>7?74:?g2?72<2wxh>4?:3y>`6<60=16h;4>5g9~wa2=838p1i:519689a0=9?80q~j::1818b2282?70j9:040?xucl3:1>v3k7;373>;cl3;386s|d983>7}:l10:4952de820==z{m31<75<5s4nj6<6;;4333tyon7>52z?gf?7?<27oh7?:f:p`f<72;q6hn4>859>`a<6>;1vh;50;0x9ac=9==01h;51968yvba2909w0ji:0:7?8c228>37p}j0;296~;b83;3863j5;37=>{tm80;6?u2e082<1=:m<0:995rsd094?4|5l81=5:4=d7950`4>334o>6<8=;|qf0?6=:r7n87?74:?f1?71;2wxii4?:3y>a3<6<>16ii4>859~w`1=838p1h9519689`b=9=20q~k7:1818c?282?70kk:06:?xub13:1>v3j9;3;0>;bl3;>86s|e`83>7}:mh0:4952ee821c=z{lh1<75<5s4oh6<6;;4043tym87>52z?fa?73?27m87?74:pac<72;q6ik4>859>b1<6<11vk>50;0x9c6=91>01k:515;8yv`62909w0h>:0:7?8`328??7p}i2;296~;a:3;3863i4;36b>{tn:0;6?u2f282<1=:n=0::?5rsg`94?4|5o?1=994=g`95=24>334li6<:7;|qe3?6=:r7m;7?74:?ef?7312wxj54?:3y>b=<60=16jo4>559~wc?=838p1k7519689cd=95<5s4lo6<6;;<337?7302wxjh4?:3y>b`<60=16===515;8yv`a2909w0hi:0:7?877;3;>86s|11294?4|58:;6<6;;<337?72n2wx==?50;0x9466282?70??3;356>{t9981<74>334;;?7?93:p55g=838p1<>;:064?877i3;386s|11794?4|58:>6<6;;<33e?7302wx==850;0x9461282?70??a;37=>{t99=1<74>334;;m7?:4:p55>=838p1<>7:0:7?877i3;>j6s|11;94?4|58:26<6;;<33e?71:2wx=<<50;0x946e28><70?>2;3;0>{t99i1<74>334;:>7?;8:p55b=838p1<>k:0:7?876:3;?56s|11g94?4|58:n6<6;;<326?72<2wx==h50;0x946a282?70?>2;36b>{t98:1<74>334;:>7?92:p547=838p1:0:7?876:3;=?6s|10c94?4|58;86<:8;<32e?7?<2wx=<:50;0x9473282?70?>a;37<>{t98?1<74>334;:m7?;9:p540=838p186s|10594?4|58;<6<6;;<32e?72n2wx=<650;0x947?282?70?>a;356>{t9831<74>334;:m7?93:p576=838p1{t98o1<74>334;9<7?:4:p54`=838p1j6s|13594?4|588:6<:8;<313?7?<2wx=?<50;0x9445282?70?=7;37<>{t9;91<74>334;9;7?;9:p572=838p1<<;:0:7?875?3;>86s|13794?4|588>6<6;;<313?72n2wx=?850;0x9441282?70?=7;356>{t9;l1<742034;9j7?74:p57?=838p1<<6:0:7?875n3;?46s|13c94?4|588j6<6;;<31b?7312wx=?l50;0x944e282?70?=f;360>{t9;i1<74>334;9j7?:f:p57b=838p1<6s|13g94?4|588n6<6;;<31b?71;2wx=>850;0x945728><70?<6;3;0>{t9:;1<74>334;8:7?;8:p564=838p1<==:0:7?874>3;?56s|12194?4|58986<6;;<302?72<2wx=>:50;0x9453282?70?<6;36b>{t9:?1<74>334;8:7?92:p56c=838p1<=8:064?874m3;386s|12:94?4|58936<6;;<30a?7302wx=>750;0x945>282?70?{t9:k1<74>334;8i7?:4:p56d=838p1<=m:0:7?874m3;>j6s|12a94?4|589h6<6;;<30a?71:2wx=>j50;0x945c282?70?{zf?2257>51zm2=?f290:wp`988`94?7|ug<35n4?:0y~j3>>l3:1=vsa69;f>5<6std=44h50;3xyk0?i90;61k=6=4>{|l54}zf?2j57>51zm2=gf290:wp`98``94?7|ug<3mn4?:0y~j3>fl3:1=vsa69cf>5<6std=4lh50;3xyk0?j90;61h=6=4>{|l54}zf?2i57>51zm2=df290:wp`98c`94?7|ug<3nn4?:0y~j3>el3:1=vsa69`f>5<6std=4oh50;3xyk0?k90;61i=6=4>{|l54}zf?2h57>51zm2=ef290:wp`98b`94?7|ug<3on4?:0y~j3>dl3:1=vsa69af>5<6std=4nh50;3xyk0?l90;61n=6=4>{|l54}zf?2o57>51zm2=bf290:wp`98e`94?7|ug<3hn4?:0y~j3>cl3:1=vsa69ff>5<6std=4ih50;3xyk0?m90;61o=6=4>{|l5<`1=83;pqc87e983>4}zf?2n57>51zm2=cf290:wp`98d`94?7|ug<3in4?:0y~j3>bl3:1=vsa69gf>5<6std=4hh50;3xyk0?n90;61l=6=4>{|l54}zf?2m57>51zm2=`f290:wp`98g`94?7|ug<3jn4?:0y~j3>al3:1=vsa69df>5<6std=4kh50;3xyk0>890;6=:182xh11991<7?t}o4:41<728qvb;7?5;295~{i>0:=6=4>{|l5=51=83;pqc860983>4}zf?3;57>51zm2<6f290:wp`991`94?7|ug<25<6std=5=h50;3xyk0>990;65;295~{i>0;=6=4>{|l5=41=83;pqc861983>4}zf?3:57>51zm2<7f290:wp`990`94?7|ug<2=n4?:0y~j3?6l3:1=vsa683f>5<6std=5:90;608=6=4>{|l5=71=83;pqc862983>4}zf?3957>51zm2<4f290:wp`993`94?7|ug<2>n4?:0y~j3?5l3:1=vsa680f>5<6std=5?h50;3xyk0>;90;609=6=4>{|l5=61=83;pqc863983>4}zf?3857>51zm2<5f290:wp`992`94?7|ug<2?n4?:0y~j3?4l3:1=vsa681f>5<6std=5>h50;3xyk0><90;60>=6=4>{|l5=11=83;pqc864983>4}zf?3?57>51zm2<2f290:wp`995`94?7|ug<28n4?:0y~j3?3l3:1=vsa686f>5<6std=59h50;3xyk0>=90;60?=6=4>{|l5=01=83;pqc865983>4}zf?3>57>51zm2<3f290:wp`994`94?7|ug<29n4?:0y~j3?2l3:1=vsa687f>5<6std=58h50;3xyk0>>90;60<=6=4>{|l5=31=83;pqc866983>4}zf?3=57>51zm2<0f290:wp`997`94?7|ug<2:n4?:0y~j3?1l3:1=vsa684f>5<6std=5;h50;3xyk0>?90;691<7?t}o4:31<728qvb;785;295~{i>0==6=4>{|l5=21=83;pqc867983>4}zf?3<57>51zm2<1f290:wp`996`94?7|ug<2;n4?:0y~j3?0l3:1=vsa685f>5<6std=5:h50;3xyk0>090;602=6=4>{|l5==1=83;pqc868983>4}zf?3357>51zm2<>f290:wp`999`94?7|ug<24n4?:0y~j3??l3:1=vsa68:f>5<6std=55h50;3xyk0>190;603=6=4>{|l5=<1=83;pqc869983>4}zf?3257>51zm2l3:1=vsa68;f>5<6std=54h50;3xyk0>i90;60k=6=4>{|l5=d1=83;pqc86a983>4}zf?3j57>51zm25<6std=5lh50;3xyk0>j90;60h=6=4>{|l5=g1=83;pqc86b983>4}zf?3i57>51zm25<6std=5oh50;3xyk0>k90;60i=6=4>{|l5=f1=83;pqc86c983>4}zf?3h57>51zm25<6std=5nh50;3xyk0>l90;60n=6=4>{|l5=a1=83;pqc86d983>4}zf?3o57>51zm25<6std=5ih50;3xyk0>m90;60o=6=4>{|l5=`1=83;pqc86e983>4}zf?3n57>51zm25<6std=5hh50;3xyk0>n90;60l=6=4>{|l5=c1=83;pqc86f983>4}zf?3m57>51zm2<`f290:wp`99g`94?7|ug<2jn4?:0y~j3?al3:1=vsa68df>5<6std=5kh50;3xyk0f890;6=:182xh1i991<7?t}o4b41<728qvb;o?5;295~{i>h:=6=4>{|l5e51=83;pqc8n0983>4}zf?k;57>51zm2d6f290:wp`9a1`94?7|ug5<6std=m=h50;3xyk0f990;65;295~{i>h;=6=4>{|l5e41=83;pqc8n1983>4}zf?k:57>51zm2d7f290:wp`9a0`94?7|ug5<6std=mh8=6=4>{|l5e71=83;pqc8n2983>4}zf?k957>51zm2d4f290:wp`9a3`94?7|ugn4?:0y~j3g5l3:1=vsa6`0f>5<6std=m?h50;3xyk0f;90;6h9=6=4>{|l5e61=83;pqc8n3983>4}zf?k857>51zm2d5f290:wp`9a2`94?7|ug5<6std=m>h50;3xyk0f<90;6h>=6=4>{|l5e11=83;pqc8n4983>4}zf?k?57>51zm2d2f290:wp`9a5`94?7|ug5<6std=m9h50;3xyk0f=90;6h?=6=4>{|l5e01=83;pqc8n5983>4}zf?k>57>51zm2d3f290:wp`9a4`94?7|ug5<6std=m8h50;3xyk0f>90;6h<=6=4>{|l5e31=83;pqc8n6983>4}zf?k=57>51zm2d0f290:wp`9a7`94?7|ug5<6std=m;h50;3xyk0f?90;691<7?t}o4b31<728qvb;o85;295~{i>h==6=4>{|l5e21=83;pqc8n7983>4}zf?k<57>51zm2d1f290:wp`9a6`94?7|ug5<6std=m:h50;3xyk0f090;6h2=6=4>{|l5e=1=83;pqc8n8983>4}zf?k357>51zm2d>f290:wp`9a9`94?7|ug5<6std=m5h50;3xyk0f190;6h3=6=4>{|l5e<1=83;pqc8n9983>4}zf?k257>51zm2d?f290:wp`9a8`94?7|ugl3:1=vsa6`;f>5<6std=m4h50;3xyk0fi90;6hk=6=4>{|l5ed1=83;pqc8na983>4}zf?kj57>51zm2dgf290:wp`9a``94?7|ug5<6std=mlh50;3xyk0fj90;6hh=6=4>{|l5eg1=83;pqc8nb983>4}zf?ki57>51zm2ddf290:wp`9ac`94?7|ug5<6std=moh50;3xyk0fk90;6hi=6=4>{|l5ef1=83;pqc8nc983>4}zf?kh57>51zm2def290:wp`9ab`94?7|ug5<6std=mnh50;3xyk0fl90;6hn=6=4>{|l5ea1=83;pqc8nd983>4}zf?ko57>51zm2dbf290:wp`9ae`94?7|ug5<6std=mih50;3xyk0fm90;6ho=6=4>{|l5e`1=83;pqc8ne983>4}zf?kn57>51zm2dcf290:wp`9ad`94?7|ug5<6std=mhh50;3xyk0fn90;6hl=6=4>{|l5ec1=83;pqc8nf983>4}zf?km57>51zm2d`f290:wp`9ag`94?7|ug5<6std=mkh50;3xyk0e890;6=:182xh1j991<7?t}o4a41<728qvb;l?5;295~{i>k:=6=4>{|l5f51=83;pqc8m0983>4}zf?h;57>51zm2g6f290:wp`9b1`94?7|ug5<6std=n=h50;3xyk0e990;65;295~{i>k;=6=4>{|l5f41=83;pqc8m1983>4}zf?h:57>51zm2g7f290:wp`9b0`94?7|ug5<6std=nk8=6=4>{|l5f71=83;pqc8m2983>4}zf?h957>51zm2g4f290:wp`9b3`94?7|ugn4?:0y~j3d5l3:1=vsa6c0f>5<6std=n?h50;3xyk0e;90;6k9=6=4>{|l5f61=83;pqc8m3983>4}zf?h857>51zm2g5f290:wp`9b2`94?7|ug5<6std=n>h50;3xyk0e<90;6k>=6=4>{|l5f11=83;pqc8m4983>4}zf?h?57>51zm2g2f290:wp`9b5`94?7|ug5<6std=n9h50;3xyk0e=90;6k?=6=4>{|l5f01=83;pqc8m5983>4}zf?h>57>51zm2g3f290:wp`9b4`94?7|ug5<6std=n8h50;3xyk0e>90;6k<=6=4>{|l5f31=83;pqc8m6983>4}zf?h=57>51zm2g0f290:wp`9b7`94?7|ug5<6std=n;h50;3xyk0e?90;691<7?t}o4a31<728qvb;l85;295~{i>k==6=4>{|l5f21=83;pqc8m7983>4}zf?h<57>51zm2g1f290:wp`9b6`94?7|ug5<6std=n:h50;3xyk0e090;6k2=6=4>{|l5f=1=83;pqc8m8983>4}zf?h357>51zm2g>f290:wp`9b9`94?7|ug5<6std=n5h50;3xyk0e190;6k3=6=4>{|l5f<1=83;pqc8m9983>4}zf?h257>51zm2g?f290:wp`9b8`94?7|ugl3:1=vsa6c;f>5<6std=n4h50;3xyk0ei90;6kk=6=4>{|l5fd1=83;pqc8ma983>4}zf?hj57>51zm2ggf290:wp`9b``94?7|ug5<6std=nlh50;3xyk0ej90;6kh=6=4>{|l5fg1=83;pqc8mb983>4}zf?hi57>51zm2gdf290:wp`9bc`94?7|ug5<6std=noh50;3xyk0ek90;6ki=6=4>{|l5ff1=83;pqc8mc983>4}zf?hh57>51zm2gef290:wp`9bb`94?7|ug5<6std=nnh50;3xyk0el90;6kn=6=4>{|l5fa1=83;pqc8md983>4}zf?ho57>51zm2gbf290:wp`9be`94?7|ug5<6std=nih50;3xyk0em90;6ko=6=4>{|l5f`1=83;pqc8me983>4}zf?hn57>51zm2gcf290:wp`9bd`94?7|ug5<6std=nhh50;3xyk0en90;6kl=6=4>{|l5fc1=83;pqc8mf983>4}zf?hm57>51zm2g`f290:wp`9bg`94?7|ug5<6std=nkh50;3xyk0d890;6=:182xh1k991<7?t}o4`41<728qvb;m?5;295~{i>j:=6=4>{|l5g51=83;pqc8l0983>4}zf?i;57>51zm2f6f290:wp`9c1`94?7|ug5<6std=o=h50;3xyk0d990;65;295~{i>j;=6=4>{|l5g41=83;pqc8l1983>4}zf?i:57>51zm2f7f290:wp`9c0`94?7|ug5<6std=oj8=6=4>{|l5g71=83;pqc8l2983>4}zf?i957>51zm2f4f290:wp`9c3`94?7|ugn4?:0y~j3e5l3:1=vsa6b0f>5<6std=o?h50;3xyk0d;90;6j9=6=4>{|l5g61=83;pqc8l3983>4}zf?i857>51zm2f5f290:wp`9c2`94?7|ug5<6std=o>h50;3xyk0d<90;6j>=6=4>{|l5g11=83;pqc8l4983>4}zf?i?57>51zm2f2f290:wp`9c5`94?7|ug5<6std=o9h50;3xyk0d=90;6j?=6=4>{|l5g01=83;pqc8l5983>4}zf?i>57>51zm2f3f290:wp`9c4`94?7|ug5<6std=o8h50;3xyk0d>90;6j<=6=4>{|l5g31=83;pqc8l6983>4}zf?i=57>51zm2f0f290:wp`9c7`94?7|ug5<6std=o;h50;3xyk0d?90;691<7?t}o4`31<728qvb;m85;295~{i>j==6=4>{|l5g21=83;pqc8l7983>4}zf?i<57>51zm2f1f290:wp`9c6`94?7|ug5<6std=o:h50;3xyk0d090;6j2=6=4>{|l5g=1=83;pqc8l8983>4}zf?i357>51zm2f>f290:wp`9c9`94?7|ug5<6std=o5h50;3xyk0d190;6j3=6=4>{|l5g<1=83;pqc8l9983>4}zf?i257>51zm2f?f290:wp`9c8`94?7|ugl3:1=vsa6b;f>5<6std=o4h50;3xyk0di90;6jk=6=4>{|l5gd1=83;pqc8la983>4}zf?ij57>51zm2fgf290:wp`9c``94?7|ug5<6std=olh50;3xyk0dj90;6jh=6=4>{|l5gg1=83;pqc8lb983>4}zf?ii57>51zm2fdf290:wp`9cc`94?7|ug5<6std=ooh50;3xyk0dk90;6ji=6=4>{|l5gf1=83;pqc8lc983>4}zf?ih57>51zm2fef290:wp`9cb`94?7|ug5<6std=onh50;3xyk0dl90;6jn=6=4>{|l5ga1=83;pqc8ld983>4}zf?io57>51zm2fbf290:wp`9ce`94?7|ug5<6std=oih50;3xyk0dm90;6jo=6=4>{|l5g`1=83;pqc8le983>4}zf?in57>51zm2fcf290:wp`9cd`94?7|ug5<6std=ohh50;3xyk0dn90;6jl=6=4>{|l5gc1=83;pqc8lf983>4}zf?im57>51zm2f`f290:wp`9cg`94?7|ug5<6std=okh50;3xyk0c890;6=:182xh1l991<7?t}o4g41<728qvb;j?5;295~{i>m:=6=4>{|l5`51=83;pqc8k0983>4}zf?n;57>51zm2a6f290:wp`9d1`94?7|ug5<6std=h=h50;3xyk0c990;65;295~{i>m;=6=4>{|l5`41=83;pqc8k1983>4}zf?n:57>51zm2a7f290:wp`9d0`94?7|ug5<6std=hm8=6=4>{|l5`71=83;pqc8k2983>4}zf?n957>51zm2a4f290:wp`9d3`94?7|ugn4?:0y~j3b5l3:1=vsa6e0f>5<6std=h?h50;3xyk0c;90;6m9=6=4>{|l5`61=83;pqc8k3983>4}zf?n857>51zm2a5f290:wp`9d2`94?7|ug5<6std=h>h50;3xyk0c<90;6m>=6=4>{|l5`11=83;pqc8k4983>4}zf?n?57>51zm2a2f290:wp`9d5`94?7|ug5<6std=h9h50;3xyk0c=90;6m?=6=4>{|l5`01=83;pqc8k5983>4}zf?n>57>51zm2a3f290:wp`9d4`94?7|ug5<6std=h8h50;3xyk0c>90;6m<=6=4>{|l5`31=83;pqc8k6983>4}zf?n=57>51zm2a0f290:wp`9d7`94?7|ug5<6std=h;h50;3xyk0c?90;691<7?t}o4g31<728qvb;j85;295~{i>m==6=4>{|l5`21=83;pqc8k7983>4}zf?n<57>51zm2a1f290:wp`9d6`94?7|ug5<6std=h:h50;3xyk0c090;6m2=6=4>{|l5`=1=83;pqc8k8983>4}zf?n357>51zm2a>f290:wp`9d9`94?7|ug5<6std=h5h50;3xyk0c190;601295567!890$3:*2056728>;<=4>4123-46< 8=;<7?80182356.991#=5>?:0:34?7?89#:<6&=712962672;=;<$??;)0;45<509:1>5>?)028,667839;<=4<012*64=/;9:;6>>?0;1345/' :?;<7=:0180156.991#?8>?:2734?5289#o7%:?0;634?278 ;;7%8?0185456=>9:;%<>4(6234?17890<<=>&119+=56720:;<77?01+2?57<9;1:1392644<9;0DYY^ZT;PB847=8780=><41508504<9?80=:<419085<7<:;196<;2:066>40:283>6<61:11?6653:897>==;261?6353:<97>9>;508054<<8808?<44208034<=9809?<45208114<=<809;<459081<7<>;1=??59439517=1>81<>69?2:516>13:2==>6982:5;6>1>922975>=;931?=4531?9758=;951?=?630805=<49008=74<1:8059<49408=34<1>90MCJ6;@LMKQGSMM30MRH\B=33:a=FWOYI0<>1.QCG=>GXNZH7=<0k;@]EWG:697$[MI74A^DPF9756m1JSK]M<00=*UGC12KTJ^L3125?,SEA?e9B[CUE48<5"]OK9:C\BVD;9>4o7LQISC>23;(WIM30MRH\B=3;:a=FWOYI0<61.QCG=>GXNZH7=40k;@]EWG:617$[MI64A^DPF979k2KTJ^L31?,SEA?e9B[CUE4;:5"]OK9:C\BVD;:84o7LQISC>15;(WIM30MRH\B=01:a=FWOYI0?<1.QCGf>GXNZH7>>4>>89B[CUE4;95h6OPFR@?668)XHN37LQISC>1:f=FWOYI0?0!P@F;?DYA[K682n5N_GQA868)XHN37LQISC>7:f=FWOYI090!P@F;?DYA[K6>2n5N_GQA808)XHN37LQISC>5:f=FWOYI0;0!P@F;?DYA[K6<2n5N_GQA828)XHN37LQISC>;:f=FWOYI050!P@F;?DYA[K622n5N_GQA8<8)XHNo7LQISC]24ZOE]On0MRH\B^32[LDRNm1JSK]M_00\MGSAl2KTJ^LP12]JFP@c3HUM_OQ>4^KAQCbGXNZHT=:QFBTDg?DYA[KU:4RGMUGf8EZ@TJV;2SDLZF008EZ@TJV;2SDLZF%@VB5733HUM_OQ>9^KAQC"E]O:%H<;4A^DPFZ7>W@H^J)LZF1,G543<84A^DPFZ7>W@H^J)LZF1,F\U7e3HUM_OQ>9^KAQC"E]O:%IU^PPU]35g=FWOYIS<7PICWE GSA8'OS\R^[_03a?DYA[KU:5RGMUG&AQC6)MQZT\YQ=1c9B[CUEW83TEO[I$CWE4+C_XVZ_S>?m;@]EWGY61VCIYK*MUG2-A]VXX]U?>:5N_GQA[4?XAK_M(O[I0/G[TZrtadxnblcjd^lfpZ733HUM_OQ>9^KAQC"E]O:%]n5N_GQA[4YNJ\Lo7LQISC]14ZOE]On0MRH\B^02[LDRN880MRH\B^02[LDRN-H^J=?;;@]EWGY59VCIYK*MUG2-@43_H@VB!DRN9$O=<;4A^DPFZ46W@H^J)LZF1,G640_H@VB!DRN9$NT]<8;@]EWGY59VCIYK*MUG2-A]VX|zcf~h`nmdf\j`rX9=1JSK]M_33\MGSA,K_M<#_k;@]EWGY5:VCIYKj4A^DPFZ44W@H^Jn5N_GQA[7YNJ\Lh7LQISC]0[LDRNj1JSK]M_5]JFP@d3HUM_OQ:_H@VBf=FWOYIS;QFBTD`?DYA[KUW@H^J>5MUG:8FPUXAGLD86M>6L:8G40J&YKOi6M>6L]JFP@(NJF@j6M>6L]JFP@(NJF@!;5L21OFJd=D:9GNB#^ND79@60KBFh1H>8CJN/RB@6=D0D=0O5C!P@F;?F>JW@H^Jh5L8L]JFP@(NJF@!?5LE29@HN?Bbdhs:7H;4E/RB@d=BPY;?S#KWPg9F\U73W'OS\R^[_1d8A]V6C_X8>T"HV__QV\7c=BPY;?S#KWP^RW[1`S#KWPg9F\U72W'OS\R^[_1d8A]V6=V$NT]Q_T^3e?@^W9S#KWP^vpmhtbfhgnhR`jt^c8A]V6>V$NT]h4EYR22Z(BPYU[XR>i;DZS53Y)MQZT\YQ>f:G[T40X&LR[S]ZP2g9F\U71W'OS\R^[_2d8A]V6>V$NT]Q_T^62f>C_X8C_X8=T"HV__uqjiwciidooSck{_`9F\U7?W'OS\k5JXQ3;[+C_XVZ_S=h4EYR2X&LR[S]ZP3g9F\U7?W'OS\R^[_5d8A]V60V$NT]Q_T^72f>C_X82T"HV__uqjiwciidooSck{_`9F\U7>W'OS\k5JXQ3:[+C_XVZ_S=h4EYR2=Z(BPYU[XR?i;DZS5W'OS\R^[_5d8A]V61V$NT]Q_T^72f>C_X83T"HV__uqjiwciidooSck{_`9F\U47W'OS\k5JXQ03[+C_XVZ_S=h4EYR14Z(BPYU[XR?i;DZS65Y)MQZT\YQ=f:G[T76X&LR[S]ZP3g9F\U47W'OS\R^[_53a?@^W:9U%IU^Ptrknv`hfelnTbhzPa:G[T77X&LR[j6KWP33\*@^WWY^T8Q!EYR\TQY7n2OS\?;P.DZS[URX9o1NT]<:_/G[TZVSW;l0IU^=5^,F\UYW\V9m7HV_24]-A]VXX]U?j6KWP37\*@^WWY^T9;Q!EYR\TQY7n2OS\?8P.DZS[URX9o1NT]<9_/G[TZVSW;l0IU^=6^,F\UYW\V9m7HV_27]-A]VXX]U?j6KWP34\*@^WWY^T9:Q!EYR\TQY7n2OS\?9P.DZS[URX9o1NT]<8_/G[TZVSW;l0IU^=7^,F\UYW\V9m7HV_26]-A]VXX]U?j6KWP35\*@^WWY^T95Q!EYR\TQY7n2OS\?6P.DZS[URX9o1NT]<7_/G[TZVSW;l0IU^=8^,F\UYW\V9m7HV_29]-A]VXX]U?j6KWP3:\*@^WWY^T94Q!EYR\TQY7n2OS\?7P.DZS[URX9o1NT]<6_/G[TZVSW;l0IU^=9^,F\UYW\V9m7HV_28]-A]VXX]U?=o5JXQ0:[+C_XV~xe`|jn`of`Zhb|V<0IRGMUG58Aaab^hf?7KMCK99EGIM)XHNn7KMCK^KAQC)AKEAm7KMCK^KAQC)AKEA&>6HI3:Em`7=NF:1BB==4IO37?LH68=1BB459JJ4333@D::95FN057?LH60=1BB<7<;HL10>OI:9>0EC<>4:KM672;:4IO040>OI:1>0EC<63:KM71=NF::?7D@<129JJ15JHK>1GCJGLAMa8Idlhz_oydaac:OjjjtQm{ybcc;4N1&LA1=I8-[h7C>!BTDLA+IR\=1E<#J:;O2-@4395A0/S4?K6)\[Y_?6@?169M5!DRN930B<*MUG2-@d=I9-H^J= K1`9M5!DRN9$O>45A1%@VB5(V<2D:(\:4N0,G1>H6&M;>7C?!D368J4(V?2DNXZA]K29LJ@4VFZ]=0\D@AALGb?UOIWK_XEIVm;QKM[GSTFHGN:6^jrhmg<>Vhz}Zjxe;4R@>3:<=UI5:5"]OK6:PB8469i2XJ0<>1.QCG<>TF48;1<384R@>25;gTF4;427_O32?,SEA389QE939&YKO96\N<7<:?WG;>7$[MI;4R@>4:<=UI5=5"]OK5:PB8=8>3[K743 _AE78VD:>601YM171.QCG=>TFW9UDNXHm;SC\4ZIE]O$Oo6\N_1]LFP@)L8i0^LQ?_N@VB+B5981YMR>POCWE*A4XX]U;=<5]A^2\KGSA&M8T\YQ>b:PB[5YHJ\L%]45]A^3\KGSAj2XJS;SC\6ZIE]O$O>R^[_0f8VDY5WFH^J#KWP0g8VDY5WFH^J#KWP^vpmhtbfhgnhR`jt^`8VDY5WFH^J#_6;SC\7ZIE]Oh0^LQ<_N@VB+Bd3[KT?RAMUG,G5f=UIV9TCO[I.E025>TFW:UDNXH!D3]SPZ6692XJS>Q@BTD-@7YW\V;o7_OP3^MAQC(BPY;97_OP3^MAQC(BPYU[XR>>2:PB[6YHJ\L%IU^PPU]25`=UIV9TCO[I.DZS[qune{oem`kk_ogw[g=UIV9TCO[I.P;8VDY3WFH^Jo5]A^6\KGSA&Mi0^LQ;_N@VB+B6k2XJS9Q@BTD-@7763[KT8RAMUG,G6ZVSW9;:7_OP4^MAQC(C:VZ_S:i6\N_4]LFP@)MQZTx~gbrdlbi`bXfl~Tn6\N_4]LFP@)Y01YMR8POCWEf>TFW?UDNXH!Db9QEZ0XGK_M"I?l;SC\2ZIE]O$O>TFW?UDNXH!EYR\TQY49l1YMR8POCWE*@^WW}ybakaalgg[kcsWk1YMR8POCWE*T?;SC\3ZIE]O$O>R^[_0`8VDY0WFH^J#_6;SC\TFW1UDNXH!D3]SPZ6692XJS5Q@BTD-@7YW\V;:=6\N_9]LFP@)L;U[XR<>1:PB[=YHJ\L%H?Q_T^125>TFW1UDNXH!D3]SPZ2692XJS5Q@BTD-@7YW\V?:=6\N_9]LFP@)L;U[XR8m;SC\TFW0UDNXH!D0a8VDY>WFH^J#J=109QEZ?XGK_M"I^ND@DS!UJM 1,2$VRRJ):%=-O\CHK0?]IU>2RonRGkb:ZglZVuadCezn5Wdi]SvlkSqyom7Ujg_QpjiScu{`ee46Vkh^Vji`b0VH\@a:amp*Gh}}EN56mat.KSH7te3jd#D^C2s,Gg>ei|&C[@?|!D0a8gkr(AYF9~#J=b:amp*OWD;x%]i5lnu-JTI4u&[OLh6mat.NWmhcu494:<6mat.NWmhcu494%OHh4cov,HQojm{6;2#J>0:amp*JSadoy0=0!D033?fhs'E^bah|30?,G6c=df}%GXdcjr=2=*T763jd#AZfmdp?4;(UMN;n7n`{/MVji`t;87UdclrdcwaaYg{Un7n`{/MVji`t;994:=6mat.NWmhcu48:5"NK>0:amp*JSadoy0<>1.E32?fhs'E^bah|311<-@4763jd#AZfmdp?558)L;;=7n`{/MVji`t;994%H?Q_T^222>ei|&F_e`k}<02=*A4XX]U:=;5lnu-OPlkbz5;;2#J=_QV\64624;(V9;1hby!CThofv9776'XNK1:amp*JSadoy0ei|&F_e`k}<03=*A763jd#AZfmdp?548)L8;:7n`{/MVji`t;984%H??9;blw+IRnelx7=<0!D3]SPZ66>2iex"B[ilgq8479&M8T\YQ>179`jq)K\`gn~1?>>/F1[URX:8:0ocz LUknaw:697$Z=?5lnu-OPlkbz5;:2#\JG0d8gkr(D]cfi2>1?]wwlkdzlkiiQwos]f?fhs'E^bah|313<25>ei|&F_e`k}<00=*FC682iex"B[ilgq8449&M;:7n`{/MVji`t;9;4%H;blw+IRnelx7=?0!D335?fhs'E^bah|313<-@7YW\V:::6mat.NWmhcu4885"I<>4cov,HQojm{6:>3 ^139`jq)K\`gn~1?=>/PFC4`26;Ys{`gh~ho{ee]{kwYb3jd#AZfmdp?568692iex"B[ilgq8459&JO:<6mat.NWmhcu4895"I?>;blw+IRnelx7=>0!D032?fhs'E^bah|312<-@7713jd#AZfmdp?568)L;U[XR>>6:amp*JSadoy0<=1.E0\TQY6991hby!CThofv9746'[:>6mat.NWmhcu4895"_KH1g9`jq)K\`gn~1?<>^vpmheumh~nhRv`r^f8gkr(D]cfi2>>028gkr(D]cfi2>>/AFb>ei|&F_e`k}<0<-@462:+B6991hby!CThofv979&M8:96mat.NWmhcu484%H?Q_T^221>ei|&F_e`k}<0<-@7YW\V;:96mat.NWmhcu484%H?Q_T^025>ei|&F_e`k}<0<-A]V5:2iex"B[ilgq848)MQZTx~gbrdlbi`bXfl~Tj6mat.NWmhcu484%]7n`{/MVji`t;:7$O>R^[_136?fhs'E^bah|32?,G6ZVSW8;>7n`{/MVji`t;:7$O>R^[_3d8gkr(D]cfi2=>/S25>ei|&F_e`k}<3<-V@A6m2iex"B[ilgq878X|zcfokntdf\|jtXl2iex"B[ilgq868682iex"B[ilgq868)KLl0ocz LUknaw:46'N:<6mat.NWmhcu4:4%H/F1[URX88?0ocz LUknaw:46'N9S]ZP1078gkr(D]cfi2<>/F1[URX:o1hby!CThofv959&X;:7n`{/MVji`t;;7$YIJ?j;blw+IRnelx7?3Q{sho`v`gsmmUscQk;blw+IRnelx783??;blw+IRnelx783 LEg9`jq)K\`gn~1:1.E33?fhs'E^bah|34?,G5467:+B59<1hby!CThofv929&M8T\YQ?149`jq)K\`gn~1:1.E0\TQY69<1hby!CThofv929&M8T\YQ=f:amp*JSadoy090!Q038gkr(D]cfi2;>/PFC4c7:Zrtadiyilzjd^zlvZb6:466:+EBn2iex"B[ilgq808)L8:0ocz LUknaw:26'N:==5lnu-OPlkbz5?5"I<>5:amp*JSadoy080!D3]SPZ66=2iex"B[ilgq808)L;U[XR?>5:amp*JSadoy080!D3]SPZ4a3jd#AZfmdp?1;(V981hby!CThofv939&[OL=h5lnu-OPlkbz5?5Sy}fmbpfeqccWqeySi5lnu-OPlkbz5<5==5lnu-OPlkbz5<5"NKi;blw+IRnelx7:3 K119`jq)K\`gn~181.E324>ei|&F_e`k}<7<-@7723jd#AZfmdp?2;(C:VZ_S=?:;blw+IRnelx7:3 K2^RW[4723jd#AZfmdp?2;(C:VZ_S?h4cov,HQojm{6=2#_>1:amp*JSadoy0;0!RDE2a>ei|&F_e`k}<7<\pvojk{ojxhjPxnp\`>ei|&F_e`k}<6<24>ei|&F_e`k}<6<-G@`4:+B682iex"B[ilgq828)L8;;7n`{/MVji`t;?7$O><;4cov,HQojm{6<2#J=_QV\4434:+B5WY^T=<;4cov,HQojm{6<2#J=_QV\6474:+C_X;80ocz LUknaw:06'OS\Rz|ilpfjdkblVdnxRh4cov,HQojm{6<2#_>1:amp*JSadoy0:0!RDE2a>ei|&F_e`k}<6<\pvojk{ojxhjPxnp\`>ei|&F_e`k}<9<24>ei|&F_e`k}<9<-G@`;:+B682iex"B[ilgq8=8)L8;;7n`{/MVji`t;07$O><;4cov,HQojm{632#J=_QV\443;:+B5WY^T=<;4cov,HQojm{632#J=_QV\6c=df}%GXdcjr=:=*T763jd#AZfmdp?<;(UMN;n7n`{/MVji`t;07UdclrdcwaaYg{Uo7n`{/MVji`t;17;;7n`{/MVji`t;17$HIk5lnu-OPlkbz535"I??;blw+IRnelx753 K1028gkr(D]cfi26>/F150=df}%GXdcjr=;=*A4XX]U;=85lnu-OPlkbz535"I^vpmheumh~nhRv`r^32?fhs'YEY_RH\M^DE`4743jd#]A]S^DPIZ@Al8$O=95lnu-SKWUXNZGTJKj>.E320>ei|&ZD^^QISL]EBa7)L;;87n`{/QMQWZ@TEVLMh< ^149`jq)WG[YTJ^CPFGf2*WC@:;1hby!_OSQ\BVKXNOn:Sy}fmbpfeqccWqeySei|&ZD^^QISL]EBa4)ZLMj7n`{/SgeV`wa3jd#_kiRds-GIMAk2iex"\jfSgr*Ab1:amp*Rnelx7<3 LLJDf?fhs']cfi2?>/Fe?fhs']cfi2?>/F2b>ei|&^bah|30?,G6`=df}%_e`k}<1<-U462:+EKCOo0ocz Thofv979&Ml0ocz Thofv979&M;m7n`{/Uknaw:66'N9=95lnu-Wmhcu484%H?Q_T^220>ei|&^bah|31?,G6ZVSW8o0ocz Thofv979&X;;7n`{/Uknaw:66'XNKn5lnu-Wmhcu4;4:=6mat.Vji`t;:7$H@FHj;blw+Qojm{692#Ji;blw+Qojm{692#J>f:amp*Rnelx7>3 K2068gkr(\`gn~1<1.E0\TQY79=1hby![ilgq878)L;U[XR?j;blw+Qojm{692#_>0:amp*Rnelx7>3 ]EF3g?fhs']cfi2=>^vpmheumh~nhRv`r^a8gkr(\`gn~1=1109`jq)Sadoy0>0!CMIEa>ei|&^bah|33?,Gb>ei|&^bah|33?,G5c=df}%_e`k}<2<-@7733jd#Ygbes>0:+B5WY^T<<:4cov,Plkbz595"Iei|&^bah|33?,R55=df}%_e`k}<2<-V@A6l2iex"Zfmdp?7;Ys{`gh~ho{ee]{kwYe3jd#YgbesV@55=df}%_e`k}TB,@HN@c3jd#YgbesV@*Ac0ocz nCP\MJD)MQZT\YQ>159`jq)iJ[UBCO JXQ]SPZ46<2iex"`MR^KLF+C_XVZ_S>?;;blw+kDUW@EI"HV__QV\076ei|&dYI^azt/Ff?fhs'gXN_b{{.E3f?fhs'gXN_b{{.E027>ei|&dYI^azt/F1[URX8890ocz nSGPkpr)L;U[XR?k;blw+kTB[f"\h4cov,jWCTg|~%^HIl;bq,jJpbzekr4:ap+kIqm{fju= K2^RW[5733jy$bBxjrmcz4+B5WY^T=<>4cr-mKscudhs;"HV_209`w*hH~lxgmt>!EYR\pvojzldjahjPndv\a>et'gE}ibny1,R55=d{&dDzh|cax2-V@A692ix#cAyesnb}5(U[]L:i6m|/oMuawjfq9UdclrdcwaaYwzenT=i5ls.lLr`tkip:Tx~gbcsgbp`bXpfxTo6m|/oMuawjfq8l0o~!aOwgqhd6&JOn7n} nNtfvig~9'Nm7n} nNtfvig~9'N:j6m|/oMuawjfq8$O>h5ls.lLr`tkip;%]<>4cr-mKscudhs:"_KH4:ggc`>5isc-Qadb~8{$O>R^[_130?cue'[ojht>}.E0\TQY69:1mo!]e`fz4w(C:VZ_S?j4fr`,V`gcq9x%]k5isc-Qadb~8{$YIJl4fr`,V`gcq8xo7k}m/Sgb`|7u&Mo0j~l Rdcg}4t)L8o0j~l Rdcg}4t)L;;87k}m/Sgb`|7u&M8T\YQ?129ewg)Umhnr= K2^RW[4743oyi#_kndx3q*A4XX]U9=>5isc-Qadb~9{$O>R^[_230?cue'[ojht?}.E0\TQY3n2lxn"\jae{2v+C_X;:0j~l Rdcg}4t)MQZTx~gbrdlbi`bXfl~Th6h|b.Pfea6z'[m7k}m/Sgb`|7u&[OL;6h|b.TSE<=a{k%]\L Ka:dpf*PWI'N:m6h|b.TSE+B5n2lxn"X_A/F1[URX8o1mo!YP@,G6ZVSW8l0j~l VQC-@7YW\V8m7k}m/WRB*A4XX]U8j6h|b.TSE+B5WY^T8k5isc-UTD(C:VZ_S8h4fr`,RUG)L;U[XR86;gqa+SVF&Xh0j~l VQC-V@Af3oyi#cJ[ABI`?cue'gN_MNE!De9ewg)iL]KHG#J>d:dpf*hC\HI@"I<>2:dpf*hC\HI@"I`tj&dOXLMD.DZS[URX8890j~l nEVBGN(BPYU[XR?>f:dpf*hC\HI@"HV__uqjiwciidooSck{_b9ewg)iL]KHG#_j;gqa+kBSIJA%^HIj;gqa+kBSIJA%YDC6;hmai*GKM9h0eblb/@NF4+Bd3`eia"OCE1,G5f=ngkg$MAK?.E025>ohjd%J@H>!D3]SPZ6692cdn`!NLD2-@7YW\V;i7damm.COA5(Vl2cdn`!NLD2-V@Ae3`eia"LJRSpe`>ohjd%II_\}f/Ff?liee&HN^_|i.E3f?liee&HN^_|i.E0g?liee&HN^_|i.Pd8mjdj'KOY^h!RDEa?liee&HN^_|}d:klfh)EM[Xy~#Jj;hmai*DBZ[xy"I?j;hmai*DBZ[xy"I0:klfh)C\HI@~k ]EFa8mjdj'M^JOF|}e:klfh)C\HI@~ Kf:klfh)C\HI@~ K1g9jkgk(L]KHG|!D3g8mjdj'M^JOF|}.P33?liee&N_MNE}r/PFCg=ngkg$Hb{{ODf8mjdj'Me~xBK!Dd9jkgk(LfCH K1d9jkgk(LfCH K2018mjdj'Me~xBK!D3]SPZ66;2cdn`!KotvLA+B5WY^T=i5foco,@jssGL$Zj6g`bl-GkprHM'XNKl5foco,AV:76j1bcoc ER>3:+Bc3`eia"K\<1<-@4b2:klfh)B[5:5"Iohjd%N_1>1.Pg8mjdj'LY7<3 ]EF3a?liee&OX0=0Ptrkngwcf|lnTtb|Pa:klfh)B[5;5o6g`bl-FW979&Mn0eblb/DQ?5;(C9m1bcoc ER>2:+B59;1bcoc ER>2:+B5WY^T<<<4in`n+@U;97$O>R^[_031?liee&OX0<0!D3]SPZ4d3`eia"K\<0<-U`=ngkg$I^2>>/PFCd=ngkg$I^2=>b9jkgk(MZ692#Jk;hmai*CT4;4%H<<4in`n+@U;:7$O>R^[_131?liee&OX0?0!D3]SPZ76:2cdn`!JS=0=*A4XX]U9=?5foco,AV:56'N9S]ZP3008mjdj'LY7>3 K2^RW[1eohjd%N_1<1.SGDe>ohjd%N_1=1c:klfh)B[595"Ij4in`n+@U;;7$O=i5foco,AV:46'N9=?5foco,AV:46'N9S]ZP0008mjdj'LY7?3 K2^RW[4753`eia"K\<2<-@7YW\V8h7damm.GP868)Yl1bcoc ER>0:+TBO8h0eblb/DQ?7;Ys{`gh~ho{ee]{kwYf3`eia"K\<5<`?liee&OX090!De9jkgk(MZ6?2#J>d:klfh)B[5>5"I<>2:klfh)B[5>5"I/F1[URX9880eblb/DQ?0;(C:VZ_S??=;hmai*CT4=4%H?Q_T^1`?liee&OX090!Qd9jkgk(MZ6?2#\JG0`8mjdj'LY783Q{sho`v`gsmmUscQn;hmai*CSIJAh7damm.GWEFM)Lm1bcoc EUC@O+B6l2cdn`!JT@AH*A4d3`eia"K[ABI-U`=ngkg$IYOLK/PFC2=ngkg$I74in`n+@t)Lh1bcoc Es,G5d=ngkg$I K289jkgk(M{$Zn6g`bl-Fv+TBO11bcoc Es0b?liee&Oy>#Jm;hmai*Cu:'N:n6g`bl-Fv7(C:h1bcoc Es0-Uf=ngkg$I6g`bl-JKDES&M8T\YQ?139jkgk(AFKHX#J=_QV\5442:klfh)NGHI_"Iohjd%BCOK]R/Ff?liee&CDNH\].E3f?liee&CDNH\].E027>ohjd%BCOK]R/F1[URX8890eblb/HMAAWT)L;U[XR?>3:klfh)NGKOY^#J=_QV\6455foco,MJUXNZGTJKj>.E37?liee&CD_RH\M^DE`4(C98>0eblb/HMP[CUJWOLo=#J=189jkgk(AFYTJ^CPFGf2*A4XX]U;=45foco,MJUXNZGTJKj>.E0\TQY69:1bcoc INQ\BVKXNOn:"\?:;hmai*OH[VLXARHId0,QAB763`eia"G@S^DPIZ@Al;;87damm.KLWZ@TEVLMh? K159jkgk(AFYTJ^CPFGf1*A76<2cdn`!FOR]EWHYANm8%H??6;hmai*OH[VLXARHId3,G6ZVSW9;27damm.KLWZ@TEVLMh? K2^RW[47>3`eia"G@S^DPIZ@Al;$O>R^[_33:?liee&CD_RH\M^DE`7(C:VZ_S>?6;hmai*OH[VLXARHId3,G6ZVSW=;87damm.KLWZ@TEVLMh? ^149jkgk(AFYTJ^CPFGf1*WC@:;1bcoc INQ\BVKXNOn9Sy}fmbpfeqccWqeyS5:klfh)NGZUM_@QIFe1-V@Af3`eia"\JSsd`?liee&XN_h!De9jkgk(ZLYyj#J>d:klfh)UMZxm"Iohjd%eM^azt/Ff?liee&dJ_b{{.E3f?liee&dJ_b{{.E027>ohjd%eM^azt/F1[URX8890eblb/oCPkpr)L;U[XR?k;hmai*hF[f"\h4in`n+kGTg|~%^HI>0:klfh)iIZe~x#Z]SU`8mjdj'gNbbAKk;hmai*hCagFN"Ik4in`n+kBnfEO%H;hmai*hKLZ$O>R^[_032?liee&dGH^ K2^RW[7d;hmai*hRLZ$O>R^[_032?liee&d^H^ K2^RW[7dd:klfv)Ddlky=#Jj;hmaw*Ekmhx:"I?j;hmaw*Ekmhx:"I.Pd8mjdt'Jfnm?!RDEa?lie{&CDMNZ}d:klfv)NGHI_~#Jj;hmaw*OHIJ^y"I?j;hmaw*OHIJ^y"Ic:klfv)NGE;%OHl4in`p+LIK9'Nh7dams.KLH4(C9j1bco} INN2*A4e3`ei"G@L0,R`>ohjz%BCA?!RDEb?lie{&CD^H_l;hmaw*OHZL[%Hi5focq,MJTBY'N:h6g`br-JKWCV&M8:>6g`br-JKWCV&M8T\YQ?139jkgu(AFXN]#J=_QV\5443:klfv)NG[OZ"HV__QV\445ohjz%BC_Y?.E026>ohjz%BC_Y?.E0\TQY79;1bco} INPT4+B5WY^T=<<4in`p+LIU_9$O>R^[_331?lie{&CD^Z>!D3]SPZ56:2cdn~!FOSU3*A4XX]U?=?5focq,MJTP8'N9S]ZP5008mjdt'@EY[= K2^RW[3eohjz%BC_Y?.SGDe>ohjz%BC_Y>c:klfv)NG[]:"Ij4in`p+LIU_8$O=i5focq,MJTP9'N9=?5focq,MJTP9'N9S]ZP0008mjdt'@EY[< K2^RW[4753`ei"G@RV3-@7YW\V8:>6g`br-JKWQ6&M8T\YQ<139jkgu(AFX\=#J=_QV\044R^[_137?lie{&CD^hoky/F1[URX98>0ebl|/HMQadb~&M8T\YQ=159jkgu(AFXnmiw!D3]SPZ5b3`ei"G@Rdcg}+W682cdn~!FOSgb`|(UMN;o7dams.KLV`gcqV~xe`m}e`vf`Z~hzV30ebl|/HMV4f=ngky$EB[?.BGa?lie{&CDY= Kc:klfv)NG\:%Hohjz%BCX>!Qe9jkgu(AF_;"_KH9:klfv)NG\;h7dams.KLQ4(DMk1bco} INW2*Aeohjz%BCX?!D3`8mjdt'@E^=#_k;hmaw*OH]8$YIJo4in`p+Iifl8i0ebl|/Mmb`4(Cl2cdn~!Co`f2*A7c3`ei"B`ae3-@7753`ei"B`ae3-@7YW\V::>6g`br-Okdb6&M8T\YQ>139jkgu(Dfko=#J=_QV\6442:klfv)Kghn:"Ij1bco} Lncg5+Wb3`ei"B`ae3-V@A>3`ei"Aacd`8mjdt'Fdhi#Jl;hmaw*Iikl$O=n5focq,Kkeb&M8:=6g`br-Ljfc)L;U[XR>>1:klfv)Hfjo%H?Q_T^325>ohjz%Dbnk!D3]SPZ4692cdn~!@nbg-@7YW\V9:=6g`br-Ljfc)L;U[XR:>1:klfv)Hfjo%H?Q_T^725>ohjz%Dbnk!D3]SPZ0e3`ei"Aacd,R`>ohjz%Dbnk!RDE2e>ohjz%DbnkPtrkngwcf|lnTtb|P119jkgu(XZUM_@QIFe326>ohjz%[_RH\M^DE`4(C9:1bco} PR]EWHYANm;%H>8:klfv)W[VLXARHId0,G6ZVSW8;?7dams.RP[CUJWOLo=#KWP378mjdt'YYTJ^CPFGf2*@^WW}ybakaalgg[kcsW880ebl|/QQ\BVKXNOn:"\?;;hmaw*VTWOYFSKHk1/PFC46ohjz%[_RH\M^DE`7(C:820ebl|/QQ\BVKXNOn9"I8:klfv)W[VLXARHId3,G6ZVSW;;37dams.RP[CUJWOLo>#J=_QV\7421:klfv)iJLXYSK]M.E325>ohjz%eNH\]_GQA*A46>2cdn~!aBDPQ[CUE&M8T\YQ?179jkgu(fKOY^RH\B/F1[URX9880ebl|/o@FVWYA[K$NT]?8;hmaw*hEM[XTJ^L!EYR\TQY79>1bco} nCGQVZ@TJ'OS\R^[_034?lie{&dII_\PFR@-A]VXX]U9=:5focq,jGCUZVLXN#KWP^RW[6443`ei"`MESP\BVD)MQZTx~gbrdlbi`bXfl~T==5focq,jGCUZVLXN#_>2:klfv)iJLXYSK]M.SGD57=ngky$bOK]R^DPF+SNE8l0ebl|/o@FVWYA[KUdclrdcwaaYg{U27cOKotvOA5b4n@FlqqJB9VEIYK K109mEAir|EO:SBLZF/F254=iIMe~xAK>_N@VB+B59?1eMIaztMG2[JDRN'N9S]ZP0048jDBh}}FN=RAMUG,G6ZVSW8;;7cOKotvOA4YHJ\L%]<<4n@FlqqJB9VEIYK ]EF58jDUXNZHi7cO\_GQA*UGCk2dJ_RH\B^KAQC11eN_QFOC`8jGTXAFH%\LJ;;oABW==iKHY%\LJn;oFWEFMXNZHn7cJ[ABI\BVD)XHNj7cJ[ABI\MJDb3gN_MNEPIN@-TDBa3gN_MNEPIN@\MGSA>2dOecBJa:lGmkJB&YKO:6`KioMFe>hCagEN"]OKb:lGmkIBWFH^Ji5aDhlLAZIE]O$Oi6`KioMF[JDRN'N:i6`KioMF[JDRN'N9=>5aDhlLAZIE]O$O>R^[_130?kBnfFOTCO[I.E0\TQY69:1eHd`@E^MAQC(C:VZ_S?j4nEkmK@YHJ\L%]:5aDnwwK@dhHMVEIYK K1c9mK@YHJ\L%H?o4nNG\KGSA&X=0b_OBLVGa?kTFEE]N"]OKc:lQEHJPMVEIYKk4nSCNHRCXGK_M"Ih4nSCNHRCXGK_M"I?i;oPBIIQBWFH^J#J=e:lQEHJPMVEIYK ^7:lQEHSPMk1e^LCZWD,SEAehUID_\IRAMUG,Gb>hUID_\IRAMUG,G5c=iZHG^[HQ@BTD-@7773gXJAXYJ_N@VB+C_X8?0b_OBUVG\KGSA&LR[S]ZP0078jWGJ]^OTCO[I.DZS[URX98?0b_OBUVG\KGSA&LR[S]ZP2078jWGJ]^OTCO[I.DZS[URX;8?0b_OBUVG\KGSA&LR[S]ZP4338jWGJ]^OTCO[I.DZS[qune{oem`kk_ogw[`=iZHG^[HQ@BTD-U1=iZHY37c\NS/RB@<=iZHYTCO[Ib:lQEVYHJ\L%Hn5aR@Q\KGSA&M;h7c\NS^MAQC(C:8;0b_O\_N@VB+B5WY^T<hUGDIXSBLZF/G[TZVSW9;?7c\@MBQ\KGSA&LR[S]ZP1068jWIJKZUDNXH!EYR\TQY59=1e^BCLS^MAQC(BPYU[XR=>4:lQKHETWFH^J#KWP^RW[1473gXDAN]POCWE*@^WW}ybakaalgg[kcsWm1e^BCLS^MAQC(V>2dYC@YJa:lQKHQB&YKOn6`]OLUF[JDRNm1e^BCXE^MAQC(Cm2dYC@YJ_N@VB+B6m2dYC@YJ_N@VB+B5n2dYC@YJ_N@VB+C_X8>0b_ABWD]LFP@)MQZT\YQ?159mVJKPMVEIYK JXQ]SPZ7582dYC@YJ_N@VB+C_XV~xe`|jn`of`Zhb|Vn0b_ABWD]LFP@)Y11eYI]PFR@`?kSC[VLXN#^NDe9mQAUXNZHTEO[I8:lV@VYNGKi0bXJ\_HMA*UGC02d]ALQFOCa8jSKFW@EI"]OK8:lUTDYA[Ki0b[^N_GQA*UGCl2d]\LQISC]LFP@a3g\[MRH\B^MAQC(C991eZ]OPFR@\KGSA&M;:<6`YP@]EWGYHJ\L%H?h4nWRB[CUEWFH^J#_7;oTSEZOHJj1eZ]OPIN@-TDBc3g\[MRG@B^KAQC10blcj7:lfc`b`m>1{c~kkgd;8vdk(JHI_~o5}al-AEFRu&Mi0~lc B@AWv+B6k2xja"LNCUp-@7b2:pbi*DFK]x%IU^PPU]157=uid%IMNZ}.DZS[URX;8o0~lc B@AWv+C_XV~xe`|jn`of`Zhb|Vh0~lc B@AWv+Wc3{kf#OOLTs,QAB?;scn+WGJMG$O>R^[_032?wgj'[KFIC K2^RW[7763{kf#_OBEO,G6ZVSW:;:7ob/SCNAK(C:VZ_S9?>;scn+WGJMG$O>R^[_4`8vdk(ZHGNB#_k;scn+WGJMG$YIJm4r`o,VDKUmhnri6|nm.PBIWcflp$Oj6|nm.PBIWcflp$O=k5}al-QEHTbims%H??;;scn+WGJZlkou#J=_QV\442tfe&XJA_kndx,G6ZVSW:;;7ob/SCNV`gcq'OS\<;4r`o,VDKUmhnr"HV__QV\443tfe&XJ_HBm;scn+WGTME$Oo6|nm.PBW@J)L8i0~lc R@QFH+B5981ym`!]ARGO*A4XX]U;=<5}al-QEVCK&M8T\YQ>109qeh)UIZOG"Itfe&XJ_HB!Qe9qeh)UIZOG"_KHf:pbi*TTWOYFSKHk1038vdk(ZZUM_@QIFe3-@447:pbi*TTWOYFSKHk1/F1[URX88=0~lc RR]EWHYANm;%H?Q_T^323>tfe&XXSK]B_GDg5+B5WY^T><94r`o,VVYA[DUMJi?!D3]SPZ56;2xja"\\_GQN[C@c9'OS\<64r`o,VVYA[DUMJi?!EYR\TQY7911ym`!]S^DPIZ@Al8$NT]Q_T^310>tfe&XXSK]B_GDg5+C_XV~xe`|jn`of`Zhb|V;:7ob/SQ\BVKXNOn:"\?<;scn+WUXNZGTJKj>.SGDb>tfe&XXSK]B_GDg647tfe&XXSK]B_GDg6+B5WY^T<<94r`o,VVYA[DUMJi#J=_QV\0411:pbi*TTWOYFSKHk2/S27>tfe&XXSK]B_GDg6+TBOo1ym`!]S^DPIZ@Al:;:7ob/SQ\BVKXNOn8"I?=;scn+WUXNZGTJKj<.E326>tfe&XXSK]B_GDg7+B59>1ym`!]S^DPIZ@Al:$O>R^[_134?wgj'[YTJ^CPFGf0*A4XX]U:=:5}al-QWZ@TEVLMh> K2^RW[7743{kf#_]PFRO\BCb4&LR[=55}al-QWZ@TEVLMh> JXQ]SPZ6602xja"\\_GQN[C@c;'OS\R^[_03;?wgj'[YTJ^CPFGf0*@^WWY^T>?:4r`o,VVYA[DUMJi=!EYR\pvojzldjahjPndv\54=uid%Y_RH\M^DE`6(V9:1ym`!]S^DPIZ@Al:$YIJ<=4r`o,V``Cggo%H?Q_T^227>tfe&XnjIaae/F1[URX9o1ym`!]egFlj`(BPY;?7ob/Sge@jhb&LR[S]ZP0068vdk(ZllOcck!EYR\TQY6:91ym`!]egFlj`(BPYUdc}eocnaaYim}Uo7ob/Sge@jhb&Xl0~lc RddGkkc)ZLMj7ob/SgeVDUd3{kf#_kiR@Q-@a=uid%Yik\NS/F2`>tfe&Xnj_O\.E0`?wgj'[om^L]!Qd9qeh)UmoXJ_#\JG`9qeh)UmoXn}n5}al-QacTby'No7ob/SgeV`w)L8n0~lc RddQat(C:j1ym`!]egPfu+Wb3{kf#_kiRds-V@Ab3{kf#_kiRdsP}ke682xja"\jfSgrW|hd&M;:7ob/SgeV`wTqgi%H;scn+WcaZl{Xucm!D333?wgj'[om^h\yoa-U444r`o,V``Rznoex#J=f:pbi*Tbn\xlicz!Q038vdk(Zll^~jkat/PFC474:pbi*Tbo\xlicz\yoa-@4733{kf#_khUsefjqU~fj$O><=4r`o,V`aRznoex^wac/S21>tfe&XnkX|heovP}ke)ZLM37ob/oABWd=uid%eOL]!Dc9qeh)iKHY%H:m0c79f5d?sg89>7?4n300>3=#:8h1><74}Ra4>77?290:?9l?b48a4g?6=4>:0yPg=<5910;6<=;b1`6>g6e02|_9i4?:082>1c>sZi36??7:18271d7j<0i7}r9>;1=6{>7383?x"59;0:;=5+19`9641v<=:049yl>e290/=5k57`9m5=b=m21b444?:%3;a?1f3g;3h7h4;h4;>5<#91o1;l5a19f9<>=n>?0;6)?7e;5b?k7?l3307d8;:18'5=c=?h1e=5j5a:9j27<72-;3i79n;o3;`?d<3`<;6=4+19g93d=i91n1o65f5d83>!7?m3=j7c?7d;f8?l1e290/=5k57`9m5=b=821b;54?:%3;a?1f3g;3h7?4;h55>5<#91o1;l5a19f96>=n?=0;6)?7e;5b?k7?l3907d9?:18'5=c=?h1e=5j54:9j2`<72-;3i79n;o3;`?3<3`!7?m3=j7c?7d;58?ld>2900e8l9:188mf7=831b=9850;9j17c=831b98h50;9j1d3=831b9>j50;9jga<722c>9n4?::k603<722c>n>4?::k46?6=,82n6:o4n0:g>40<3`=o6=4+19g93d=i91n1=854i6d94?"60l08e820>=n080;6)?7e;5b?k7?l3;876g73;29 4>b2>k0b<6k:008?l>2290/=5k57`9m5=b=9810e5950;&2<`<0i2d:4i4>0:9l1dg=831d9;<50;9l1d>=831d9>?50;9l112=831d9>l50;9l116=831d=4?50;&2<`<61<1e=5j5b:9l5<>=83.:4h4>949m5=b=i21d=4o50;&2<`<61<1e=5j59:9l5949m5=b=021d=4k50;&2<`<61<1e=5j57:9l5d6=83.:4h4>949m5=b=>21d=l<50;&2<`<61<1e=5j55:9l5d2=83.:4h4>949m5=b=<21d=l850;&2<`<61<1e=5j53:9l5d>=83.:4h4>949m5=b=:21d98;50;9l132=831d94o50;9l1de=831d99750;9l14b=831d99l50;9l1=6=831d99<50;9(1=g=831d=4850;&2<`<61<1e=5j50:9l5<5=83.:4h4>949m5=b=921d9?:50;9l165=831d9;l50;9l124=831d95m50;9lfc<722eih7>5;c:`>5<6290;w)<>d;3;e>N5981b=5o50;&15a<60h1/=;h5429'5=e=<:10qol9:181>5<7s-8:h7l8;I025>o6d;37g>=h9131<7*=1e82<<=Q:8l1=vB=2082Sed2;q/=4>519;8R4222;q/9ol5c:&6fd4<729q/>5$33g>4>f3-;=j7=j;%3;g?5b32win84?:383>5}#:8n1n:5G2038m42d290/>:0yUgf<5s-;2<7?79:T200<5s-?in7m4$4`b>f=zutw0qo86:182>5<7s-8:h7?7a:J154=n91k1<7*=1e821:k20f<72-8:h7?;c:9l5=?=83.9=i4>889U64`=9rF9><4>{Wa`>7}#90:1=574V066>7}#=kh1o6*:b`8`?x{zu2wi::4?:083>5}#:8n1=5o4H332?l7?i3:1(??k:0:b?!71n3;>7)?7c;36?>{e180;6?4?:1y'64b=j>1C>5<#:8n1=9m4;n3;=?6=,;;o6<66;W02b?7|D;8:683;356X>4481!3ej3i0(8ln:b9~yx{6=4>:183!46l3;3m6F=109j5=g=83.9=i4>8`9'53`=9=1/=5m51598yg?729096=4?{%02`?d03A8:=6g>4b83>!46l3;?o65`19;94?"59m0:445Y20d95~J5:80:w[ml:3y'5<6=9130Z<:::3y'1gd=k2.>nl4l;|~y>{e>:0;6<4?:1y'64b=91k0D??>;h3;e?6=,;;o6<6n;%35b?743-;3o7?<;:af;3xH74628q]on4={%3:4?7?12\:884={%7af?e<,54}c:f>5<5290;w)<>d;`4?M4692c:8n4?:%02`?73k21d=5750;&15a<6001]>>6?u+5c`9g>"2jh0h7psr}:a1c<7280;6=u+20f95=g<@;;:7d?7a;29 77c282j7)?9f;6a?!7?k3>i76sm8e83>7<729q/>h6=4+20f951e<3f;357>5$33g>4>>3_8:j7?tL302>4}Qkj09w)?60;3;=>P6<<09w);mb;a8 0df2j1vqps4}c5`>5<6290;w)<>d;3;e>N5981b=5o50;&15a<60h1/=;h5229'5=e=::10qooj:181>5<7s-8:h7l8;I025>o6d;37g>=h9131<7*=1e82<<=Q:8l1=vB=2082Sed2;q/=4>519;8R4222;q/9ol5c:&6fd4<729q/>5$33g>4>f3-;=j7?i;%3;g?7a32wimi4?:383>5}#:8n1n:5G2038m42d290/>:0yUgf<5s-;2<7?79:T200<5s-?in7m4$4`b>f=zutw0qo98:182>5<7s-8:h7?7a:J154=n91k1<7*=1e826=4=:183!46l3h<7E<>1:k20f<72-8:h7?;c:9l5=?=83.9=i4>889U64`=9rF9><4>{Wa`>7}#90:1=574V066>7}#=kh1o6*:b`8`?x{zu2wi;84?:083>5}#:8n1=5o4H332?l7?i3:1(??k:0:b?!71n3;h7)?7c;3`?>{ei=0;6?4?:1y'64b=j>1C>5<#:8n1=9m4;n3;=?6=,;;o6<66;W02b?7|D;8:683;356X>4481!3ej3i0(8ln:b9~yx{:183!46l3;3m6F=109j5=g=83.9=i4>8`9'53`=9k1/=5m51c98yg?129096=4?{%02`?d03A8:=6g>4b83>!46l3;?o65`19;94?"59m0:445Y20d95~J5:80:w[ml:3y'5<6=9130Z<:::3y'1gd=k2.>nl4l;|~y>{e>o0;6<4?:1y'64b=91k0D??>;h3;e?6=,;;o6<6n;%35b?7f3-;3o7?n;:a=0<72;0;6=u+20f9f2=O:8;0e<:l:18'64b=9=i07b?79;29 77c28227[<>f;3xH74628q]on4={%3:4?7?12\:884={%7af?e<,5<5290;w)<>d;`4?M4692c:8n4?:%02`?73k21d=5750;&15a<6001]>>6?u+5c`9g>"2jh0h7psr}:a2g<7280;6=u+20f95=g<@;;:7d?7a;29 77c282j7)?9f;3;?!7?k3;376sm9283>7<729q/>h6=4+20f951e<3f;357>5$33g>4>>3_8:j7?tL302>4}Qkj09w)?60;3;=>P6<<09w);mb;a8 0df2j1vqps4}c`b>5<6290;w)<>d;3;e>N5981b=5o50;&15a<60h1/=;h5219'5=e=:910qolm:181>5<7s-8:h7l8;I025>o6d;37g>=h9131<7*=1e82<<=Q:8l1=vB=2082Sed2;q/=4>519;8R4222;q/9ol57:&6fd<03twvq6smbb83>7<729q/>h6=4+20f951e<3f;357>5$33g>4>>3A8:o6X=1g82I4593;pZnm52z&2=5<6001]=9;52z&6fg<03-?im794}|~?xd2j>0;6<4?:1y'64b=91k0D??>;h3;e?6=,;;o6<6n;%35b?403-;3o7<8;:a1g>=8381<7>t$33g>g1<@;;:7d?;c;29 77c28>h76a>8883>!46l3;356X=1g82I4593;pZnm52z&2=5<6001]=9;52z&6fg50z&15a<60h1C>5<#:8n1=5o4$04e>77<,82h6??4;|``7?6=:3:1N5981b=9m50;&15a<64>>3_;?97f=#=kk1o6sr}|9~ff2=8381<7>t$33g>g1<@;;:7d?;c;29 77c28>h76a>8883>!46l3;356F=1b9U64`=9rF9><4>{Wa`>7}#90:1=574V066>7}#=kh1;6*:b`84?x{zu2wi=9950;394?6|,;;o6<6n;I025>o60h0;6)<>d;3;e>"6>o0996*>8b811>=zj8>36=4=:183!46l3h<7E<>1:k20f<72-8:h7?;c:9l5=?=83.9=i4>889U64`=9rF9><4>{Wa`>7}#90:1=574V066>7}#=kh1;6*:b`84?x{zu2wi=9750;094?6|,;;o6o94H332?l73k3:1(??k:06`?>i6000;6)<>d;3;=>N59j1]>>6?u+5c`93>"2jh0<7psr}:a17`=83;1<7>t$33g>4>f3A8:=6g>8`83>!46l3;3m6*>6g81e>"60j09m65rb413>5<5290;w)<>d;`4?M4692c:8n4?:%02`?73k21d=5750;&15a<6001]>>6?u+5c`9g>"2jh0h7psr}:a52d=8381<7>t$33g>g1<@;;:7d?;c;29 77c28>h76a>8883>!46l3;356X=1g82I4593;pZnm52z&2=5<6001]=9;52z&6fg90;6<4?:1y'64b=91k0D??>;h3;e?6=,;;o6<6n;%35b?4>3-;3o7<6;:a137=8381<7>t$33g>g1<@;;:7d?;c;29 77c28>h76a>8883>!46l3;356X=1g82I4593;pZnm52z&2=5<6001]=9;52z&6fg;h3;e?6=,;;o6<6n;%35b?4e3-;3o7t$33g>g1<@;;:7d?;c;29 77c28>h76a>8883>!46l3;356X=1g82I4593;pZnm52z&2=5<6001]=9;52z&6fg;h3;e?6=,;;o6<6n;%35b?203-;3o7:8;:a16`=8381<7>t$33g>g1<@;;:7d?;c;29 77c28>h76a>8883>!46l3;356X=1g82I4593;pZnm52z&2=5<6001]=9;52z&6fg50z&15a<60h1C>5<#:8n1=5o4$04e>72<,82h6?:4;|`201<72;0;6=u+20f9f2=O:8;0e<:l:18'64b=9=i07b?79;29 77c28227[<>f;3xH74628q]on4={%3:4?7?12\:884={%7af?e<,50z&15a<60h1C>5<#:8n1=5o4$04e>00<,82h6884;|`61`<72;0;6=u+20f9f2=O:8;0e<:l:18'64b=9=i07b?79;29 77c28227[<>f;3xH74628q]on4={%3:4?7?12\:884={%7af?e<,50z&15a<60h1C>5<#:8n1=5o4$04e>1?<,82h6974;|`60=<72;0;6=u+20f9f2=O:8;0e<:l:18'64b=9=i07b?79;29 77c28227[<>f;3xH74628q]on4={%3:4?7?12\:884={%7af?e<,50z&15a<60h1C>5<#:8n1=5o4$04e>1><,82h6964;|`6f0<72;0;6=u+20f9f2=O:8;0e<:l:18'64b=9=i07b?79;29 77c28227[<>f;3xH74628q]on4={%3:4?7?12\:884={%7af?e<,5<5290;w)<>d;`4?M4692c:8n4?:%02`?73k21d=5750;&15a<6001]>>6?u+5c`9g>"2jh0h7psr}:a3`<7280;6=u+20f95=g<@;;:7d?7a;29 77c282j7)?9f;7:?!7?k3?276smag83>7<729q/>h6=4+20f951e<3f;357>5$33g>4>>3_8:j7?tL302>4}Qkj09w)?60;3;=>P6<<09w);mb;a8 0df2j1vqps4}c:3>5<6290;w)<>d;3;e>N5981b=5o50;&15a<60h1/=;h55`9'5=e==h10qol?:181>5<7s-8:h7l8;I025>o6d;37g>=h9131<7*=1e82<<=Q:8l1=vB=2082Sed2;q/=4>519;8R4222;q/9ol5c:&6fd4<729q/>5$33g>4>f3-;=j7;m;%3;g?3e32win<4?:383>5}#:8n1n:5G2038m42d290/>:0yUgf<5s-;2<7?79:T200<5s-?in7m4$4`b>f=zutw0qo6;:182>5<7s-8:h7?7a:J154=n91k1<7*=1e821:k20f<72-8:h7?;c:9l5=?=83.9=i4>889U64`=9rF9><4>{Wa`>7}#90:1=574V066>7}#=kh1o6*:b`8`?x{zu2wi4;4?:083>5}#:8n1=5o4H332?l7?i3:1(??k:0:b?!71n3837)?7c;0;?>{ej:0;6?4?:1y'64b=j>1C>5<#:8n1=9m4;n3;=?6=,;;o6<66;W02b?7|D;8:683;356X>4481!3ej3i0(8ln:b9~yx{:183!46l3;3m6F=109j5=g=83.9=i4>8`9'53`=;91/=5m53198ygd329096=4?{%02`?d03A8:=6g>4b83>!46l3;?o65`19;94?"59m0:445Y20d95~J5:80:w[ml:3y'5<6=9130Z<:::3y'1gd=k2.>nl4l;|~y>{e=hh1<7?50;2x 77c282j7E<>1:m22.:4n4;6:9~f0gf29086=4?{%02`?47n2B9=<5f15a94?"59m0:8n5Y20d95~J5:80:w[ml:3y'5<6=9=i0Z<:::3y'1gd=i2.>nl4n;|~y>od13:1(??k:b;8R77a28qG>??51zT`g?4|,83;6n74V066>7}#=kh1=6*:b`82?x{zu2e:444?:%02`?7?12\9=k4>{M015?7|^ji1>v*>9182<<=Q9=?1>v*:bc82?!3ei3;0qpsr;|`626<7280;6=u+20f95=g<@;;:7b?7a;29 77c282j7)?9f;6b?!7?k3>j76sm57094?5=83:p(??k:32e?M4692c:8n4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91820f=Q9=?1>v*:bc8b?!3ei3k0qpsr;ha:>5<#:8n1o45Y20d95~J5:80:w[ml:3y'5<6=k01]=9;52z&6fg<63-?im7?4}|~?j7?13:1(??k:0::?S46n3;p@?<>:0yUgf<5s-;2<7?79:T200<5s-?in7?4$4`b>4=zutw0qo;n9;295?6=8r.9=i4>8`9K6471e<3th>m54?:283>5}#:8n1>=h4H332?l73k3:1(??k:06`?S46n3;p@?<>:0yUgf<5s-;2<7?;c:T200<5s-?in7o4$4`b>d=zutw0en750;&15a{M015?7|^ji1>v*>918`=>P6<<09w);mb;38 0df281vqps4o0::>5<#:8n1=574V33e>4}K:;;1=vXlc;0x 4?728227[?;5;0x 0de281/9oo51:~yx=zj<996=4>:183!46l3;3m6F=109l5=g=83.9=i4>8`9'53`=50z&15a<58o1C>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728>h7[?;5;0x 0de2h1/9oo5a:~yx=nk00;6)<>d;a:?S46n3;p@?<>:0yUgf<5s-;2<7m6;W371?4|,{zut1d=5750;&15a<6001]>>6?u+5c`95>"2jh0:7psr}:a113=83;1<7>t$33g>4>f3A8:=6a>8`83>!46l3;3m6*>6g812>"60j09:65rb467>5<5290;w)<>d;`4?M4692c:8n4?:%02`?73k21d=5750;&15a<6001]>>6?u+5c`9e>"2jh0j7psr}:a16e=83;1<7>t$33g>4>f3A8:=6a>8`83>!46l3;3m6*>6g870>"60j0?865rb41a>5<5290;w)<>d;`4?M4692c:8n4?:%02`?73k21d=5750;&15a<6001]>>6?u+5c`9e>"2jh0j7psr}:a117=83;1<7>t$33g>4>f3A8:=6a>8`83>!46l3;3m6*>6g871>"60j0?965rb463>5<5290;w)<>d;`4?M4692c:8n4?:%02`?73k21d=5750;&15a<6001]>>6?u+5c`9e>"2jh0j7psr}:a5<4=83;1<7>t$33g>4>f3A8:=6a>8`83>!46l3;3m6*>6g80<>"60j08465rb0;2>5<5290;w)<>d;`4?M4692c:8n4?:%02`?73k21d=5750;&15a<6001]>>6?u+5c`9e>"2jh0j7psr}:a5t$33g>4>f3A8:=6a>8`83>!46l3;3m6*>6g802>"60j08:65rb0;;>5<5290;w)<>d;`4?M4692c:8n4?:%02`?73k21d=5750;&15a<6001]>>6?u+5c`9e>"2jh0j7psr}:a5t$33g>4>f3A8:=6a>8`83>!46l3;3m6*>6g800>"60j08865rb0;b>5<5290;w)<>d;`4?M4692c:8n4?:%02`?73k21d=5750;&15a<6001]>>6?u+5c`9e>"2jh0j7psr}:a5t$33g>4>f3A8:=6a>8`83>!46l3;3m6*>6g806>"60j08>65rb0;`>5<5290;w)<>d;`4?M4692c:8n4?:%02`?73k21d=5750;&15a<6001]>>6?u+5c`9e>"2jh0j7psr}:a5<`=83;1<7>t$33g>4>f3A8:=6a>8`83>!46l3;3m6*>6g805>"60j08=65rb0;f>5<5290;w)<>d;`4?M4692c:8n4?:%02`?73k21d=5750;&15a<6001]>>6?u+5c`9e>"2jh0j7psr}:a5d7=83;1<7>t$33g>4>f3A8:=6a>8`83>!46l3;3m6*>6g807>"60j08?65rb0c3>5<5290;w)<>d;`4?M4692c:8n4?:%02`?73k21d=5750;&15a<6001]>>6?u+5c`9e>"2jh0j7psr}:a5d5=83;1<7>t$33g>4>f3A8:=6a>8`83>!46l3;3m6*>6g801>"60j08965rb0c1>5<5290;w)<>d;`4?M4692c:8n4?:%02`?73k21d=5750;&15a<6001]>>6?u+5c`9e>"2jh0j7psr}:a5d3=83;1<7>t$33g>4>f3A8:=6a>8`83>!46l3;3m6*>6g803>"60j08;65rb0c7>5<5290;w)<>d;`4?M4692c:8n4?:%02`?73k21d=5750;&15a<6001]>>6?u+5c`9e>"2jh0j7psr}:a5d1=83;1<7>t$33g>4>f3A8:=6a>8`83>!46l3;3m6*>6g80=>"60j08565rb0c5>5<5290;w)<>d;`4?M4692c:8n4?:%02`?73k21d=5750;&15a<6001]>>6?u+5c`9e>"2jh0j7psr}:a5d?=83;1<7>t$33g>4>f3A8:=6a>8`83>!46l3;3m6*>6g80f>"60j08n65rb0c;>5<5290;w)<>d;`4?M4692c:8n4?:%02`?73k21d=5750;&15a<6001]>>6?u+5c`9e>"2jh0j7psr}:a100=83;1<7>t$33g>4>f3A8:=6a>8`83>!46l3;3m6*>6g866>"60j0>>65rb476>5<5290;w)<>d;`4?M4692c:8n4?:%02`?73k21d=5750;&15a<6001]>>6?u+5c`9e>"2jh0j7psr}:a133=83;1<7>t$33g>4>f3A8:=6a>8`83>!46l3;3m6*>6g81b>"60j09j65rb447>5<5290;w)<>d;`4?M4692c:8n4?:%02`?73k21d=5750;&15a<6001]>>6?u+5c`9e>"2jh0j7psr}:a1t$33g>4>f3A8:=6a>8`83>!46l3;3m6*>6g81g>"60j09o65rb4;b>5<5290;w)<>d;`4?M4692c:8n4?:%02`?73k21d=5750;&15a<6001]>>6?u+5c`9e>"2jh0j7psr}:a1db=83;1<7>t$33g>4>f3A8:=6a>8`83>!46l3;3m6*>6g863>"60j0>;65rb4c`>5<5290;w)<>d;`4?M4692c:8n4?:%02`?73k21d=5750;&15a<6001]>>6?u+5c`9e>"2jh0j7psr}:a11g=83;1<7>t$33g>4>f3A8:=6a>8`83>!46l3;3m6*>6g864>"60j0><65rb46:>5<5290;w)<>d;`4?M4692c:8n4?:%02`?73k21d=5750;&15a<6001]>>6?u+5c`9e>"2jh0j7psr}:a14c=83;1<7>t$33g>4>f3A8:=6a>8`83>!46l3;3m6*>6g87b>"60j0?j65rb43g>5<5290;w)<>d;`4?M4692c:8n4?:%02`?73k21d=5750;&15a<6001]>>6?u+5c`9e>"2jh0j7psr}:a11e=83;1<7>t$33g>4>f3A8:=6a>8`83>!46l3;3m6*>6g860>"60j0>865rb46a>5<5290;w)<>d;`4?M4692c:8n4?:%02`?73k21d=5750;&15a<6001]>>6?u+5c`9e>"2jh0j7psr}:a1=7=83;1<7>t$33g>4>f3A8:=6a>8`83>!46l3;3m6*>6g874>"60j0?<65rb4:3>5<5290;w)<>d;`4?M4692c:8n4?:%02`?73k21d=5750;&15a<6001]>>6?u+5c`9e>"2jh0j7psr}:a115=83;1<7>t$33g>4>f3A8:=6a>8`83>!46l3;3m6*>6g81a>"60j09i65rb461>5<5290;w)<>d;`4?M4692c:8n4?:%02`?73k21d=5750;&15a<6001]>>6?u+5c`9e>"2jh0j7psr}:a1=d=83;1<7>t$33g>4>f3A8:=6%>8`83>!46l3;3m6*>6g861>"60j0>965rb4:b>5<4290;w)<>d;03b>N5981b=9m50;&15a<6>6?u+5c`9e>"2jh0j7psr}:k`=?6=,;;o6n74V33e>4}K:;;1=vXlc;0x 4?72j30Z<:::3y'1gd=92.>nl4>;|~y>i6000;6)<>d;3;=>P59o0:wA<=1;3xRfe=:r.:5=4>889U513=:r.>no4>;%7ae?79683>4<729q/>5$33g>4>f3-;=j7=i;%3;g?5a32wi=4850;094?6|,;;o6o94H332?l73k3:1(??k:06`?>i6000;6)<>d;3;=>P59o0:wA<=1;3xRfe=:r.:5=4>889U513=:r.>no4n;%7ae?g9583>4<729q/>5$33g>4>f3-;=j7=n;%3;g?5f32wi=4=50;094?6|,;;o6o94H332?l73k3:1(??k:06`?>i6000;6)<>d;3;=>P59o0:wA<=1;3xRfe=:r.:5=4>889U513=:r.>no4n;%7ae?g4<729q/>5$33g>4>f3-;=j7:j;%3;g?2b32wi9?:50;094?6|,;;o6o94H332?l73k3:1(??k:06`?>i6000;6)<>d;3;=>P59o0:wA<=1;3xRfe=:r.:5=4>889U513=:r.>no4n;%7ae?g4<729q/>5$33g>4>f3-;=j7;>;%3;g?3632wi9>=50;094?6|,;;o6o94H332?l73k3:1(??k:06`?>i6000;6)<>d;3;=>P59o0:wA<=1;3xRfe=:r.:5=4>889U513=:r.>no4n;%7ae?g4<729q/>5$33g>4>f3-;=j7:>;%3;g?2632wi9;l50;094?6|,;;o6o94H332?l73k3:1(??k:06`?>i6000;6)<>d;3;=>P59o0:wA<=1;3xRfe=:r.:5=4>889U513=:r.>no4n;%7ae?g4<729q/>5$33g>4>f3-;=j7:=;%3;g?2532wi9:<50;094?6|,;;o6o94H332?l73k3:1(??k:06`?>i6000;6)<>d;3;=>P59o0:wA<=1;3xRfe=:r.:5=4>889U513=:r.>no4n;%7ae?g4<729q/>5$33g>4>f3-;=j7i6000;6)<>d;3;=>P59o0:wA<=1;3xRfe=:r.:5=4>889U513=:r.>no4n;%7ae?g8`9K647m4$0:`>6e<3thij7>52;294~"59m0i;6F=109j51e=83.9=i4>4b98k4>>290/>??51zT`g?4|,83;6<66;W371?4|,{zut1vnok50;394?6|,;;o6<6n;I025>i60h0;6)<>d;3;e>"6>o08h6*>8b80`>=zjkn1<7<50;2x 77c2k=0D??>;h37g?6=,;;o6<:l;:m2<<<72-8:h7?79:T15c<6sE89=7?tVba96~"6190:445Y15796~"2jk0j7);ma;c8yx{z3th?:84?:383>5}#:8n1n:5G2038m42d290/>:0yUgf<5s-;2<7?79:T200<5s-?in7?4$4`b>4=zutw0qo:93;296?6=8r.9=i4m7:J154=n9=i1<7*=1e820f=5<5290;w)<>d;`4?M4692c:8n4?:%02`?73k21d=5750;&15a<6001]>>6?u+5c`95>"2jh0:7psr}:a030=8381<7>t$33g>g1<@;;:7d?;c;29 77c28>h76a>8883>!46l3;356X=1g82I4593;pZnm52z&2=5<6001]=9;52z&6fg<63-?im7?4}|~?xd3>o0;6>4?:1y'64b=:8k0D??>;h37`?6=,;;o6<:l;W02b?7|D;8:683;?h6X>4481!3ej3;0(8ln:09~yx{n6=4+20f951e<^;;m6nl4>;|~y>{ej7E<>1:&22a<692c:8n4?:%02`?73k2\9=k4>{M015?7|^8>6?u+5c`95>"2jh0:7psr}:k`1?6=,;;o6n;4V33e>4}K:;;1=vX>6d81!7>83i>7[?;5;0x 0de281/9oo51:~yx=nk?0;6)<>d;a5?S46n38p@?<>:0yU53c=:r.:5=4l6:T200<5s-?in7=4$4`b>6=zutF9>=4;{W03a?5|^jk1>v*:b88`2>"4;m0>no5rVb`96~"2j00:8n5+32f91gdv*:b88`2>"4;m0>no5rVb`96~"2j00:8n5+32f91ggv*:b88`2>"4;m0>no5rVb`96~"2j00h96*<3e86fg=z,?>36?5rV0:e>7}Qkh09w);m9;a5?!54l3?in6s+65:955=zut1b>=j50;&15a<58m1]>76c3_;?97c=#=kk1j6sr}M014?7|^;;=6?uYc`81!3e138;h6*<3e86fg=z,?>36k5r}|9j65e=83.9=i4=0b9U64`=:rF9><4>{W35a?4|,83;6?>l;W371?4|,{zuE89<7?tV335>7}Qkh09w);m9;03g>"4;m0>no5r$76;>c=zut1d=5750;&15a<60010qo?;b;295?6=8r.9=i4=259K6474?:083>5}#:8n1=594H332?j7?13:1(??k:0::?>{e1:k20a<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:8i5Y15796~"2jk0:7);ma;38yx{z3`;?i7>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;37a>P6<<09w);mb;38 0df281vqps4o0::>5<#:8n1=574V33e>4}K:;;1=vXlc;0x 4?728227[?;5;0x 0de281/9oo51:~yx=zj=<36=4>:183!46l38986F=109l5=?=83.9=i4>8898yg21i3:1;7>50z&15a<2k2B9=<5f15f94?"59m0:8n5G20a8R77a28qG>??51zT`g?4|,83;6<:k;W371?4|,{zut1b=9k50;&15a<64}K:;;1=vXlc;0x 4?728>n7[?;5;0x 0de2l1/9oo5e:~yx=n9<31<7*=1e820f=O:8i0Z??i:0yO677=9r\ho743>3_;?97`=#=kk1i6sr}|9j532=83.9=i4>4b9K64e<^;;m6??51zT`g?4|,83;6<88;W371?4|,{zut1b=;650;&15a<64}K:;;1=vXlc;0x 4?728<37[?;5;0x 0de2l1/9oo5e:~yx=h9131<7*=1e82<<=Q:8l1=vB=2082Sed2;q/=4>519;8R4222;q/9ol51:&6fd<63twvq6sm47`94?76290;w)<>d;7`?M4692c:8i4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91820a=Q9=?1>v*:bc8f?!3ei3o0qpsr;h37a?6=,;;o6<:l;W02b?7|D;8:683;?i6X>4481!3ej3o0(8ln:d9~yx{0Z<:::3y'1gd=m2.>nl4j;|~y>o6>>0;6)<>d;37g>N59j1]>>6?u+5c`9a>"2jh0n7psr}:k22=<72-8:h7?;c:J15f=Q:8l1=vB=2082Sed2;q/=4>517:8R4222;q/9ol5e:&6fd6883>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6>01]=9;52z&6fg:0yUgf<5s-;2<7?9a:T200<5s-?in7k4$4`b>`=zutw0e<8m:18'64b=9=i0Z??i:0yO677=9r\ho740e3_;?97`=#=kk1i6sr}|9j53e=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=;m4V066>7}#=kh1=6*:b`82?x{zu2c:8k4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91820c=Q9=?1>v*:bc82?!3ei3;0qpsr;h364?6=,;;o6<:l;W02b?7|D;8:683;><6X>4481!3ej3;0(8ln:09~yx{nl4>;|~y>o6=:0;6)<>d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>529U513=:r.>no4>;%7ae?7h7[<>f;3xH74628q]on4={%3:4?72<2\:884={%7af?7<,>290/>??51zT`g?4|,83;6<66;W371?4|,{zut1vn986:180>5<7s-8:h7?78:J154=n9=n1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>515f8R4222;q/9ol51:&6fd<63twvq6g>4d83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6:0yUgf<5s-;2<7?79:T200<5s-?in7?4$4`b>4=zutw0qo:9e;297?6=8r.9=i4:c:J154=n9=n1<7*=1e820f=O:8i0Z??i:0yO677=9r\ho742c3_;?971=#=kk186sr}|9j51c=83.9=i4>4b9K64e<^;;m6nl4>;|~y>{e<=h1<7<50;2x 77c2k=0D??>;h37g?6=,;;o6<:l;:m2<<<72-8:h7?79:T15c<6sE89=7?tVba96~"6190:445Y15796~"2jk0:7);ma;38yx{z3th?8n4?:383>5}#:8n1n:5G2038m42d290/>:0yUgf<5s-;2<7?79:T200<5s-?in7?4$4`b>4=zutw0qo:;d;296?6=8r.9=i4m7:J154=n9=i1<7*=1e820f=5<1290;w)<>d;37e>N5981/=;j5109j51e=83.9=i4>4b9U64`=9rF9><4>{W35a?4|,83;6<:l;W371?4|,{zut1bo84?:%02`?e23_8:j7?tL302>4}Q9?o1>v*>918`1>P6<<09w);mb;38 0df281vqps4ib494?"59m0h:6X=1g81I4593;pZ<8j:3y'5<6=k?1]=9;52z&6fg<43-?im7=4}|O676=7}#=k31o;5+32f91gd7}#=k31o;5+32f91gd7}#=k31o;5+32f91gd36<>4}|8m76c290/>??51zT22`<5s-;2<7c=zutF9>=4>{W022?4|^jk1>v*:b8814a=#;:n19ol4}%47l:18'64b=:9i0Z??i:3yO677=9r\::h4={%3:4?47k2\:884={%7af?`<,>290/>4?:1y'64b=:8k0D??>;h37`?6=,;;o6<:l;I02g>P59o0:wA<=1;3xRfe=:r.:5=4>4e9U513=:r.>no4>;%7ae?7h7[<>f;3xH74628q]on4={%3:4?73m2\:884={%7af?7<,>290/>??51zT`g?4|,83;6<66;W371?4|,{zut1vn9:i:182>5<7s-8:h7<=4:J154=h9131<7*=1e82<<=>=7>54;294~"59m0>o6F=109j51b=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=9j4V066>7}#=kh1i6*:b`8f?x{zu2c:8h4?:%02`?73k2B9=n5Y20d95~J5:80:w[ml:3y'5<6=9=o0Z<:::3y'1gd=m2.>nl4j;|~y>o6=00;6)<>d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>589U513=:r.>no4j;%7ae?cf;3xH74628q]on4={%3:4?7?12\:884={%7af?7<,50z&15a<2k2B9=<5f15f94?"59m0:8n5Y20d95~J5:80:w[ml:3y'5<6=9=n0Z<:::3y'1gd=m2.>nl4j;|~y>o6d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>4d9U513=:r.>no4j;%7ae?ch7[<>f;3xH74628q]on4={%3:4?7212\:884={%7af?c<,>290/>??51zT`g?4|,83;6<66;W371?4|,{zut1vn9;<:186>5<7s-8:h7;l;I025>o6d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>4e9U513=:r.>no4j;%7ae?ch7[<>f;3xH74628q]on4={%3:4?73m2\:884={%7af?c<,290/>4}Qkj09w)?60;36=>P6<<09w);mb;g8 0df2l1vqps4i047>5<#:8n1=9m4H33`?S46n3;p@?<>:0yUgf<5s-;2<7?94:T200<5s-?in7k4$4`b>`=zutw0c<66:18'64b=9130Z??i:0yO677=9r\ho74>>3_;?974=#=kk1=6sr}|9~f137290?6=4?{%02`?7?02B9=<5f15f94?"59m0:8n5Y20d95~J5:80:w[ml:3y'5<6=9=n0Z<:::3y'1gd=92.>nl4>;|~y>o6d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>4d9U513=:r.>no4>;%7ae?7h7[<>f;3xH74628q]on4={%3:4?7212\:884={%7af?7<,>290/>??51zT`g?4|,83;6<66;W371?4|,{zut1vn9;9:180>5<7s-8:h7;l;I025>o6d;37g>N59j1]>>6?u+5c`90>"2jh0?7psr}:k20`<72-8:h7?;c:J15f=Q:8l1=vB=2082Sed2;q/=4>515g8R4222;q/9ol54:&6fd<33twvq6a>8883>!46l3;356X=1g82I4593;pZnm52z&2=5<6001]=9;52z&6fg<63-?im7?4}|~?xd3=>0;6?4?:1y'64b=j>1C>5<#:8n1=9m4;n3;=?6=,;;o6<66;W02b?7|D;8:683;356X>4481!3ej3;0(8ln:09~yx{>47>52;294~"59m0i;6F=109j51e=83.9=i4>4b98k4>>290/>??51zT`g?4|,83;6<66;W371?4|,{zut1vn9;6:181>5<7s-8:h7l8;I025>o6d;37g>=h9131<7*=1e82<<=Q:8l1=vB=2082Sed2;q/=4>519;8R4222;q/9ol51:&6fd<63twvq6sm47394?0=83:p(??k:06b?M4692.::i4>1:k20f<72-8:h7?;c:T15c<6sE89=7?tV04f>7}#90:1=9m4V066>7}#=kh1=6*:b`82?x{zu2ch97>5$33g>f3<^;;m6l09w)?60;a6?S73=38p(8lm:09'1gg=92wvqp5fc783>!46l3i=7[<>f;0xH74628q]=;k52z&2=52\:884={%7af?5<,5r}|N165<3s_8;i7=tVbc96~"2j00h:6*<3e86fg=z^jh1>v*:b8820f=#;:n19ol4}%47v*:b8820f=#;:n19oo4}%47v*:b88`1>"4;m0>no5r$76;>7=z^82m6?uYc`81!3e13i=7)={#>=21==5r}|9j65b=83.9=i4=0e9U64`=:rF9><4>{W35a?4|,83;6?>k;W371?4|,{zuE89<7?tV335>7}Qkh09w);m9;03`>"4;m0>no5r$76;>c=zut1b>=m50;&15a<58j1]>76d3_;?97c=#=kk1j6sr}M014?7|^;;=6?uYc`81!3e138;o6*<3e86fg=z,?>36k5r}|9l5=?=83.9=i4>8898yg22i3:1?7>50z&15a<59h1C>5<#:8n1=9m4H33`?S46n3;p@?<>:0yUgf<5s-;2<7?;d:T200<5s-?in7?4$4`b>4=zutw0e<:j:18'64b=9=i0Z??i:0yO677=9r\ho742b3_;?974=#=kk1=6sr}|9l5=?=83.9=i4>889U64`=9rF9><4>{Wa`>7}#90:1=574V066>7}#=kh1=6*:b`82?x{zu2wi88l50;394?6|,;;o6?<;;I025>i6000;6)<>d;3;=>=zj=?o6=4;:183!46l3?h7E<>1:k20a<72-8:h7?;c:J15f=Q:8l1=vB=2082Sed2;q/=4>515f8R4222;q/9ol5e:&6fd4d83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6:0yUgf<5s-;2<7?:9:T200<5s-?in7k4$4`b>`=zutw0c<66:18'64b=9130Z??i:0yO677=9r\ho74>>3_;?974=#=kk1=6sr}|9~f13b290?6=4?{%02`?3d3A8:=6g>4e83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6:0yUgf<5s-;2<7?;e:T200<5s-?in7k4$4`b>`=zutw0e<;6:18'64b=9=i0Z??i:0yO677=9r\ho743>3_;?97`=#=kk1i6sr}|9l5=?=83.9=i4>889U64`=9rF9><4>{Wa`>7}#90:1=574V066>7}#=kh1=6*:b`82?x{zu2wi88h50;794?6|,;;o68m4H332?l73l3:1(??k:06`?S46n3;p@?<>:0yUgf<5s-;2<7?;d:T200<5s-?in7k4$4`b>`=zutw0e<:j:18'64b=9=i0D??l;W02b?7|D;8:683;?i6X>4481!3ej3o0(8ln:d9~yx{f;3xH74628q]on4={%3:4?7212\:884={%7af?c<,??51zT`g?4|,83;6<8;;W371?4|,{zut1d=5750;&15a<6001]>>6?u+5c`95>"2jh0:7psr}:a00e=83>1<7>t$33g>4>?3A8:=6g>4e83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6:0yUgf<5s-;2<7?;e:T200<5s-?in7?4$4`b>4=zutw0e<;6:18'64b=9=i0Z??i:0yO677=9r\ho743>3_;?974=#=kk1=6sr}|9l5=?=83.9=i4>889U64`=9rF9><4>{Wa`>7}#90:1=574V066>7}#=kh1=6*:b`82?x{zu2wi8;<50;194?6|,;;o68m4H332?l73l3:1(??k:06`?M46k2\9=k4>{M015?7|^ji1>v*>91820a=Q9=?1>v*:bc87?!3ei3>0qpsr;h37a?6=,;;o6<:l;I02g>P59o0:wA<=1;3xRfe=:r.:5=4>4d9U513=:r.>no4;;%7ae?2f;3xH74628q]on4={%3:4?7?12\:884={%7af?7<,7>50z&15a5<#:8n1=574V33e>4}K:;;1=vXlc;0x 4?728227[?;5;0x 0de281/9oo51:~yx=zj=926=4=:183!46l3h<7E<>1:k20f<72-8:h7?;c:9l5=?=83.9=i4>889U64`=9rF9><4>{Wa`>7}#90:1=574V066>7}#=kh1=6*:b`82?x{zu2wi8>o50;094?6|,;;o6o94H332?l73k3:1(??k:06`?>i6000;6)<>d;3;=>P59o0:wA<=1;3xRfe=:r.:5=4>889U513=:r.>no4>;%7ae?73<729q/>;h37g?6=,;;o6<:l;W02b?7|D;8:66=4+20f9g0=Q:8l1=vB=2082S71m38p(<7?:b78R4222;q/9ol51:&6fd<63twvq6gl6;29 77c2j<0Z??i:3yO677=9r\::h4={%3:4?e13_;?976=#=kk1?6sr}M014?2|^;:n6>uYc`81!3e13i=7)={Qkk09w);m9;37g>"4;m0>no5r$76;>g=z^;:n6>uYc`81!3e13i=7)={Qkk09w);m9;37g>"4;m0>nl5r$76;>g=z^;:n6>uYc`81!3e13i=7)={Qkk09w);m9;a6?!54l3?in6s+65:96>{Q91l1>vXla;0x 0d>2j<0(>=k:4`a?x"1<10:<6sr}:k14a<72-8:h77}#90:1>=j4V066>7}#=kh1j6*:b`8e?x{zD;8;6{zu2c9>6?u+5c`9b>"2jh0m7psrL303>4}Q:8<1>vXla;0x 0d>2;:h7)={#>=21j6sr}:m2<<<72-8:h7?79:9~f15e29086=4?{%02`?46i2B9=<5f15f94?"59m0:8n5Y20d95~J5:80:w[ml:3y'5<6=9=n0Z<:::3y'1gd=92.>nl4>;|~y>o6d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>4d9U513=:r.>no4>;%7ae?7f;3xH74628q]on4={%3:4?7?12\:884={%7af?7<,50z&15a<5:=1C>5<#:8n1=574;|`77`<72:0;6=u+20f91f=O:8;0e<:k:18'64b=9=i0D??l;W02b?7|D;8:683;?h6X>4481!3ej3o0(8ln:d9~yx{n6=4+20f951e<@;;h7[<>f;3xH74628q]on4={%3:4?73m2\:884={%7af?c<,>290/>??51zT`g?4|,83;6<66;W371?4|,{zut1vn9=i:180>5<7s-8:h7;l;I025>o6d;37g>N59j1]>>6?u+5c`9a>"2jh0n7psr}:k20`<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:8h5Y15796~"2jk0n7);ma;g8yx{z3f;357>5$33g>4>>3_8:j7?tL302>4}Qkj09w)?60;3;=>P6<<09w);mb;38 0df281vqps4}c60`?6=;3:142c3_;?974=#=kk1=6sr}|9j51c=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=9k4V066>7}#=kh1=6*:b`82?x{zu2e:444?:%02`?7?12\9=k4>{M015?7|^ji1>v*>9182<<=Q9=?1>v*:bc82?!3ei3;0qpsr;|`707<72:0;6=u+20f91f=O:8;0e<:k:18'64b=9=i0D??l;W02b?7|D;8:683;?h6X>4481!3ej3>0(8ln:59~yx{n6=4+20f951e<@;;h7[<>f;3xH74628q]on4={%3:4?73m2\:884={%7af?2<,>290/>??51zT`g?4|,83;6<66;W371?4|,{zut1vn?5<7s-8:h7l8;I025>o6d;37g>=h9131<7*=1e82<<=Q:8l1=vB=2082Sed2;q/=4>519;8R4222;q/9ol51:&6fd<63twvq6sm22194?5=83:p(??k:33b?M4692c:8i4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91820a=Q9=?1>v*:bc82?!3ei3;0qpsr;h37a?6=,;;o6<:l;W02b?7|D;8:683;?i6X>4481!3ej3i0(8ln:b9~yx{5<1290;w)<>d;37e>N5981/=;j5109j51e=83.9=i4>4b9U64`=9rF9><4>{W35a?4|,83;6<:l;W371?4|,{zut1bo84?:%02`?e23_8:j7?tL302>4}Q9?o1>v*>918`1>P6<<09w);mb;38 0df281vqps4ib494?"59m0h:6X=1g81I4593;pZ<8j:3y'5<6=k?1]=9;52z&6fg<43-?im7=4}|O676=7}#=k31o;5+32f91gd7}#=k31o;5+32f91gd7}#=k31o;5+32f91gd36<>4}|8m76c290/>??51zT22`<5s-;2<7c=zutF9>=4>{W022?4|^jk1>v*:b8814a=#;:n19ol4}%47l:18'64b=:9i0Z??i:3yO677=9r\::h4={%3:4?47k2\:884={%7af?`<,>290/>4?:1y'64b=:8k0D??>;h37`?6=,;;o6<:l;I02g>P59o0:wA<=1;3xRfe=:r.:5=4>4e9U513=:r.>no4>;%7ae?7h7[<>f;3xH74628q]on4={%3:4?73m2\:884={%7af?7<,>290/>??51zT`g?4|,83;6<66;W371?4|,{zut1vn?5<7s-8:h7<=4:J154=h9131<7*=1e82<<=54;294~"59m0>o6F=109j51b=83.9=i4>4b9K64e<^;;m6nl4j;|~y>o6=00;6)<>d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>589U513=:r.>no4j;%7ae?cf;3xH74628q]on4={%3:4?7?12\:884={%7af?7<,50z&15a<2k2B9=<5f15f94?"59m0:8n5Y20d95~J5:80:w[ml:3y'5<6=9=n0Z<:::3y'1gd=m2.>nl4j;|~y>o6d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>4d9U513=:r.>no4j;%7ae?cf;3xH74628q]on4={%3:4?7?12\:884={%7af?7<,7>50z&15a5<#:8n1=574V33e>4}K:;;1=vXlc;0x 4?728227[?;5;0x 0de281/9oo51:~yx=zj;?n6=4<:183!46l38:m6F=109j51b=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=9j4V066>7}#=kh1=6*:b`82?x{zu2c:8h4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91820`=Q9=?1>v*:bc8`?!3ei3i0qpsr;n3;=?6=,;;o6<66;W02b?7|D;8:683;356X>4481!3ej3;0(8ln:09~yx{h7>56;294~"59m0:8l5G2038 40c28;0e<:l:18'64b=9=i0Z??i:0yO677=9r\::h4={%3:4?73k2\:884={%7af?7<,7}#90:1o85Y15796~"2jk0:7);ma;38yx{z3`i=6=4+20f9g3=Q:8l1>vB=2082S71m38p(<7?:b48R4222;q/9ol53:&6fd<43twv@?f0<,:9o68lm;|T`f?4|,f0<,:9o68lm;|T`f?4|,f0<,:9o68lm;|T`f?4|,0de3t.=854m;|T2f;0xH74628q]=;k52z&2=5<58m1]=9;52z&6fg7}#=k31>=j4$21g>0de3t.=854i;|~?l47k3:1(??k:32`?S46n38p@?<>:0yU53c=:r.:5=4=0b9U513=:r.>no4i;%7ae?`?>51zT153<5s_ij6?u+5c;965e<,:9o68lm;|&50={M015?7|^ji1>v*>91820a=Q9=?1>v*:bc82?!3ei3;0qpsr;h37a?6=,;;o6<:l;W02b?7|D;8:683;?i6X>4481!3ej3;0(8ln:09~yx{5<6290;w)<>d;010>N5981d=5750;&15a<60010qo<:a;297?6=8r.9=i4:c:J154=n9=n1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>515f8R4222;q/9ol5e:&6fd4d83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6:0yUgf<5s-;2<7?79:T200<5s-?in7?4$4`b>4=zutw0qo<:b;2954<729q/>o6=4+20f951e<^;;m6nl4j;|~y>o6=00;6)<>d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>589U513=:r.>no4j;%7ae?ch7[<>f;3xH74628q]on4={%3:4?71<2\:884={%7af?c<,??51zT`g?4|,83;6<88;W371?4|,{zut1b=;650;&15a<6<^8>>6?u+5c`9a>"2jh0n7psr}:k22<<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190::45Y15796~"2jk0n7);ma;g8yx{z3`;=m7>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;35e>P6<<09w);mb;g8 0df2l1vqps4i04a>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728517a8R4222;q/9ol5e:&6fd4g83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6:0yUgf<5s-;2<7?:0:T200<5s-?in7k4$4`b>`=zutw0e<;>:18'64b=9=i0Z??i:0yO677=9r\ho74363_;?97`=#=kk1i6sr}|9j504=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=8<4V066>7}#=kh1=6*:b`82?x{zu2c:9>4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>918216=Q9=?1>v*:bc82?!3ei3;0qpsr;h360?6=,;;o6<:l;W02b?7|D;8:683;>86X>4481!3ej3;0(8ln:09~yx{5<4290;w)<>d;3;<>N5981b=9j50;&15a<6>6?u+5c`95>"2jh0:7psr}:k20`<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:8h5Y15796~"2jk0:7);ma;38yx{z3f;357>5$33g>4>>3_8:j7?tL302>4}Qkj09w)?60;3;=>P6<<09w);mb;38 0df281vqps4}c062?6=;3:1N5981b=9j50;&15a<6>6?u+5c`9a>"2jh0n7psr}:k20`<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:8h5Y15796~"2jk0n7);ma;g8yx{z3f;357>5$33g>4>>3_8:j7?tL302>4}Qkj09w)?60;3;=>P6<<09w);mb;38 0df281vqps4}c06b?6=:3:1N5981b=9m50;&15a<64>>3_;?974=#=kk1=6sr}|9~f70029096=4?{%02`?d03A8:=6g>4b83>!46l3;?o65`19;94?"59m0:445Y20d95~J5:80:w[ml:3y'5<6=9130Z<:::3y'1gd=92.>nl4>;|~y>{e:?k1<7850;2x 77c28>j7E<>1:&22a<692c:8n4?:%02`?73k2\9=k4>{M015?7|^8>6?u+5c`95>"2jh0:7psr}:k`1?6=,;;o6n;4V33e>4}K:;;1=vX>6d81!7>83i>7[?;5;0x 0de281/9oo51:~yx=nk?0;6)<>d;a5?S46n38p@?<>:0yU53c=:r.:5=4l6:T200<5s-?in7=4$4`b>6=zutF9>=4;{W03a?5|^jk1>v*:b88`2>"4;m0>no5rVb`96~"2j00:8n5+32f91gdv*:b88`2>"4;m0>no5rVb`96~"2j00:8n5+32f91ggv*:b88`2>"4;m0>no5rVb`96~"2j00h96*<3e86fg=z,?>36o5rV0:e>7}Qkh09w);m9;a5?!54l3?in6s+65:955=zut1b>=j50;&15a<58m1]>76c3_;?97c=#=kk1j6sr}M014?7|^;;=6?uYc`81!3e138;h6*<3e86fg=z,?>36k5r}|9j65e=83.9=i4=0b9U64`=:rF9><4>{W35a?4|,83;6?>l;W371?4|,{zuE89<7?tV335>7}Qkh09w);m9;03g>"4;m0>no5r$76;>c=zut1d=5750;&15a<60010qo<91;297?6=8r.9=i4=1`9K647o6=4+20f951e<@;;h7[<>f;3xH74628q]on4={%3:4?73l2\:884={%7af?7<,??51zT`g?4|,83;6<:j;W371?4|,{zut1d=5750;&15a<6001]>>6?u+5c`95>"2jh0:7psr}:a634=83;1<7>t$33g>7433A8:=6a>8883>!46l3;3565rb347>5<4290;w)<>d;7`?M4692c:8i4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91820a=Q9=?1>v*:bc8f?!3ei3o0qpsr;h37a?6=,;;o6<:l;W02b?7|D;8:683;?i6X>4481!3ej3o0(8ln:d9~yx{5<4290;w)<>d;7`?M4692c:8i4?:%02`?73k2B9=n5Y20d95~J5:80:w[ml:3y'5<6=9=n0Z<:::3y'1gd=m2.>nl4j;|~y>o6d;37g>N59j1]>>6?u+5c`9a>"2jh0n7psr}:m2<<<72-8:h7?79:T15c<6sE89=7?tVba96~"6190:445Y15796~"2jk0:7);ma;38yx{z3th9:;4?:283>5}#:8n19n5G2038m42c290/>??51zT`g?4|,83;6<:k;W371?4|,{zut1b=9k50;&15a<6>6?u+5c`9a>"2jh0n7psr}:m2<<<72-8:h7?79:T15c<6sE89=7?tVba96~"6190:445Y15796~"2jk0:7);ma;38yx{z3th9:>4?:583>5}#:8n1=564H332?l73l3:1(??k:06`?S46n3;p@?<>:0yUgf<5s-;2<7?;d:T200<5s-?in7?4$4`b>4=zutw0e<:j:18'64b=9=i0Z??i:0yO677=9r\ho742b3_;?974=#=kk1=6sr}|9j50?=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=874V066>7}#=kh1=6*:b`82?x{zu2e:444?:%02`?7?12\9=k4>{M015?7|^ji1>v*>9182<<=Q9=?1>v*:bc82?!3ei3;0qpsr;|`12=<7200;6=u+20f91f=O:8;0e<:k:18'64b=9=i0Z??i:0yO677=9r\ho742c3_;?97c=#=kk1j6sr}|9j51c=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=9k4V066>7}#=kh1j6*:b`8e?x{zu2c:944?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91821<=Q9=?1>v*:bc8e?!3ei3l0qpsr;h350?6=,;;o6<:l;W02b?7|D;8:683;=86X>4481!3ej330(8ln:89~yx{nl4i;|~y>o6>00;6)<>d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>689U513=:r.>no4i;%7ae?`h7[<>f;3xH74628q]on4={%3:4?71i2\:884={%7af?`<,>290/>??51zT`g?4|,83;6<66;W371?4|,{zut1vn?8?:180>5<7s-8:h7;l;I025>o6d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>4e9U513=:r.>no4j;%7ae?ch7[<>f;3xH74628q]on4={%3:4?73m2\:884={%7af?c<,>290/>??51zT`g?4|,83;6<66;W371?4|,{zut1vn?8m:181>5<7s-8:h7l8;I025>o6d;37g>=h9131<7*=1e82<<=Q:8l1=vB=2082Sed2;q/=4>519;8R4222;q/9ol51:&6fd<63twvq6sm26794?5=83:p(??k:33b?M4692c:8i4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91820a=Q9=?1>v*:bc82?!3ei3;0qpsr;h37a?6=,;;o6<:l;W02b?7|D;8:683;?i6X>4481!3ej3i0(8ln:b9~yx{5<1290;w)<>d;37e>N5981/=;j5109j51e=83.9=i4>4b9U64`=9rF9><4>{W35a?4|,83;6<:l;W371?4|,{zut1bo84?:%02`?e23_8:j7?tL302>4}Q9?o1>v*>918`1>P6<<09w);mb;38 0df281vqps4ib494?"59m0h:6X=1g81I4593;pZ<8j:3y'5<6=k?1]=9;52z&6fg<43-?im7=4}|O676=7}#=k31o;5+32f91gd7}#=k31o;5+32f91gd7}#=k31o;5+32f91gd36<>4}|8m76c290/>??51zT22`<5s-;2<7c=zutF9>=4>{W022?4|^jk1>v*:b8814a=#;:n19ol4}%47l:18'64b=:9i0Z??i:3yO677=9r\::h4={%3:4?47k2\:884={%7af?`<,>290/>m0;6>4?:1y'64b=:8k0D??>;h37`?6=,;;o6<:l;W02b?7|D;8:683;?h6X>4481!3ej3;0(8ln:09~yx{n6=4+20f951e<^;;m6nl4>;|~y>{e:?o1<7?50;2x 77c2;8?7E<>1:m2<<<72-8:h7?79:9~f717290?6=4?{%02`?3d3A8:=6g>4e83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6{M015?7|^ji1>v*>91820`=Q9=?1>v*:bc8f?!3ei3o0qpsr;h36=?6=,;;o6<:l;W02b?7|D;8:683;>56X>4481!3ej3o0(8ln:d9~yx{5<3290;w)<>d;7`?M4692c:8i4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91820a=Q9=?1>v*:bc8f?!3ei3o0qpsr;h37a?6=,;;o6<:l;I02g>P59o0:wA<=1;3xRfe=:r.:5=4>4d9U513=:r.>no4j;%7ae?ch7[<>f;3xH74628q]on4={%3:4?7212\:884={%7af?c<,>290/>??51zT`g?4|,83;6<66;W371?4|,{zut1vn?9=:187>5<7s-8:h7;l;I025>o6d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>4e9U513=:r.>no4j;%7ae?ch7[<>f;3xH74628q]on4={%3:4?73m2\:884={%7af?c<,290/>??51zT`g?4|,83;6<;6;W371?4|,{zut1d=5750;&15a<6001]>>6?u+5c`95>"2jh0:7psr}:a63`=83>1<7>t$33g>4>?3A8:=6g>4e83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6:0yUgf<5s-;2<7?;e:T200<5s-?in7?4$4`b>4=zutw0e<;6:18'64b=9=i0Z??i:0yO677=9r\ho743>3_;?974=#=kk1=6sr}|9l5=?=83.9=i4>889U64`=9rF9><4>{Wa`>7}#90:1=574V066>7}#=kh1=6*:b`82?x{zu2wi>;m50;194?6|,;;o68m4H332?l73l3:1(??k:06`?S46n3;p@?<>:0yUgf<5s-;2<7?;d:T200<5s-?in7k4$4`b>`=zutw0e<:j:18'64b=9=i0Z??i:0yO677=9r\ho742b3_;?97`=#=kk1i6sr}|9l5=?=83.9=i4>889U64`=9rF9><4>{Wa`>7}#90:1=574V066>7}#=kh1=6*:b`82?x{zu2wi>:850;094?6|,;;o6o94H332?l73k3:1(??k:06`?>i6000;6)<>d;3;=>P59o0:wA<=1;3xRfe=:r.:5=4>889U513=:r.>no4>;%7ae?76<729q/>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;37`>P6<<09w);mb;38 0df281vqps4i06f>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728>n7[?;5;0x 0de2j1/9oo5c:~yx=h9131<7*=1e82<<=Q:8l1=vB=2082Sed2;q/=4>519;8R4222;q/9ol51:&6fd<63twvq6sm26d94?0=83:p(??k:06b?M4692.::i4>1:k20f<72-8:h7?;c:T15c<6sE89=7?tV04f>7}#90:1=9m4V066>7}#=kh1=6*:b`82?x{zu2ch97>5$33g>f3<^;;m6l09w)?60;a6?S73=38p(8lm:09'1gg=92wvqp5fc783>!46l3i=7[<>f;0xH74628q]=;k52z&2=52\:884={%7af?5<,5r}|N165<3s_8;i7=tVbc96~"2j00h:6*<3e86fg=z^jh1>v*:b8820f=#;:n19ol4}%47v*:b8820f=#;:n19oo4}%47v*:b88`1>"4;m0>no5r$76;>g=z^82m6?uYc`81!3e13i=7)={#>=21==5r}|9j65b=83.9=i4=0e9U64`=:rF9><4>{W35a?4|,83;6?>k;W371?4|,{zuE89<7?tV335>7}Qkh09w);m9;03`>"4;m0>no5r$76;>c=zut1b>=m50;&15a<58j1]>76d3_;?97c=#=kk1j6sr}M014?7|^;;=6?uYc`81!3e138;o6*<3e86fg=z,?>36k5r}|9l5=?=83.9=i4>8898yg4003:1?7>50z&15a<59h1C>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728>o7[?;5;0x 0de281/9oo51:~yx=n9=o1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>515g8R4222;q/9ol51:&6fd<63twvq6a>8883>!46l3;356X=1g82I4593;pZnm52z&2=5<6001]=9;52z&6fg<63-?im7?4}|~?xd5?00;6<4?:1y'64b=:;>0D??>;n3;=?6=,;;o6<66;:a62d=83>1<7>t$33g>0e<@;;:7d?;d;29 77c28>h7[<>f;3xH74628q]on4={%3:4?73l2\:884={%7af?c<,??51zT`g?4|,83;6<:j;W371?4|,{zut1b=8750;&15a<6>6?u+5c`9a>"2jh0n7psr}:m2<<<72-8:h7?79:T15c<6sE89=7?tVba96~"6190:445Y15796~"2jk0:7);ma;38yx{z3th9;n4?:483>5}#:8n19n5G2038m42c290/>??51zT`g?4|,83;6<:k;W371?4|,{zut1b=9k50;&15a<64}K:;;1=vXlc;0x 4?728>n7[?;5;0x 0de2l1/9oo5e:~yx=n9<31<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>514;8R4222;q/9ol5e:&6fd6583>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6>=1]=9;52z&6fg:0yUgf<5s-;2<7?79:T200<5s-?in7?4$4`b>4=zutw0qo<8d;291?6=8r.9=i4:c:J154=n9=n1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>515f8R4222;q/9ol5e:&6fd4d83>!46l3;?o6F=1b9U64`=9rF9><4>{Wa`>7}#90:1=9k4V066>7}#=kh1i6*:b`8f?x{zu2c:944?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91821<=Q9=?1>v*:bc8f?!3ei3o0qpsr;h350?6=,;;o6<:l;W02b?7|D;8:683;=86X>4481!3ej3o0(8ln:d9~yx{5<3290;w)<>d;3;<>N5981b=9j50;&15a<6>6?u+5c`95>"2jh0:7psr}:k20`<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:8h5Y15796~"2jk0:7);ma;38yx{z3`;>57>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;36=>P6<<09w);mb;38 0df281vqps4o0::>5<#:8n1=574V33e>4}K:;;1=vXlc;0x 4?728227[?;5;0x 0de281/9oo51:~yx=zj;=<6=4<:183!46l3?h7E<>1:k20a<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:8i5Y15796~"2jk0n7);ma;g8yx{z3`;?i7>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;37a>P6<<09w);mb;g8 0df2l1vqps4o0::>5<#:8n1=574V33e>4}K:;;1=vXlc;0x 4?728227[?;5;0x 0de281/9oo51:~yx=zj;2h6=4=:183!46l3h<7E<>1:k20f<72-8:h7?;c:9l5=?=83.9=i4>889U64`=9rF9><4>{Wa`>7}#90:1=574V066>7}#=kh1=6*:b`82?x{zu2wi>4850;194?6|,;;o6??n;I025>o6d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>4e9U513=:r.>no4>;%7ae?7h7[<>f;3xH74628q]on4={%3:4?73m2\:884={%7af?e<,>290/>??51zT`g?4|,83;6<66;W371?4|,{zut1vn?7::185>5<7s-8:h7?;a:J154=#9?n1=<5f15a94?"59m0:8n5Y20d95~J5:80:w[?9e;0x 4?728>h7[?;5;0x 0de281/9oo51:~yx=nk<0;6)<>d;a6?S46n3;p@?<>:0yU53c=:r.:5=4l5:T200<5s-?in7?4$4`b>4=zutw0en850;&15a2\9=k4={M015?7|^8v*:bc80?!3ei390qpsC23290~P58l08w[mn:3y'1g?=k?1/?>j55c`8ySee2;q/9o7515a8 65c2j55c`8ySee2;q/9o7515a8 65c2j55c`8ySee2;q/9o75c49'76b==kh0q)8;8;`8yS7?n38pZno52z&6f<2.8?i4:bc9~ 32?28:0qps4i32g>5<#:8n1>=j4V33e>7}K:;;1=vX>6d81!7>838;h6X>4481!3ej3l0(8ln:g9~yxJ5:90:w[<>6;0xRfg=:r.>n44=0e9'76b==kh0q)8;8;d8yx{l09w)?60;03g>P6<<09w);mb;d8 0df2o1vqpB=2182S46>38pZno52z&6f<<58j1/?>j55c`8y!0303l0qps4o0::>5<#:8n1=574;|`1<`<72:0;6=u+20f964g<@;;:7d?;d;29 77c28>h7[<>f;3xH74628q]on4={%3:4?73l2\:884={%7af?7<,??51zT`g?4|,83;6<:j;W371?4|,{zut1d=5750;&15a<6001]>>6?u+5c`95>"2jh0:7psr}:a6=`=83;1<7>t$33g>7433A8:=6a>8883>!46l3;3565rb3;2>5<3290;w)<>d;7`?M4692c:8i4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91820a=Q9=?1>v*:bc8f?!3ei3o0qpsr;h37a?6=,;;o6<:l;W02b?7|D;8:683;?i6X>4481!3ej3o0(8ln:d9~yx{nl4>;|~y>{e:081<7950;2x 77c2;h37`?6=,;;o6<:l;W02b?7|D;8:683;?h6X>4481!3ej3o0(8ln:d9~yx{n6=4+20f951e<@;;h7[<>f;3xH74628q]on4={%3:4?73m2\:884={%7af?c<,290/>??51zT`g?4|,83;6<;6;W371?4|,{zut1b=;:50;&15a<6>6?u+5c`9a>"2jh0n7psr}:k222<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:::5Y15796~"2jk0n7);ma;g8yx{z3`;=47>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;35<>P6<<09w);mb;g8 0df2l1vqps4o0::>5<#:8n1=574V33e>4}K:;;1=vXlc;0x 4?728227[?;5;0x 0de281/9oo51:~yx=zj;386=48:183!46l3?h7E<>1:k20a<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:8i5Y15796~"2jk0n7);ma;g8yx{z3`;?i7>5$33g>42d3A8:o6X=1g82I4593;pZnm52z&2=5<6:0yUgf<5s-;2<7?:9:T200<5s-?in7k4$4`b>`=zutw0e<8;:18'64b=9=i0Z??i:0yO677=9r\ho74033_;?97`=#=kk1i6sr}|9j531=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=;94V066>7}#=kh1i6*:b`8f?x{zu2c::54?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91822==Q9=?1>v*:bc8f?!3ei3o0qpsr;n3;=?6=,;;o6<66;W02b?7|D;8:683;356X>4481!3ej3;0(8ln:09~yx{54;294~"59m0:455G2038m42c290/>??51zT`g?4|,83;6<:k;W371?4|,{zut1b=9k50;&15a<6>6?u+5c`95>"2jh0:7psr}:k21<<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:945Y15796~"2jk0:7);ma;38yx{z3f;357>5$33g>4>>3_8:j7?tL302>4}Qkj09w)?60;3;=>P6<<09w);mb;38 0df281vqps4}c0;`?6=;3:1N5981b=9j50;&15a<6>6?u+5c`9a>"2jh0n7psr}:k20`<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:8h5Y15796~"2jk0n7);ma;g8yx{z3f;357>5$33g>4>>3_8:j7?tL302>4}Qkj09w)?60;3;=>P6<<09w);mb;38 0df281vqps4}c6f2?6=:3:1N5981b=9m50;&15a<64>>3_;?974=#=kk1=6sr}|9~f1`429086=4?{%02`?46i2B9=<5f15f94?"59m0:8n5Y20d95~J5:80:w[ml:3y'5<6=9=n0Z<:::3y'1gd=92.>nl4>;|~y>o6d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>4d9U513=:r.>no4l;%7ae?ef;3xH74628q]on4={%3:4?7?12\:884={%7af?7<,50z&15a<647h6=4+20f951e<^;;m6l09w)?60;37g>P6<<09w);mb;38 0df281vqps4ib794?"59m0h96X=1g82I4593;pZ<8j:3y'5<6=k<1]=9;52z&6fg<63-?im7?4}|~?le1290/><4>{W35a?4|,83;6n84V066>7}#=kh1?6*:b`80?x{zD;8;69uY21g97~Pdi38p(8l6:b48 65c228>h7)={#>=21n6sY21g97~Pdi38p(8l6:b48 65c228>h7)={#>=21n6sY21g97~Pdi38p(8l6:b48 65c22j?0(>=k:4`a?x"1<1097pX>8g81Sef2;q/9o75c79'76b==kh0q)8;8;33?x{z3`8;h7>5$33g>76c3_8:j74}Q9?o1>v*>91814a=Q9=?1>v*:bc8e?!3ei3l0qpsC23295~P59?09w[mn:3y'1g?=:9n0(>=k:4`a?x"1<10m7psr;h03g?6=,;;o6?>l;W02b?4|D;8:65$33g>4>>32wi8h950;194?6|,;;o6??n;I025>o6d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>4e9U513=:r.>no4>;%7ae?7h7[<>f;3xH74628q]on4={%3:4?73m2\:884={%7af?7<,>290/>??51zT`g?4|,83;6<66;W371?4|,{zut1vn9k7:182>5<7s-8:h7<=4:J154=h9131<7*=1e82<<=nm7>53;294~"59m0>o6F=109j51b=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=9j4V066>7}#=kh1=6*:b`82?x{zu2c:8h4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91820`=Q9=?1>v*:bc82?!3ei3;0qpsr;n3;=?6=,;;o6<66;W02b?7|D;8:683;356X>4481!3ej3;0(8ln:09~yx{nn7>53;294~"59m0>o6F=109j51b=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=9j4V066>7}#=kh1=6*:b`82?x{zu2c:8h4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91820`=Q9=?1>v*:bc82?!3ei3;0qpsr;n3;=?6=,;;o6<66;W02b?7|D;8:683;356X>4481!3ej3;0(8ln:09~yx{no7>54;294~"59m0>o6F=109j51b=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=9j4V066>7}#=kh1;6*:b`84?x{zu2c:8h4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91820`=Q9=?1>v*:bc8f?!3ei3o0qpsr;h36=?6=,;;o6<:l;I02g>P59o0:wA<=1;3xRfe=:r.:5=4>589U513=:r.>no4j;%7ae?cf;3xH74628q]on4={%3:4?7?12\:884={%7af?7<,50z&15a<2k2B9=<5f15f94?"59m0:8n5Y20d95~J5:80:w[ml:3y'5<6=9=n0Z<:::3y'1gd=?2.>nl48;|~y>o6d;37g>N59j1]>>6?u+5c`93>"2jh0<7psr}:k21<<72-8:h7?;c:J15f=Q:8l1=vB=2082Sed2;q/=4>514;8R4222;q/9ol5e:&6fd6583>!46l3;?o6F=1b9U64`=9rF9><4>{Wa`>7}#90:1=;:4V066>7}#=kh1i6*:b`8f?x{zu2c:::4?:%02`?73k2B9=n5Y20d95~J5:80:w[ml:3y'5<6=9?=0Z<:::3y'1gd=m2.>nl4j;|~y>i6000;6)<>d;3;=>P59o0:wA<=1;3xRfe=:r.:5=4>889U513=:r.>no4>;%7ae?73<729q/>o6=4+20f951e<^;;m6??51zT`g?4|,83;6<:j;W371?4|,{zut1b=8750;&15a<6>6?u+5c`9a>"2jh0n7psr}:k221<72-8:h7?;c:J15f=Q:8l1=vB=2082Sed2;q/=4>51768R4222;q/9ol5e:&6fd6683>!46l3;?o6F=1b9U64`=9rF9><4>{Wa`>7}#90:1=;94V066>7}#=kh1i6*:b`8f?x{zu2e:444?:%02`?7?12\9=k4>{M015?7|^ji1>v*>9182<<=Q9=?1>v*:bc82?!3ei3;0qpsr;|`7ac<72>0;6=u+20f91f=O:8;0e<:k:18'64b=9=i0Z??i:0yO677=9r\ho742c3_;?972=#=kk1;6sr}|9j51c=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=9k4V066>7}#=kh1;6*:b`84?x{zu2c:944?:%02`?73k2B9=n5Y20d95~J5:80:w[ml:3y'5<6=9<30Z<:::3y'1gd=?2.>nl48;|~y>o6>=0;6)<>d;37g>N59j1]>>6?u+5c`9a>"2jh0n7psr}:k222<72-8:h7?;c:J15f=Q:8l1=vB=2082Sed2;q/=4>51758R4222;q/9ol5e:&6fd6983>!46l3;?o6F=1b9U64`=9rF9><4>{Wa`>7}#90:1=;64V066>7}#=kh1i6*:b`8f?x{zu2e:444?:%02`?7?12\9=k4>{M015?7|^ji1>v*>9182<<=Q9=?1>v*:bc82?!3ei3;0qpsr;|`7b5<72>0;6=u+20f91f=O:8;0e<:k:18'64b=9=i0Z??i:0yO677=9r\ho742c3_;?972=#=kk1;6sr}|9j51c=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=9k4V066>7}#=kh1;6*:b`84?x{zu2c:944?:%02`?73k2B9=n5Y20d95~J5:80:w[ml:3y'5<6=9<30Z<:::3y'1gd=?2.>nl48;|~y>o6>=0;6)<>d;37g>N59j1]>>6?u+5c`9a>"2jh0n7psr}:k222<72-8:h7?;c:J15f=Q:8l1=vB=2082Sed2;q/=4>51758R4222;q/9ol5e:&6fd6983>!46l3;?o6F=1b9U64`=9rF9><4>{Wa`>7}#90:1=;64V066>7}#=kh1i6*:b`8f?x{zu2e:444?:%02`?7?12\9=k4>{M015?7|^ji1>v*>9182<<=Q9=?1>v*:bc82?!3ei3;0qpsr;|`7a<<7210;6=u+20f95=><@;;:7d?;d;29 77c28>h7[<>f;3xH74628q]on4={%3:4?73l2\:884={%7af?7<,??51zT`g?4|,83;6<:j;W371?4|,{zut1b=8750;&15a<6>6?u+5c`95>"2jh0:7psr}:k221<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190::95Y15796~"2jk0:7);ma;38yx{z3`;=;7>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;353>P6<<09w);mb;38 0df281vqps4i04;>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728<37[?;5;0x 0de281/9oo51:~yx=n9?31<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>517;8R4222;q/9ol51:&6fd<63twvq6a>8883>!46l3;356X=1g82I4593;pZnm52z&2=5<6001]=9;52z&6fg<63-?im7?4}|~?xd5080;6?4?:1y'64b=j>1C>5<#:8n1=9m4;n3;=?6=,;;o6<66;W02b?7|D;8:683;356X>4481!3ej3;0(8ln:09~yx{53;294~"59m09=l5G2038m42c290/>??51zT`g?4|,83;6<:k;W371?4|,{zut1b=9k50;&15a<6>6?u+5c`9g>"2jh0h7psr}:m2<<<72-8:h7?79:T15c<6sE89=7?tVba96~"6190:445Y15796~"2jk0:7);ma;38yx{z3th94l4?:783>5}#:8n1=9o4H332?!71l3;:7d?;c;29 77c28>h7[<>f;3xH74628q]=;k52z&2=5<6<4>{W35a?4|,83;6n;4V066>7}#=kh1=6*:b`82?x{zu2ch:7>5$33g>f0<^;;m6?uC23395~P6>l09w)?60;a5?S73=38p(8lm:29'1gg=;2wvqA<=0;6xR76b2:q]ol4={%7a=?e13-98h7;mb:Ugg<5s-?i57?;c:&07a<2jk1v(;:7:c9~R76b2:q]ol4={%7a=?e13-98h7;mb:Ugg<5s-?i57?;c:&07a<2jh1v(;:7:c9~R76b2:q]ol4={%7a=?e13-98h7;mb:Ugg<5s-?i57m:;%10`?3ej2w/:965b:U5=`=:r\hm7f0<,:9o68lm;|&50=<682wvq6g=0e83>!46l38;h6X=1g81I4593;pZ<8j:3y'5<6=:9n0Z<:::3y'1gd=n2.>nl4i;|~H74728q]><852zT`e?4|,k;%10`?3ej2w/:965f:~y>o58j0;6)<>d;03g>P59o09wA<=1;3xR40b2;q/=4>521a8R4222;q/9ol5f:&6fd76d3-98h7;mb:'21>=n2wvq6a>8883>!46l3;3565rb3:0>5<4290;w)<>d;02e>N5981b=9j50;&15a<6>6?u+5c`95>"2jh0:7psr}:k20`<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:8h5Y15796~"2jk0:7);ma;38yx{z3f;357>5$33g>4>>3_8:j7?tL302>4}Qkj09w)?60;3;=>P6<<09w);mb;38 0df281vqps4}c0;0?6=93:11<729q/>o6=4+20f951e<^;;m6nl4j;|~y>o6=00;6)<>d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>589U513=:r.>no4j;%7ae?cf;3xH74628q]on4={%3:4?7?12\:884={%7af?7<,50z&15a<2k2B9=<5f15f94?"59m0:8n5Y20d95~J5:80:w[ml:3y'5<6=9=n0Z<:::3y'1gd=m2.>nl4j;|~y>o6d;37g>N59j1]>>6?u+5c`9a>"2jh0n7psr}:k21<<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:945Y15796~"2jk0n7);ma;g8yx{z3`;=87>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;350>P6<<09w);mb;g8 0df2l1vqps4i044>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728<<7[?;5;0x 0de2l1/9oo5e:~yx=h9131<7*=1e82<<=Q:8l1=vB=2082Sed2;q/=4>519;8R4222;q/9ol51:&6fd<63twvq6sm29:94?0=83:p(??k:4a8L7763`;?h7>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;37`>P6<<09w);mb;g8 0df2l1vqps4i06f>5<#:8n1=9m4H33`?S46n3;p@?<>:0yUgf<5s-;2<7?;e:T200<5s-?in7k4$4`b>`=zutw0e<;6:18'64b=9=i0Z??i:0yO677=9r\ho743>3_;?97`=#=kk1i6sr}|9j532=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=;:4V066>7}#=kh1i6*:b`8f?x{zu2c:::4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>918222=Q9=?1>v*:bc8f?!3ei3o0qpsr;n3;=?6=,;;o6<66;W02b?7|D;8:683;356X>4481!3ej3;0(8ln:09~yx{54;294~"59m0:455G2038m42c290/>??51zT`g?4|,83;6<:k;W371?4|,{zut1b=9k50;&15a<6>6?u+5c`95>"2jh0:7psr}:k21<<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:945Y15796~"2jk0:7);ma;38yx{z3f;357>5$33g>4>>3_8:j7?tL302>4}Qkj09w)?60;3;=>P6<<09w);mb;38 0df281vqps4}c0;6?6=;3:1N5981b=9j50;&15a<6>6?u+5c`9a>"2jh0n7psr}:k20`<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:8h5Y15796~"2jk0n7);ma;g8yx{z3f;357>5$33g>4>>3_8:j7?tL302>4}Qkj09w)?60;3;=>P6<<09w);mb;38 0df281vqps4}c0:3?6=:3:1N5981b=9m50;&15a<64>>3_;?974=#=kk1=6sr}|9~f7g629086=4?{%02`?46i2B9=<5f15f94?"59m0:8n5Y20d95~J5:80:w[ml:3y'5<6=9=n0Z<:::3y'1gd=92.>nl4>;|~y>o6d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>4d9U513=:r.>no4l;%7ae?ef;3xH74628q]on4={%3:4?7?12\:884={%7af?7<,50z&15a<647h6=4+20f951e<^;;m6l09w)?60;37g>P6<<09w);mb;38 0df281vqps4ib794?"59m0h96X=1g82I4593;pZ<8j:3y'5<6=k<1]=9;52z&6fg<63-?im7?4}|~?le1290/><4>{W35a?4|,83;6n84V066>7}#=kh1?6*:b`80?x{zD;8;69uY21g97~Pdi38p(8l6:b48 65c228>h7)={#>=21n6sY21g97~Pdi38p(8l6:b48 65c228>h7)={#>=21n6sY21g97~Pdi38p(8l6:b48 65c22j?0(>=k:4`a?x"1<10i7pX>8g81Sef2;q/9o75c79'76b==kh0q)8;8;33?x{z3`8;h7>5$33g>76c3_8:j74}Q9?o1>v*>91814a=Q9=?1>v*:bc8e?!3ei3l0qpsC23295~P59?09w[mn:3y'1g?=:9n0(>=k:4`a?x"1<10m7psr;h03g?6=,;;o6?>l;W02b?4|D;8:65$33g>4>>32wi>4750;194?6|,;;o6??n;I025>o6d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>4e9U513=:r.>no4>;%7ae?7h7[<>f;3xH74628q]on4={%3:4?73m2\:884={%7af?7<,>290/>??51zT`g?4|,83;6<66;W371?4|,{zut1vn?7n:182>5<7s-8:h7<=4:J154=h9131<7*=1e82<<=54;294~"59m0>o6F=109j51b=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=9j4V066>7}#=kh1i6*:b`8f?x{zu2c:8h4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91820`=Q9=?1>v*:bc8f?!3ei3o0qpsr;h36=?6=,;;o6<:l;W02b?7|D;8:683;>56X>4481!3ej3o0(8ln:d9~yx{5d;7`?M4692c:8i4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91820a=Q9=?1>v*:bc8f?!3ei3o0qpsr;h37a?6=,;;o6<:l;I02g>P59o0:wA<=1;3xRfe=:r.:5=4>4d9U513=:r.>no4j;%7ae?ch7[<>f;3xH74628q]on4={%3:4?7212\:884={%7af?c<,??51zT`g?4|,83;6<8;;W371?4|,{zut1b=;950;&15a<6>6?u+5c`9a>"2jh0n7psr}:k22=<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190::55Y15796~"2jk0n7);ma;g8yx{z3`;=57>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;35=>P6<<09w);mb;g8 0df2l1vqps4o0::>5<#:8n1=574V33e>4}K:;;1=vXlc;0x 4?728227[?;5;0x 0de281/9oo51:~yx=zj;3n6=47:183!46l3?h7E<>1:k20a<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:8i5Y15796~"2jk0n7);ma;g8yx{z3`;?i7>5$33g>42d3A8:o6X=1g82I4593;pZnm52z&2=5<6:0yUgf<5s-;2<7?:9:T200<5s-?in7k4$4`b>`=zutw0e<8;:18'64b=9=i0Z??i:0yO677=9r\ho74033_;?97`=#=kk1i6sr}|9j531=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=;94V066>7}#=kh1i6*:b`8f?x{zu2c::54?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91822==Q9=?1>v*:bc8f?!3ei3o0qpsr;h35=?6=,;;o6<:l;W02b?7|D;8:683;=56X>4481!3ej3o0(8ln:d9~yx{5<3290;w)<>d;3;<>N5981b=9j50;&15a<6>6?u+5c`95>"2jh0:7psr}:k20`<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:8h5Y15796~"2jk0:7);ma;38yx{z3`;>57>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;36=>P6<<09w);mb;38 0df281vqps4o0::>5<#:8n1=574V33e>4}K:;;1=vXlc;0x 4?728227[?;5;0x 0de281/9oo51:~yx=zj;336=4<:183!46l3?h7E<>1:k20a<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:8i5Y15796~"2jk0n7);ma;g8yx{z3`;?i7>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;37a>P6<<09w);mb;g8 0df2l1vqps4o0::>5<#:8n1=574V33e>4}K:;;1=vXlc;0x 4?728227[?;5;0x 0de281/9oo51:~yx=zj;k96=4=:183!46l3h<7E<>1:k20f<72-8:h7?;c:9l5=?=83.9=i4>889U64`=9rF9><4>{Wa`>7}#90:1=574V066>7}#=kh1=6*:b`82?x{zu2wi>lo50;094?6|,;;o6o94H332?l73k3:1(??k:06`?>i6000;6)<>d;3;=>P59o0:wA<=1;3xRfe=:r.:5=4>889U513=:r.>no4>;%7ae?76<729q/>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;37`>P6<<09w);mb;38 0df281vqps4i06f>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728>n7[?;5;0x 0de2j1/9oo5c:~yx=h9131<7*=1e82<<=Q:8l1=vB=2082Sed2;q/=4>519;8R4222;q/9ol51:&6fd<63twvq6sm2`f94?0=83:p(??k:06b?M4692.::i4>1:k20f<72-8:h7?;c:T15c<6sE89=7?tV04f>7}#90:1=9m4V066>7}#=kh1=6*:b`82?x{zu2ch97>5$33g>f3<^;;m6l09w)?60;a6?S73=38p(8lm:09'1gg=92wvqp5fc783>!46l3i=7[<>f;0xH74628q]=;k52z&2=52\:884={%7af?5<,5r}|N165<3s_8;i7=tVbc96~"2j00h:6*<3e86fg=z^jh1>v*:b8820f=#;:n19ol4}%47v*:b8820f=#;:n19oo4}%47v*:b88`1>"4;m0>no5r$76;>g=z^82m6?uYc`81!3e13i=7)={#>=21==5r}|9j65b=83.9=i4=0e9U64`=:rF9><4>{W35a?4|,83;6?>k;W371?4|,{zuE89<7?tV335>7}Qkh09w);m9;03`>"4;m0>no5r$76;>c=zut1b>=m50;&15a<58j1]>76d3_;?97c=#=kk1j6sr}M014?7|^;;=6?uYc`81!3e138;o6*<3e86fg=z,?>36k5r}|9l5=?=83.9=i4>8898yg4f<3:1?7>50z&15a<59h1C>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728>o7[?;5;0x 0de281/9oo51:~yx=n9=o1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>515g8R4222;q/9ol51:&6fd<63twvq6a>8883>!46l3;356X=1g82I4593;pZnm52z&2=5<6001]=9;52z&6fg<63-?im7?4}|~?xd5i<0;6<4?:1y'64b=:;>0D??>;n3;=?6=,;;o6<66;:a6d1=83>1<7>t$33g>0e<@;;:7d?;d;29 77c28>h7[<>f;3xH74628q]on4={%3:4?73l2\:884={%7af?c<,??51zT`g?4|,83;6<:j;W371?4|,{zut1b=8750;&15a<6>6?u+5c`9a>"2jh0n7psr}:m2<<<72-8:h7?79:T15c<6sE89=7?tVba96~"6190:445Y15796~"2jk0:7);ma;38yx{z3th9m54?:883>5}#:8n19n5G2038m42c290/>??51zT`g?4|,83;6<:k;W371?4|,{zut1b=9k50;&15a<64}K:;;1=vXlc;0x 4?728>n7[?;5;0x 0de2l1/9oo5e:~yx=n9<31<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>514;8R4222;q/9ol5e:&6fd6583>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6>=1]=9;52z&6fg:0yUgf<5s-;2<7?97:T200<5s-?in7k4$4`b>`=zutw0e<87:18'64b=9=i0Z??i:0yO677=9r\ho740?3_;?97`=#=kk1i6sr}|9j53?=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=;74V066>7}#=kh1i6*:b`8f?x{zu2c::l4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91822d=Q9=?1>v*:bc8f?!3ei3o0qpsr;n3;=?6=,;;o6<66;W02b?7|D;8:683;356X>4481!3ej3;0(8ln:09~yx{59;294~"59m0>o6F=109j51b=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=9j4V066>7}#=kh1i6*:b`8f?x{zu2c:8h4?:%02`?73k2B9=n5Y20d95~J5:80:w[ml:3y'5<6=9=o0Z<:::3y'1gd=m2.>nl4j;|~y>o6=00;6)<>d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>589U513=:r.>no4j;%7ae?ch7[<>f;3xH74628q]on4={%3:4?71<2\:884={%7af?c<,??51zT`g?4|,83;6<88;W371?4|,{zut1b=;650;&15a<6<^8>>6?u+5c`9a>"2jh0n7psr}:k22<<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190::45Y15796~"2jk0n7);ma;g8yx{z3`;=m7>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;35e>P6<<09w);mb;g8 0df2l1vqps4o0::>5<#:8n1=574V33e>4}K:;;1=vXlc;0x 4?728227[?;5;0x 0de281/9oo51:~yx=zj;k=6=4;:183!46l3;346F=109j51b=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=9j4V066>7}#=kh1=6*:b`82?x{zu2c:8h4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91820`=Q9=?1>v*:bc82?!3ei3;0qpsr;h36=?6=,;;o6<:l;W02b?7|D;8:683;>56X>4481!3ej3;0(8ln:09~yx{5<1290;w)<>d;7`?M4692c:8i4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91820a=Q9=?1>v*:bc8:?!3ei330qpsr;h37a?6=,;;o6<:l;I02g>P59o0:wA<=1;3xRfe=:r.:5=4>4d9U513=:r.>no46;%7ae??h7E<>c:T15c<6sE89=7?tVba96~"6190:945Y15796~"2jk027);ma;;8yx{z3`;=87>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;350>P6<<09w);mb;d8 0df2o1vqps4i044>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728<<7[?;5;0x 0de2o1/9oo5f:~yx=h9131<7*=1e82<<=Q:8l1=vB=2082Sed2;q/=4>519;8R4222;q/9ol51:&6fd<63twvq6sm2`194?5=83:p(??k:4a8L7763`;?h7>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;37`>P6<<09w);mb;g8 0df2l1vqps4i06f>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728>n7[?;5;0x 0de2l1/9oo5e:~yx=h9131<7*=1e82<<=Q:8l1=vB=2082Sed2;q/=4>519;8R4222;q/9ol51:&6fd<63twvq6sm2cc94?4=83:p(??k:c58L7763`;?o7>5$33g>42d32e:444?:%02`?7?12\9=k4>{M015?7|^ji1>v*>9182<<=Q9=?1>v*:bc82?!3ei3;0qpsr;|`1g1<72:0;6=u+20f964g<@;;:7d?;d;29 77c28>h7[<>f;3xH74628q]on4={%3:4?73l2\:884={%7af?7<,??51zT`g?4|,83;6<:j;W371?4|,{zut1d=5750;&15a<6001]>>6?u+5c`95>"2jh0:7psr}:a6f5=83<1<7>t$33g>42f3A8:=6*>6e825>o6d;37g>P59o0:wA<=1;3xR40b2;q/=4>515a8R4222;q/9ol51:&6fd<63twvq6gl5;29 77c2j?0Z??i:0yO677=9r\::h4={%3:4?e23_;?974=#=kk1=6sr}|9jg3<72-8:h7m9;W02b?4|D;8:64481!3ej390(8ln:29~yxJ5:90?w[n44l6:&07a<2jk1vZnl52z&6f<<6j55c`8y!0303h0q[n44l6:&07a<2jk1vZnl52z&6f<<6j55cc8y!0303h0q[n44l6:&07a<2jk1vZnl52z&6f<vB=2082S71m38p(<7?:32g?S73=38p(8lm:g9'1gg=n2wvqA<=0;3xR7712;q]ol4={%7a=?47l2.8?i4:bc9~ 32?2o1vqp5f21a94?"59m0953;294~"59m09=l5G2038m42c290/>??51zT`g?4|,83;6<:k;W371?4|,{zut1b=9k50;&15a<6>6?u+5c`95>"2jh0:7psr}:m2<<<72-8:h7?79:T15c<6sE89=7?tVba96~"6190:445Y15796~"2jk0:7);ma;38yx{z3th9ni4?:083>5}#:8n1>?:4H332?j7?13:1(??k:0::?>{e:kl1<7:50;2x 77c2;h37`?6=,;;o6<:l;W02b?7|D;8:683;?h6X>4481!3ej3o0(8ln:d9~yx{n6=4+20f951e<^;;m6nl4j;|~y>i6000;6)<>d;3;=>P59o0:wA<=1;3xRfe=:r.:5=4>889U513=:r.>no4>;%7ae?747=83:p(??k:4a8L7763`;?h7>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;37`>P6<<09w);mb;g8 0df2l1vqps4i06f>5<#:8n1=9m4H33`?S46n3;p@?<>:0yUgf<5s-;2<7?;e:T200<5s-?in7k4$4`b>`=zutw0e<;6:18'64b=9=i0Z??i:0yO677=9r\ho743>3_;?97`=#=kk1i6sr}|9j532=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=;:4V066>7}#=kh1i6*:b`8f?x{zu2c:::4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>918222=Q9=?1>v*:bc8f?!3ei3o0qpsr;h3583;=46X>4481!3ej3o0(8ln:d9~yx{nl4j;|~y>o6>k0;6)<>d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>6c9U513=:r.>no4j;%7ae?ch7[<>f;3xH74628q]on4={%3:4?71k2\:884={%7af?c<,??51zT`g?4|,83;6<:i;W371?4|,{zut1b=8>50;&15a<6>6?u+5c`95>"2jh0:7psr}:k214<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:9<5Y15796~"2jk0:7);ma;38yx{z3`;>>7>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;366>P6<<09w);mb;38 0df281vqps4i070>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728?87[?;5;0x 0de281/9oo51:~yx=n9<>1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>51468R4222;q/9ol51:&6fd<63twvq6a>8883>!46l3;356X=1g82I4593;pZnm52z&2=5<6001]=9;52z&6fg<63-?im7?4}|~?xd5k80;6;h37`?6=,;;o6<:l;W02b?7|D;8:683;?h6X>4481!3ej3o0(8ln:d9~yx{n6=4+20f951e<@;;h7[<>f;3xH74628q]on4={%3:4?73m2\:884={%7af?c<,290/>??51zT`g?4|,83;6<;6;W371?4|,{zut1b=;:50;&15a<6>6?u+5c`9a>"2jh0n7psr}:k222<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:::5Y15796~"2jk0n7);ma;g8yx{z3`;=47>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;35<>P6<<09w);mb;g8 0df2l1vqps4i04:>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728<27[?;5;0x 0de2l1/9oo5e:~yx=n9?k1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>517c8R4222;q/9ol5e:&6fd6c83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6>k1]=9;52z&6fg:0yUgf<5s-;2<7?9c:T200<5s-?in7k4$4`b>`=zutw0e<:i:18'64b=9=i0Z??i:0yO677=9r\ho742a3_;?974=#=kk1=6sr}|9j506=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=8>4V066>7}#=kh1=6*:b`82?x{zu2c:9<4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>918214=Q9=?1>v*:bc82?!3ei3;0qpsr;h366?6=,;;o6<:l;W02b?7|D;8:683;>>6X>4481!3ej3;0(8ln:09~yx{0Z<:::3y'1gd=92.>nl4>;|~y>i6000;6)<>d;3;=>P59o0:wA<=1;3xRfe=:r.:5=4>889U513=:r.>no4>;%7ae?71<729q/>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;37`>P6<<09w);mb;38 0df281vqps4i06f>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728>n7[?;5;0x 0de281/9oo51:~yx=n9<31<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>514;8R4222;q/9ol51:&6fd<63twvq6a>8883>!46l3;356X=1g82I4593;pZnm52z&2=5<6001]=9;52z&6fg<63-?im7?4}|~?xd5jk0;6>4?:1y'64b==j1C>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728>o7[?;5;0x 0de2l1/9oo5e:~yx=n9=o1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>515g8R4222;q/9ol5e:&6fd8883>!46l3;356X=1g82I4593;pZnm52z&2=5<6001]=9;52z&6fg<63-?im7?4}|~?xd4;?0;6?4?:1y'64b=j>1C>5<#:8n1=9m4;n3;=?6=,;;o6<66;W02b?7|D;8:683;356X>4481!3ej3;0(8ln:09~yx{56;294~"59m0:8l5G2038 40c28;0e<:l:18'64b=9=i0Z??i:0yO677=9r\::h4={%3:4?73k2\:884={%7af?7<,7}#90:1o85Y15796~"2jk0:7);ma;38yx{z3`i=6=4+20f9g3=Q:8l1>vB=2082S71m38p(<7?:b48R4222;q/9ol53:&6fd<43twv@?f0<,:9o68lm;|T`f?4|,f0<,:9o68lm;|T`f?4|,f0<,:9o68lm;|T`f?4|,0de3t.=854m;|T2f;0xH74628q]=;k52z&2=5<58m1]=9;52z&6fg7}#=k31>=j4$21g>0de3t.=854i;|~?l47k3:1(??k:32`?S46n38p@?<>:0yU53c=:r.:5=4=0b9U513=:r.>no4i;%7ae?`?>51zT153<5s_ij6?u+5c;965e<,:9o68lm;|&50={M015?7|^ji1>v*>91820a=Q9=?1>v*:bc82?!3ei3;0qpsr;h37a?6=,;;o6<:l;W02b?7|D;8:683;?i6X>4481!3ej3;0(8ln:09~yx{5<6290;w)<>d;010>N5981d=5750;&15a<60010qo=515f8R4222;q/9ol5e:&6fd4d83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6:0yUgf<5s-;2<7?79:T200<5s-?in7?4$4`b>4=zutw0qo=<7;297?6=8r.9=i4:c:J154=n9=n1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>515f8R4222;q/9ol57:&6fd<03twvq6g>4d83>!46l3;?o6F=1b9U64`=9rF9><4>{Wa`>7}#90:1=9k4V066>7}#=kh1i6*:b`8f?x{zu2e:444?:%02`?7?12\9=k4>{M015?7|^ji1>v*>9182<<=Q9=?1>v*:bc82?!3ei3;0qpsr;|`171<72;0;6=u+20f9f2=O:8;0e<:l:18'64b=9=i07b?79;29 77c28227[<>f;3xH74628q]on4={%3:4?7?12\:884={%7af?7<,50z&15a<59h1C>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728>o7[?;5;0x 0de281/9oo51:~yx=n9=o1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>515g8R4222;q/9ol5c:&6fd8883>!46l3;356X=1g82I4593;pZnm52z&2=5<6001]=9;52z&6fg<63-?im7?4}|~?xd5;m0;6;4?:1y'64b=9=k0D??>;%35`?763`;?o7>5$33g>42d3_8:j7?tL302>4}Q9?o1>v*>91820f=Q9=?1>v*:bc82?!3ei3;0qpsr;ha6>5<#:8n1o85Y20d95~J5:80:w[?9e;0x 4?72j?0Z<:::3y'1gd=92.>nl4>;|~y>od>3:1(??k:b48R77a2;qG>??51zT22`<5s-;2<7m9;W371?4|,5+5cc97>{zuE89<7:tV32f>6}Qkh09w);m9;a5?!54l3?in6sYcc81!3e13;?o6*<3e86fg=z,?>36o5rV32f>6}Qkh09w);m9;a5?!54l3?in6sYcc81!3e13;?o6*<3e86fd=z,?>36o5rV32f>6}Qkh09w);m9;a5?!54l3?in6sYcc81!3e13i>7)={#>=21n6sY19d96~Pdi38p(8l6:b48 65c2{zu2c9>6?u+5c`9b>"2jh0m7psrL303>4}Q:8<1>vXla;0x 0d>2;:o7)={#>=21j6sr}:k14f<72-8:h77}#90:1>=m4V066>7}#=kh1j6*:b`8e?x{zD;8;6{zu2e:444?:%02`?7?121vn?=9:180>5<7s-8:h7<>a:J154=n9=n1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>515f8R4222;q/9ol51:&6fd<63twvq6g>4d83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6:0yUgf<5s-;2<7?79:T200<5s-?in7?4$4`b>4=zutw0qo<<7;295?6=8r.9=i4=259K6475}#:8n19n5G2038m42c290/>??51zT`g?4|,83;6<:k;W371?4|,{zut1b=9k50;&15a<6>6?u+5c`9a>"2jh0n7psr}:k21<<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:945Y15796~"2jk0n7);ma;g8yx{z3f;357>5$33g>4>>3_8:j7?tL302>4}Qkj09w)?60;3;=>P6<<09w);mb;38 0df281vqps4}c00e?6=980;6=u+20f91f=O:8;0e<:k:18'64b=9=i0Z??i:0yO677=9r\ho742c3_;?97`=#=kk1i6sr}|9j51c=83.9=i4>4b9K64e<^;;m6nl4j;|~y>o6>=0;6)<>d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>659U513=:r.>no4j;%7ae?ch7[<>f;3xH74628q]on4={%3:4?71?2\:884={%7af?c<,??51zT`g?4|,83;6<87;W371?4|,{zut1b=;750;&15a<6>6?u+5c`9a>"2jh0n7psr}:k22d<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190::l5Y15796~"2jk0n7);ma;g8yx{z3`;=n7>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;35f>P6<<09w);mb;g8 0df2l1vqps4i04`>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728515d8R4222;q/9ol5e:&6fd5183>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6=91]=9;52z&6fg<63-?im7?4}|~?l7293:1(??k:06`?S46n3;p@?<>:0yUgf<5s-;2<7?:1:T200<5s-?in7?4$4`b>4=zutw0e<;=:18'64b=9=i0Z??i:0yO677=9r\ho74353_;?974=#=kk1=6sr}|9j505=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=8=4V066>7}#=kh1=6*:b`82?x{zu2c:994?:%02`?73k2\9=k4>{M015?7|^ji1>v*>918211=Q9=?1>v*:bc82?!3ei3;0qpsr;n3;=?6=,;;o6<66;W02b?7|D;8:683;356X>4481!3ej3;0(8ln:09~yx{51083>5}#:8n19n5G2038m42c290/>??51zT`g?4|,83;6<:k;W371?4|,{zut1b=9k50;&15a<64}K:;;1=vXlc;0x 4?728>n7[?;5;0x 0de2l1/9oo5e:~yx=n9<31<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>514;8R4222;q/9ol5e:&6fd6583>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6>=1]=9;52z&6fg:0yUgf<5s-;2<7?97:T200<5s-?in7k4$4`b>`=zutw0e<87:18'64b=9=i0Z??i:0yO677=9r\ho740?3_;?97`=#=kk1i6sr}|9j53?=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=;74V066>7}#=kh1i6*:b`8f?x{zu2c::l4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91822d=Q9=?1>v*:bc8f?!3ei3o0qpsr;h35f?6=,;;o6<:l;W02b?7|D;8:683;=n6X>4481!3ej3o0(8ln:d9~yx{nl4j;|~y>o6=90;6)<>d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>519U513=:r.>no4>;%7ae?7h7[<>f;3xH74628q]on4={%3:4?7292\:884={%7af?7<,??51zT`g?4|,83;6<;=;W371?4|,{zut1b=8=50;&15a<6>6?u+5c`95>"2jh0:7psr}:k211<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:995Y15796~"2jk0:7);ma;38yx{z3f;357>5$33g>4>>3_8:j7?tL302>4}Qkj09w)?60;3;=>P6<<09w);mb;38 0df281vqps4}c0042c3_;?974=#=kk1=6sr}|9j51c=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=9k4V066>7}#=kh1=6*:b`82?x{zu2c:944?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91821<=Q9=?1>v*:bc82?!3ei3;0qpsr;n3;=?6=,;;o6<66;W02b?7|D;8:683;356X>4481!3ej3;0(8ln:09~yx{53;294~"59m0>o6F=109j51b=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=9j4V066>7}#=kh1i6*:b`8f?x{zu2c:8h4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91820`=Q9=?1>v*:bc8f?!3ei3o0qpsr;n3;=?6=,;;o6<66;W02b?7|D;8:683;356X>4481!3ej3;0(8ln:09~yx{52;294~"59m0i;6F=109j51e=83.9=i4>4b98k4>>290/>??51zT`g?4|,83;6<66;W371?4|,{zut1vn?:6:180>5<7s-8:h7<>a:J154=n9=n1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>515f8R4222;q/9ol51:&6fd<63twvq6g>4d83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6:0yUgf<5s-;2<7?79:T200<5s-?in7?4$4`b>4=zutw0qo<;8;292?6=8r.9=i4>4`9K647<,85<#:8n1=9m4V33e>4}K:;;1=vX>6d81!7>83;?o6X>4481!3ej3;0(8ln:09~yx{P59o0:wA<=1;3xR40b2;q/=4>5c49U513=:r.>no4>;%7ae?7f0<^8>>6?u+5c`97>"2jh087psrL303>1}Q:9o1?vXla;0x 0d>2j<0(>=k:4`a?xPdj38p(8l6:06`?!54l3?in6s+65:9f>{Q:9o1?vXla;0x 0d>2j<0(>=k:4`a?xPdj38p(8l6:06`?!54l3?im6s+65:9f>{Q:9o1?vXla;0x 0d>2j<0(>=k:4`a?xPdj38p(8l6:b78 65c2j55c`8y!0303;;7psr;h03`?6=,;;o6?>k;W02b?4|D;8:65$33g>76d3_8:j74}Q9?o1>v*>91814f=Q9=?1>v*:bc8e?!3ei3l0qpsC23295~P59?09w[mn:3y'1g?=:9i0(>=k:4`a?x"1<10m7psr;n3;=?6=,;;o6<66;:a617=8391<7>t$33g>77f3A8:=6g>4e83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6:0yUgf<5s-;2<7?;e:T200<5s-?in7?4$4`b>4=zutw0c<66:18'64b=9130Z??i:0yO677=9r\ho74>>3_;?974=#=kk1=6sr}|9~f725290:6=4?{%02`?45<2B9=<5`19;94?"59m0:4454}c070?6=<3:1N5981b=9j50;&15a<6>6?u+5c`9a>"2jh0n7psr}:k20`<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:8h5Y15796~"2jk0n7);ma;g8yx{z3`;>57>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;36=>P6<<09w);mb;g8 0df2l1vqps4o0::>5<#:8n1=574V33e>4}K:;;1=vXlc;0x 4?728227[?;5;0x 0de281/9oo51:~yx=zj;>>6=4>1;294~"59m0>o6F=109j51b=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=9j4V066>7}#=kh1i6*:b`8f?x{zu2c:8h4?:%02`?73k2B9=n5Y20d95~J5:80:w[ml:3y'5<6=9=o0Z<:::3y'1gd=m2.>nl4j;|~y>o6=00;6)<>d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>589U513=:r.>no4j;%7ae?ch7[<>f;3xH74628q]on4={%3:4?71<2\:884={%7af?c<,??51zT`g?4|,83;6<88;W371?4|,{zut1b=;650;&15a<6<^8>>6?u+5c`9a>"2jh0n7psr}:k22<<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190::45Y15796~"2jk0n7);ma;g8yx{z3`;=m7>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;35e>P6<<09w);mb;g8 0df2l1vqps4i04a>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728517a8R4222;q/9ol5e:&6fd4g83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6:0yUgf<5s-;2<7?:0:T200<5s-?in7k4$4`b>`=zutw0e<;>:18'64b=9=i0Z??i:0yO677=9r\ho74363_;?974=#=kk1=6sr}|9j504=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=8<4V066>7}#=kh1=6*:b`82?x{zu2c:9>4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>918216=Q9=?1>v*:bc82?!3ei3;0qpsr;h360?6=,;;o6<:l;W02b?7|D;8:683;>86X>4481!3ej3;0(8ln:09~yx{5<693:1N5981b=9j50;&15a<6>6?u+5c`9a>"2jh0n7psr}:k20`<72-8:h7?;c:J15f=Q:8l1=vB=2082Sed2;q/=4>515g8R4222;q/9ol5e:&6fd5883>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6=01]=9;52z&6fg:0yUgf<5s-;2<7?94:T200<5s-?in7k4$4`b>`=zutw0e<88:18'64b=9=i0Z??i:0yO677=9r\ho74003_;?97`=#=kk1i6sr}|9j53>=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=;64V066>7}#=kh1i6*:b`8f?x{zu2c::44?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91822<=Q9=?1>v*:bc8f?!3ei3o0qpsr;h35e?6=,;;o6<:l;W02b?7|D;8:683;=m6X>4481!3ej3o0(8ln:d9~yx{nl4j;|~y>o6d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>4g9U513=:r.>no4j;%7ae?ch7[<>f;3xH74628q]on4={%3:4?7282\:884={%7af?c<,??51zT`g?4|,83;6<;>;W371?4|,{zut1b=8<50;&15a<6>6?u+5c`95>"2jh0:7psr}:k216<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:9>5Y15796~"2jk0:7);ma;38yx{z3`;>87>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;360>P6<<09w);mb;38 0df281vqps4o0::>5<#:8n1=574V33e>4}K:;;1=vXlc;0x 4?728227[?;5;0x 0de281/9oo51:~yx=zj;>86=4;:183!46l3;346F=109j51b=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=9j4V066>7}#=kh1=6*:b`82?x{zu2c:8h4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91820`=Q9=?1>v*:bc82?!3ei3;0qpsr;h36=?6=,;;o6<:l;W02b?7|D;8:683;>56X>4481!3ej3;0(8ln:09~yx{5<4290;w)<>d;7`?M4692c:8i4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91820a=Q9=?1>v*:bc8f?!3ei3o0qpsr;h37a?6=,;;o6<:l;W02b?7|D;8:683;?i6X>4481!3ej3o0(8ln:d9~yx{5<5290;w)<>d;`4?M4692c:8n4?:%02`?73k21d=5750;&15a<6001]>>6?u+5c`95>"2jh0:7psr}:a6g?=8391<7>t$33g>77f3A8:=6g>4e83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6:0yUgf<5s-;2<7?;e:T200<5s-?in7m4$4`b>f=zutw0c<66:18'64b=9130Z??i:0yO677=9r\ho74>>3_;?974=#=kk1=6sr}|9~f7d?290=6=4?{%02`?73i2B9=<5+17f954=n9=i1<7*=1e820f=Q:8l1=vB=2082S71m38p(<7?:06`?S73=38p(8lm:09'1gg=92wvqp5fc483>!46l3i>7[<>f;3xH74628q]=;k52z&2=57}#90:1o;5Y15796~"2jk087);ma;18yx{K:;:18vX=0d80Sef2;q/9o75c79'76b==kh0q[mm:3y'1g?=9=i0(>=k:4`a?x"1<10i7pX=0d80Sef2;q/9o75c79'76b==kh0q[mm:3y'1g?=9=i0(>=k:4`b?x"1<10i7pX=0d80Sef2;q/9o75c79'76b==kh0q[mm:3y'1g?=k<1/?>j55c`8y!0303h0q[?7f;0xRfg=:r.>n44l6:&07a<2jk1v(;:7:028yx{l09w)?60;03`>P6<<09w);mb;d8 0df2o1vqpB=2182S46>38pZno52z&6f<<58m1/?>j55c`8y!0303l0qps4i32`>5<#:8n1>=m4V33e>7}K:;;1=vX>6d81!7>838;o6X>4481!3ej3l0(8ln:g9~yxJ5:90:w[<>6;0xRfg=:r.>n44=0b9'76b==kh0q)8;8;d8yx{5}#:8n1>:0yUgf<5s-;2<7?;d:T200<5s-?in7?4$4`b>4=zutw0e<:j:18'64b=9=i0Z??i:0yO677=9r\ho742b3_;?974=#=kk1=6sr}|9l5=?=83.9=i4>889U64`=9rF9><4>{Wa`>7}#90:1=574V066>7}#=kh1=6*:b`82?x{zu2wi>o<50;394?6|,;;o6?<;;I025>i6000;6)<>d;3;=>=zj;h?6=4;:183!46l3?h7E<>1:k20a<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:8i5Y15796~"2jk0n7);ma;g8yx{z3`;?i7>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;37a>P6<<09w);mb;g8 0df2l1vqps4i07:>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728?27[?;5;0x 0de2l1/9oo5e:~yx=h9131<7*=1e82<<=Q:8l1=vB=2082Sed2;q/=4>519;8R4222;q/9ol51:&6fd<63twvq6sm2c794?76290;w)<>d;7`?M4692c:8i4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91820a=Q9=?1>v*:bc8f?!3ei3o0qpsr;h37a?6=,;;o6<:l;I02g>P59o0:wA<=1;3xRfe=:r.:5=4>4d9U513=:r.>no4j;%7ae?ch7[<>f;3xH74628q]on4={%3:4?7212\:884={%7af?c<,??51zT`g?4|,83;6<8;;W371?4|,{zut1b=;950;&15a<6>6?u+5c`9a>"2jh0n7psr}:k22=<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190::55Y15796~"2jk0n7);ma;g8yx{z3`;=57>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;35=>P6<<09w);mb;g8 0df2l1vqps4i04b>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728517`8R4222;q/9ol5e:&6fd6b83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6>j1]=9;52z&6fg<63-?im7?4}|~?l73n3:1(??k:06`?S46n3;p@?<>:0yUgf<5s-;2<7?;f:T200<5s-?in7?4$4`b>4=zutw0e<;?:18'64b=9=i0Z??i:0yO677=9r\ho74373_;?974=#=kk1=6sr}|9j507=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=8?4V066>7}#=kh1=6*:b`82?x{zu2c:9?4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>918217=Q9=?1>v*:bc82?!3ei3;0qpsr;h367?6=,;;o6<:l;W02b?7|D;8:683;>?6X>4481!3ej3;0(8ln:09~yx{nl4>;|~y>{e:k<1<7?>:183!46l3?h7E<>1:k20a<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:8i5Y15796~"2jk0n7);ma;g8yx{z3`;?i7>5$33g>42d3A8:o6X=1g82I4593;pZnm52z&2=5<6:0yUgf<5s-;2<7?:9:T200<5s-?in7k4$4`b>`=zutw0e<8;:18'64b=9=i0Z??i:0yO677=9r\ho74033_;?97`=#=kk1i6sr}|9j531=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=;94V066>7}#=kh1i6*:b`8f?x{zu2c::54?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91822==Q9=?1>v*:bc8f?!3ei3o0qpsr;h35=?6=,;;o6<:l;W02b?7|D;8:683;=56X>4481!3ej3o0(8ln:d9~yx{nl4j;|~y>o6>j0;6)<>d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>6b9U513=:r.>no4>;%7ae?7h7[<>f;3xH74628q]on4={%3:4?73n2\:884={%7af?7<,??51zT`g?4|,83;6<;?;W371?4|,{zut1b=8?50;&15a<6>6?u+5c`95>"2jh0:7psr}:k217<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:9?5Y15796~"2jk0:7);ma;38yx{z3`;>?7>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;367>P6<<09w);mb;38 0df281vqps4i077>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728??7[?;5;0x 0de281/9oo51:~yx=h9131<7*=1e82<<=Q:8l1=vB=2082Sed2;q/=4>519;8R4222;q/9ol51:&6fd<63twvq6sm2c194?2=83:p(??k:0:;?M4692c:8i4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91820a=Q9=?1>v*:bc82?!3ei3;0qpsr;h37a?6=,;;o6<:l;W02b?7|D;8:683;?i6X>4481!3ej3;0(8ln:09~yx{nl4>;|~y>{e:k:1<7=50;2x 77c2;h37`?6=,;;o6<:l;W02b?7|D;8:683;?h6X>4481!3ej3o0(8ln:d9~yx{n6=4+20f951e<^;;m6nl4>;|~y>{e:=k1<7<50;2x 77c2k=0D??>;h37g?6=,;;o6<:l;:m2<<<72-8:h7?79:T15c<6sE89=7?tVba96~"6190:445Y15796~"2jk0:7);ma;38yx{z3th9994?:283>5}#:8n1>:0yUgf<5s-;2<7?;d:T200<5s-?in7?4$4`b>4=zutw0e<:j:18'64b=9=i0Z??i:0yO677=9r\ho742b3_;?97f=#=kk1o6sr}|9l5=?=83.9=i4>889U64`=9rF9><4>{Wa`>7}#90:1=574V066>7}#=kh1=6*:b`82?x{zu2wi>8=50;494?6|,;;o6<:n;I025>"6>m0:=6g>4b83>!46l3;?o6X=1g82I4593;pZ<8j:3y'5<6=9=i0Z<:::3y'1gd=92.>nl4>;|~y>od=3:1(??k:b78R77a28qG>??51zT22`<5s-;2<7m:;W371?4|,{zut1bo;4?:%02`?e13_8:j74}Q9?o1>v*>918`2>P6<<09w);mb;18 0df2:1vqpB=2187S47m39pZno52z&6f<2.8?i4:bc9~Rfd=:r.>n44>4b9'76b==kh0q)8;8;`8yS47m39pZno52z&6f<2.8?i4:bc9~Rfd=:r.>n44>4b9'76b==kk0q)8;8;`8yS47m39pZno52z&6f<2.8?i4:bc9~Rfd=:r.>n44l5:&07a<2jk1v(;:7:c9~R4>a2;q]ol4={%7a=?e13-98h7;mb:'21>=991vqp5f21f94?"59m09vB=2082S71m38p(<7?:32`?S73=38p(8lm:g9'1gg=n2wvqA<=0;3xR7712;q]ol4={%7a=?47k2.8?i4:bc9~ 32?2o1vqp5`19;94?"59m0:4454}c07g?6=;3:142c3_;?974=#=kk1=6sr}|9j51c=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=9k4V066>7}#=kh1=6*:b`82?x{zu2e:444?:%02`?7?12\9=k4>{M015?7|^ji1>v*>9182<<=Q9=?1>v*:bc82?!3ei3;0qpsr;|`10a<7280;6=u+20f9672<@;;:7b?79;29 77c282276sm25d94?2=83:p(??k:4a8L7763`;?h7>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;37`>P6<<09w);mb;g8 0df2l1vqps4i06f>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728>n7[?;5;0x 0de2l1/9oo5e:~yx=n9<31<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>514;8R4222;q/9ol5e:&6fd8883>!46l3;356X=1g82I4593;pZnm52z&2=5<6001]=9;52z&6fg<63-?im7?4}|~?xd5=90;6;h37`?6=,;;o6<:l;W02b?7|D;8:683;?h6X>4481!3ej3o0(8ln:d9~yx{n6=4+20f951e<@;;h7[<>f;3xH74628q]on4={%3:4?73m2\:884={%7af?c<,290/>??51zT`g?4|,83;6<;6;W371?4|,{zut1b=;:50;&15a<6>6?u+5c`9a>"2jh0n7psr}:k222<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:::5Y15796~"2jk0n7);ma;g8yx{z3`;=47>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;35<>P6<<09w);mb;g8 0df2l1vqps4i04:>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728<27[?;5;0x 0de2l1/9oo5e:~yx=n9?k1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>517c8R4222;q/9ol5e:&6fd6c83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6>k1]=9;52z&6fg:0yUgf<5s-;2<7?9c:T200<5s-?in7k4$4`b>`=zutw0e<:i:18'64b=9=i0Z??i:0yO677=9r\ho742a3_;?97`=#=kk1i6sr}|9j506=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=8>4V066>7}#=kh1i6*:b`8f?x{zu2c:9<4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>918214=Q9=?1>v*:bc8f?!3ei3o0qpsr;h366?6=,;;o6<:l;W02b?7|D;8:683;>>6X>4481!3ej3;0(8ln:09~yx{0Z<:::3y'1gd=92.>nl4>;|~y>i6000;6)<>d;3;=>P59o0:wA<=1;3xRfe=:r.:5=4>889U513=:r.>no4>;%7ae?747=83:p(??k:4a8L7763`;?h7>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;37`>P6<<09w);mb;g8 0df2l1vqps4i06f>5<#:8n1=9m4H33`?S46n3;p@?<>:0yUgf<5s-;2<7?;e:T200<5s-?in7k4$4`b>`=zutw0e<;6:18'64b=9=i0Z??i:0yO677=9r\ho743>3_;?97`=#=kk1i6sr}|9j532=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=;:4V066>7}#=kh1i6*:b`8f?x{zu2c:::4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>918222=Q9=?1>v*:bc8f?!3ei3o0qpsr;h3583;=46X>4481!3ej3o0(8ln:d9~yx{nl4j;|~y>o6>k0;6)<>d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>6c9U513=:r.>no4j;%7ae?ch7[<>f;3xH74628q]on4={%3:4?71k2\:884={%7af?c<,??51zT`g?4|,83;6<:i;W371?4|,{zut1b=8>50;&15a<6>6?u+5c`9a>"2jh0n7psr}:k214<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:9<5Y15796~"2jk0n7);ma;g8yx{z3`;>>7>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;366>P6<<09w);mb;38 0df281vqps4i070>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728?87[?;5;0x 0de281/9oo51:~yx=n9<>1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>51468R4222;q/9ol51:&6fd<63twvq6a>8883>!46l3;356X=1g82I4593;pZnm52z&2=5<6001]=9;52z&6fg<63-?im7?4}|~?xd5;h37`?6=,;;o6<:l;W02b?7|D;8:683;?h6X>4481!3ej3;0(8ln:09~yx{n6=4+20f951e<^;;m6nl4>;|~y>i6000;6)<>d;3;=>P59o0:wA<=1;3xRfe=:r.:5=4>889U513=:r.>no4>;%7ae?76<729q/>o6=4+20f951e<^;;m6nl4j;|~y>i6000;6)<>d;3;=>P59o0:wA<=1;3xRfe=:r.:5=4>889U513=:r.>no4>;%7ae?77<729q/>h6=4+20f951e<3f;357>5$33g>4>>3_8:j7?tL302>4}Qkj09w)?60;3;=>P6<<09w);mb;38 0df281vqps4}c6bb?6=>3:1??51zT22`<5s-;2<7?;c:T200<5s-?in7?4$4`b>4=zutw0en;50;&15a{M015?7|^8v*:bc82?!3ei3;0qpsr;ha5>5<#:8n1o;5Y20d96~J5:80:w[?9e;0x 4?72j<0Z<:::3y'1gd=;2.>nl4<;|~H7472=q]>=k53zT`e?4|,0de3t\hn742d3-98h7;mb:'21>=j2w]>=k53zT`e?4|,0de3t\hn742d3-98h7;ma:'21>=j2w]>=k53zT`e?4|,0de3t\hn7f3<,:9o68lm;|&50=<53t\:4k4={Wab>7}#=k31o;5+32f91gd:0yU53c=:r.:5=4=0e9U513=:r.>no4i;%7ae?`?>51zT153<5s_ij6?u+5c;965b<,:9o68lm;|&50=f;0xH74628q]=;k52z&2=5<58j1]=9;52z&6fg7}#=k31>=m4$21g>0de3t.=854i;|~?j7?13:1(??k:0::?>{e1<7=50;2x 77c2;;j7E<>1:k20a<72-8:h7?;c:J15f=Q:8l1=vB=2082Sed2;q/=4>515f8R4222;q/9ol51:&6fd<63twvq6g>4d83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6:0yUgf<5s-;2<7?79:T200<5s-?in7?4$4`b>4=zutw0qo:n5;295?6=8r.9=i4=259K6475}#:8n19n5G2038m42c290/>??51zT`g?4|,83;6<:k;W371?4|,{zut1b=9k50;&15a<6>6?u+5c`95>"2jh0:7psr}:m2<<<72-8:h7?79:T15c<6sE89=7?tVba96~"6190:445Y15796~"2jk0:7);ma;38yx{z3th?m54?:283>5}#:8n19n5G2038m42c290/>??51zT`g?4|,83;6<:k;W371?4|,{zut1b=9k50;&15a<6>6?u+5c`95>"2jh0:7psr}:m2<<<72-8:h7?79:T15c<6sE89=7?tVba96~"6190:445Y15796~"2jk0:7);ma;38yx{z3th?m44?:283>5}#:8n19n5G2038m42c290/>4}Qkj09w)?60;37`>P6<<09w);mb;g8 0df2l1vqps4i06f>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728>n7[?;5;0x 0de2l1/9oo5e:~yx=h9131<7*=1e82<<=Q:8l1=vB=2082Sed2;q/=4>519;8R4222;q/9ol51:&6fd<63twvq6sm4`c94?5=83:p(??k:4a8L7763`;?h7>5$33g>42d3A8:o6X=1g82I4593;pZnm52z&2=5<6:0yUgf<5s-;2<7?;e:T200<5s-?in7k4$4`b>`=zutw0c<66:18'64b=9130Z??i:0yO677=9r\ho74>>3_;?974=#=kk1=6sr}|9~f1ge290?6=4?{%02`?3d3A8:=6g>4e83>!46l3;?o6F=1b9U64`=9rF9><4>{Wa`>7}#90:1=9j4V066>7}#=kh1i6*:b`8f?x{zu2c:8h4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91820`=Q9=?1>v*:bc8f?!3ei3o0qpsr;h36=?6=,;;o6<:l;W02b?7|D;8:683;>56X>4481!3ej3o0(8ln:d9~yx{5<2290;w)<>d;7`?M4692c:8i4?:%02`?73k2B9=n5Y20d95~J5:80:w[ml:3y'5<6=9=n0Z<:::3y'1gd=?2.>nl48;|~y>o6d;37g>N59j1]>>6?u+5c`93>"2jh0<7psr}:k21<<72-8:h7?;c:J15f=Q:8l1=vB=2082Sed2;q/=4>514;8R4222;q/9ol5e:&6fd6583>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6>=1]=9;52z&6fg:0yUgf<5s-;2<7?79:T200<5s-?in7?4$4`b>4=zutw0qo:nd;291?6=8r.9=i4:c:J154=n9=n1<7*=1e820f=O:8i0Z??i:0yO677=9r\ho742c3_;?97`=#=kk1i6sr}|9j51c=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=9k4V066>7}#=kh1;6*:b`84?x{zu2c:944?:%02`?73k2B9=n5Y20d95~J5:80:w[ml:3y'5<6=9<30Z<:::3y'1gd=m2.>nl4j;|~y>o6>=0;6)<>d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>659U513=:r.>no4j;%7ae?cf;3xH74628q]on4={%3:4?7?12\:884={%7af?7<,3:147>50z&15a<6011C>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728>o7[?;5;0x 0de281/9oo51:~yx=n9=o1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>515g8R4222;q/9ol51:&6fd<63twvq6g>5883>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6=01]=9;52z&6fg<63-?im7?4}|~?l71<3:1(??k:06`?S46n3;p@?<>:0yUgf<5s-;2<7?94:T200<5s-?in7?4$4`b>4=zutw0e<88:18'64b=9=i0Z??i:0yO677=9r\ho74003_;?974=#=kk1=6sr}|9j53>=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=;64V066>7}#=kh1=6*:b`82?x{zu2c::44?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91822<=Q9=?1>v*:bc82?!3ei3;0qpsr;n3;=?6=,;;o6<66;W02b?7|D;8:683;356X>4481!3ej3;0(8ln:09~yx{52;294~"59m0i;6F=109j51e=83.9=i4>4b98k4>>290/>??51zT`g?4|,83;6<66;W371?4|,{zut1vn;?j:181>5<7s-8:h7l8;I025>o6d;37g>=h9131<7*=1e82<<=Q:8l1=vB=2082Sed2;q/=4>519;8R4222;q/9ol51:&6fd<63twvq6sm63194?0=83:p(??k:06b?M4692.::i4>1:k20f<72-8:h7?;c:T15c<6sE89=7?tV04f>7}#90:1=9m4V066>7}#=kh1=6*:b`82?x{zu2ch97>5$33g>f3<^;;m6l09w)?60;a6?S73=38p(8lm:09'1gg=92wvqp5fc783>!46l3i=7[<>f;0xH74628q]=;k52z&2=52\:884={%7af?5<,5r}|N165<3s_8;i7=tVbc96~"2j00h:6*<3e86fg=z^jh1>v*:b8820f=#;:n19ol4}%47v*:b8820f=#;:n19oo4}%47v*:b88`1>"4;m0>no5r$76;>7=z^82m6?uYc`81!3e13i=7)={#>=21==5r}|9j65b=83.9=i4=0e9U64`=:rF9><4>{W35a?4|,83;6?>k;W371?4|,{zuE89<7?tV335>7}Qkh09w);m9;03`>"4;m0>no5r$76;>c=zut1b>=m50;&15a<58j1]>76d3_;?97c=#=kk1j6sr}M014?7|^;;=6?uYc`81!3e138;o6*<3e86fg=z,?>36k5r}|9l5=?=83.9=i4>8898yg0613:1?7>50z&15a<59h1C>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728>o7[?;5;0x 0de281/9oo51:~yx=n9=o1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>515g8R4222;q/9ol51:&6fd<63twvq6a>8883>!46l3;356X=1g82I4593;pZnm52z&2=5<6001]=9;52z&6fg<63-?im7?4}|~?xd19h0;6<4?:1y'64b=:;>0D??>;n3;=?6=,;;o6<66;:a24e=8391<7>t$33g>0e<@;;:7d?;d;29 77c28>h7[<>f;3xH74628q]on4={%3:4?73l2\:884={%7af?c<,??51zT`g?4|,83;6<:j;W371?4|,{zut1d=5750;&15a<6001]>>6?u+5c`95>"2jh0:7psr}:a24b=83>1<7>t$33g>0e<@;;:7d?;d;29 77c28>h7[<>f;3xH74628q]on4={%3:4?73l2\:884={%7af?c<,4}Qkj09w)?60;37a>P6<<09w);mb;g8 0df2l1vqps4i07:>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728?27[?;5;0x 0de2l1/9oo5e:~yx=h9131<7*=1e82<<=Q:8l1=vB=2082Sed2;q/=4>519;8R4222;q/9ol51:&6fd<63twvq6sm60`94?5=83:p(??k:0:;?M4692c:8i4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91820a=Q9=?1>v*:bc82?!3ei3;0qpsr;h37a?6=,;;o6<:l;W02b?7|D;8:683;?i6X>4481!3ej3;0(8ln:09~yx{5<2290;w)<>d;7`?M4692c:8i4?:%02`?73k2B9=n5Y20d95~J5:80:w[ml:3y'5<6=9=n0Z<:::3y'1gd=12.>nl46;|~y>o6d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>4d9U513=:r.>no46;%7ae??h7E<>c:T15c<6sE89=7?tVba96~"6190:945Y15796~"2jk027);ma;;8yx{z3`;=87>5$33g>42d3A8:o6X=1g82I4593;pZnm52z&2=5<6>=1]=9;52z&6fg<>3-?im774}|~?j7?13:1(??k:0::?S46n3;p@?<>:0yUgf<5s-;2<7?79:T200<5s-?in7?4$4`b>4=zutw0qo8=0;291?6=8r.9=i4:c:J154=n9=n1<7*=1e820f=O:8i0Z??i:0yO677=9r\ho742c3_;?97<=#=kk156sr}|9j51c=83.9=i4>4b9K64e<^;;m6??51zT`g?4|,83;6<;6;W371?4|,{zut1b=;:50;&15a<64}K:;;1=vXlc;0x 4?728519;8R4222;q/9ol51:&6fd<63twvq6sm63394?5=83:p(??k:0:;?M4692c:8i4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91820a=Q9=?1>v*:bc82?!3ei3;0qpsr;h37a?6=,;;o6<:l;W02b?7|D;8:683;?i6X>4481!3ej3;0(8ln:09~yx{5<5290;w)<>d;`4?M4692c:8n4?:%02`?73k21d=5750;&15a<6001]>>6?u+5c`95>"2jh0:7psr}:a77`=8381<7>t$33g>g1<@;;:7d?;c;29 77c28>h76a>8883>!46l3;356X=1g82I4593;pZnm52z&2=5<6001]=9;52z&6fg<63-?im7?4}|~?xd4;<0;6>4?:1y'64b=:8k0D??>;h37`?6=,;;o6<:l;W02b?7|D;8:683;?h6X>4481!3ej3;0(8ln:09~yx{n6=4+20f951e<^;;m6nl4>;|~y>{e;:>1<7=50;2x 77c28237E<>1:k20a<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:8i5Y15796~"2jk0:7);ma;38yx{z3`;?i7>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;37a>P6<<09w);mb;38 0df281vqps4o0::>5<#:8n1=574V33e>4}K:;;1=vXlc;0x 4?728227[?;5;0x 0de281/9oo51:~yx=zj:996=49:183!46l3;?m6F=109'53b=981b=9m50;&15a<642d3_;?974=#=kk1=6sr}|9jg0<72-8:h7m:;W02b?7|D;8:64481!3ej3;0(8ln:09~yx{P59o09wA<=1;3xR40b2;q/=4>5c79U513=:r.>no4<;%7ae?5?>54zT14`<4s_ij6?u+5c;9g3=#;:n19ol4}Waa>7}#=k31=9m4$21g>0de3t.=854m;|T14`<4s_ij6?u+5c;9g3=#;:n19ol4}Waa>7}#=k31=9m4$21g>0df3t.=854m;|T14`<4s_ij6?u+5c;9g3=#;:n19ol4}Waa>7}#=k31o85+32f91gdv*:b88`2>"4;m0>no5r$76;>46k:18'64b=:9n0Z??i:3yO677=9r\::h4={%3:4?47l2\:884={%7af?`<,??51zT22`<5s-;2<7c=zutF9>=4>{W022?4|^jk1>v*:b8814f=#;:n19ol4}%476<729q/>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;37`>P6<<09w);mb;38 0df281vqps4i06f>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728>n7[?;5;0x 0de281/9oo51:~yx=h9131<7*=1e82<<=Q:8l1=vB=2082Sed2;q/=4>519;8R4222;q/9ol51:&6fd<63twvq6sm33`94?7=83:p(??k:307?M4692e:444?:%02`?7?121vn>5<7s-8:h7;l;I025>o6d;37g>N59j1]>>6?u+5c`93>"2jh0<7psr}:k20`<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:8h5Y15796~"2jk0<7);ma;58yx{z3`;>57>5$33g>42d3A8:o6X=1g82I4593;pZnm52z&2=5<6=01]=9;52z&6fg<03-?im794}|~?l71<3:1(??k:06`?M46k2\9=k4>{M015?7|^ji1>v*>918221=Q9=?1>v*:bc84?!3ei3=0qpsr;h353?6=,;;o6<:l;I02g>P59o0:wA<=1;3xRfe=:r.:5=4>669U513=:r.>no48;%7ae?1h7E<>c:T15c<6sE89=7?tVba96~"6190::55Y15796~"2jk0n7);ma;g8yx{z3f;357>5$33g>4>>3_8:j7?tL302>4}Qkj09w)?60;3;=>P6<<09w);mb;38 0df281vqps4}c11a?6=?3:1N5981b=9j50;&15a<64}K:;;1=vXlc;0x 4?728>o7[?;5;0x 0de2>1/9oo57:~yx=n9=o1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>515g8R4222;q/9ol57:&6fd<03twvq6g>5883>!46l3;?o6F=1b9U64`=9rF9><4>{Wa`>7}#90:1=874V066>7}#=kh1;6*:b`84?x{zu2c::94?:%02`?73k2B9=n5Y20d95~J5:80:w[ml:3y'5<6=9?>0Z<:::3y'1gd=?2.>nl48;|~y>o6>>0;6)<>d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>669U513=:r.>no4j;%7ae?ch7E<>c:T15c<6sE89=7?tVba96~"6190::55Y15796~"2jk0n7);ma;g8yx{z3f;357>5$33g>4>>3_8:j7?tL302>4}Qkj09w)?60;3;=>P6<<09w);mb;38 0df281vqps4}c11g?6=;3:142c3_;?974=#=kk1=6sr}|9j51c=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=9k4V066>7}#=kh1=6*:b`82?x{zu2e:444?:%02`?7?12\9=k4>{M015?7|^ji1>v*>9182<<=Q9=?1>v*:bc82?!3ei3;0qpsr;|`076<72:0;6=u+20f91f=O:8;0e<:k:18'64b=9=i0D??l;W02b?7|D;8:683;?h6X>4481!3ej3=0(8ln:69~yx{n6=4+20f951e<@;;h7[<>f;3xH74628q]on4={%3:4?73m2\:884={%7af?1<,>290/>??51zT`g?4|,83;6<66;W371?4|,{zut1vn>=?:180>5<7s-8:h7;l;I025>o6d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>4e9U513=:r.>no4i;%7ae?`h7[<>f;3xH74628q]on4={%3:4?73m2\:884={%7af??<,>290/>??51zT`g?4|,83;6<66;W371?4|,{zut1vn>:;:181>5<7s-8:h7l8;I025>o6d;37g>=h9131<7*=1e82<<=Q:8l1=vB=2082Sed2;q/=4>519;8R4222;q/9ol51:&6fd<63twvq6sm35a94?0=83:p(??k:06b?M4692.::i4>1:k20f<72-8:h7?;c:T15c<6sE89=7?tV04f>7}#90:1=9m4V066>7}#=kh1=6*:b`82?x{zu2ch97>5$33g>f3<^;;m6l09w)?60;a6?S73=38p(8lm:09'1gg=92wvqp5fc783>!46l3i=7[<>f;0xH74628q]=;k52z&2=52\:884={%7af?5<,5r}|N165<3s_8;i7=tVbc96~"2j00h:6*<3e86fg=z^jh1>v*:b8820f=#;:n19ol4}%47v*:b8820f=#;:n19oo4}%47v*:b88`1>"4;m0>no5r$76;>7=z^82m6?uYc`81!3e13i=7)={#>=21==5r}|9j65b=83.9=i4=0e9U64`=:rF9><4>{W35a?4|,83;6?>k;W371?4|,{zuE89<7?tV335>7}Qkh09w);m9;03`>"4;m0>no5r$76;>c=zut1b>=m50;&15a<58j1]>76d3_;?97c=#=kk1j6sr}M014?7|^;;=6?uYc`81!3e138;o6*<3e86fg=z,?>36k5r}|9l5=?=83.9=i4>8898yg53=3:1?7>50z&15a<59h1C>5<#:8n1=9m4H33`?S46n3;p@?<>:0yUgf<5s-;2<7?;d:T200<5s-?in7?4$4`b>4=zutw0e<:j:18'64b=9=i0Z??i:0yO677=9r\ho742b3_;?974=#=kk1=6sr}|9l5=?=83.9=i4>889U64`=9rF9><4>{Wa`>7}#90:1=574V066>7}#=kh1=6*:b`82?x{zu2wi?9850;394?6|,;;o6?<;;I025>i6000;6)<>d;3;=>=zj:>36=4<:183!46l3?h7E<>1:k20a<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:8i5Y15796~"2jk0<7);ma;58yx{z3`;?i7>5$33g>42d3A8:o6X=1g82I4593;pZnm52z&2=5<6:0yUgf<5s-;2<7?79:T200<5s-?in7?4$4`b>4=zutw0qo=;9;292?6=8r.9=i4:c:J154=n9=n1<7*=1e820f=O:8i0Z??i:0yO677=9r\ho742c3_;?972=#=kk1;6sr}|9j51c=83.9=i4>4b9K64e<^;;m6nl4j;|~y>o6>=0;6)<>d;37g>N59j1]>>6?u+5c`9a>"2jh0n7psr}:k222<72-8:h7?;c:J15f=Q:8l1=vB=2082Sed2;q/=4>51758R4222;q/9ol5e:&6fd8883>!46l3;356X=1g82I4593;pZnm52z&2=5<6001]=9;52z&6fg<63-?im7?4}|~?xd45<#:8n1=9m4H33`?S46n3;p@?<>:0yUgf<5s-;2<7?;d:T200<5s-?in794$4`b>2=zutw0e<:j:18'64b=9=i0Z??i:0yO677=9r\ho742b3_;?972=#=kk1;6sr}|9j50?=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=874V066>7}#=kh1;6*:b`84?x{zu2c::94?:%02`?73k2B9=n5Y20d95~J5:80:w[ml:3y'5<6=9?>0Z<:::3y'1gd=m2.>nl4j;|~y>o6>>0;6)<>d;37g>N59j1]>>6?u+5c`9a>"2jh0n7psr}:k22=<72-8:h7?;c:J15f=Q:8l1=vB=2082Sed2;q/=4>517:8R4222;q/9ol5e:&6fd8883>!46l3;356X=1g82I4593;pZnm52z&2=5<6001]=9;52z&6fg<63-?im7?4}|~?xd4<>0;694?:1y'64b=9120D??>;h37`?6=,;;o6<:l;W02b?7|D;8:683;?h6X>4481!3ej3;0(8ln:09~yx{n6=4+20f951e<^;;m6nl4>;|~y>i6000;6)<>d;3;=>P59o0:wA<=1;3xRfe=:r.:5=4>889U513=:r.>no4>;%7ae?77<729q/>h6=4+20f951e<3f;357>5$33g>4>>3_8:j7?tL302>4}Qkj09w)?60;3;=>P6<<09w);mb;38 0df281vqps4}c162?6=:3:1N5981b=9m50;&15a<64>>3_;?974=#=kk1=6sr}|9~f63>290=6=4?{%02`?73i2B9=<5+17f954=n9=i1<7*=1e820f=Q:8l1=vB=2082S71m38p(<7?:06`?S73=38p(8lm:09'1gg=92wvqp5fc483>!46l3i>7[<>f;3xH74628q]=;k52z&2=57}#90:1o;5Y15796~"2jk087);ma;18yx{K:;:18vX=0d80Sef2;q/9o75c79'76b==kh0q[mm:3y'1g?=9=i0(>=k:4`a?x"1<10i7pX=0d80Sef2;q/9o75c79'76b==kh0q[mm:3y'1g?=9=i0(>=k:4`b?x"1<10i7pX=0d80Sef2;q/9o75c79'76b==kh0q[mm:3y'1g?=k<1/?>j55c`8y!030380q[?7f;0xRfg=:r.>n44l6:&07a<2jk1v(;:7:028yx{l09w)?60;03`>P6<<09w);mb;d8 0df2o1vqpB=2182S46>38pZno52z&6f<<58m1/?>j55c`8y!0303l0qps4i32`>5<#:8n1>=m4V33e>7}K:;;1=vX>6d81!7>838;o6X>4481!3ej3l0(8ln:g9~yxJ5:90:w[<>6;0xRfg=:r.>n44=0b9'76b==kh0q)8;8;d8yx{5}#:8n1>{M015?7|^ji1>v*>91820a=Q9=?1>v*:bc82?!3ei3;0qpsr;h37a?6=,;;o6<:l;W02b?7|D;8:683;?i6X>4481!3ej3;0(8ln:09~yx{5<6290;w)<>d;010>N5981d=5750;&15a<60010qo=:1;297?6=8r.9=i4:c:J154=n9=n1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>515f8R4222;q/9ol51:&6fd<63twvq6g>4d83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6:0yUgf<5s-;2<7?79:T200<5s-?in7?4$4`b>4=zutw0qo=:2;297?6=8r.9=i4:c:J154=n9=n1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>515f8R4222;q/9ol57:&6fd<03twvq6g>4d83>!46l3;?o6F=1b9U64`=9rF9><4>{Wa`>7}#90:1=9k4V066>7}#=kh1i6*:b`8f?x{zu2e:444?:%02`?7?12\9=k4>{M015?7|^ji1>v*>9182<<=Q9=?1>v*:bc82?!3ei3;0qpsr;|`016<72=0;6=u+20f91f=O:8;0e<:k:18'64b=9=i0Z??i:0yO677=9r\ho742c3_;?972=#=kk1;6sr}|9j51c=83.9=i4>4b9K64e<^;;m6??51zT`g?4|,83;6<;6;W371?4|,{zut1d=5750;&15a<6001]>>6?u+5c`95>"2jh0:7psr}:a702=83?1<7>t$33g>0e<@;;:7d?;d;29 77c28>h7[<>f;3xH74628q]on4={%3:4?73l2\:884={%7af?1<,??51zT`g?4|,83;6<:j;W371?4|,{zut1b=8750;&15a<64}K:;;1=vXlc;0x 4?728?27[?;5;0x 0de2l1/9oo5e:~yx=n9?>1<7*=1e820f=O:8i0Z??i:0yO677=9r\ho74033_;?97`=#=kk1i6sr}|9l5=?=83.9=i4>889U64`=9rF9><4>{Wa`>7}#90:1=574V066>7}#=kh1=6*:b`82?x{zu2wi?8;50;794?6|,;;o68m4H332?l73l3:1(??k:06`?S46n3;p@?<>:0yUgf<5s-;2<7?;d:T200<5s-?in794$4`b>2=zutw0e<:j:18'64b=9=i0Z??i:0yO677=9r\ho742b3_;?972=#=kk1;6sr}|9j50?=83.9=i4>4b9K64e<^;;m6??51zT`g?4|,83;6<8;;W371?4|,{zut1d=5750;&15a<6001]>>6?u+5c`95>"2jh0:7psr}:a706=83<1<7>t$33g>4>?3A8:=6g>4e83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6:0yUgf<5s-;2<7?;e:T200<5s-?in7?4$4`b>4=zutw0e<;6:18'64b=9=i0Z??i:0yO677=9r\ho743>3_;?974=#=kk1=6sr}|9j532=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=;:4V066>7}#=kh1=6*:b`82?x{zu2c:::4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>918222=Q9=?1>v*:bc82?!3ei3;0qpsr;n3;=?6=,;;o6<66;W02b?7|D;8:683;356X>4481!3ej3;0(8ln:09~yx{;7>55;294~"59m0>o6F=109j51b=83.9=i4>4b9K64e<^;;m6??51zT`g?4|,83;6<:j;W371?4|,{zut1b=8750;&15a<64}K:;;1=vXlc;0x 4?728?27[?;5;0x 0de201/9oo59:~yx=n9?>1<7*=1e820f=O:8i0Z??i:0yO677=9r\ho74033_;?97<=#=kk156sr}|9l5=?=83.9=i4>889U64`=9rF9><4>{Wa`>7}#90:1=574V066>7}#=kh1=6*:b`82?x{zu2wi?8o50;094?6|,;;o6o94H332?l73k3:1(??k:06`?>i6000;6)<>d;3;=>P59o0:wA<=1;3xRfe=:r.:5=4>889U513=:r.>no4>;%7ae?73<729q/>;h37g?6=,;;o6<:l;W02b?7|D;8:66=4+20f9g0=Q:8l1=vB=2082S71m38p(<7?:b78R4222;q/9ol51:&6fd<63twvq6gl6;29 77c2j<0Z??i:3yO677=9r\::h4={%3:4?e13_;?976=#=kk1?6sr}M014?2|^;:n6>uYc`81!3e13i=7)={Qkk09w);m9;37g>"4;m0>no5r$76;>g=z^;:n6>uYc`81!3e13i=7)={Qkk09w);m9;37g>"4;m0>nl5r$76;>g=z^;:n6>uYc`81!3e13i=7)={Qkk09w);m9;a6?!54l3?in6s+65:96>{Q91l1>vXla;0x 0d>2j<0(>=k:4`a?x"1<10:<6sr}:k14a<72-8:h77}#90:1>=j4V066>7}#=kh1j6*:b`8e?x{zD;8;6{zu2c9>6?u+5c`9b>"2jh0m7psrL303>4}Q:8<1>vXla;0x 0d>2;:h7)={#>=21j6sr}:m2<<<72-8:h7?79:9~f63e29086=4?{%02`?46i2B9=<5f15f94?"59m0:8n5Y20d95~J5:80:w[ml:3y'5<6=9=n0Z<:::3y'1gd=92.>nl4>;|~y>o6d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>4d9U513=:r.>no4>;%7ae?7f;3xH74628q]on4={%3:4?7?12\:884={%7af?7<,50z&15a<5:=1C>5<#:8n1=574;|`01`<72:0;6=u+20f91f=O:8;0e<:k:18'64b=9=i0Z??i:0yO677=9r\ho742c3_;?974=#=kk1=6sr}|9j51c=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=9k4V066>7}#=kh1=6*:b`82?x{zu2e:444?:%02`?7?12\9=k4>{M015?7|^ji1>v*>9182<<=Q9=?1>v*:bc82?!3ei3;0qpsr;|`01c<72:0;6=u+20f91f=O:8;0e<:k:18'64b=9=i0Z??i:0yO677=9r\ho742c3_;?974=#=kk1=6sr}|9j51c=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=9k4V066>7}#=kh1=6*:b`82?x{zu2e:444?:%02`?7?12\9=k4>{M015?7|^ji1>v*>9182<<=Q9=?1>v*:bc82?!3ei3;0qpsr;|`025<72?0;6=u+20f91f=O:8;0e<:k:18'64b=9=i0Z??i:0yO677=9r\ho742c3_;?972=#=kk1;6sr}|9j51c=83.9=i4>4b9K64e<^;;m6??51zT`g?4|,83;6<;6;W371?4|,{zut1b=;:50;&15a<64}K:;;1=vXlc;0x 4?72851758R4222;q/9ol5e:&6fd8883>!46l3;356X=1g82I4593;pZnm52z&2=5<6001]=9;52z&6fg<63-?im7?4}|~?xd4>80;6;4?:1y'64b==j1C>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728>o7[?;5;0x 0de2>1/9oo57:~yx=n9=o1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>515g8R4222;q/9ol5e:&6fd5883>!46l3;?o6F=1b9U64`=9rF9><4>{Wa`>7}#90:1=874V066>7}#=kh1i6*:b`8f?x{zu2c::94?:%02`?73k2B9=n5Y20d95~J5:80:w[ml:3y'5<6=9?>0Z<:::3y'1gd=m2.>nl4j;|~y>o6>>0;6)<>d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>669U513=:r.>no4j;%7ae?cf;3xH74628q]on4={%3:4?7?12\:884={%7af?7<,50z&15a<2k2B9=<5f15f94?"59m0:8n5Y20d95~J5:80:w[ml:3y'5<6=9=n0Z<:::3y'1gd=?2.>nl48;|~y>o6d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>4d9U513=:r.>no48;%7ae?1h7E<>c:T15c<6sE89=7?tVba96~"6190:945Y15796~"2jk0<7);ma;58yx{z3`;=87>5$33g>42d3A8:o6X=1g82I4593;pZnm52z&2=5<6>=1]=9;52z&6fg{M015?7|^ji1>v*>918222=Q9=?1>v*:bc8f?!3ei3o0qpsr;h3583;=46X>4481!3ej3o0(8ln:d9~yx{5<0290;w)<>d;7`?M4692c:8i4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91820a=Q9=?1>v*:bc84?!3ei3=0qpsr;h37a?6=,;;o6<:l;W02b?7|D;8:683;?i6X>4481!3ej3=0(8ln:69~yx{??51zT`g?4|,83;6<8;;W371?4|,{zut1b=;950;&15a<64}K:;;1=vXlc;0x 4?728<<7[?;5;0x 0de2l1/9oo5e:~yx=n9?21<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>517:8R4222;q/9ol5e:&6fd8883>!46l3;356X=1g82I4593;pZnm52z&2=5<6001]=9;52z&6fg<63-?im7?4}|~?xd4>=0;6:4?:1y'64b==j1C>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728>o7[?;5;0x 0de2>1/9oo57:~yx=n9=o1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>515g8R4222;q/9ol57:&6fd<03twvq6g>5883>!46l3;?o6F=1b9U64`=9rF9><4>{Wa`>7}#90:1=874V066>7}#=kh1;6*:b`84?x{zu2c::94?:%02`?73k2B9=n5Y20d95~J5:80:w[ml:3y'5<6=9?>0Z<:::3y'1gd=m2.>nl4j;|~y>o6>>0;6)<>d;37g>N59j1]>>6?u+5c`9a>"2jh0n7psr}:k22=<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190::55Y15796~"2jk0n7);ma;g8yx{z3f;357>5$33g>4>>3_8:j7?tL302>4}Qkj09w)?60;3;=>P6<<09w);mb;38 0df281vqps4}c16`?6=03:142c3_;?974=#=kk1=6sr}|9j51c=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=9k4V066>7}#=kh1=6*:b`82?x{zu2c:944?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91821<=Q9=?1>v*:bc82?!3ei3;0qpsr;h350?6=,;;o6<:l;W02b?7|D;8:683;=86X>4481!3ej3;0(8ln:09~yx{nl4>;|~y>o6>00;6)<>d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>689U513=:r.>no4>;%7ae?7f;3xH74628q]on4={%3:4?7?12\:884={%7af?7<,7>50z&15a5<#:8n1=574V33e>4}K:;;1=vXlc;0x 4?728227[?;5;0x 0de281/9oo51:~yx=zj=2o6=49:183!46l3;?m6F=109'53b=981b=9m50;&15a<642d3_;?974=#=kk1=6sr}|9jg0<72-8:h7m:;W02b?7|D;8:64481!3ej3;0(8ln:09~yx{P59o09wA<=1;3xR40b2;q/=4>5c79U513=:r.>no4<;%7ae?5?>54zT14`<4s_ij6?u+5c;9g3=#;:n19ol4}Waa>7}#=k31=9m4$21g>0de3t.=854m;|T14`<4s_ij6?u+5c;9g3=#;:n19ol4}Waa>7}#=k31=9m4$21g>0df3t.=854m;|T14`<4s_ij6?u+5c;9g3=#;:n19ol4}Waa>7}#=k31o85+32f91gdv*:b88`2>"4;m0>no5r$76;>46k:18'64b=:9n0Z??i:3yO677=9r\::h4={%3:4?47l2\:884={%7af?`<,??51zT22`<5s-;2<7c=zutF9>=4>{W022?4|^jk1>v*:b8814f=#;:n19ol4}%476<729q/>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;37`>P6<<09w);mb;38 0df281vqps4i06f>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728>n7[?;5;0x 0de281/9oo51:~yx=h9131<7*=1e82<<=Q:8l1=vB=2082Sed2;q/=4>519;8R4222;q/9ol51:&6fd<63twvq6sm49:94?7=83:p(??k:307?M4692e:444?:%02`?7?121vn96n:180>5<7s-8:h7;l;I025>o6d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>4e9U513=:r.>no4j;%7ae?ch7E<>c:T15c<6sE89=7?tVba96~"6190:8h5Y15796~"2jk0<7);ma;58yx{z3f;357>5$33g>4>>3_8:j7?tL302>4}Qkj09w)?60;3;=>P6<<09w);mb;38 0df281vqps4}c6;f?6=;3:1N5981b=9j50;&15a<64}K:;;1=vXlc;0x 4?728>o7[?;5;0x 0de2l1/9oo5e:~yx=n9=o1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>515g8R4222;q/9ol5e:&6fd8883>!46l3;356X=1g82I4593;pZnm52z&2=5<6001]=9;52z&6fg<63-?im7?4}|~?xd3000;6>4?:1y'64b=9120D??>;h37`?6=,;;o6<:l;W02b?7|D;8:683;?h6X>4481!3ej3;0(8ln:09~yx{n6=4+20f951e<^;;m6nl4>;|~y>{e<1<1<7=50;2x 77c2;h37`?6=,;;o6<:l;W02b?7|D;8:683;?h6X>4481!3ej3o0(8ln:d9~yx{n6=4+20f951e<@;;h7[<>f;3xH74628q]on4={%3:4?73m2\:884={%7af?c<,>290/>??51zT`g?4|,83;6<66;W371?4|,{zut1vn96j:181>5<7s-8:h7l8;I025>o6d;37g>=h9131<7*=1e82<<=Q:8l1=vB=2082Sed2;q/=4>519;8R4222;q/9ol51:&6fd<63twvq6sm48694?0=83:p(??k:06b?M4692.::i4>1:k20f<72-8:h7?;c:T15c<6sE89=7?tV04f>7}#90:1=9m4V066>7}#=kh1=6*:b`82?x{zu2ch97>5$33g>f3<^;;m6l09w)?60;a6?S73=38p(8lm:09'1gg=92wvqp5fc783>!46l3i=7[<>f;0xH74628q]=;k52z&2=52\:884={%7af?5<,5r}|N165<3s_8;i7=tVbc96~"2j00h:6*<3e86fg=z^jh1>v*:b8820f=#;:n19ol4}%47v*:b8820f=#;:n19oo4}%47v*:b88`1>"4;m0>no5r$76;>g=z^82m6?uYc`81!3e13i=7)={#>=21==5r}|9j65b=83.9=i4=0e9U64`=:rF9><4>{W35a?4|,83;6?>k;W371?4|,{zuE89<7?tV335>7}Qkh09w);m9;03`>"4;m0>no5r$76;>c=zut1b>=m50;&15a<58j1]>76d3_;?97c=#=kk1j6sr}M014?7|^;;=6?uYc`81!3e138;o6*<3e86fg=z,?>36k5r}|9l5=?=83.9=i4>8898yg2>83:1?7>50z&15a<59h1C>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728>o7[?;5;0x 0de281/9oo51:~yx=n9=o1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>515g8R4222;q/9ol51:&6fd<63twvq6a>8883>!46l3;356X=1g82I4593;pZnm52z&2=5<6001]=9;52z&6fg<63-?im7?4}|~?xd3180;6<4?:1y'64b=:;>0D??>;n3;=?6=,;;o6<66;:a0<4=8391<7>t$33g>0e<@;;:7d?;d;29 77c28>h7E<>c:T15c<6sE89=7?tVba96~"6190:8i5Y15796~"2jk0<7);ma;58yx{z3`;?i7>5$33g>42d3A8:o6X=1g82I4593;pZnm52z&2=5<6:0yUgf<5s-;2<7?79:T200<5s-?in7?4$4`b>4=zutw0qo:7f;297?6=8r.9=i4:c:J154=n9=n1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>515f8R4222;q/9ol5e:&6fd4d83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6:0yUgf<5s-;2<7?79:T200<5s-?in7?4$4`b>4=zutw0qo:m0;296?6=8r.9=i4m7:J154=n9=i1<7*=1e820f=5<4290;w)<>d;02e>N5981b=9j50;&15a<6>6?u+5c`95>"2jh0:7psr}:k20`<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:8h5Y15796~"2jk0h7);ma;a8yx{z3f;357>5$33g>4>>3_8:j7?tL302>4}Qkj09w)?60;3;=>P6<<09w);mb;38 0df281vqps4}c6ag?6=>3:1??51zT22`<5s-;2<7?;c:T200<5s-?in7?4$4`b>4=zutw0en;50;&15a{M015?7|^8v*:bc82?!3ei3;0qpsr;ha5>5<#:8n1o;5Y20d96~J5:80:w[?9e;0x 4?72j<0Z<:::3y'1gd=;2.>nl4<;|~H7472=q]>=k53zT`e?4|,0de3t\hn742d3-98h7;mb:'21>=j2w]>=k53zT`e?4|,0de3t\hn742d3-98h7;ma:'21>=j2w]>=k53zT`e?4|,0de3t\hn7f3<,:9o68lm;|&50=<53t\:4k4={Wab>7}#=k31o;5+32f91gd:0yU53c=:r.:5=4=0e9U513=:r.>no4i;%7ae?`?>51zT153<5s_ij6?u+5c;965b<,:9o68lm;|&50=f;0xH74628q]=;k52z&2=5<58j1]=9;52z&6fg7}#=k31>=m4$21g>0de3t.=854i;|~?j7?13:1(??k:0::?>{e1:k20a<72-8:h7?;c:J15f=Q:8l1=vB=2082Sed2;q/=4>515f8R4222;q/9ol51:&6fd<63twvq6g>4d83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6:0yUgf<5s-;2<7?79:T200<5s-?in7?4$4`b>4=zutw0qo:m2;295?6=8r.9=i4=259K6475}#:8n19n5G2038m42c290/>??51zT`g?4|,83;6<:k;W371?4|,{zut1b=9k50;&15a<6>6?u+5c`9a>"2jh0n7psr}:m2<<<72-8:h7?79:T15c<6sE89=7?tVba96~"6190:445Y15796~"2jk0:7);ma;38yx{z3th?n84?:283>5}#:8n19n5G2038m42c290/>4}Qkj09w)?60;37`>P6<<09w);mb;g8 0df2l1vqps4i06f>5<#:8n1=9m4H33`?S46n3;p@?<>:0yUgf<5s-;2<7?;e:T200<5s-?in7k4$4`b>`=zutw0c<66:18'64b=9130Z??i:0yO677=9r\ho74>>3_;?974=#=kk1=6sr}|9~f1d129086=4?{%02`?3d3A8:=6g>4e83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6:0yUgf<5s-;2<7?;e:T200<5s-?in7?4$4`b>4=zutw0c<66:18'64b=9130Z??i:0yO677=9r\ho74>>3_;?974=#=kk1=6sr}|9~f1d029086=4?{%02`?3d3A8:=6g>4e83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6:0yUgf<5s-;2<7?;e:T200<5s-?in7?4$4`b>4=zutw0c<66:18'64b=9130Z??i:0yO677=9r\ho74>>3_;?974=#=kk1=6sr}|9~f1d?29086=4?{%02`?3d3A8:=6g>4e83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6:0yUgf<5s-;2<7?;e:T200<5s-?in7k4$4`b>`=zutw0c<66:18'64b=9130Z??i:0yO677=9r\ho74>>3_;?974=#=kk1=6sr}|9~f1d>29086=4?{%02`?3d3A8:=6g>4e83>!46l3;?o6F=1b9U64`=9rF9><4>{Wa`>7}#90:1=9j4V066>7}#=kh1;6*:b`84?x{zu2c:8h4?:%02`?73k2B9=n5Y20d95~J5:80:w[ml:3y'5<6=9=o0Z<:::3y'1gd=m2.>nl4j;|~y>i6000;6)<>d;3;=>P59o0:wA<=1;3xRfe=:r.:5=4>889U513=:r.>no4>;%7ae?76<729q/>o6=4+20f951e<@;;h7[<>f;3xH74628q]on4={%3:4?73l2\:884={%7af?c<,4}Qkj09w)?60;37a>P6<<09w);mb;g8 0df2l1vqps4o0::>5<#:8n1=574V33e>4}K:;;1=vXlc;0x 4?728227[?;5;0x 0de281/9oo51:~yx=zj=h86=47:183!46l3;346F=109j51b=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=9j4V066>7}#=kh1=6*:b`82?x{zu2c:8h4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91820`=Q9=?1>v*:bc82?!3ei3;0qpsr;h36=?6=,;;o6<:l;W02b?7|D;8:683;>56X>4481!3ej3;0(8ln:09~yx{nl4>;|~y>o6>10;6)<>d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>699U513=:r.>no4>;%7ae?7h7[<>f;3xH74628q]on4={%3:4?7112\:884={%7af?7<,>290/>??51zT`g?4|,83;6<66;W371?4|,{zut1vn9lj:181>5<7s-8:h7l8;I025>o6d;37g>=h9131<7*=1e82<<=Q:8l1=vB=2082Sed2;q/=4>519;8R4222;q/9ol51:&6fd<63twvq6sm4b:94?5=83:p(??k:33b?M4692c:8i4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91820a=Q9=?1>v*:bc82?!3ei3;0qpsr;h37a?6=,;;o6<:l;W02b?7|D;8:683;?i6X>4481!3ej3i0(8ln:b9~yx{5<1290;w)<>d;37e>N5981/=;j5109j51e=83.9=i4>4b9U64`=9rF9><4>{W35a?4|,83;6<:l;W371?4|,{zut1bo84?:%02`?e23_8:j7?tL302>4}Q9?o1>v*>918`1>P6<<09w);mb;38 0df281vqps4ib494?"59m0h:6X=1g81I4593;pZ<8j:3y'5<6=k?1]=9;52z&6fg<43-?im7=4}|O676=7}#=k31o;5+32f91gd7}#=k31o;5+32f91gd7}#=k31o;5+32f91gd36<>4}|8m76c290/>??51zT22`<5s-;2<7c=zutF9>=4>{W022?4|^jk1>v*:b8814a=#;:n19ol4}%47l:18'64b=:9i0Z??i:3yO677=9r\::h4={%3:4?47k2\:884={%7af?`<,>290/>4?:1y'64b=:8k0D??>;h37`?6=,;;o6<:l;W02b?7|D;8:683;?h6X>4481!3ej3;0(8ln:09~yx{n6=4+20f951e<^;;m6nl4>;|~y>{e1:m2<<<72-8:h7?79:9~f1e5290?6=4?{%02`?3d3A8:=6g>4e83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6{M015?7|^ji1>v*>91820`=Q9=?1>v*:bc8f?!3ei3o0qpsr;h36=?6=,;;o6<:l;W02b?7|D;8:683;>56X>4481!3ej3o0(8ln:d9~yx{5<0290;w)<>d;7`?M4692c:8i4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91820a=Q9=?1>v*:bc8f?!3ei3o0qpsr;h37a?6=,;;o6<:l;W02b?7|D;8:683;?i6X>4481!3ej3o0(8ln:d9~yx{f;3xH74628q]on4={%3:4?7212\:884={%7af?c<,??51zT`g?4|,83;6<8;;W371?4|,{zut1b=;950;&15a<6>6?u+5c`9a>"2jh0n7psr}:k22=<72-8:h7?;c:J15f=Q:8l1=vB=2082Sed2;q/=4>517:8R4222;q/9ol5e:&6fd8883>!46l3;356X=1g82I4593;pZnm52z&2=5<6001]=9;52z&6fg<63-?im7?4}|~?xd3k=0;654?:1y'64b==j1C>5<#:8n1=9m4H33`?S46n3;p@?<>:0yUgf<5s-;2<7?;d:T200<5s-?in7k4$4`b>`=zutw0e<:j:18'64b=9=i0D??l;W02b?7|D;8:683;?i6X>4481!3ej3=0(8ln:69~yx{??51zT`g?4|,83;6<8;;W371?4|,{zut1b=;950;&15a<6>6?u+5c`9a>"2jh0n7psr}:k22=<72-8:h7?;c:J15f=Q:8l1=vB=2082Sed2;q/=4>517:8R4222;q/9ol5e:&6fd6883>!46l3;?o6F=1b9U64`=9rF9><4>{Wa`>7}#90:1=;74V066>7}#=kh1i6*:b`8f?x{zu2e:444?:%02`?7?12\9=k4>{M015?7|^ji1>v*>9182<<=Q9=?1>v*:bc82?!3ei3;0qpsr;|`7g0<7210;6=u+20f91f=O:8;0e<:k:18'64b=9=i0D??l;W02b?7|D;8:683;?h6X>4481!3ej3o0(8ln:d9~yx{n6=4+20f951e<^;;m6nl4j;|~y>o6>=0;6)<>d;37g>N59j1]>>6?u+5c`9a>"2jh0n7psr}:k222<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:::5Y15796~"2jk0n7);ma;g8yx{z3`;=47>5$33g>42d3A8:o6X=1g82I4593;pZnm52z&2=5<6>11]=9;52z&6fg{M015?7|^ji1>v*>91822<=Q9=?1>v*:bc8f?!3ei3o0qpsr;n3;=?6=,;;o6<66;W02b?7|D;8:683;356X>4481!3ej3;0(8ln:09~yx{h=7>55;294~"59m0:455G2038m42c290/>??51zT`g?4|,83;6<:k;W371?4|,{zut1b=9k50;&15a<6>6?u+5c`95>"2jh0:7psr}:k21<<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:945Y15796~"2jk0:7);ma;38yx{z3`;=87>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;350>P6<<09w);mb;38 0df281vqps4o0::>5<#:8n1=574V33e>4}K:;;1=vXlc;0x 4?728227[?;5;0x 0de281/9oo51:~yx=zj=i26=4=:183!46l3h<7E<>1:k20f<72-8:h7?;c:9l5=?=83.9=i4>889U64`=9rF9><4>{Wa`>7}#90:1=574V066>7}#=kh1=6*:b`82?x{zu2wi8i?50;494?6|,;;o6<:n;I025>"6>m0:=6g>4b83>!46l3;?o6X=1g82I4593;pZ<8j:3y'5<6=9=i0Z<:::3y'1gd=92.>nl4>;|~y>od=3:1(??k:b78R77a28qG>??51zT22`<5s-;2<7m:;W371?4|,{zut1bo;4?:%02`?e13_8:j74}Q9?o1>v*>918`2>P6<<09w);mb;18 0df2:1vqpB=2187S47m39pZno52z&6f<2.8?i4:bc9~Rfd=:r.>n44>4b9'76b==kh0q)8;8;`8yS47m39pZno52z&6f<2.8?i4:bc9~Rfd=:r.>n44>4b9'76b==kk0q)8;8;`8yS47m39pZno52z&6f<2.8?i4:bc9~Rfd=:r.>n44l5:&07a<2jk1v(;:7:c9~R4>a2;q]ol4={%7a=?e13-98h7;mb:'21>=991vqp5f21f94?"59m09vB=2082S71m38p(<7?:32`?S73=38p(8lm:g9'1gg=n2wvqA<=0;3xR7712;q]ol4={%7a=?47k2.8?i4:bc9~ 32?2o1vqp5`19;94?"59m0:4454}c6`f?6=;3:142c3_;?974=#=kk1=6sr}|9j51c=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=9k4V066>7}#=kh1=6*:b`82?x{zu2e:444?:%02`?7?12\9=k4>{M015?7|^ji1>v*>9182<<=Q9=?1>v*:bc82?!3ei3;0qpsr;|`7gf<7280;6=u+20f9672<@;;:7b?79;29 77c282276sm4bg94?5=83:p(??k:4a8L7763`;?h7>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;37`>P6<<09w);mb;g8 0df2l1vqps4i06f>5<#:8n1=9m4H33`?S46n3;p@?<>:0yUgf<5s-;2<7?;e:T200<5s-?in794$4`b>2=zutw0c<66:18'64b=9130Z??i:0yO677=9r\ho74>>3_;?974=#=kk1=6sr}|9~f1ea29086=4?{%02`?3d3A8:=6g>4e83>!46l3;?o6F=1b9U64`=9rF9><4>{Wa`>7}#90:1=9j4V066>7}#=kh1i6*:b`8f?x{zu2c:8h4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91820`=Q9=?1>v*:bc8f?!3ei3o0qpsr;n3;=?6=,;;o6<66;W02b?7|D;8:683;356X>4481!3ej3;0(8ln:09~yx{hh7>53;294~"59m0:455G2038m42c290/>??51zT`g?4|,83;6<:k;W371?4|,{zut1b=9k50;&15a<6>6?u+5c`95>"2jh0:7psr}:m2<<<72-8:h7?79:T15c<6sE89=7?tVba96~"6190:445Y15796~"2jk0:7);ma;38yx{z3th?ol4?:283>5}#:8n19n5G2038m42c290/>??51zT`g?4|,83;6<:k;W371?4|,{zut1b=9k50;&15a<64}K:;;1=vXlc;0x 4?728>n7[?;5;0x 0de2l1/9oo5e:~yx=h9131<7*=1e82<<=Q:8l1=vB=2082Sed2;q/=4>519;8R4222;q/9ol51:&6fd<63twvq6sm4e094?4=83:p(??k:c58L7763`;?o7>5$33g>42d32e:444?:%02`?7?12\9=k4>{M015?7|^ji1>v*>9182<<=Q9=?1>v*:bc82?!3ei3;0qpsr;|`7`=<72?0;6=u+20f951g<@;;:7)?9d;32?l73k3:1(??k:06`?S46n3;p@?<>:0yU53c=:r.:5=4>4b9U513=:r.>no4>;%7ae?7f3<^8>>6?u+5c`95>"2jh0:7psr}:k`2?6=,;;o6n84V33e>7}K:;;1=vX>6d81!7>83i=7[?;5;0x 0de2:1/9oo53:~yI4583>pZ?>j:2yUgd<5s-?i57m9;%10`?3ej2w]oo4={%7a=?73k2.8?i4:bc9~ 32?2k1vZ?>j:2yUgd<5s-?i57m9;%10`?3ej2w]oo4={%7a=?73k2.8?i4:b`9~ 32?2k1vZ?>j:2yUgd<5s-?i57m9;%10`?3ej2w]oo4={%7a=?e23-98h7;mb:'21>=j2w]=5h52zT`e?4|,0de3t.=854>0:~y>o58m0;6)<>d;03`>P59o09wA<=1;3xR40b2;q/=4>521f8R4222;q/9ol5f:&6fd76c3-98h7;mb:'21>=n2wvq6g=0b83>!46l38;o6X=1g81I4593;pZ<8j:3y'5<6=:9i0Z<:::3y'1gd=n2.>nl4i;|~H74728q]><852zT`e?4|,l;%10`?3ej2w/:965f:~y>i6000;6)<>d;3;=>=zj=n?6=4<:183!46l38:m6F=109j51b=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=9j4V066>7}#=kh1=6*:b`82?x{zu2c:8h4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91820`=Q9=?1>v*:bc82?!3ei3;0qpsr;n3;=?6=,;;o6<66;W02b?7|D;8:683;356X>4481!3ej3;0(8ln:09~yx{o97>51;294~"59m09>95G2038k4>>290/>4?:1y'64b==j1C>5<#:8n1=9m4H33`?S46n3;p@?<>:0yUgf<5s-;2<7?;d:T200<5s-?in794$4`b>2=zutw0e<:j:18'64b=9=i0D??l;W02b?7|D;8:683;?i6X>4481!3ej3=0(8ln:69~yx{5<4290;w)<>d;7`?M4692c:8i4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91820a=Q9=?1>v*:bc8f?!3ei3o0qpsr;h37a?6=,;;o6<:l;W02b?7|D;8:683;?i6X>4481!3ej3o0(8ln:d9~yx{5<5290;w)<>d;`4?M4692c:8n4?:%02`?73k21d=5750;&15a<6001]>>6?u+5c`95>"2jh0:7psr}:a723=8381<7>t$33g>g1<@;;:7d?;c;29 77c28>h76a>8883>!46l3;356X=1g82I4593;pZnm52z&2=5<6001]=9;52z&6fg<63-?im7?4}|~?xd4>o0;6?4?:1y'64b=j>1C>5<#:8n1=9m4;n3;=?6=,;;o6<66;W02b?7|D;8:683;356X>4481!3ej3;0(8ln:09~yx{56;294~"59m0:8l5G2038 40c28;0e<:l:18'64b=9=i0Z??i:0yO677=9r\::h4={%3:4?73k2\:884={%7af?7<,7}#90:1o85Y15796~"2jk0:7);ma;38yx{z3`i=6=4+20f9g3=Q:8l1>vB=2082S71m38p(<7?:b48R4222;q/9ol53:&6fd<43twv@?f0<,:9o68lm;|T`f?4|,f0<,:9o68lm;|T`f?4|,f0<,:9o68lm;|T`f?4|,0de3t.=854=;|T2f;0xH74628q]=;k52z&2=5<58m1]=9;52z&6fg7}#=k31>=j4$21g>0de3t.=854i;|~?l47k3:1(??k:32`?S46n38p@?<>:0yU53c=:r.:5=4=0b9U513=:r.>no4i;%7ae?`?>51zT153<5s_ij6?u+5c;965e<,:9o68lm;|&50={M015?7|^ji1>v*>91820a=Q9=?1>v*:bc82?!3ei3;0qpsr;h37a?6=,;;o6<:l;W02b?7|D;8:683;?i6X>4481!3ej3;0(8ln:09~yx{5<6290;w)<>d;010>N5981d=5750;&15a<60010qo=9b;297?6=8r.9=i4:c:J154=n9=n1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>515f8R4222;q/9ol51:&6fd<63twvq6g>4d83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6:0yUgf<5s-;2<7?79:T200<5s-?in7?4$4`b>4=zutw0qo=9c;2942c3_;?972=#=kk1;6sr}|9j51c=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=9k4V066>7}#=kh1;6*:b`84?x{zu2c:944?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91821<=Q9=?1>v*:bc84?!3ei3=0qpsr;h350?6=,;;o6<:l;I02g>P59o0:wA<=1;3xRfe=:r.:5=4>659U513=:r.>no4j;%7ae?ch7E<>c:T15c<6sE89=7?tVba96~"6190:::5Y15796~"2jk0n7);ma;g8yx{z3`;=47>5$33g>42d3A8:o6X=1g82I4593;pZnm52z&2=5<6>11]=9;52z&6fg:0yUgf<5s-;2<7?99:T200<5s-?in7k4$4`b>`=zutw0c<66:18'64b=9130Z??i:0yO677=9r\ho74>>3_;?974=#=kk1=6sr}|9~f60c290:=7>50z&15a<2k2B9=<5f15f94?"59m0:8n5Y20d95~J5:80:w[ml:3y'5<6=9=n0Z<:::3y'1gd=?2.>nl48;|~y>o6d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>4d9U513=:r.>no48;%7ae?1h7[<>f;3xH74628q]on4={%3:4?7212\:884={%7af?1<,??51zT`g?4|,83;6<8;;W371?4|,{zut1b=;950;&15a<6>6?u+5c`93>"2jh0<7psr}:k22=<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190::55Y15796~"2jk0<7);ma;58yx{z3`;=57>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;35=>P6<<09w);mb;58 0df2>1vqps4i04b>5<#:8n1=9m4H33`?S46n3;p@?<>:0yUgf<5s-;2<7?9a:T200<5s-?in794$4`b>2=zutw0e<8m:18'64b=9=i0Z??i:0yO677=9r\ho740e3_;?97`=#=kk1i6sr}|9j53e=83.9=i4>4b9K64e<^;;m6??51zT`g?4|,83;6<:i;W371?4|,{zut1b=8>50;&15a<64}K:;;1=vXlc;0x 4?728?;7[?;5;0x 0de2l1/9oo5e:~yx=n9<;1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>51438R4222;q/9ol5e:&6fd5383>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6=;1]=9;52z&6fg<63-?im7?4}|~?l72;3:1(??k:06`?S46n3;p@?<>:0yUgf<5s-;2<7?:3:T200<5s-?in7?4$4`b>4=zutw0e<;;:18'64b=9=i0Z??i:0yO677=9r\ho74333_;?974=#=kk1=6sr}|9l5=?=83.9=i4>889U64`=9rF9><4>{Wa`>7}#90:1=574V066>7}#=kh1=6*:b`82?x{zu2wi?;k50;32>5<7s-8:h7;l;I025>o6d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>4e9U513=:r.>no48;%7ae?1h7[<>f;3xH74628q]on4={%3:4?73m2\:884={%7af?1<,290/>??51zT`g?4|,83;6<;6;W371?4|,{zut1b=;:50;&15a<6>6?u+5c`93>"2jh0<7psr}:k222<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:::5Y15796~"2jk0<7);ma;58yx{z3`;=47>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;35<>P6<<09w);mb;58 0df2>1vqps4i04:>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728<27[?;5;0x 0de2>1/9oo57:~yx=n9?k1<7*=1e820f=O:8i0Z??i:0yO677=9r\ho740f3_;?972=#=kk1;6sr}|9j53d=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=;l4V066>7}#=kh1i6*:b`8f?x{zu2c::n4?:%02`?73k2B9=n5Y20d95~J5:80:w[ml:3y'5<6=9?i0Z<:::3y'1gd=m2.>nl4j;|~y>o6d;37g>N59j1]>>6?u+5c`9a>"2jh0n7psr}:k215<72-8:h7?;c:J15f=Q:8l1=vB=2082Sed2;q/=4>51428R4222;q/9ol5e:&6fd5083>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6=81]=9;52z&6fg:0yUgf<5s-;2<7?:2:T200<5s-?in7?4$4`b>4=zutw0e<;<:18'64b=9=i0Z??i:0yO677=9r\ho74343_;?974=#=kk1=6sr}|9j502=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=8:4V066>7}#=kh1=6*:b`82?x{zu2e:444?:%02`?7?12\9=k4>{M015?7|^ji1>v*>9182<<=Q9=?1>v*:bc82?!3ei3;0qpsr;|`02d<72<0;6=u+20f95=><@;;:7d?;d;29 77c28>h7[<>f;3xH74628q]on4={%3:4?73l2\:884={%7af?7<,??51zT`g?4|,83;6<:j;W371?4|,{zut1b=8750;&15a<6>6?u+5c`95>"2jh0:7psr}:k221<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190::95Y15796~"2jk0:7);ma;38yx{z3f;357>5$33g>4>>3_8:j7?tL302>4}Qkj09w)?60;3;=>P6<<09w);mb;38 0df281vqps4}c144?6=980;6=u+20f91f=O:8;0e<:k:18'64b=9=i0Z??i:0yO677=9r\ho742c3_;?97<=#=kk156sr}|9j51c=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=9k4V066>7}#=kh156*:b`8:?x{zu2c:944?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91821<=Q9=?1>v*:bc8:?!3ei330qpsr;h350?6=,;;o6<:l;W02b?7|D;8:683;=86X>4481!3ej330(8ln:89~yx{nl46;|~y>o6>00;6)<>d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>689U513=:r.>no46;%7ae??h7E<>c:T15c<6sE89=7?tVba96~"6190::l5Y15796~"2jk027);ma;;8yx{z3`;=n7>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;35f>P6<<09w);mb;d8 0df2o1vqps4i04`>5<#:8n1=9m4H33`?S46n3;p@?<>:0yUgf<5s-;2<7?9c:T200<5s-?in7h4$4`b>c=zutw0e<:i:18'64b=9=i0D??l;W02b?7|D;8:683;?j6X>4481!3ej3l0(8ln:g9~yx{f;3xH74628q]on4={%3:4?7282\:884={%7af?`<,??51zT`g?4|,83;6<;>;W371?4|,{zut1b=8<50;&15a<6>6?u+5c`95>"2jh0:7psr}:k216<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:9>5Y15796~"2jk0:7);ma;38yx{z3`;>87>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;360>P6<<09w);mb;38 0df281vqps4o0::>5<#:8n1=574V33e>4}K:;;1=vXlc;0x 4?728227[?;5;0x 0de281/9oo51:~yx=zj:=:6=4>1;294~"59m0>o6F=109j51b=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=9j4V066>7}#=kh156*:b`8:?x{zu2c:8h4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91820`=Q9=?1>v*:bc8:?!3ei330qpsr;h36=?6=,;;o6<:l;W02b?7|D;8:683;>56X>4481!3ej330(8ln:89~yx{nl46;|~y>o6>10;6)<>d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>699U513=:r.>no46;%7ae??h7[<>f;3xH74628q]on4={%3:4?7112\:884={%7af??<,4}Qkj09w)?60;35e>P6<<09w);mb;;8 0df201vqps4i04a>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?72840d3_;?97c=#=kk1j6sr}|9j51`=83.9=i4>4b9K64e<^;;m6??51zT`g?4|,83;6<;?;W371?4|,{zut1b=8?50;&15a<6>6?u+5c`95>"2jh0:7psr}:k217<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:9?5Y15796~"2jk0:7);ma;38yx{z3`;>?7>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;367>P6<<09w);mb;38 0df281vqps4i077>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728??7[?;5;0x 0de281/9oo51:~yx=h9131<7*=1e82<<=Q:8l1=vB=2082Sed2;q/=4>519;8R4222;q/9ol51:&6fd<63twvq6sm36094?5=83:p(??k:0:;?M4692c:8i4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91820a=Q9=?1>v*:bc82?!3ei3;0qpsr;h37a?6=,;;o6<:l;W02b?7|D;8:683;?i6X>4481!3ej3;0(8ln:09~yx{5<5290;w)<>d;`4?M4692c:8n4?:%02`?73k21d=5750;&15a<6001]>>6?u+5c`95>"2jh0:7psr}:a14d=8381<7>t$33g>g1<@;;:7d?;c;29 77c28>h76a>8883>!46l3;356X=1g82I4593;pZnm52z&2=5<6001]=9;52z&6fg<63-?im7?4}|~?xd29:0;6?4?:1y'64b=j>1C>5<#:8n1=9m4;n3;=?6=,;;o6<66;W02b?7|D;8:683;356X>4481!3ej3;0(8ln:09~yx{53;294~"59m09=l5G2038m42c290/>??51zT`g?4|,83;6<:k;W371?4|,{zut1b=9k50;&15a<6>6?u+5c`9g>"2jh0h7psr}:m2<<<72-8:h7?79:T15c<6sE89=7?tVba96~"6190:445Y15796~"2jk0:7);ma;38yx{z3th>=l4?:783>5}#:8n1=9o4H332?!71l3;:7d?;c;29 77c28>h7[<>f;3xH74628q]=;k52z&2=5<6<4>{W35a?4|,83;6n;4V066>7}#=kh1=6*:b`82?x{zu2ch:7>5$33g>f0<^;;m6?uC23395~P6>l09w)?60;a5?S73=38p(8lm:29'1gg=;2wvqA<=0;6xR76b2:q]ol4={%7a=?e13-98h7;mb:Ugg<5s-?i57?;c:&07a<2jk1v(;:7:c9~R76b2:q]ol4={%7a=?e13-98h7;mb:Ugg<5s-?i57?;c:&07a<2jh1v(;:7:c9~R76b2:q]ol4={%7a=?e13-98h7;mb:Ugg<5s-?i57m:;%10`?3ej2w/:9652:U5=`=:r\hm7f0<,:9o68lm;|&50=<682wvq6g=0e83>!46l38;h6X=1g81I4593;pZ<8j:3y'5<6=:9n0Z<:::3y'1gd=n2.>nl4i;|~H74728q]><852zT`e?4|,k;%10`?3ej2w/:965f:~y>o58j0;6)<>d;03g>P59o09wA<=1;3xR40b2;q/=4>521a8R4222;q/9ol5f:&6fd76d3-98h7;mb:'21>=n2wvq6a>8883>!46l3;3565rb42f>5<4290;w)<>d;02e>N5981b=9j50;&15a<6>6?u+5c`95>"2jh0:7psr}:k20`<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:8h5Y15796~"2jk0:7);ma;38yx{z3f;357>5$33g>4>>3_8:j7?tL302>4}Qkj09w)?60;3;=>P6<<09w);mb;38 0df281vqps4}c73b?6=93:16<729q/>o6=4+20f951e<^;;m6nl4>;|~y>i6000;6)<>d;3;=>P59o0:wA<=1;3xRfe=:r.:5=4>889U513=:r.>no4>;%7ae?71<729q/>o6=4+20f951e<^;;m6??51zT`g?4|,83;6<:j;W371?4|,{zut1b=8750;&15a<64}K:;;1=vXlc;0x 4?728?27[?;5;0x 0de2l1/9oo5e:~yx=h9131<7*=1e82<<=Q:8l1=vB=2082Sed2;q/=4>519;8R4222;q/9ol51:&6fd<63twvq6sm50294?5=83:p(??k:0:;?M4692c:8i4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91820a=Q9=?1>v*:bc82?!3ei3;0qpsr;h37a?6=,;;o6<:l;W02b?7|D;8:683;?i6X>4481!3ej3;0(8ln:09~yx{5<693:1N5981b=9j50;&15a<6>6?u+5c`9=>"2jh027psr}:k20`<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:8h5Y15796~"2jk027);ma;;8yx{z3`;>57>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;36=>P6<<09w);mb;;8 0df201vqps4i047>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?72851758R4222;q/9ol59:&6fd<>3twvq6g>6983>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6>11]=9;52z&6fg<>3-?im774}|~?l7113:1(??k:06`?S46n3;p@?<>:0yUgf<5s-;2<7?99:T200<5s-?in774$4`b><=zutw0e<8n:18'64b=9=i0D??l;W02b?7|D;8:683;=m6X>4481!3ej330(8ln:89~yx{f;3xH74628q]on4={%3:4?71j2\:884={%7af??<,4}Qkj09w)?60;35g>P6<<09w);mb;d8 0df2o1vqps4i06e>5<#:8n1=9m4H33`?S46n3;p@?<>:0yUgf<5s-;2<7?;f:T200<5s-?in7h4$4`b>c=zutw0e<;?:18'64b=9=i0D??l;W02b?7|D;8:683;><6X>4481!3ej3l0(8ln:g9~yx{nl4>;|~y>o6=:0;6)<>d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>529U513=:r.>no4>;%7ae?7h7[<>f;3xH74628q]on4={%3:4?72<2\:884={%7af?7<,>290/>??51zT`g?4|,83;6<66;W371?4|,{zut1vn8?::1825?6=8r.9=i4:c:J154=n9=n1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>515f8R4222;q/9ol59:&6fd<>3twvq6g>4d83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<63-?im774}|~?l7213:1(??k:06`?S46n3;p@?<>:0yUgf<5s-;2<7?:9:T200<5s-?in774$4`b><=zutw0e<8;:18'64b=9=i0Z??i:0yO677=9r\ho74033_;?97<=#=kk156sr}|9j531=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=;94V066>7}#=kh156*:b`8:?x{zu2c::54?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91822==Q9=?1>v*:bc8:?!3ei330qpsr;h35=?6=,;;o6<:l;W02b?7|D;8:683;=56X>4481!3ej330(8ln:89~yx{f;3xH74628q]on4={%3:4?71i2\:884={%7af??<,4}Qkj09w)?60;35f>P6<<09w);mb;d8 0df2o1vqps4i04`>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?72842a3_;?97c=#=kk1j6sr}|9j506=83.9=i4>4b9K64e<^;;m6nl4i;|~y>o6=;0;6)<>d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>539U513=:r.>no4>;%7ae?7h7[<>f;3xH74628q]on4={%3:4?72;2\:884={%7af?7<,??51zT`g?4|,83;6<;;;W371?4|,{zut1d=5750;&15a<6001]>>6?u+5c`95>"2jh0:7psr}:a140=83;:6=4?{%02`?3d3A8:=6g>4e83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<63-?im774}|~?l73m3:1(??k:06`?S46n3;p@?<>:0yUgf<5s-;2<7?;e:T200<5s-?in774$4`b><=zutw0e<;6:18'64b=9=i0Z??i:0yO677=9r\ho743>3_;?97<=#=kk156sr}|9j532=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=;:4V066>7}#=kh156*:b`8:?x{zu2c:::4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>918222=Q9=?1>v*:bc8:?!3ei330qpsr;h3583;=46X>4481!3ej330(8ln:89~yx{??51zT`g?4|,83;6<8n;W371?4|,{zut1b=;l50;&15a<64}K:;;1=vXlc;0x 4?72840d3_;?97c=#=kk1j6sr}|9j51`=83.9=i4>4b9K64e<^;;m6??51zT`g?4|,83;6<;?;W371?4|,{zut1b=8?50;&15a<6>6?u+5c`9b>"2jh0m7psr}:k217<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:9?5Y15796~"2jk0:7);ma;38yx{z3`;>?7>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;367>P6<<09w);mb;38 0df281vqps4i077>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728??7[?;5;0x 0de281/9oo51:~yx=h9131<7*=1e82<<=Q:8l1=vB=2082Sed2;q/=4>519;8R4222;q/9ol51:&6fd<63twvq6sm50594?76290;w)<>d;7`?M4692c:8i4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91820a=Q9=?1>v*:bc8:?!3ei330qpsr;h37a?6=,;;o6<:l;W02b?7|D;8:683;?i6X>4481!3ej330(8ln:89~yx{0Z<:::3y'1gd=12.>nl46;|~y>o6>>0;6)<>d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>669U513=:r.>no46;%7ae??h7[<>f;3xH74628q]on4={%3:4?7102\:884={%7af??<,290/>??51zT`g?4|,83;6<86;W371?4|,{zut1b=;o50;&15a<64}K:;;1=vXlc;0x 4?72840e3_;?97c=#=kk1j6sr}|9j53e=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=;m4V066>7}#=kh1j6*:b`8e?x{zu2c:8k4?:%02`?73k2B9=n5Y20d95~J5:80:w[ml:3y'5<6=9=l0Z<:::3y'1gd=n2.>nl4i;|~y>o6=90;6)<>d;37g>N59j1]>>6?u+5c`9b>"2jh0m7psr}:k214<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:9<5Y15796~"2jk0m7);ma;d8yx{z3`;>>7>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;366>P6<<09w);mb;38 0df281vqps4i070>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728?87[?;5;0x 0de281/9oo51:~yx=n9<>1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>51468R4222;q/9ol51:&6fd<63twvq6a>8883>!46l3;356X=1g82I4593;pZnm52z&2=5<6001]=9;52z&6fg<63-?im7?4}|~?xd2910;684?:1y'64b=9120D??>;h37`?6=,;;o6<:l;W02b?7|D;8:683;?h6X>4481!3ej3;0(8ln:09~yx{n6=4+20f951e<^;;m6nl4>;|~y>o6>=0;6)<>d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>659U513=:r.>no4>;%7ae?7f;3xH74628q]on4={%3:4?7?12\:884={%7af?7<,7>50z&15a5<#:8n1=574V33e>4}K:;;1=vXlc;0x 4?728227[?;5;0x 0de281/9oo51:~yx=zj:;96=4=:183!46l3h<7E<>1:k20f<72-8:h7?;c:9l5=?=83.9=i4>889U64`=9rF9><4>{Wa`>7}#90:1=574V066>7}#=kh1=6*:b`82?x{zu2wi?<950;094?6|,;;o6o94H332?l73k3:1(??k:06`?>i6000;6)<>d;3;=>P59o0:wA<=1;3xRfe=:r.:5=4>889U513=:r.>no4>;%7ae?76<729q/>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;37`>P6<<09w);mb;38 0df281vqps4i06f>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728>n7[?;5;0x 0de2j1/9oo5c:~yx=h9131<7*=1e82<<=Q:8l1=vB=2082Sed2;q/=4>519;8R4222;q/9ol51:&6fd<63twvq6sm30d94?0=83:p(??k:06b?M4692.::i4>1:k20f<72-8:h7?;c:T15c<6sE89=7?tV04f>7}#90:1=9m4V066>7}#=kh1=6*:b`82?x{zu2ch97>5$33g>f3<^;;m6l09w)?60;a6?S73=38p(8lm:09'1gg=92wvqp5fc783>!46l3i=7[<>f;0xH74628q]=;k52z&2=52\:884={%7af?5<,5r}|N165<3s_8;i7=tVbc96~"2j00h:6*<3e86fg=z^jh1>v*:b8820f=#;:n19ol4}%47v*:b8820f=#;:n19oo4}%47v*:b88`1>"4;m0>no5r$76;>7=z^82m6?uYc`81!3e13i=7)={#>=21==5r}|9j65b=83.9=i4=0e9U64`=:rF9><4>{W35a?4|,83;6?>k;W371?4|,{zuE89<7?tV335>7}Qkh09w);m9;03`>"4;m0>no5r$76;>c=zut1b>=m50;&15a<58j1]>76d3_;?97c=#=kk1j6sr}M014?7|^;;=6?uYc`81!3e138;o6*<3e86fg=z,?>36k5r}|9l5=?=83.9=i4>8898yg56<3:1?7>50z&15a<59h1C>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728>o7[?;5;0x 0de281/9oo51:~yx=n9=o1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>515g8R4222;q/9ol51:&6fd<63twvq6a>8883>!46l3;356X=1g82I4593;pZnm52z&2=5<6001]=9;52z&6fg<63-?im7?4}|~?xd49<0;6<4?:1y'64b=:;>0D??>;n3;=?6=,;;o6<66;:a740=8391<7>t$33g>0e<@;;:7d?;d;29 77c28>h7[<>f;3xH74628q]on4={%3:4?73l2\:884={%7af?7<,??51zT`g?4|,83;6<:j;W371?4|,{zut1d=5750;&15a<6001]>>6?u+5c`95>"2jh0:7psr}:a74>=83>1<7>t$33g>0e<@;;:7d?;d;29 77c28>h7E<>c:T15c<6sE89=7?tVba96~"6190:8i5Y15796~"2jk027);ma;;8yx{z3`;?i7>5$33g>42d3A8:o6X=1g82I4593;pZnm52z&2=5<63-?im774}|~?l7213:1(??k:06`?M46k2\9=k4>{M015?7|^ji1>v*>91821<=Q9=?1>v*:bc8:?!3ei330qpsr;n3;=?6=,;;o6<66;W02b?7|D;8:683;356X>4481!3ej3;0(8ln:09~yx{54;294~"59m0>o6F=109j51b=83.9=i4>4b9K64e<^;;m6??51zT`g?4|,83;6<:j;W371?4|,{zut1b=8750;&15a<64}K:;;1=vXlc;0x 4?728?27[?;5;0x 0de201/9oo59:~yx=h9131<7*=1e82<<=Q:8l1=vB=2082Sed2;q/=4>519;8R4222;q/9ol51:&6fd<63twvq6sm30c94?2=83:p(??k:4a8L7763`;?h7>5$33g>42d3A8:o6X=1g82I4593;pZnm52z&2=5<63-?im774}|~?l73m3:1(??k:06`?M46k2\9=k4>{M015?7|^ji1>v*>91820`=Q9=?1>v*:bc8:?!3ei330qpsr;h36=?6=,;;o6<:l;I02g>P59o0:wA<=1;3xRfe=:r.:5=4>589U513=:r.>no46;%7ae??f;3xH74628q]on4={%3:4?7?12\:884={%7af?7<,50z&15a<2k2B9=<5f15f94?"59m0:8n5G20a8R77a28qG>??51zT`g?4|,83;6<:k;W371?4|,{zut1b=9k50;&15a<64}K:;;1=vXlc;0x 4?728>n7[?;5;0x 0de201/9oo59:~yx=n9<31<7*=1e820f=O:8i0Z??i:0yO677=9r\ho743>3_;?97<=#=kk156sr}|9l5=?=83.9=i4>889U64`=9rF9><4>{Wa`>7}#90:1=574V066>7}#=kh1=6*:b`82?x{zu2wi?{M015?7|^ji1>v*>91820a=Q9=?1>v*:bc8:?!3ei330qpsr;h37a?6=,;;o6<:l;I02g>P59o0:wA<=1;3xRfe=:r.:5=4>4d9U513=:r.>no46;%7ae??h7E<>c:T15c<6sE89=7?tVba96~"6190:945Y15796~"2jk0m7);ma;d8yx{z3f;357>5$33g>4>>3_8:j7?tL302>4}Qkj09w)?60;3;=>P6<<09w);mb;38 0df281vqps4}c12`?6=>3:142c3_;?974=#=kk1=6sr}|9j51c=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=9k4V066>7}#=kh1=6*:b`82?x{zu2c:944?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91821<=Q9=?1>v*:bc82?!3ei3;0qpsr;h350?6=,;;o6<:l;W02b?7|D;8:683;=86X>4481!3ej3;0(8ln:09~yx{nl4>;|~y>{e=l81<7<50;2x 77c2k=0D??>;h37g?6=,;;o6<:l;:m2<<<72-8:h7?79:T15c<6sE89=7?tVba96~"6190:445Y15796~"2jk0:7);ma;38yx{z3th>ih4?:783>5}#:8n1=9o4H332?!71l3;:7d?;c;29 77c28>h7[<>f;3xH74628q]=;k52z&2=5<6<4>{W35a?4|,83;6n;4V066>7}#=kh1=6*:b`82?x{zu2ch:7>5$33g>f0<^;;m6?uC23395~P6>l09w)?60;a5?S73=38p(8lm:29'1gg=;2wvqA<=0;6xR76b2:q]ol4={%7a=?e13-98h7;mb:Ugg<5s-?i57?;c:&07a<2jk1v(;:7:c9~R76b2:q]ol4={%7a=?e13-98h7;mb:Ugg<5s-?i57?;c:&07a<2jh1v(;:7:c9~R76b2:q]ol4={%7a=?e13-98h7;mb:Ugg<5s-?i57m:;%10`?3ej2w/:9652:U5=`=:r\hm7f0<,:9o68lm;|&50=<682wvq6g=0e83>!46l38;h6X=1g81I4593;pZ<8j:3y'5<6=:9n0Z<:::3y'1gd=n2.>nl4i;|~H74728q]><852zT`e?4|,k;%10`?3ej2w/:965f:~y>o58j0;6)<>d;03g>P59o09wA<=1;3xR40b2;q/=4>521a8R4222;q/9ol5f:&6fd76d3-98h7;mb:'21>=n2wvq6a>8883>!46l3;3565rb4g0>5<4290;w)<>d;02e>N5981b=9j50;&15a<64}K:;;1=vXlc;0x 4?728>o7[?;5;0x 0de281/9oo51:~yx=n9=o1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>515g8R4222;q/9ol51:&6fd<63twvq6a>8883>!46l3;356X=1g82I4593;pZnm52z&2=5<6001]=9;52z&6fg<63-?im7?4}|~?xd2m=0;6<4?:1y'64b=:;>0D??>;n3;=?6=,;;o6<66;:a1`0=8391<7>t$33g>0e<@;;:7d?;d;29 77c28>h7[<>f;3xH74628q]on4={%3:4?73l2\:884={%7af?7<,??51zT`g?4|,83;6<:j;W371?4|,{zut1d=5750;&15a<6001]>>6?u+5c`95>"2jh0:7psr}:a1`1=8391<7>t$33g>0e<@;;:7d?;d;29 77c28>h7[<>f;3xH74628q]on4={%3:4?73l2\:884={%7af?7<,??51zT`g?4|,83;6<:j;W371?4|,{zut1d=5750;&15a<6001]>>6?u+5c`95>"2jh0:7psr}:a1`>=8391<7>t$33g>0e<@;;:7d?;d;29 77c28>h7[<>f;3xH74628q]on4={%3:4?73l2\:884={%7af?c<,??51zT`g?4|,83;6<:j;W371?4|,{zut1d=5750;&15a<6001]>>6?u+5c`95>"2jh0:7psr}:a1`?=8391<7>t$33g>0e<@;;:7d?;d;29 77c28>h7[<>f;3xH74628q]on4={%3:4?73l2\:884={%7af?c<,??51zT`g?4|,83;6<:j;W371?4|,{zut1d=5750;&15a<6001]>>6?u+5c`95>"2jh0:7psr}:a1`g=83>1<7>t$33g>0e<@;;:7d?;d;29 77c28>h7E<>c:T15c<6sE89=7?tVba96~"6190:8i5Y15796~"2jk0<7);ma;58yx{z3`;?i7>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;37a>P6<<09w);mb;g8 0df2l1vqps4i07:>5<#:8n1=9m4H33`?S46n3;p@?<>:0yUgf<5s-;2<7?:9:T200<5s-?in7k4$4`b>`=zutw0c<66:18'64b=9130Z??i:0yO677=9r\ho74>>3_;?974=#=kk1=6sr}|9~f0ce290?6=4?{%02`?3d3A8:=6g>4e83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6:0yUgf<5s-;2<7?;e:T200<5s-?in7k4$4`b>`=zutw0e<;6:18'64b=9=i0D??l;W02b?7|D;8:683;>56X>4481!3ej3o0(8ln:d9~yx{5<1290;w)<>d;7`?M4692c:8i4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91820a=Q9=?1>v*:bc84?!3ei3=0qpsr;h37a?6=,;;o6<:l;I02g>P59o0:wA<=1;3xRfe=:r.:5=4>4d9U513=:r.>no4j;%7ae?ch7E<>c:T15c<6sE89=7?tVba96~"6190:945Y15796~"2jk0n7);ma;g8yx{z3`;=87>5$33g>42d3A8:o6X=1g82I4593;pZnm52z&2=5<6>=1]=9;52z&6fg{M015?7|^ji1>v*>918222=Q9=?1>v*:bc8f?!3ei3o0qpsr;n3;=?6=,;;o6<66;W02b?7|D;8:683;356X>4481!3ej3;0(8ln:09~yx{58;294~"59m0:455G2038m42c290/>??51zT`g?4|,83;6<:k;W371?4|,{zut1b=9k50;&15a<6>6?u+5c`95>"2jh0:7psr}:k21<<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:945Y15796~"2jk0:7);ma;38yx{z3`;=87>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;350>P6<<09w);mb;38 0df281vqps4i044>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728<<7[?;5;0x 0de281/9oo51:~yx=n9?21<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>517:8R4222;q/9ol51:&6fd<63twvq6g>6883>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6>01]=9;52z&6fg<63-?im7?4}|~?j7?13:1(??k:0::?S46n3;p@?<>:0yUgf<5s-;2<7?79:T200<5s-?in7?4$4`b>4=zutw0qo=?b;296?6=8r.9=i4m7:J154=n9=i1<7*=1e820f=5<1290;w)<>d;37e>N5981/=;j5109j51e=83.9=i4>4b9U64`=9rF9><4>{W35a?4|,83;6<:l;W371?4|,{zut1bo84?:%02`?e23_8:j7?tL302>4}Q9?o1>v*>918`1>P6<<09w);mb;38 0df281vqps4ib494?"59m0h:6X=1g81I4593;pZ<8j:3y'5<6=k?1]=9;52z&6fg<43-?im7=4}|O676=7}#=k31o;5+32f91gd7}#=k31o;5+32f91gd7}#=k31o;5+32f91gd3685r}|9j65b=83.9=i4=0e9U64`=:rF9><4>{W35a?4|,83;6?>k;W371?4|,{zuE89<7?tV335>7}Qkh09w);m9;03`>"4;m0>no5r$76;>c=zut1b>=m50;&15a<58j1]>76d3_;?97c=#=kk1j6sr}M014?7|^;;=6?uYc`81!3e138;o6*<3e86fg=z,?>36k5r}|9l5=?=83.9=i4>8898yg57l3:1?7>50z&15a<59h1C>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728>o7[?;5;0x 0de281/9oo51:~yx=n9=o1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>515g8R4222;q/9ol51:&6fd<63twvq6a>8883>!46l3;356X=1g82I4593;pZnm52z&2=5<6001]=9;52z&6fg<63-?im7?4}|~?xd48l0;6<4?:1y'64b=:;>0D??>;n3;=?6=,;;o6<66;:a75`=83?1<7>t$33g>0e<@;;:7d?;d;29 77c28>h7E<>c:T15c<6sE89=7?tVba96~"6190:8i5Y15796~"2jk0n7);ma;g8yx{z3`;?i7>5$33g>42d3A8:o6X=1g82I4593;pZnm52z&2=5<6{M015?7|^ji1>v*>91821<=Q9=?1>v*:bc8f?!3ei3o0qpsr;h350?6=,;;o6<:l;W02b?7|D;8:683;=86X>4481!3ej3o0(8ln:d9~yx{5<4290;w)<>d;7`?M4692c:8i4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91820a=Q9=?1>v*:bc8;?!3ei320qpsr;h37a?6=,;;o6<:l;W02b?7|D;8:683;?i6X>4481!3ej320(8ln:99~yx{5<5290;w)<>d;`4?M4692c:8n4?:%02`?73k21d=5750;&15a<6001]>>6?u+5c`95>"2jh0:7psr}:a04`=83<1<7>t$33g>42f3A8:=6*>6e825>o6d;37g>P59o0:wA<=1;3xR40b2;q/=4>515a8R4222;q/9ol51:&6fd<63twvq6gl5;29 77c2j?0Z??i:0yO677=9r\::h4={%3:4?e23_;?974=#=kk1=6sr}|9jg3<72-8:h7m9;W02b?4|D;8:64481!3ej390(8ln:29~yxJ5:90?w[n44l6:&07a<2jk1vZnl52z&6f<<6j55c`8y!0303h0q[n44l6:&07a<2jk1vZnl52z&6f<<6j55cc8y!0303h0q[n44l6:&07a<2jk1vZnl52z&6f<vB=2082S71m38p(<7?:32g?S73=38p(8lm:g9'1gg=n2wvqA<=0;3xR7712;q]ol4={%7a=?47l2.8?i4:bc9~ 32?2o1vqp5f21a94?"59m09:47>53;294~"59m09=l5G2038m42c290/>??51zT`g?4|,83;6<:k;W371?4|,{zut1b=9k50;&15a<6>6?u+5c`95>"2jh0:7psr}:m2<<<72-8:h7?79:T15c<6sE89=7?tVba96~"6190:445Y15796~"2jk0:7);ma;38yx{z3th?=44?:083>5}#:8n1>?:4H332?j7?13:1(??k:0::?>{e<8h1<7=50;2x 77c2;h37`?6=,;;o6<:l;W02b?7|D;8:683;?h6X>4481!3ej3o0(8ln:d9~yx{n6=4+20f951e<^;;m6nl4>;|~y>{e<8i1<7:50;2x 77c2;h37`?6=,;;o6<:l;W02b?7|D;8:683;?h6X>4481!3ej3o0(8ln:d9~yx{n6=4+20f951e<@;;h7[<>f;3xH74628q]on4={%3:4?73m2\:884={%7af?c<,290/>4}Qkj09w)?60;36=>P6<<09w);mb;g8 0df2l1vqps4o0::>5<#:8n1=574V33e>4}K:;;1=vXlc;0x 4?728227[?;5;0x 0de281/9oo51:~yx=zj=;o6=49:183!46l3?h7E<>1:k20a<72-8:h7?;c:J15f=Q:8l1=vB=2082Sed2;q/=4>515f8R4222;q/9ol5e:&6fd4d83>!46l3;?o6F=1b9U64`=9rF9><4>{Wa`>7}#90:1=9k4V066>7}#=kh1i6*:b`8f?x{zu2c:944?:%02`?73k2B9=n5Y20d95~J5:80:w[ml:3y'5<6=9<30Z<:::3y'1gd=m2.>nl4j;|~y>o6>=0;6)<>d;37g>N59j1]>>6?u+5c`93>"2jh0<7psr}:k222<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:::5Y15796~"2jk0n7);ma;g8yx{z3f;357>5$33g>4>>3_8:j7?tL302>4}Qkj09w)?60;3;=>P6<<09w);mb;38 0df281vqps4}c62e?6=<3:142c3_;?974=#=kk1=6sr}|9j51c=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=9k4V066>7}#=kh1=6*:b`82?x{zu2c:944?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91821<=Q9=?1>v*:bc82?!3ei3;0qpsr;n3;=?6=,;;o6<66;W02b?7|D;8:683;356X>4481!3ej3;0(8ln:09~yx{52;294~"59m0i;6F=109j51e=83.9=i4>4b98k4>>290/>??51zT`g?4|,83;6<66;W371?4|,{zut1vn8h8:181>5<7s-8:h7l8;I025>o6d;37g>=h9131<7*=1e82<<=Q:8l1=vB=2082Sed2;q/=4>519;8R4222;q/9ol51:&6fd<63twvq6sm5ga94?0=83:p(??k:06b?M4692.::i4>1:k20f<72-8:h7?;c:T15c<6sE89=7?tV04f>7}#90:1=9m4V066>7}#=kh1=6*:b`82?x{zu2ch97>5$33g>f3<^;;m6l09w)?60;a6?S73=38p(8lm:09'1gg=92wvqp5fc783>!46l3i=7[<>f;0xH74628q]=;k52z&2=52\:884={%7af?5<,5r}|N165<3s_8;i7=tVbc96~"2j00h:6*<3e86fg=z^jh1>v*:b8820f=#;:n19ol4}%47v*:b8820f=#;:n19oo4}%47v*:b88`1>"4;m0>no5r$76;>7=z^82m6?uYc`81!3e13i=7)={#>=21==5r}|9j65b=83.9=i4=0e9U64`=:rF9><4>{W35a?4|,83;6?>k;W371?4|,{zuE89<7?tV335>7}Qkh09w);m9;03`>"4;m0>no5r$76;>c=zut1b>=m50;&15a<58j1]>76d3_;?97c=#=kk1j6sr}M014?7|^;;=6?uYc`81!3e138;o6*<3e86fg=z,?>36k5r}|9l5=?=83.9=i4>8898yg3a83:1?7>50z&15a<59h1C>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728>o7[?;5;0x 0de281/9oo51:~yx=n9=o1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>515g8R4222;q/9ol51:&6fd<63twvq6a>8883>!46l3;356X=1g82I4593;pZnm52z&2=5<6001]=9;52z&6fg<63-?im7?4}|~?xd2n80;6<4?:1y'64b=:;>0D??>;n3;=?6=,;;o6<66;:a1c5=8391<7>t$33g>0e<@;;:7d?;d;29 77c28>h7[<>f;3xH74628q]on4={%3:4?73l2\:884={%7af?7<,??51zT`g?4|,83;6<:j;W371?4|,{zut1d=5750;&15a<6001]>>6?u+5c`95>"2jh0:7psr}:a1c2=8391<7>t$33g>0e<@;;:7d?;d;29 77c28>h7[<>f;3xH74628q]on4={%3:4?73l2\:884={%7af?c<,??51zT`g?4|,83;6<:j;W371?4|,{zut1d=5750;&15a<6001]>>6?u+5c`95>"2jh0:7psr}:a1c3=8391<7>t$33g>0e<@;;:7d?;d;29 77c28>h7E<>c:T15c<6sE89=7?tVba96~"6190:8i5Y15796~"2jk0n7);ma;g8yx{z3`;?i7>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;37a>P6<<09w);mb;g8 0df2l1vqps4o0::>5<#:8n1=574V33e>4}K:;;1=vXlc;0x 4?728227[?;5;0x 0de281/9oo51:~yx=zj1:k20a<72-8:h7?;c:J15f=Q:8l1=vB=2082Sed2;q/=4>515f8R4222;q/9ol57:&6fd<03twvq6g>4d83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6{M015?7|^ji1>v*>91821<=Q9=?1>v*:bc8f?!3ei3o0qpsr;h350?6=,;;o6<:l;W02b?7|D;8:683;=86X>4481!3ej3o0(8ln:d9~yx{5<2290;w)<>d;3;<>N5981b=9j50;&15a<6>6?u+5c`95>"2jh0:7psr}:k20`<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:8h5Y15796~"2jk0:7);ma;38yx{z3`;>57>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;36=>P6<<09w);mb;38 0df281vqps4i047>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728519;8R4222;q/9ol51:&6fd<63twvq6sm5g:94?2=83:p(??k:4a8L7763`;?h7>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;37`>P6<<09w);mb;d8 0df2o1vqps4i06f>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728>n7[?;5;0x 0de2o1/9oo5f:~yx=n9<31<7*=1e820f=O:8i0Z??i:0yO677=9r\ho743>3_;?97c=#=kk1j6sr}|9l5=?=83.9=i4>889U64`=9rF9><4>{Wa`>7}#90:1=574V066>7}#=kh1=6*:b`82?x{zu2wi9k750;694?6|,;;o68m4H332?l73l3:1(??k:06`?S46n3;p@?<>:0yUgf<5s-;2<7?;d:T200<5s-?in7h4$4`b>c=zutw0e<:j:18'64b=9=i0D??l;W02b?7|D;8:683;?i6X>4481!3ej3l0(8ln:g9~yx{f;3xH74628q]on4={%3:4?7212\:884={%7af?`<,>290/>??51zT`g?4|,83;6<66;W371?4|,{zut1vn8hn:180>5<7s-8:h7?78:J154=n9=n1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>515f8R4222;q/9ol51:&6fd<63twvq6g>4d83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6:0yUgf<5s-;2<7?79:T200<5s-?in7?4$4`b>4=zutw0qo:?3;296?6=8r.9=i4m7:J154=n9=i1<7*=1e820f=5<1290;w)<>d;37e>N5981/=;j5109j51e=83.9=i4>4b9U64`=9rF9><4>{W35a?4|,83;6<:l;W371?4|,{zut1bo84?:%02`?e23_8:j7?tL302>4}Q9?o1>v*>918`1>P6<<09w);mb;38 0df281vqps4ib494?"59m0h:6X=1g81I4593;pZ<8j:3y'5<6=k?1]=9;52z&6fg<43-?im7=4}|O676=7}#=k31o;5+32f91gd7}#=k31o;5+32f91gd7}#=k31o;5+32f91gd36<>4}|8m76c290/>??51zT22`<5s-;2<7c=zutF9>=4>{W022?4|^jk1>v*:b8814a=#;:n19ol4}%47l:18'64b=:9i0Z??i:3yO677=9r\::h4={%3:4?47k2\:884={%7af?`<,>290/>4?:1y'64b=:8k0D??>;h37`?6=,;;o6<:l;W02b?7|D;8:683;?h6X>4481!3ej3;0(8ln:09~yx{n6=4+20f951e<^;;m6nl4>;|~y>{e<9?1<7?50;2x 77c2;8?7E<>1:m2<<<72-8:h7?79:9~f16029086=4?{%02`?3d3A8:=6g>4e83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6:0yUgf<5s-;2<7?;e:T200<5s-?in7k4$4`b>`=zutw0c<66:18'64b=9130Z??i:0yO677=9r\ho74>>3_;?974=#=kk1=6sr}|9~f16?29086=4?{%02`?3d3A8:=6g>4e83>!46l3;?o6F=1b9U64`=9rF9><4>{Wa`>7}#90:1=9j4V066>7}#=kh1i6*:b`8f?x{zu2c:8h4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91820`=Q9=?1>v*:bc8f?!3ei3o0qpsr;n3;=?6=,;;o6<66;W02b?7|D;8:683;356X>4481!3ej3;0(8ln:09~yx{;:7>53;294~"59m0:455G2038m42c290/>??51zT`g?4|,83;6<:k;W371?4|,{zut1b=9k50;&15a<6>6?u+5c`95>"2jh0:7psr}:m2<<<72-8:h7?79:T15c<6sE89=7?tVba96~"6190:445Y15796~"2jk0:7);ma;38yx{z3th?5}#:8n1n:5G2038m42d290/>:0yUgf<5s-;2<7?79:T200<5s-?in7?4$4`b>4=zutw0qo:>6;297?6=8r.9=i4=1`9K647o6=4+20f951e<^;;m6nl4l;|~y>i6000;6)<>d;3;=>P59o0:wA<=1;3xRfe=:r.:5=4>889U513=:r.>no4>;%7ae?73<729q/>;h37g?6=,;;o6<:l;W02b?7|D;8:66=4+20f9g0=Q:8l1=vB=2082S71m38p(<7?:b78R4222;q/9ol51:&6fd<63twvq6gl6;29 77c2j<0Z??i:3yO677=9r\::h4={%3:4?e13_;?976=#=kk1?6sr}M014?2|^;:n6>uYc`81!3e13i=7)={Qkk09w);m9;37g>"4;m0>no5r$76;>g=z^;:n6>uYc`81!3e13i=7)={Qkk09w);m9;37g>"4;m0>nl5r$76;>g=z^;:n6>uYc`81!3e13i=7)={Qkk09w);m9;a6?!54l3?in6s+65:96>{Q91l1>vXla;0x 0d>2j<0(>=k:4`a?x"1<10:<6sr}:k14a<72-8:h77}#90:1>=j4V066>7}#=kh1j6*:b`8e?x{zD;8;6{zu2c9>6?u+5c`9b>"2jh0m7psrL303>4}Q:8<1>vXla;0x 0d>2;:h7)={#>=21j6sr}:m2<<<72-8:h7?79:9~f16d29086=4?{%02`?46i2B9=<5f15f94?"59m0:8n5Y20d95~J5:80:w[ml:3y'5<6=9=n0Z<:::3y'1gd=92.>nl4>;|~y>o6d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>4d9U513=:r.>no4>;%7ae?7f;3xH74628q]on4={%3:4?7?12\:884={%7af?7<,50z&15a<5:=1C>5<#:8n1=574;|`74c<72=0;6=u+20f91f=O:8;0e<:k:18'64b=9=i0Z??i:0yO677=9r\ho742c3_;?97`=#=kk1i6sr}|9j51c=83.9=i4>4b9K64e<^;;m6??51zT`g?4|,83;6<;6;W371?4|,{zut1d=5750;&15a<6001]>>6?u+5c`95>"2jh0:7psr}:a046=83<1<7>t$33g>0e<@;;:7d?;d;29 77c28>h7[<>f;3xH74628q]on4={%3:4?73l2\:884={%7af?c<,??51zT`g?4|,83;6<:j;W371?4|,{zut1b=8750;&15a<6>6?u+5c`9a>"2jh0n7psr}:k221<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190::95Y15796~"2jk0<7);ma;58yx{z3`;=;7>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;353>P6<<09w);mb;g8 0df2l1vqps4o0::>5<#:8n1=574V33e>4}K:;;1=vXlc;0x 4?728227[?;5;0x 0de281/9oo51:~yx=zj=;:6=48:183!46l3?h7E<>1:k20a<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:8i5Y15796~"2jk0n7);ma;g8yx{z3`;?i7>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;37a>P6<<09w);mb;g8 0df2l1vqps4i07:>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728?27[?;5;0x 0de2l1/9oo5e:~yx=n9?>1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>51768R4222;q/9ol57:&6fd<03twvq6g>6683>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6>>1]=9;52z&6fg:0yUgf<5s-;2<7?98:T200<5s-?in7k4$4`b>`=zutw0c<66:18'64b=9130Z??i:0yO677=9r\ho74>>3_;?974=#=kk1=6sr}|9~f175290<6=4?{%02`?3d3A8:=6g>4e83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6:0yUgf<5s-;2<7?;e:T200<5s-?in7k4$4`b>`=zutw0e<;6:18'64b=9=i0Z??i:0yO677=9r\ho743>3_;?97`=#=kk1i6sr}|9j532=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=;:4V066>7}#=kh1;6*:b`84?x{zu2c:::4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>918222=Q9=?1>v*:bc8f?!3ei3o0qpsr;h3583;=46X>4481!3ej3o0(8ln:d9~yx{5<0290;w)<>d;7`?M4692c:8i4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91820a=Q9=?1>v*:bc8f?!3ei3o0qpsr;h37a?6=,;;o6<:l;W02b?7|D;8:683;?i6X>4481!3ej3o0(8ln:d9~yx{0Z<:::3y'1gd=?2.>nl48;|~y>o6>>0;6)<>d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>669U513=:r.>no4j;%7ae?ch7[<>f;3xH74628q]on4={%3:4?7102\:884={%7af?c<,>290/>??51zT`g?4|,83;6<66;W371?4|,{zut1vn9>j:185>5<7s-8:h7?78:J154=n9=n1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>515f8R4222;q/9ol51:&6fd<63twvq6g>4d83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6:0yUgf<5s-;2<7?:9:T200<5s-?in7?4$4`b>4=zutw0e<8;:18'64b=9=i0Z??i:0yO677=9r\ho74033_;?974=#=kk1=6sr}|9j531=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=;94V066>7}#=kh1=6*:b`82?x{zu2e:444?:%02`?7?12\9=k4>{M015?7|^ji1>v*>9182<<=Q9=?1>v*:bc82?!3ei3;0qpsr;|`6ba<72;0;6=u+20f9f2=O:8;0e<:l:18'64b=9=i07b?79;29 77c28227[<>f;3xH74628q]on4={%3:4?7?12\:884={%7af?7<,50z&15a<647h6=4+20f951e<^;;m6l09w)?60;37g>P6<<09w);mb;38 0df281vqps4ib794?"59m0h96X=1g82I4593;pZ<8j:3y'5<6=k<1]=9;52z&6fg<63-?im7?4}|~?le1290/><4>{W35a?4|,83;6n84V066>7}#=kh1?6*:b`80?x{zD;8;69uY21g97~Pdi38p(8l6:b48 65c228>h7)={#>=21n6sY21g97~Pdi38p(8l6:b48 65c228>h7)={#>=21n6sY21g97~Pdi38p(8l6:b48 65c22j?0(>=k:4`a?x"1<1097pX>8g81Sef2;q/9o75c79'76b==kh0q)8;8;33?x{z3`8;h7>5$33g>76c3_8:j74}Q9?o1>v*>91814a=Q9=?1>v*:bc8e?!3ei3l0qpsC23295~P59?09w[mn:3y'1g?=:9n0(>=k:4`a?x"1<10m7psr;h03g?6=,;;o6?>l;W02b?4|D;8:65$33g>4>>32wi9kk50;194?6|,;;o6??n;I025>o6d;37g>N59j1]>>6?u+5c`95>"2jh0:7psr}:k20`<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:8h5Y15796~"2jk0:7);ma;38yx{z3f;357>5$33g>4>>3_8:j7?tL302>4}Qkj09w)?60;3;=>P6<<09w);mb;38 0df281vqps4}c7eb?6=93:16<729q/>o6=4+20f951e<^;;m6nl4>;|~y>i6000;6)<>d;3;=>P59o0:wA<=1;3xRfe=:r.:5=4>889U513=:r.>no4>;%7ae?76<729q/>o6=4+20f951e<^;;m6nl4j;|~y>i6000;6)<>d;3;=>P59o0:wA<=1;3xRfe=:r.:5=4>889U513=:r.>no4>;%7ae?71<729q/>o6=4+20f951e<@;;h7[<>f;3xH74628q]on4={%3:4?73l2\:884={%7af?1<,??51zT`g?4|,83;6<:j;W371?4|,{zut1b=8750;&15a<64}K:;;1=vXlc;0x 4?728?27[?;5;0x 0de2l1/9oo5e:~yx=h9131<7*=1e82<<=Q:8l1=vB=2082Sed2;q/=4>519;8R4222;q/9ol51:&6fd<63twvq6sm61694?2=83:p(??k:4a8L7763`;?h7>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;37`>P6<<09w);mb;g8 0df2l1vqps4i06f>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728>n7[?;5;0x 0de2l1/9oo5e:~yx=n9<31<7*=1e820f=O:8i0Z??i:0yO677=9r\ho743>3_;?97`=#=kk1i6sr}|9l5=?=83.9=i4>889U64`=9rF9><4>{Wa`>7}#90:1=574V066>7}#=kh1=6*:b`82?x{zu2wi:=;50;794?6|,;;o68m4H332?l73l3:1(??k:06`?M46k2\9=k4>{M015?7|^ji1>v*>91820a=Q9=?1>v*:bc8f?!3ei3o0qpsr;h37a?6=,;;o6<:l;I02g>P59o0:wA<=1;3xRfe=:r.:5=4>4d9U513=:r.>no4j;%7ae?ch7E<>c:T15c<6sE89=7?tVba96~"6190:945Y15796~"2jk0n7);ma;g8yx{z3`;=87>5$33g>42d3A8:o6X=1g82I4593;pZnm52z&2=5<6>=1]=9;52z&6fg:0yUgf<5s-;2<7?79:T200<5s-?in7?4$4`b>4=zutw0qo8?6;291?6=8r.9=i4:c:J154=n9=n1<7*=1e820f=O:8i0Z??i:0yO677=9r\ho742c3_;?97`=#=kk1i6sr}|9j51c=83.9=i4>4b9K64e<^;;m6??51zT`g?4|,83;6<;6;W371?4|,{zut1b=;:50;&15a<6>6?u+5c`9a>"2jh0n7psr}:m2<<<72-8:h7?79:T15c<6sE89=7?tVba96~"6190:445Y15796~"2jk0:7);ma;38yx{z3th=<=4?:683>5}#:8n1=564H332?l73l3:1(??k:06`?S46n3;p@?<>:0yUgf<5s-;2<7?;d:T200<5s-?in7?4$4`b>4=zutw0e<:j:18'64b=9=i0Z??i:0yO677=9r\ho742b3_;?974=#=kk1=6sr}|9j50?=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=874V066>7}#=kh1=6*:b`82?x{zu2c::94?:%02`?73k2\9=k4>{M015?7|^ji1>v*>918221=Q9=?1>v*:bc82?!3ei3;0qpsr;h353?6=,;;o6<:l;W02b?7|D;8:683;=;6X>4481!3ej3;0(8ln:09~yx{nl4>;|~y>{e;h37g?6=,;;o6<:l;:m2<<<72-8:h7?79:T15c<6sE89=7?tVba96~"6190:445Y15796~"2jk0:7);ma;38yx{z3th><;4?:383>5}#:8n1n:5G2038m42d290/>:0yUgf<5s-;2<7?79:T200<5s-?in7?4$4`b>4=zutw0qo;?c;297?6=8r.9=i4=1`9K647o6=4+20f951e<^;;m6nl4l;|~y>i6000;6)<>d;3;=>P59o0:wA<=1;3xRfe=:r.:5=4>889U513=:r.>no4>;%7ae?73<729q/>;h37g?6=,;;o6<:l;W02b?7|D;8:66=4+20f9g0=Q:8l1=vB=2082S71m38p(<7?:b78R4222;q/9ol51:&6fd<63twvq6gl6;29 77c2j<0Z??i:3yO677=9r\::h4={%3:4?e13_;?976=#=kk1?6sr}M014?2|^;:n6>uYc`81!3e13i=7)={Qkk09w);m9;37g>"4;m0>no5r$76;>g=z^;:n6>uYc`81!3e13i=7)={Qkk09w);m9;37g>"4;m0>nl5r$76;>g=z^;:n6>uYc`81!3e13i=7)={Qkk09w);m9;a6?!54l3?in6s+65:96>{Q91l1>vXla;0x 0d>2j<0(>=k:4`a?x"1<10:<6sr}:k14a<72-8:h77}#90:1>=j4V066>7}#=kh1j6*:b`8e?x{zD;8;6{zu2c9>6?u+5c`9b>"2jh0m7psrL303>4}Q:8<1>vXla;0x 0d>2;:h7)={#>=21j6sr}:m2<<<72-8:h7?79:9~f1`a29086=4?{%02`?46i2B9=<5f15f94?"59m0:8n5Y20d95~J5:80:w[ml:3y'5<6=9=n0Z<:::3y'1gd=92.>nl4>;|~y>o6d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>4d9U513=:r.>no4>;%7ae?7f;3xH74628q]on4={%3:4?7?12\:884={%7af?7<,50z&15a<5:=1C>5<#:8n1=574;|`647<72:0;6=u+20f91f=O:8;0e<:k:18'64b=9=i0Z??i:0yO677=9r\ho742c3_;?974=#=kk1=6sr}|9j51c=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=9k4V066>7}#=kh1=6*:b`82?x{zu2e:444?:%02`?7?12\9=k4>{M015?7|^ji1>v*>9182<<=Q9=?1>v*:bc82?!3ei3;0qpsr;|`646<72:0;6=u+20f91f=O:8;0e<:k:18'64b=9=i0Z??i:0yO677=9r\ho742c3_;?97`=#=kk1i6sr}|9j51c=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=9k4V066>7}#=kh1i6*:b`8f?x{zu2e:444?:%02`?7?12\9=k4>{M015?7|^ji1>v*>9182<<=Q9=?1>v*:bc82?!3ei3;0qpsr;|`641<72=0;6=u+20f91f=O:8;0e<:k:18'64b=9=i0D??l;W02b?7|D;8:683;?h6X>4481!3ej3o0(8ln:d9~yx{n6=4+20f951e<@;;h7[<>f;3xH74628q]on4={%3:4?73m2\:884={%7af?c<,290/>4}Qkj09w)?60;36=>P6<<09w);mb;g8 0df2l1vqps4o0::>5<#:8n1=574V33e>4}K:;;1=vXlc;0x 4?728227[?;5;0x 0de281/9oo51:~yx=zj<:>6=49:183!46l3?h7E<>1:k20a<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:8i5Y15796~"2jk0<7);ma;58yx{z3`;?i7>5$33g>42d3A8:o6X=1g82I4593;pZnm52z&2=5<6{M015?7|^ji1>v*>91821<=Q9=?1>v*:bc8f?!3ei3o0qpsr;h350?6=,;;o6<:l;I02g>P59o0:wA<=1;3xRfe=:r.:5=4>659U513=:r.>no4j;%7ae?ch7E<>c:T15c<6sE89=7?tVba96~"6190:::5Y15796~"2jk0n7);ma;g8yx{z3f;357>5$33g>4>>3_8:j7?tL302>4}Qkj09w)?60;3;=>P6<<09w);mb;38 0df281vqps4}c735?6==3:142c3_;?974=#=kk1=6sr}|9j51c=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=9k4V066>7}#=kh1=6*:b`82?x{zu2c:944?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91821<=Q9=?1>v*:bc82?!3ei3;0qpsr;h350?6=,;;o6<:l;W02b?7|D;8:683;=86X>4481!3ej3;0(8ln:09~yx{5<3290;w)<>d;7`?M4692c:8i4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91820a=Q9=?1>v*:bc8e?!3ei3l0qpsr;h37a?6=,;;o6<:l;I02g>P59o0:wA<=1;3xRfe=:r.:5=4>4d9U513=:r.>no4i;%7ae?`h7E<>c:T15c<6sE89=7?tVba96~"6190:945Y15796~"2jk0m7);ma;d8yx{z3f;357>5$33g>4>>3_8:j7?tL302>4}Qkj09w)?60;3;=>P6<<09w);mb;38 0df281vqps4}c73N5981b=9j50;&15a<64}K:;;1=vXlc;0x 4?728>o7[?;5;0x 0de2o1/9oo5f:~yx=n9=o1<7*=1e820f=O:8i0Z??i:0yO677=9r\ho742b3_;?97c=#=kk1j6sr}|9j50?=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=874V066>7}#=kh1j6*:b`8e?x{zu2c::94?:%02`?73k2\9=k4>{M015?7|^ji1>v*>918221=Q9=?1>v*:bc8e?!3ei3l0qpsr;n3;=?6=,;;o6<66;W02b?7|D;8:683;356X>4481!3ej3;0(8ln:09~yx{53;294~"59m0:455G2038m42c290/>??51zT`g?4|,83;6<:k;W371?4|,{zut1b=9k50;&15a<6>6?u+5c`95>"2jh0:7psr}:m2<<<72-8:h7?79:T15c<6sE89=7?tVba96~"6190:445Y15796~"2jk0:7);ma;38yx{z3th=?;4?:383>5}#:8n1n:5G2038m42d290/>:0yUgf<5s-;2<7?79:T200<5s-?in7?4$4`b>4=zutw0qo84`9K647<,85<#:8n1=9m4V33e>4}K:;;1=vX>6d81!7>83;?o6X>4481!3ej3;0(8ln:09~yx{P59o0:wA<=1;3xR40b2;q/=4>5c49U513=:r.>no4>;%7ae?7f0<^8>>6?u+5c`97>"2jh087psrL303>1}Q:9o1?vXla;0x 0d>2j<0(>=k:4`a?xPdj38p(8l6:06`?!54l3?in6s+65:9f>{Q:9o1?vXla;0x 0d>2j<0(>=k:4`a?xPdj38p(8l6:06`?!54l3?im6s+65:9f>{Q:9o1?vXla;0x 0d>2j<0(>=k:4`a?xPdj38p(8l6:b78 65c2j55c`8y!0303;;7psr;h03`?6=,;;o6?>k;W02b?4|D;8:65$33g>76d3_8:j74}Q9?o1>v*>91814f=Q9=?1>v*:bc8e?!3ei3l0qpsC23295~P59?09w[mn:3y'1g?=:9i0(>=k:4`a?x"1<10m7psr;n3;=?6=,;;o6<66;:a261=8391<7>t$33g>77f3A8:=6g>4e83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6:0yUgf<5s-;2<7?;e:T200<5s-?in7?4$4`b>4=zutw0c<66:18'64b=9130Z??i:0yO677=9r\ho74>>3_;?974=#=kk1=6sr}|9~f35?290:6=4?{%02`?45<2B9=<5`19;94?"59m0:4454}c40=?6=;3:1N5981b=9j50;&15a<64}K:;;1=vXlc;0x 4?728>o7[?;5;0x 0de2l1/9oo5e:~yx=n9=o1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>515g8R4222;q/9ol5e:&6fd8883>!46l3;356X=1g82I4593;pZnm52z&2=5<6001]=9;52z&6fg<63-?im7?4}|~?xd1800;6?4?:1y'64b=j>1C>5<#:8n1=9m4;n3;=?6=,;;o6<66;W02b?7|D;8:683;356X>4481!3ej3;0(8ln:09~yx{52;294~"59m0i;6F=109j51e=83.9=i4>4b98k4>>290/>??51zT`g?4|,83;6<66;W371?4|,{zut1vn;?8:180>5<7s-8:h7<>a:J154=n9=n1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>515f8R4222;q/9ol51:&6fd<63twvq6g>4d83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6:0yUgf<5s-;2<7?79:T200<5s-?in7?4$4`b>4=zutw0qo8>6;292?6=8r.9=i4>4`9K647<,85<#:8n1=9m4V33e>4}K:;;1=vX>6d81!7>83;?o6X>4481!3ej3;0(8ln:09~yx{P59o0:wA<=1;3xR40b2;q/=4>5c49U513=:r.>no4>;%7ae?7f0<^8>>6?u+5c`97>"2jh087psrL303>1}Q:9o1?vXla;0x 0d>2j<0(>=k:4`a?xPdj38p(8l6:06`?!54l3?in6s+65:9f>{Q:9o1?vXla;0x 0d>2j<0(>=k:4`a?xPdj38p(8l6:06`?!54l3?im6s+65:9f>{Q:9o1?vXla;0x 0d>2j<0(>=k:4`a?xPdj38p(8l6:b78 65c2j55c`8y!0303;;7psr;h03`?6=,;;o6?>k;W02b?4|D;8:65$33g>76d3_8:j74}Q9?o1>v*>91814f=Q9=?1>v*:bc8e?!3ei3l0qpsC23295~P59?09w[mn:3y'1g?=:9i0(>=k:4`a?x"1<10m7psr;n3;=?6=,;;o6<66;:a25g=8391<7>t$33g>77f3A8:=6g>4e83>!46l3;?o6F=1b9U64`=9rF9><4>{Wa`>7}#90:1=9j4V066>7}#=kh1=6*:b`82?x{zu2c:8h4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91820`=Q9=?1>v*:bc82?!3ei3;0qpsr;n3;=?6=,;;o6<66;W02b?7|D;8:683;356X>4481!3ej3;0(8ln:09~yx{51;294~"59m09>95G2038k4>>290/>4?:1y'64b==j1C>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728>o7[?;5;0x 0de281/9oo51:~yx=n9=o1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>515g8R4222;q/9ol51:&6fd<63twvq6a>8883>!46l3;356X=1g82I4593;pZnm52z&2=5<6001]=9;52z&6fg<63-?im7?4}|~?xd18l0;6;4?:1y'64b==j1C>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728>o7[?;5;0x 0de2>1/9oo57:~yx=n9=o1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>515g8R4222;q/9ol5e:&6fd5883>!46l3;?o6F=1b9U64`=9rF9><4>{Wa`>7}#90:1=874V066>7}#=kh1i6*:b`8f?x{zu2c::94?:%02`?73k2B9=n5Y20d95~J5:80:w[ml:3y'5<6=9?>0Z<:::3y'1gd=m2.>nl4j;|~y>o6>>0;6)<>d;37g>N59j1]>>6?u+5c`9a>"2jh0n7psr}:m2<<<72-8:h7?79:T15c<6sE89=7?tVba96~"6190:445Y15796~"2jk0:7);ma;38yx{z3th=5}#:8n19n5G2038m42c290/>4}Qkj09w)?60;37`>P6<<09w);mb;58 0df2>1vqps4i06f>5<#:8n1=9m4H33`?S46n3;p@?<>:0yUgf<5s-;2<7?;e:T200<5s-?in7k4$4`b>`=zutw0e<;6:18'64b=9=i0D??l;W02b?7|D;8:683;>56X>4481!3ej3o0(8ln:d9~yx{f;3xH74628q]on4={%3:4?71<2\:884={%7af?c<,4}Qkj09w)?60;353>P6<<09w);mb;g8 0df2l1vqps4o0::>5<#:8n1=574V33e>4}K:;;1=vXlc;0x 4?728227[?;5;0x 0de281/9oo51:~yx=zj?:h6=4;:183!46l3;346F=109j51b=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=9j4V066>7}#=kh1=6*:b`82?x{zu2c:8h4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91820`=Q9=?1>v*:bc82?!3ei3;0qpsr;h36=?6=,;;o6<:l;W02b?7|D;8:683;>56X>4481!3ej3;0(8ln:09~yx{5<0290;w)<>d;7`?M4692c:8i4?:%02`?73k2B9=n5Y20d95~J5:80:w[ml:3y'5<6=9=n0Z<:::3y'1gd=n2.>nl4i;|~y>o6d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>4d9U513=:r.>no4i;%7ae?`h7E<>c:T15c<6sE89=7?tVba96~"6190:945Y15796~"2jk0m7);ma;d8yx{z3`;=87>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;350>P6<<09w);mb;d8 0df2o1vqps4i044>5<#:8n1=9m4H33`?S46n3;p@?<>:0yUgf<5s-;2<7?97:T200<5s-?in7h4$4`b>c=zutw0e<87:18'64b=9=i0D??l;W02b?7|D;8:683;=46X>4481!3ej3l0(8ln:g9~yx{5d;7`?M4692c:8i4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91820a=Q9=?1>v*:bc8e?!3ei3l0qpsr;h37a?6=,;;o6<:l;I02g>P59o0:wA<=1;3xRfe=:r.:5=4>4d9U513=:r.>no46;%7ae??h7[<>f;3xH74628q]on4={%3:4?7212\:884={%7af?`<,4}Qkj09w)?60;350>P6<<09w);mb;d8 0df2o1vqps4i044>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728<<7[?;5;0x 0de2o1/9oo5f:~yx=n9?21<7*=1e820f=O:8i0Z??i:0yO677=9r\ho740?3_;?97c=#=kk1j6sr}|9j53?=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=;74V066>7}#=kh1j6*:b`8e?x{zu2e:444?:%02`?7?12\9=k4>{M015?7|^ji1>v*>9182<<=Q9=?1>v*:bc82?!3ei3;0qpsr;|`556<7210;6=u+20f91f=O:8;0e<:k:18'64b=9=i0Z??i:0yO677=9r\ho742c3_;?97c=#=kk1j6sr}|9j51c=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=9k4V066>7}#=kh1j6*:b`8e?x{zu2c:944?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91821<=Q9=?1>v*:bc8e?!3ei3l0qpsr;h350?6=,;;o6<:l;I02g>P59o0:wA<=1;3xRfe=:r.:5=4>659U513=:r.>no4i;%7ae?`h7[<>f;3xH74628q]on4={%3:4?71?2\:884={%7af?`<,4}Qkj09w)?60;35<>P6<<09w);mb;d8 0df2o1vqps4i04:>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728<27[?;5;0x 0de2o1/9oo5f:~yx=h9131<7*=1e82<<=Q:8l1=vB=2082Sed2;q/=4>519;8R4222;q/9ol51:&6fd<63twvq6sm60694?2=83:p(??k:0:;?M4692c:8i4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91820a=Q9=?1>v*:bc82?!3ei3;0qpsr;h37a?6=,;;o6<:l;W02b?7|D;8:683;?i6X>4481!3ej3;0(8ln:09~yx{nl4>;|~y>{e;0o1<7<50;2x 77c2k=0D??>;h37g?6=,;;o6<:l;:m2<<<72-8:h7?79:T15c<6sE89=7?tVba96~"6190:445Y15796~"2jk0:7);ma;38yx{z3th8m:4?:283>5}#:8n1>:0yUgf<5s-;2<7?;d:T200<5s-?in7?4$4`b>4=zutw0e<:j:18'64b=9=i0Z??i:0yO677=9r\ho742b3_;?97f=#=kk1o6sr}|9l5=?=83.9=i4>889U64`=9rF9><4>{Wa`>7}#90:1=574V066>7}#=kh1=6*:b`82?x{zu2wi?l850;494?6|,;;o6<:n;I025>"6>m0:=6g>4b83>!46l3;?o6X=1g82I4593;pZ<8j:3y'5<6=9=i0Z<:::3y'1gd=92.>nl4>;|~y>od=3:1(??k:b78R77a28qG>??51zT22`<5s-;2<7m:;W371?4|,{zut1bo;4?:%02`?e13_8:j74}Q9?o1>v*>918`2>P6<<09w);mb;18 0df2:1vqpB=2187S47m39pZno52z&6f<2.8?i4:bc9~Rfd=:r.>n44>4b9'76b==kh0q)8;8;`8yS47m39pZno52z&6f<2.8?i4:bc9~Rfd=:r.>n44>4b9'76b==kk0q)8;8;`8yS47m39pZno52z&6f<2.8?i4:bc9~Rfd=:r.>n44l5:&07a<2jk1v(;:7:39~R4>a2;q]ol4={%7a=?e13-98h7;mb:'21>=991vqp5f21f94?"59m09vB=2082S71m38p(<7?:32`?S73=38p(8lm:g9'1gg=n2wvqA<=0;3xR7712;q]ol4={%7a=?47k2.8?i4:bc9~ 32?2o1vqp5`19;94?"59m0:4454}c1:b?6=;3:183;?h6X>4481!3ej3;0(8ln:09~yx{n6=4+20f951e<^;;m6nl4>;|~y>{e;h:1<7?50;2x 77c2;8?7E<>1:m2<<<72-8:h7?79:9~f6g5290?6=4?{%02`?3d3A8:=6g>4e83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6{M015?7|^ji1>v*>91820`=Q9=?1>v*:bc8f?!3ei3o0qpsr;h36=?6=,;;o6<:l;W02b?7|D;8:683;>56X>4481!3ej3o0(8ln:d9~yx{5<0290;w)<>d;7`?M4692c:8i4?:%02`?73k2B9=n5Y20d95~J5:80:w[ml:3y'5<6=9=n0Z<:::3y'1gd=m2.>nl4j;|~y>o6d;37g>N59j1]>>6?u+5c`9a>"2jh0n7psr}:k21<<72-8:h7?;c:J15f=Q:8l1=vB=2082Sed2;q/=4>514;8R4222;q/9ol5e:&6fd6583>!46l3;?o6F=1b9U64`=9rF9><4>{Wa`>7}#90:1=;:4V066>7}#=kh1i6*:b`8f?x{zu2c:::4?:%02`?73k2B9=n5Y20d95~J5:80:w[ml:3y'5<6=9?=0Z<:::3y'1gd=m2.>nl4j;|~y>o6>10;6)<>d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>699U513=:r.>no4j;%7ae?cf;3xH74628q]on4={%3:4?7?12\:884={%7af?7<,50z&15a<2k2B9=<5f15f94?"59m0:8n5G20a8R77a28qG>??51zT`g?4|,83;6<:k;W371?4|,{zut1b=9k50;&15a<64}K:;;1=vXlc;0x 4?728>n7[?;5;0x 0de2l1/9oo5e:~yx=n9<31<7*=1e820f=O:8i0Z??i:0yO677=9r\ho743>3_;?97`=#=kk1i6sr}|9j532=83.9=i4>4b9K64e<^;;m6??51zT`g?4|,83;6<88;W371?4|,{zut1b=;650;&15a<64}K:;;1=vXlc;0x 4?728<37[?;5;0x 0de2l1/9oo5e:~yx=h9131<7*=1e82<<=Q:8l1=vB=2082Sed2;q/=4>519;8R4222;q/9ol51:&6fd<63twvq6sm3`394?2=83:p(??k:0:;?M4692c:8i4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91820a=Q9=?1>v*:bc82?!3ei3;0qpsr;h37a?6=,;;o6<:l;W02b?7|D;8:683;?i6X>4481!3ej3;0(8ln:09~yx{nl4>;|~y>{e1<7<50;2x 77c2k=0D??>;h37g?6=,;;o6<:l;:m2<<<72-8:h7?79:T15c<6sE89=7?tVba96~"6190:445Y15796~"2jk0:7);ma;38yx{z3th?jl4?:383>5}#:8n1n:5G2038m42d290/>:0yUgf<5s-;2<7?79:T200<5s-?in7?4$4`b>4=zutw0qo:id;292?6=8r.9=i4>4`9K647<,85<#:8n1=9m4V33e>4}K:;;1=vX>6d81!7>83;?o6X>4481!3ej3;0(8ln:09~yx{P59o0:wA<=1;3xR40b2;q/=4>5c49U513=:r.>no4>;%7ae?7f0<^8>>6?u+5c`97>"2jh087psrL303>1}Q:9o1?vXla;0x 0d>2j<0(>=k:4`a?xPdj38p(8l6:06`?!54l3?in6s+65:9f>{Q:9o1?vXla;0x 0d>2j<0(>=k:4`a?xPdj38p(8l6:06`?!54l3?im6s+65:9f>{Q:9o1?vXla;0x 0d>2j<0(>=k:4`a?xPdj38p(8l6:b78 65c2j55c`8y!0303;;7psr;h03`?6=,;;o6?>k;W02b?4|D;8:65$33g>76d3_8:j74}Q9?o1>v*>91814f=Q9=?1>v*:bc8e?!3ei3l0qpsC23295~P59?09w[mn:3y'1g?=:9i0(>=k:4`a?x"1<10m7psr;n3;=?6=,;;o6<66;:a0c3=8391<7>t$33g>77f3A8:=6g>4e83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6:0yUgf<5s-;2<7?;e:T200<5s-?in7?4$4`b>4=zutw0c<66:18'64b=9130Z??i:0yO677=9r\ho74>>3_;?974=#=kk1=6sr}|9~f1`1290:6=4?{%02`?45<2B9=<5`19;94?"59m0:4454}c6eN5981b=9j50;&15a<6>6?u+5c`9a>"2jh0n7psr}:k20`<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:8h5Y15796~"2jk0n7);ma;g8yx{z3f;357>5$33g>4>>3_8:j7?tL302>4}Qkj09w)?60;3;=>P6<<09w);mb;38 0df281vqps4}c6e=?6=;3:1N5981b=9j50;&15a<6>6?u+5c`9a>"2jh0n7psr}:k20`<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:8h5Y15796~"2jk0n7);ma;g8yx{z3f;357>5$33g>4>>3_8:j7?tL302>4}Qkj09w)?60;3;=>P6<<09w);mb;38 0df281vqps4}c6e3?6=;3:142c3_;?974=#=kk1=6sr}|9j51c=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=9k4V066>7}#=kh1=6*:b`82?x{zu2e:444?:%02`?7?12\9=k4>{M015?7|^ji1>v*>9182<<=Q9=?1>v*:bc82?!3ei3;0qpsr;|`7bg<72<0;6=u+20f91f=O:8;0e<:k:18'64b=9=i0D??l;W02b?7|D;8:683;?h6X>4481!3ej330(8ln:89~yx{n6=4+20f951e<@;;h7[<>f;3xH74628q]on4={%3:4?73m2\:884={%7af??<,290/>4}Qkj09w)?60;36=>P6<<09w);mb;;8 0df201vqps4i047>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728519;8R4222;q/9ol51:&6fd<63twvq6sm5b:94?4=83:p(??k:c58L7763`;?o7>5$33g>42d32e:444?:%02`?7?12\9=k4>{M015?7|^ji1>v*>9182<<=Q9=?1>v*:bc82?!3ei3;0qpsr;|`6`6<72?0;6=u+20f951g<@;;:7)?9d;32?l73k3:1(??k:06`?S46n3;p@?<>:0yU53c=:r.:5=4>4b9U513=:r.>no4>;%7ae?7f3<^8>>6?u+5c`95>"2jh0:7psr}:k`2?6=,;;o6n84V33e>7}K:;;1=vX>6d81!7>83i=7[?;5;0x 0de2:1/9oo53:~yI4583>pZ?>j:2yUgd<5s-?i57m9;%10`?3ej2w]oo4={%7a=?73k2.8?i4:bc9~ 32?2k1vZ?>j:2yUgd<5s-?i57m9;%10`?3ej2w]oo4={%7a=?73k2.8?i4:b`9~ 32?2k1vZ?>j:2yUgd<5s-?i57m9;%10`?3ej2w]oo4={%7a=?e23-98h7;mb:'21>=:2w]=5h52zT`e?4|,0de3t.=854>0:~y>o58m0;6)<>d;03`>P59o09wA<=1;3xR40b2;q/=4>521f8R4222;q/9ol5f:&6fd76c3-98h7;mb:'21>=n2wvq6g=0b83>!46l38;o6X=1g81I4593;pZ<8j:3y'5<6=:9i0Z<:::3y'1gd=n2.>nl4i;|~H74728q]><852zT`e?4|,l;%10`?3ej2w/:965f:~y>i6000;6)<>d;3;=>=zj4b9U64`=9rF9><4>{Wa`>7}#90:1=9j4V066>7}#=kh1=6*:b`82?x{zu2c:8h4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91820`=Q9=?1>v*:bc82?!3ei3;0qpsr;n3;=?6=,;;o6<66;W02b?7|D;8:683;356X>4481!3ej3;0(8ln:09~yx{51;294~"59m09>95G2038k4>>290/>4?:1y'64b==j1C>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728>o7[?;5;0x 0de281/9oo51:~yx=n9=o1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>515g8R4222;q/9ol51:&6fd<63twvq6a>8883>!46l3;356X=1g82I4593;pZnm52z&2=5<6001]=9;52z&6fg<63-?im7?4}|~?xd2km0;6>4?:1y'64b==j1C>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728>o7[?;5;0x 0de2l1/9oo5e:~yx=n9=o1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>515g8R4222;q/9ol5e:&6fd8883>!46l3;356X=1g82I4593;pZnm52z&2=5<6001]=9;52z&6fg<63-?im7?4}|~?xd2kl0;6>4?:1y'64b==j1C>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728>o7[?;5;0x 0de2l1/9oo5e:~yx=n9=o1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>515g8R4222;q/9ol5e:&6fd8883>!46l3;356X=1g82I4593;pZnm52z&2=5<6001]=9;52z&6fg<63-?im7?4}|~?xd2ko0;684?:1y'64b==j1C>5<#:8n1=9m4H33`?S46n3;p@?<>:0yUgf<5s-;2<7?;d:T200<5s-?in7k4$4`b>`=zutw0e<:j:18'64b=9=i0D??l;W02b?7|D;8:683;?i6X>4481!3ej3o0(8ln:d9~yx{f;3xH74628q]on4={%3:4?7212\:884={%7af?c<,??51zT`g?4|,83;6<8;;W371?4|,{zut1d=5750;&15a<6001]>>6?u+5c`95>"2jh0:7psr}:a1a6=83?1<7>t$33g>0e<@;;:7d?;d;29 77c28>h7E<>c:T15c<6sE89=7?tVba96~"6190:8i5Y15796~"2jk0n7);ma;g8yx{z3`;?i7>5$33g>42d3A8:o6X=1g82I4593;pZnm52z&2=5<6:0yUgf<5s-;2<7?:9:T200<5s-?in7k4$4`b>`=zutw0e<8;:18'64b=9=i0Z??i:0yO677=9r\ho74033_;?97`=#=kk1i6sr}|9l5=?=83.9=i4>889U64`=9rF9><4>{Wa`>7}#90:1=574V066>7}#=kh1=6*:b`82?x{zu2wi9i?50;494?6|,;;o68m4H332?l73l3:1(??k:06`?S46n3;p@?<>:0yUgf<5s-;2<7?;d:T200<5s-?in794$4`b>2=zutw0e<:j:18'64b=9=i0D??l;W02b?7|D;8:683;?i6X>4481!3ej3o0(8ln:d9~yx{f;3xH74628q]on4={%3:4?7212\:884={%7af?c<,4}Qkj09w)?60;350>P6<<09w);mb;g8 0df2l1vqps4i044>5<#:8n1=9m4H33`?S46n3;p@?<>:0yUgf<5s-;2<7?97:T200<5s-?in7k4$4`b>`=zutw0c<66:18'64b=9130Z??i:0yO677=9r\ho74>>3_;?974=#=kk1=6sr}|9~f0ee290<6=4?{%02`?7?02B9=<5f15f94?"59m0:8n5Y20d95~J5:80:w[ml:3y'5<6=9=n0Z<:::3y'1gd=92.>nl4>;|~y>o6d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>4d9U513=:r.>no4>;%7ae?7h7[<>f;3xH74628q]on4={%3:4?7212\:884={%7af?7<,??51zT`g?4|,83;6<8;;W371?4|,{zut1b=;950;&15a<6>6?u+5c`95>"2jh0:7psr}:k22=<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190::55Y15796~"2jk0:7);ma;38yx{z3f;357>5$33g>4>>3_8:j7?tL302>4}Qkj09w)?60;3;=>P6<<09w);mb;38 0df281vqps4}c0fa?6=:3:1N5981b=9m50;&15a<64>>3_;?974=#=kk1=6sr}|9~f7`1290=6=4?{%02`?73i2B9=<5+17f954=n9=i1<7*=1e820f=Q:8l1=vB=2082S71m38p(<7?:06`?S73=38p(8lm:09'1gg=92wvqp5fc483>!46l3i>7[<>f;3xH74628q]=;k52z&2=57}#90:1o;5Y15796~"2jk087);ma;18yx{K:;:18vX=0d80Sef2;q/9o75c79'76b==kh0q[mm:3y'1g?=9=i0(>=k:4`a?x"1<10i7pX=0d80Sef2;q/9o75c79'76b==kh0q[mm:3y'1g?=9=i0(>=k:4`b?x"1<10i7pX=0d80Sef2;q/9o75c79'76b==kh0q[mm:3y'1g?=k<1/?>j55c`8y!030380q[?7f;0xRfg=:r.>n44l6:&07a<2jk1v(;:7:49~yx=n:9n1<7*=1e814a=Q:8l1>vB=2082S71m38p(<7?:32g?S73=38p(8lm:g9'1gg=n2wvqA<=0;3xR7712;q]ol4={%7a=?47l2.8?i4:bc9~ 32?2o1vqp5f21a94?"59m0953;294~"59m09=l5G2038m42c290/>??51zT`g?4|,83;6<:k;W371?4|,{zut1b=9k50;&15a<6>6?u+5c`95>"2jh0:7psr}:m2<<<72-8:h7?79:T15c<6sE89=7?tVba96~"6190:445Y15796~"2jk0:7);ma;38yx{z3th9j<4?:083>5}#:8n1>?:4H332?j7?13:1(??k:0::?>{e:o91<7:50;2x 77c2;h37`?6=,;;o6<:l;I02g>P59o0:wA<=1;3xRfe=:r.:5=4>4e9U513=:r.>no4j;%7ae?ch7[<>f;3xH74628q]on4={%3:4?73m2\:884={%7af?c<,290/>4}Qkj09w)?60;36=>P6<<09w);mb;g8 0df2l1vqps4o0::>5<#:8n1=574V33e>4}K:;;1=vXlc;0x 4?728227[?;5;0x 0de281/9oo51:~yx=zj;l?6=4;:183!46l3?h7E<>1:k20a<72-8:h7?;c:J15f=Q:8l1=vB=2082Sed2;q/=4>515f8R4222;q/9ol5e:&6fd4d83>!46l3;?o6F=1b9U64`=9rF9><4>{Wa`>7}#90:1=9k4V066>7}#=kh1i6*:b`8f?x{zu2c:944?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91821<=Q9=?1>v*:bc8f?!3ei3o0qpsr;n3;=?6=,;;o6<66;W02b?7|D;8:683;356X>4481!3ej3;0(8ln:09~yx{7>53;294~"59m0:455G2038m42c290/>??51zT`g?4|,83;6<:k;W371?4|,{zut1b=9k50;&15a<6>6?u+5c`95>"2jh0:7psr}:m2<<<72-8:h7?79:T15c<6sE89=7?tVba96~"6190:445Y15796~"2jk0:7);ma;38yx{z3th9ik4?:283>5}#:8n19n5G2038m42c290/>??51zT`g?4|,83;6<:k;W371?4|,{zut1b=9k50;&15a<6>6?u+5c`9<>"2jh037psr}:m2<<<72-8:h7?79:T15c<6sE89=7?tVba96~"6190:445Y15796~"2jk0:7);ma;38yx{z3th9j:4?:383>5}#:8n1n:5G2038m42d290/>:0yUgf<5s-;2<7?79:T200<5s-?in7?4$4`b>4=zutw0qo=?0;297?6=8r.9=i4=1`9K647o6=4+20f951e<^;;m6nl4l;|~y>i6000;6)<>d;3;=>P59o0:wA<=1;3xRfe=:r.:5=4>889U513=:r.>no4>;%7ae?73<729q/>;h37g?6=,;;o6<:l;W02b?7|D;8:66=4+20f9g0=Q:8l1=vB=2082S71m38p(<7?:b78R4222;q/9ol51:&6fd<63twvq6gl6;29 77c2j<0Z??i:3yO677=9r\::h4={%3:4?e13_;?976=#=kk1?6sr}M014?2|^;:n6>uYc`81!3e13i=7)={Qkk09w);m9;37g>"4;m0>no5r$76;>g=z^;:n6>uYc`81!3e13i=7)={Qkk09w);m9;37g>"4;m0>nl5r$76;>g=z^;:n6>uYc`81!3e13i=7)={Qkk09w);m9;a6?!54l3?in6s+65:96>{Q91l1>vXla;0x 0d>2j<0(>=k:4`a?x"1<10>7psr;h03`?6=,;;o6?>k;W02b?4|D;8:65$33g>76d3_8:j74}Q9?o1>v*>91814f=Q9=?1>v*:bc8e?!3ei3l0qpsC23295~P59?09w[mn:3y'1g?=:9i0(>=k:4`a?x"1<10m7psr;n3;=?6=,;;o6<66;:a6c?=8391<7>t$33g>77f3A8:=6g>4e83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6:0yUgf<5s-;2<7?;e:T200<5s-?in7?4$4`b>4=zutw0c<66:18'64b=9130Z??i:0yO677=9r\ho74>>3_;?974=#=kk1=6sr}|9~f7`f290:6=4?{%02`?45<2B9=<5`19;94?"59m0:4454}c0eg?6=;3:1N5981b=9j50;&15a<6>6?u+5c`9a>"2jh0n7psr}:k20`<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:8h5Y15796~"2jk0n7);ma;g8yx{z3f;357>5$33g>4>>3_8:j7?tL302>4}Qkj09w)?60;3;=>P6<<09w);mb;38 0df281vqps4}c0e`?6=<3:1N5981b=9j50;&15a<64}K:;;1=vXlc;0x 4?728>o7[?;5;0x 0de2l1/9oo5e:~yx=n9=o1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>515g8R4222;q/9ol5e:&6fd5883>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6=01]=9;52z&6fg:0yUgf<5s-;2<7?79:T200<5s-?in7?4$4`b>4=zutw0qo899K647o6=4+20f951e<^;;m6nl4>;|~y>i6000;6)<>d;3;=>P59o0:wA<=1;3xRfe=:r.:5=4>889U513=:r.>no4>;%7ae?76<729q/>o6=4+20f951e<^;;m6nl47;|~y>i6000;6)<>d;3;=>P59o0:wA<=1;3xRfe=:r.:5=4>889U513=:r.>no4>;%7ae?77<729q/>h6=4+20f951e<3f;357>5$33g>4>>3_8:j7?tL302>4}Qkj09w)?60;3;=>P6<<09w);mb;38 0df281vqps4}c1a4?6=>3:1??51zT22`<5s-;2<7?;c:T200<5s-?in7?4$4`b>4=zutw0en;50;&15a{M015?7|^8v*:bc82?!3ei3;0qpsr;ha5>5<#:8n1o;5Y20d96~J5:80:w[?9e;0x 4?72j<0Z<:::3y'1gd=;2.>nl4<;|~H7472=q]>=k53zT`e?4|,0de3t\hn742d3-98h7;mb:'21>=j2w]>=k53zT`e?4|,0de3t\hn742d3-98h7;ma:'21>=j2w]>=k53zT`e?4|,0de3t\hn7f3<,:9o68lm;|&50=<53t\:4k4={Wab>7}#=k31o;5+32f91gd:0yU53c=:r.:5=4=0e9U513=:r.>no4i;%7ae?`?>51zT153<5s_ij6?u+5c;965b<,:9o68lm;|&50=f;0xH74628q]=;k52z&2=5<58j1]=9;52z&6fg7}#=k31>=m4$21g>0de3t.=854i;|~?j7?13:1(??k:0::?>{e;h31<7=50;2x 77c2;;j7E<>1:k20a<72-8:h7?;c:J15f=Q:8l1=vB=2082Sed2;q/=4>515f8R4222;q/9ol51:&6fd<63twvq6g>4d83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6:0yUgf<5s-;2<7?79:T200<5s-?in7?4$4`b>4=zutw0qo=na;295?6=8r.9=i4=259K6475}#:8n19n5G2038m42c290/>??51zT`g?4|,83;6<:k;W371?4|,{zut1b=9k50;&15a<6>6?u+5c`9a>"2jh0n7psr}:m2<<<72-8:h7?79:T15c<6sE89=7?tVba96~"6190:445Y15796~"2jk0:7);ma;38yx{z3th8mi4?:283>5}#:8n19n5G2038m42c290/>4}Qkj09w)?60;37`>P6<<09w);mb;g8 0df2l1vqps4i06f>5<#:8n1=9m4H33`?S46n3;p@?<>:0yUgf<5s-;2<7?;e:T200<5s-?in7k4$4`b>`=zutw0c<66:18'64b=9130Z??i:0yO677=9r\ho74>>3_;?974=#=kk1=6sr}|9~f6gb29086=4?{%02`?3d3A8:=6g>4e83>!46l3;?o6F=1b9U64`=9rF9><4>{Wa`>7}#90:1=9j4V066>7}#=kh1i6*:b`8f?x{zu2c:8h4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91820`=Q9=?1>v*:bc8f?!3ei3o0qpsr;n3;=?6=,;;o6<66;W02b?7|D;8:683;356X>4481!3ej3;0(8ln:09~yx{54;294~"59m0:455G2038m42c290/>??51zT`g?4|,83;6<:k;W371?4|,{zut1b=9k50;&15a<6>6?u+5c`95>"2jh0:7psr}:k21<<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:945Y15796~"2jk0:7);ma;38yx{z3f;357>5$33g>4>>3_8:j7?tL302>4}Qkj09w)?60;3;=>P6<<09w);mb;38 0df281vqps4}c0f3?6=:3:1N5981b=9m50;&15a<64>>3_;?974=#=kk1=6sr}|9~f7cc290=6=4?{%02`?73i2B9=<5+17f954=n9=i1<7*=1e820f=Q:8l1=vB=2082S71m38p(<7?:06`?S73=38p(8lm:09'1gg=92wvqp5fc483>!46l3i>7[<>f;3xH74628q]=;k52z&2=57}#90:1o;5Y15796~"2jk087);ma;18yx{K:;:18vX=0d80Sef2;q/9o75c79'76b==kh0q[mm:3y'1g?=9=i0(>=k:4`a?x"1<10i7pX=0d80Sef2;q/9o75c79'76b==kh0q[mm:3y'1g?=9=i0(>=k:4`b?x"1<10i7pX=0d80Sef2;q/9o75c79'76b==kh0q[mm:3y'1g?=k<1/?>j55c`8y!030380q[?7f;0xRfg=:r.>n44l6:&07a<2jk1v(;:7:49~yx=n:9n1<7*=1e814a=Q:8l1>vB=2082S71m38p(<7?:32g?S73=38p(8lm:g9'1gg=n2wvqA<=0;3xR7712;q]ol4={%7a=?47l2.8?i4:bc9~ 32?2o1vqp5f21a94?"59m0953;294~"59m09=l5G2038m42c290/>??51zT`g?4|,83;6<:k;W371?4|,{zut1b=9k50;&15a<6>6?u+5c`95>"2jh0:7psr}:m2<<<72-8:h7?79:T15c<6sE89=7?tVba96~"6190:445Y15796~"2jk0:7);ma;38yx{z3th9il4?:083>5}#:8n1>?:4H332?j7?13:1(??k:0::?>{e:lh1<7=50;2x 77c2;h37`?6=,;;o6<:l;I02g>P59o0:wA<=1;3xRfe=:r.:5=4>4e9U513=:r.>no4j;%7ae?ch7E<>c:T15c<6sE89=7?tVba96~"6190:8h5Y15796~"2jk0n7);ma;g8yx{z3f;357>5$33g>4>>3_8:j7?tL302>4}Qkj09w)?60;3;=>P6<<09w);mb;38 0df281vqps4}c0fN5981b=9j50;&15a<6>6?u+5c`9<>"2jh037psr}:k20`<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:8h5Y15796~"2jk037);ma;:8yx{z3f;357>5$33g>4>>3_8:j7?tL302>4}Qkj09w)?60;3;=>P6<<09w);mb;38 0df281vqps4}c135?6=:3:1N5981b=9m50;&15a<64>>3_;?974=#=kk1=6sr}|9~f66f29086=4?{%02`?46i2B9=<5f15f94?"59m0:8n5Y20d95~J5:80:w[ml:3y'5<6=9=n0Z<:::3y'1gd=92.>nl4>;|~y>o6d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>4d9U513=:r.>no4l;%7ae?ef;3xH74628q]on4={%3:4?7?12\:884={%7af?7<,50z&15a<647h6=4+20f951e<^;;m6l09w)?60;37g>P6<<09w);mb;38 0df281vqps4ib794?"59m0h96X=1g82I4593;pZ<8j:3y'5<6=k<1]=9;52z&6fg<63-?im7?4}|~?le1290/><4>{W35a?4|,83;6n84V066>7}#=kh1?6*:b`80?x{zD;8;69uY21g97~Pdi38p(8l6:b48 65c228>h7)={#>=21n6sY21g97~Pdi38p(8l6:b48 65c228>h7)={#>=21n6sY21g97~Pdi38p(8l6:b48 65c22j?0(>=k:4`a?x"1<1097pX>8g81Sef2;q/9o75c79'76b==kh0q)8;8;78yx{l09w)?60;03`>P6<<09w);mb;d8 0df2o1vqpB=2182S46>38pZno52z&6f<<58m1/?>j55c`8y!0303l0qps4i32`>5<#:8n1>=m4V33e>7}K:;;1=vX>6d81!7>838;o6X>4481!3ej3l0(8ln:g9~yxJ5:90:w[<>6;0xRfg=:r.>n44=0b9'76b==kh0q)8;8;d8yx{4?:283>5}#:8n1>:0yUgf<5s-;2<7?;d:T200<5s-?in7?4$4`b>4=zutw0e<:j:18'64b=9=i0Z??i:0yO677=9r\ho742b3_;?974=#=kk1=6sr}|9l5=?=83.9=i4>889U64`=9rF9><4>{Wa`>7}#90:1=574V066>7}#=kh1=6*:b`82?x{zu2wi?=:50;394?6|,;;o6?<;;I025>i6000;6)<>d;3;=>=zj::=6=4<:183!46l3?h7E<>1:k20a<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:8i5Y15796~"2jk0n7);ma;g8yx{z3`;?i7>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;37a>P6<<09w);mb;g8 0df2l1vqps4o0::>5<#:8n1=574V33e>4}K:;;1=vXlc;0x 4?728227[?;5;0x 0de281/9oo51:~yx=zj::<6=4::183!46l3?h7E<>1:k20a<72-8:h7?;c:J15f=Q:8l1=vB=2082Sed2;q/=4>515f8R4222;q/9ol5e:&6fd4d83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6:0yUgf<5s-;2<7?:9:T200<5s-?in7k4$4`b>`=zutw0e<8;:18'64b=9=i0Z??i:0yO677=9r\ho74033_;?97`=#=kk1i6sr}|9l5=?=83.9=i4>889U64`=9rF9><4>{Wa`>7}#90:1=574V066>7}#=kh1=6*:b`82?x{zu2wi?=;50;194?6|,;;o6<67;I025>o6d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>4e9U513=:r.>no4>;%7ae?7h7[<>f;3xH74628q]on4={%3:4?73m2\:884={%7af?7<,>290/>??51zT`g?4|,83;6<66;W371?4|,{zut1vn>>=:180>5<7s-8:h7;l;I025>o6d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>4e9U513=:r.>no47;%7ae?>h7[<>f;3xH74628q]on4={%3:4?73m2\:884={%7af?><,>290/>??51zT`g?4|,83;6<66;W371?4|,{zut1vn>l>:181>5<7s-8:h7l8;I025>o6d;37g>=h9131<7*=1e82<<=Q:8l1=vB=2082Sed2;q/=4>519;8R4222;q/9ol51:&6fd<63twvq6sm3c`94?0=83:p(??k:06b?M4692.::i4>1:k20f<72-8:h7?;c:T15c<6sE89=7?tV04f>7}#90:1=9m4V066>7}#=kh1=6*:b`82?x{zu2ch97>5$33g>f3<^;;m6l09w)?60;a6?S73=38p(8lm:09'1gg=92wvqp5fc783>!46l3i=7[<>f;0xH74628q]=;k52z&2=52\:884={%7af?5<,5r}|N165<3s_8;i7=tVbc96~"2j00h:6*<3e86fg=z^jh1>v*:b8820f=#;:n19ol4}%47v*:b8820f=#;:n19oo4}%47v*:b88`1>"4;m0>no5r$76;>7=z^82m6?uYc`81!3e13i=7)={#>=21==5r}|9j65b=83.9=i4=0e9U64`=:rF9><4>{W35a?4|,83;6?>k;W371?4|,{zuE89<7?tV335>7}Qkh09w);m9;03`>"4;m0>no5r$76;>c=zut1b>=m50;&15a<58j1]>76d3_;?97c=#=kk1j6sr}M014?7|^;;=6?uYc`81!3e138;o6*<3e86fg=z,?>36k5r}|9l5=?=83.9=i4>8898yg5e:3:1?7>50z&15a<59h1C>5<#:8n1=9m4H33`?S46n3;p@?<>:0yUgf<5s-;2<7?;d:T200<5s-?in7?4$4`b>4=zutw0e<:j:18'64b=9=i0Z??i:0yO677=9r\ho742b3_;?974=#=kk1=6sr}|9l5=?=83.9=i4>889U64`=9rF9><4>{Wa`>7}#90:1=574V066>7}#=kh1=6*:b`82?x{zu2wi?o=50;394?6|,;;o6?<;;I025>i6000;6)<>d;3;=>=zj:h>6=4<:183!46l3?h7E<>1:k20a<72-8:h7?;c:J15f=Q:8l1=vB=2082Sed2;q/=4>515f8R4222;q/9ol5e:&6fd4d83>!46l3;?o6F=1b9U64`=9rF9><4>{Wa`>7}#90:1=9k4V066>7}#=kh1i6*:b`8f?x{zu2e:444?:%02`?7?12\9=k4>{M015?7|^ji1>v*>9182<<=Q9=?1>v*:bc82?!3ei3;0qpsr;|`0f3<72:0;6=u+20f91f=O:8;0e<:k:18'64b=9=i0D??l;W02b?7|D;8:683;?h6X>4481!3ej3o0(8ln:d9~yx{n6=4+20f951e<@;;h7[<>f;3xH74628q]on4={%3:4?73m2\:884={%7af?c<,>290/>??51zT`g?4|,83;6<66;W371?4|,{zut1vn>l8:180>5<7s-8:h7;l;I025>o6d;37g>N59j1]>>6?u+5c`9a>"2jh0n7psr}:k20`<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:8h5Y15796~"2jk0n7);ma;g8yx{z3f;357>5$33g>4>>3_8:j7?tL302>4}Qkj09w)?60;3;=>P6<<09w);mb;38 0df281vqps4}c1aN5981b=9j50;&15a<6>6?u+5c`9a>"2jh0n7psr}:k20`<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:8h5Y15796~"2jk0n7);ma;g8yx{z3`;>57>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;36=>P6<<09w);mb;g8 0df2l1vqps4o0::>5<#:8n1=574V33e>4}K:;;1=vXlc;0x 4?728227[?;5;0x 0de281/9oo51:~yx=zj:h26=4;:183!46l3?h7E<>1:k20a<72-8:h7?;c:J15f=Q:8l1=vB=2082Sed2;q/=4>515f8R4222;q/9ol5e:&6fd4d83>!46l3;?o6F=1b9U64`=9rF9><4>{Wa`>7}#90:1=9k4V066>7}#=kh1i6*:b`8f?x{zu2c:944?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91821<=Q9=?1>v*:bc8f?!3ei3o0qpsr;n3;=?6=,;;o6<66;W02b?7|D;8:683;356X>4481!3ej3;0(8ln:09~yx{56;294~"59m0:455G2038m42c290/>??51zT`g?4|,83;6<:k;W371?4|,{zut1b=9k50;&15a<6>6?u+5c`95>"2jh0:7psr}:k21<<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:945Y15796~"2jk0:7);ma;38yx{z3`;=87>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;350>P6<<09w);mb;38 0df281vqps4i044>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728<<7[?;5;0x 0de281/9oo51:~yx=h9131<7*=1e82<<=Q:8l1=vB=2082Sed2;q/=4>519;8R4222;q/9ol51:&6fd<63twvq6sm3ca94?4=83:p(??k:c58L7763`;?o7>5$33g>42d32e:444?:%02`?7?12\9=k4>{M015?7|^ji1>v*>9182<<=Q9=?1>v*:bc82?!3ei3;0qpsr;|`0g0<72:0;6=u+20f964g<@;;:7d?;d;29 77c28>h7[<>f;3xH74628q]on4={%3:4?73l2\:884={%7af?7<,??51zT`g?4|,83;6<:j;W371?4|,{zut1d=5750;&15a<6001]>>6?u+5c`95>"2jh0:7psr}:a7f2=83<1<7>t$33g>42f3A8:=6*>6e825>o6d;37g>P59o0:wA<=1;3xR40b2;q/=4>515a8R4222;q/9ol51:&6fd<63twvq6gl5;29 77c2j?0Z??i:0yO677=9r\::h4={%3:4?e23_;?974=#=kk1=6sr}|9jg3<72-8:h7m9;W02b?4|D;8:64481!3ej390(8ln:29~yxJ5:90?w[n44l6:&07a<2jk1vZnl52z&6f<<6j55c`8y!0303h0q[n44l6:&07a<2jk1vZnl52z&6f<<6j55cc8y!0303h0q[n44l6:&07a<2jk1vZnl52z&6f<vB=2082S71m38p(<7?:32g?S73=38p(8lm:g9'1gg=n2wvqA<=0;3xR7712;q]ol4={%7a=?47l2.8?i4:bc9~ 32?2o1vqp5f21a94?"59m0953;294~"59m09=l5G2038m42c290/>??51zT`g?4|,83;6<:k;W371?4|,{zut1b=9k50;&15a<6>6?u+5c`95>"2jh0:7psr}:m2<<<72-8:h7?79:T15c<6sE89=7?tVba96~"6190:445Y15796~"2jk0:7);ma;38yx{z3th8nh4?:083>5}#:8n1>?:4H332?j7?13:1(??k:0::?>{e;j:1<7:50;2x 77c2;h37`?6=,;;o6<:l;W02b?7|D;8:683;?h6X>4481!3ej3o0(8ln:d9~yx{n6=4+20f951e<@;;h7[<>f;3xH74628q]on4={%3:4?73m2\:884={%7af?c<,290/>??51zT`g?4|,83;6<;6;W371?4|,{zut1d=5750;&15a<6001]>>6?u+5c`95>"2jh0:7psr}:a7f7=83?1<7>t$33g>0e<@;;:7d?;d;29 77c28>h7[<>f;3xH74628q]on4={%3:4?73l2\:884={%7af?c<,??51zT`g?4|,83;6<:j;W371?4|,{zut1b=8750;&15a<6>6?u+5c`9a>"2jh0n7psr}:k221<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190::95Y15796~"2jk0n7);ma;g8yx{z3f;357>5$33g>4>>3_8:j7?tL302>4}Qkj09w)?60;3;=>P6<<09w);mb;38 0df281vqps4}c1`6?6==3:1N5981b=9j50;&15a<6>6?u+5c`9a>"2jh0n7psr}:k20`<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:8h5Y15796~"2jk0n7);ma;g8yx{z3`;>57>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;36=>P6<<09w);mb;g8 0df2l1vqps4i047>5<#:8n1=9m4H33`?S46n3;p@?<>:0yUgf<5s-;2<7?94:T200<5s-?in7k4$4`b>`=zutw0c<66:18'64b=9130Z??i:0yO677=9r\ho74>>3_;?974=#=kk1=6sr}|9~f6da290?6=4?{%02`?7?02B9=<5f15f94?"59m0:8n5Y20d95~J5:80:w[ml:3y'5<6=9=n0Z<:::3y'1gd=92.>nl4>;|~y>o6d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>4d9U513=:r.>no4>;%7ae?7h7[<>f;3xH74628q]on4={%3:4?7212\:884={%7af?7<,>290/>??51zT`g?4|,83;6<66;W371?4|,{zut1vn>m9:181>5<7s-8:h7l8;I025>o6d;37g>=h9131<7*=1e82<<=Q:8l1=vB=2082Sed2;q/=4>519;8R4222;q/9ol51:&6fd<63twvq6sm3e294?5=83:p(??k:33b?M4692c:8i4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91820a=Q9=?1>v*:bc82?!3ei3;0qpsr;h37a?6=,;;o6<:l;W02b?7|D;8:683;?i6X>4481!3ej3i0(8ln:b9~yx{5<1290;w)<>d;37e>N5981/=;j5109j51e=83.9=i4>4b9U64`=9rF9><4>{W35a?4|,83;6<:l;W371?4|,{zut1bo84?:%02`?e23_8:j7?tL302>4}Q9?o1>v*>918`1>P6<<09w);mb;38 0df281vqps4ib494?"59m0h:6X=1g81I4593;pZ<8j:3y'5<6=k?1]=9;52z&6fg<43-?im7=4}|O676=7}#=k31o;5+32f91gd7}#=k31o;5+32f91gd7}#=k31o;5+32f91gd36<>4}|8m76c290/>??51zT22`<5s-;2<7c=zutF9>=4>{W022?4|^jk1>v*:b8814a=#;:n19ol4}%47l:18'64b=:9i0Z??i:3yO677=9r\::h4={%3:4?47k2\:884={%7af?`<,>290/>0;6>4?:1y'64b=:8k0D??>;h37`?6=,;;o6<:l;W02b?7|D;8:683;?h6X>4481!3ej3;0(8ln:09~yx{n6=4+20f951e<^;;m6nl4>;|~y>{e;j21<7?50;2x 77c2;8?7E<>1:m2<<<72-8:h7?79:9~f6ef290?6=4?{%02`?3d3A8:=6g>4e83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6{M015?7|^ji1>v*>91820`=Q9=?1>v*:bc8f?!3ei3o0qpsr;h36=?6=,;;o6<:l;W02b?7|D;8:683;>56X>4481!3ej3o0(8ln:d9~yx{5<1290;w)<>d;7`?M4692c:8i4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91820a=Q9=?1>v*:bc8f?!3ei3o0qpsr;h37a?6=,;;o6<:l;W02b?7|D;8:683;?i6X>4481!3ej3o0(8ln:d9~yx{0Z<:::3y'1gd=m2.>nl4j;|~y>o6>>0;6)<>d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>669U513=:r.>no4j;%7ae?cf;3xH74628q]on4={%3:4?7?12\:884={%7af?7<,50z&15a<2k2B9=<5f15f94?"59m0:8n5Y20d95~J5:80:w[ml:3y'5<6=9=n0Z<:::3y'1gd=m2.>nl4j;|~y>o6d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>4d9U513=:r.>no4j;%7ae?ch7[<>f;3xH74628q]on4={%3:4?7212\:884={%7af?c<,??51zT`g?4|,83;6<8;;W371?4|,{zut1b=;950;&15a<64}K:;;1=vXlc;0x 4?728<<7[?;5;0x 0de2l1/9oo5e:~yx=h9131<7*=1e82<<=Q:8l1=vB=2082Sed2;q/=4>519;8R4222;q/9ol51:&6fd<63twvq6sm3bf94?0=83:p(??k:4a8L7763`;?h7>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;37`>P6<<09w);mb;g8 0df2l1vqps4i06f>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728>n7[?;5;0x 0de2l1/9oo5e:~yx=n9<31<7*=1e820f=O:8i0Z??i:0yO677=9r\ho743>3_;?97`=#=kk1i6sr}|9j532=83.9=i4>4b9K64e<^;;m6nl4j;|~y>i6000;6)<>d;3;=>P59o0:wA<=1;3xRfe=:r.:5=4>889U513=:r.>no4>;%7ae?70<729q/>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;37`>P6<<09w);mb;38 0df281vqps4i06f>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728>n7[?;5;0x 0de281/9oo51:~yx=n9<31<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>514;8R4222;q/9ol51:&6fd<63twvq6g>6583>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6>=1]=9;52z&6fg<63-?im7?4}|~?j7?13:1(??k:0::?S46n3;p@?<>:0yUgf<5s-;2<7?79:T200<5s-?in7?4$4`b>4=zutw0qo5<4290;w)<>d;02e>N5981b=9j50;&15a<6>6?u+5c`95>"2jh0:7psr}:k20`<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:8h5Y15796~"2jk0h7);ma;a8yx{z3f;357>5$33g>4>>3_8:j7?tL302>4}Qkj09w)?60;3;=>P6<<09w);mb;38 0df281vqps4}c0`e?6=>3:1??51zT22`<5s-;2<7?;c:T200<5s-?in7?4$4`b>4=zutw0en;50;&15a{M015?7|^8v*:bc82?!3ei3;0qpsr;ha5>5<#:8n1o;5Y20d96~J5:80:w[?9e;0x 4?72j<0Z<:::3y'1gd=;2.>nl4<;|~H7472=q]>=k53zT`e?4|,0de3t\hn742d3-98h7;mb:'21>=j2w]>=k53zT`e?4|,0de3t\hn742d3-98h7;ma:'21>=j2w]>=k53zT`e?4|,0de3t\hn7f3<,:9o68lm;|&50=<53t\:4k4={Wab>7}#=k31o;5+32f91gd:0yU53c=:r.:5=4=0e9U513=:r.>no4i;%7ae?`?>51zT153<5s_ij6?u+5c;965b<,:9o68lm;|&50=f;0xH74628q]=;k52z&2=5<58j1]=9;52z&6fg7}#=k31>=m4$21g>0de3t.=854i;|~?j7?13:1(??k:0::?>{e:j<1<7=50;2x 77c2;;j7E<>1:k20a<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:8i5Y15796~"2jk0:7);ma;38yx{z3`;?i7>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;37a>P6<<09w);mb;38 0df281vqps4o0::>5<#:8n1=574V33e>4}K:;;1=vXlc;0x 4?728227[?;5;0x 0de281/9oo51:~yx=zj;i<6=4>:183!46l38986F=109l5=?=83.9=i4>8898yg4d03:197>50z&15a<2k2B9=<5f15f94?"59m0:8n5Y20d95~J5:80:w[ml:3y'5<6=9=n0Z<:::3y'1gd=m2.>nl4j;|~y>o6d;37g>N59j1]>>6?u+5c`9a>"2jh0n7psr}:k21<<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:945Y15796~"2jk0n7);ma;g8yx{z3`;=87>5$33g>42d3A8:o6X=1g82I4593;pZnm52z&2=5<6>=1]=9;52z&6fg:0yUgf<5s-;2<7?79:T200<5s-?in7?4$4`b>4=zutw0qo:8f;296?6=8r.9=i4m7:J154=n9=i1<7*=1e820f=5<1290;w)<>d;37e>N5981/=;j5109j51e=83.9=i4>4b9U64`=9rF9><4>{W35a?4|,83;6<:l;W371?4|,{zut1bo84?:%02`?e23_8:j7?tL302>4}Q9?o1>v*>918`1>P6<<09w);mb;38 0df281vqps4ib494?"59m0h:6X=1g81I4593;pZ<8j:3y'5<6=k?1]=9;52z&6fg<43-?im7=4}|O676=7}#=k31o;5+32f91gd7}#=k31o;5+32f91gd7}#=k31o;5+32f91gd36<>4}|8m76c290/>??51zT22`<5s-;2<7c=zutF9>=4>{W022?4|^jk1>v*:b8814a=#;:n19ol4}%47l:18'64b=:9i0Z??i:3yO677=9r\::h4={%3:4?47k2\:884={%7af?`<,>290/>4?:1y'64b=:8k0D??>;h37`?6=,;;o6<:l;W02b?7|D;8:683;?h6X>4481!3ej3;0(8ln:09~yx{n6=4+20f951e<^;;m6nl4>;|~y>{e<1;1<7?50;2x 77c2;8?7E<>1:m2<<<72-8:h7?79:9~f1>529086=4?{%02`?3d3A8:=6g>4e83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6:0yUgf<5s-;2<7?;e:T200<5s-?in7k4$4`b>`=zutw0c<66:18'64b=9130Z??i:0yO677=9r\ho74>>3_;?974=#=kk1=6sr}|9~f7ed29096=4?{%02`?d03A8:=6g>4b83>!46l3;?o65`19;94?"59m0:445Y20d95~J5:80:w[ml:3y'5<6=9130Z<:::3y'1gd=92.>nl4>;|~y>{e:m91<7850;2x 77c28>j7E<>1:&22a<692c:8n4?:%02`?73k2\9=k4>{M015?7|^8>6?u+5c`95>"2jh0:7psr}:k`1?6=,;;o6n;4V33e>4}K:;;1=vX>6d81!7>83i>7[?;5;0x 0de281/9oo51:~yx=nk?0;6)<>d;a5?S46n38p@?<>:0yU53c=:r.:5=4l6:T200<5s-?in7=4$4`b>6=zutF9>=4;{W03a?5|^jk1>v*:b88`2>"4;m0>no5rVb`96~"2j00:8n5+32f91gdv*:b88`2>"4;m0>no5rVb`96~"2j00:8n5+32f91ggv*:b88`2>"4;m0>no5rVb`96~"2j00h96*<3e86fg=z,?>36?5rV0:e>7}Qkh09w);m9;a5?!54l3?in6s+65:955=zut1b>=j50;&15a<58m1]>76c3_;?97c=#=kk1j6sr}M014?7|^;;=6?uYc`81!3e138;h6*<3e86fg=z,?>36k5r}|9j65e=83.9=i4=0b9U64`=:rF9><4>{W35a?4|,83;6?>l;W371?4|,{zuE89<7?tV335>7}Qkh09w);m9;03g>"4;m0>no5r$76;>c=zut1d=5750;&15a<60010qoo6=4+20f951e<^;;m6nl4>;|~y>i6000;6)<>d;3;=>P59o0:wA<=1;3xRfe=:r.:5=4>889U513=:r.>no4>;%7ae?74<729q/>5$33g>4>>32wi>i>50;194?6|,;;o68m4H332?l73l3:1(??k:06`?S46n3;p@?<>:0yUgf<5s-;2<7?;d:T200<5s-?in7k4$4`b>`=zutw0e<:j:18'64b=9=i0D??l;W02b?7|D;8:683;?i6X>4481!3ej3o0(8ln:d9~yx{5<4290;w)<>d;7`?M4692c:8i4?:%02`?73k2B9=n5Y20d95~J5:80:w[ml:3y'5<6=9=n0Z<:::3y'1gd=m2.>nl4j;|~y>o6d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>4d9U513=:r.>no4j;%7ae?cf;3xH74628q]on4={%3:4?7?12\:884={%7af?7<,50z&15a<6011C>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728>o7[?;5;0x 0de281/9oo51:~yx=n9=o1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>515g8R4222;q/9ol51:&6fd<63twvq6a>8883>!46l3;356X=1g82I4593;pZnm52z&2=5<6001]=9;52z&6fg<63-?im7?4}|~?xd3l00;6?4?:1y'64b=j>1C>5<#:8n1=9m4;n3;=?6=,;;o6<66;W02b?7|D;8:683;356X>4481!3ej3;0(8ln:09~yx{n97>56;294~"59m0:8l5G2038 40c28;0e<:l:18'64b=9=i0Z??i:0yO677=9r\::h4={%3:4?73k2\:884={%7af?7<,7}#90:1o85Y15796~"2jk0:7);ma;38yx{z3`i=6=4+20f9g3=Q:8l1>vB=2082S71m38p(<7?:b48R4222;q/9ol53:&6fd<43twv@?f0<,:9o68lm;|T`f?4|,f0<,:9o68lm;|T`f?4|,f0<,:9o68lm;|T`f?4|,0de3t.=854=;|T2f;0xH74628q]=;k52z&2=5<58m1]=9;52z&6fg7}#=k31>=j4$21g>0de3t.=854i;|~?l47k3:1(??k:32`?S46n38p@?<>:0yU53c=:r.:5=4=0b9U513=:r.>no4i;%7ae?`?>51zT153<5s_ij6?u+5c;965e<,:9o68lm;|&50=nl4>;|~y>o6d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>4d9U513=:r.>no4>;%7ae?7f;3xH74628q]on4={%3:4?7?12\:884={%7af?7<,50z&15a<5:=1C>5<#:8n1=574;|`7`a<72:0;6=u+20f91f=O:8;0e<:k:18'64b=9=i0Z??i:0yO677=9r\ho742c3_;?97`=#=kk1i6sr}|9j51c=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=9k4V066>7}#=kh1i6*:b`8f?x{zu2e:444?:%02`?7?12\9=k4>{M015?7|^ji1>v*>9182<<=Q9=?1>v*:bc82?!3ei3;0qpsr;|`7``<72:0;6=u+20f91f=O:8;0e<:k:18'64b=9=i0D??l;W02b?7|D;8:683;?h6X>4481!3ej3o0(8ln:d9~yx{n6=4+20f951e<@;;h7[<>f;3xH74628q]on4={%3:4?73m2\:884={%7af?c<,>290/>??51zT`g?4|,83;6<66;W371?4|,{zut1vn9ji:180>5<7s-8:h7;l;I025>o6d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>4e9U513=:r.>no4>;%7ae?7h7[<>f;3xH74628q]on4={%3:4?73m2\:884={%7af?7<,>290/>??51zT`g?4|,83;6<66;W371?4|,{zut1vn9k?:180>5<7s-8:h7;l;I025>o6d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>4e9U513=:r.>no4>;%7ae?7h7[<>f;3xH74628q]on4={%3:4?73m2\:884={%7af?7<,>290/>??51zT`g?4|,83;6<66;W371?4|,{zut1vn9k>:180>5<7s-8:h7;l;I025>o6d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>4e9U513=:r.>no48;%7ae?1h7E<>c:T15c<6sE89=7?tVba96~"6190:8h5Y15796~"2jk0n7);ma;g8yx{z3f;357>5$33g>4>>3_8:j7?tL302>4}Qkj09w)?60;3;=>P6<<09w);mb;38 0df281vqps4}c6f6?6=;3:1N5981b=9j50;&15a<64}K:;;1=vXlc;0x 4?728>o7[?;5;0x 0de2l1/9oo5e:~yx=n9=o1<7*=1e820f=O:8i0Z??i:0yO677=9r\ho742b3_;?97`=#=kk1i6sr}|9l5=?=83.9=i4>889U64`=9rF9><4>{Wa`>7}#90:1=574V066>7}#=kh1=6*:b`82?x{zu2wi8h=50;694?6|,;;o68m4H332?l73l3:1(??k:06`?M46k2\9=k4>{M015?7|^ji1>v*>91820a=Q9=?1>v*:bc84?!3ei3=0qpsr;h37a?6=,;;o6<:l;I02g>P59o0:wA<=1;3xRfe=:r.:5=4>4d9U513=:r.>no48;%7ae?1h7[<>f;3xH74628q]on4={%3:4?7212\:884={%7af?1<,>290/>??51zT`g?4|,83;6<66;W371?4|,{zut1vn9jl:18;>5<7s-8:h7?78:J154=n9=n1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>515f8R4222;q/9ol51:&6fd<63twvq6g>4d83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6:0yUgf<5s-;2<7?:9:T200<5s-?in7?4$4`b>4=zutw0e<8;:18'64b=9=i0Z??i:0yO677=9r\ho74033_;?974=#=kk1=6sr}|9j531=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=;94V066>7}#=kh1=6*:b`82?x{zu2c::54?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91822==Q9=?1>v*:bc82?!3ei3;0qpsr;h35=?6=,;;o6<:l;W02b?7|D;8:683;=56X>4481!3ej3;0(8ln:09~yx{5<5290;w)<>d;`4?M4692c:8n4?:%02`?73k21d=5750;&15a<6001]>>6?u+5c`95>"2jh0:7psr}:a1f6=8381<7>t$33g>g1<@;;:7d?;c;29 77c28>h76a>8883>!46l3;356X=1g82I4593;pZnm52z&2=5<6001]=9;52z&6fg<63-?im7?4}|~?xd2k>0;6;4?:1y'64b=9=k0D??>;%35`?763`;?o7>5$33g>42d3_8:j7?tL302>4}Q9?o1>v*>91820f=Q9=?1>v*:bc82?!3ei3;0qpsr;ha6>5<#:8n1o85Y20d95~J5:80:w[?9e;0x 4?72j?0Z<:::3y'1gd=92.>nl4>;|~y>od>3:1(??k:b48R77a2;qG>??51zT22`<5s-;2<7m9;W371?4|,5+5cc97>{zuE89<7:tV32f>6}Qkh09w);m9;a5?!54l3?in6sYcc81!3e13;?o6*<3e86fg=z,?>36o5rV32f>6}Qkh09w);m9;a5?!54l3?in6sYcc81!3e13;?o6*<3e86fd=z,?>36o5rV32f>6}Qkh09w);m9;a5?!54l3?in6sYcc81!3e13i>7)={#>=21>6sY19d96~Pdi38p(8l6:b48 65c2{zu2c9>6?u+5c`9b>"2jh0m7psrL303>4}Q:8<1>vXla;0x 0d>2;:o7)={#>=21j6sr}:k14f<72-8:h77}#90:1>=m4V066>7}#=kh1j6*:b`8e?x{zD;8;6{zu2e:444?:%02`?7?121vn8lk:180>5<7s-8:h7<>a:J154=n9=n1<7*=1e820f=O:8i0Z??i:0yO677=9r\ho742c3_;?974=#=kk1=6sr}|9j51c=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=9k4V066>7}#=kh1=6*:b`82?x{zu2e:444?:%02`?7?12\9=k4>{M015?7|^ji1>v*>9182<<=Q9=?1>v*:bc82?!3ei3;0qpsr;|`6f`<7280;6=u+20f9672<@;;:7b?79;29 77c282276sm5cd94?5=83:p(??k:4a8L7763`;?h7>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;37`>P6<<09w);mb;58 0df2>1vqps4i06f>5<#:8n1=9m4H33`?S46n3;p@?<>:0yUgf<5s-;2<7?;e:T200<5s-?in7k4$4`b>`=zutw0c<66:18'64b=9130Z??i:0yO677=9r\ho74>>3_;?974=#=kk1=6sr}|9~f0e6290?6=4?{%02`?3d3A8:=6g>4e83>!46l3;?o6F=1b9U64`=9rF9><4>{Wa`>7}#90:1=9j4V066>7}#=kh156*:b`8:?x{zu2c:8h4?:%02`?73k2B9=n5Y20d95~J5:80:w[ml:3y'5<6=9=o0Z<:::3y'1gd=12.>nl46;|~y>o6=00;6)<>d;37g>N59j1]>>6?u+5c`9=>"2jh027psr}:m2<<<72-8:h7?79:T15c<6sE89=7?tVba96~"6190:445Y15796~"2jk0:7);ma;38yx{z3th>o?4?:583>5}#:8n19n5G2038m42c290/>4}Qkj09w)?60;37`>P6<<09w);mb;;8 0df201vqps4i06f>5<#:8n1=9m4H33`?S46n3;p@?<>:0yUgf<5s-;2<7?;e:T200<5s-?in774$4`b><=zutw0e<;6:18'64b=9=i0D??l;W02b?7|D;8:683;>56X>4481!3ej330(8ln:89~yx{5<3290;w)<>d;7`?M4692c:8i4?:%02`?73k2B9=n5Y20d95~J5:80:w[ml:3y'5<6=9=n0Z<:::3y'1gd=12.>nl46;|~y>o6d;37g>N59j1]>>6?u+5c`9=>"2jh027psr}:k21<<72-8:h7?;c:J15f=Q:8l1=vB=2082Sed2;q/=4>514;8R4222;q/9ol59:&6fd<>3twvq6a>8883>!46l3;356X=1g82I4593;pZnm52z&2=5<6001]=9;52z&6fg<63-?im7?4}|~?xd2k=0;684?:1y'64b==j1C>5<#:8n1=9m4H33`?S46n3;p@?<>:0yUgf<5s-;2<7?;d:T200<5s-?in774$4`b><=zutw0e<:j:18'64b=9=i0D??l;W02b?7|D;8:683;?i6X>4481!3ej330(8ln:89~yx{f;3xH74628q]on4={%3:4?7212\:884={%7af??<,4}Qkj09w)?60;350>P6<<09w);mb;;8 0df201vqps4o0::>5<#:8n1=574V33e>4}K:;;1=vXlc;0x 4?728227[?;5;0x 0de281/9oo51:~yx=zj6=4::183!46l3;346F=109j51b=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=9j4V066>7}#=kh1=6*:b`82?x{zu2c:8h4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91820`=Q9=?1>v*:bc82?!3ei3;0qpsr;h36=?6=,;;o6<:l;W02b?7|D;8:683;>56X>4481!3ej3;0(8ln:09~yx{nl4>;|~y>{e>;k1<7<50;2x 77c2k=0D??>;h37g?6=,;;o6<:l;:m2<<<72-8:h7?79:T15c<6sE89=7?tVba96~"6190:445Y15796~"2jk0:7);ma;38yx{z3th=>k4?:783>5}#:8n1=9o4H332?!71l3;:7d?;c;29 77c28>h7[<>f;3xH74628q]=;k52z&2=5<6<4>{W35a?4|,83;6n;4V066>7}#=kh1=6*:b`82?x{zu2ch:7>5$33g>f0<^;;m6?uC23395~P6>l09w)?60;a5?S73=38p(8lm:29'1gg=;2wvqA<=0;6xR76b2:q]ol4={%7a=?e13-98h7;mb:Ugg<5s-?i57?;c:&07a<2jk1v(;:7:c9~R76b2:q]ol4={%7a=?e13-98h7;mb:Ugg<5s-?i57?;c:&07a<2jh1v(;:7:c9~R76b2:q]ol4={%7a=?e13-98h7;mb:Ugg<5s-?i57m:;%10`?3ej2w/:9652:U5=`=:r\hm7f0<,:9o68lm;|&50=<682wvq6g=0e83>!46l38;h6X=1g81I4593;pZ<8j:3y'5<6=:9n0Z<:::3y'1gd=n2.>nl4i;|~H74728q]><852zT`e?4|,k;%10`?3ej2w/:965f:~y>o58j0;6)<>d;03g>P59o09wA<=1;3xR40b2;q/=4>521a8R4222;q/9ol5f:&6fd76d3-98h7;mb:'21>=n2wvq6a>8883>!46l3;3565rb70a>5<4290;w)<>d;02e>N5981b=9j50;&15a<6>6?u+5c`95>"2jh0:7psr}:k20`<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:8h5Y15796~"2jk0:7);ma;38yx{z3f;357>5$33g>4>>3_8:j7?tL302>4}Qkj09w)?60;3;=>P6<<09w);mb;38 0df281vqps4}c41g?6=93:16<729q/>o6=4+20f951e<@;;h7[<>f;3xH74628q]on4={%3:4?73l2\:884={%7af?c<,??51zT`g?4|,83;6<:j;W371?4|,{zut1d=5750;&15a<6001]>>6?u+5c`95>"2jh0:7psr}:a7``=8381<7>t$33g>g1<@;;:7d?;c;29 77c28>h76a>8883>!46l3;356X=1g82I4593;pZnm52z&2=5<6001]=9;52z&6fg<63-?im7?4}|~?xd4nj0;6>4?:1y'64b=:8k0D??>;h37`?6=,;;o6<:l;W02b?7|D;8:683;?h6X>4481!3ej3;0(8ln:09~yx{n6=4+20f951e<^;;m6nl4>;|~y>{e;oh1<7850;2x 77c28>j7E<>1:&22a<692c:8n4?:%02`?73k2\9=k4>{M015?7|^8>6?u+5c`95>"2jh0:7psr}:k`1?6=,;;o6n;4V33e>4}K:;;1=vX>6d81!7>83i>7[?;5;0x 0de281/9oo51:~yx=nk?0;6)<>d;a5?S46n38p@?<>:0yU53c=:r.:5=4l6:T200<5s-?in7=4$4`b>6=zutF9>=4;{W03a?5|^jk1>v*:b88`2>"4;m0>no5rVb`96~"2j00:8n5+32f91gdv*:b88`2>"4;m0>no5rVb`96~"2j00:8n5+32f91ggv*:b88`2>"4;m0>no5rVb`96~"2j00h96*<3e86fg=z,?>36?5rV0:e>7}Qkh09w);m9;a5?!54l3?in6s+65:955=zut1b>=j50;&15a<58m1]>76c3_;?97c=#=kk1j6sr}M014?7|^;;=6?uYc`81!3e138;h6*<3e86fg=z,?>36k5r}|9j65e=83.9=i4=0b9U64`=:rF9><4>{W35a?4|,83;6?>l;W371?4|,{zuE89<7?tV335>7}Qkh09w);m9;03g>"4;m0>no5r$76;>c=zut1d=5750;&15a<60010qo=i0;297?6=8r.9=i4=1`9K647o6=4+20f951e<^;;m6nl4>;|~y>i6000;6)<>d;3;=>P59o0:wA<=1;3xRfe=:r.:5=4>889U513=:r.>no4>;%7ae?74<729q/>5$33g>4>>32wi?k=50;194?6|,;;o68m4H332?l73l3:1(??k:06`?S46n3;p@?<>:0yUgf<5s-;2<7?;d:T200<5s-?in7?4$4`b>4=zutw0e<:j:18'64b=9=i0Z??i:0yO677=9r\ho742b3_;?974=#=kk1=6sr}|9l5=?=83.9=i4>889U64`=9rF9><4>{Wa`>7}#90:1=574V066>7}#=kh1=6*:b`82?x{zu2wi?k:50;194?6|,;;o68m4H332?l73l3:1(??k:06`?S46n3;p@?<>:0yUgf<5s-;2<7?;d:T200<5s-?in7?4$4`b>4=zutw0e<:j:18'64b=9=i0Z??i:0yO677=9r\ho742b3_;?974=#=kk1=6sr}|9l5=?=83.9=i4>889U64`=9rF9><4>{Wa`>7}#90:1=574V066>7}#=kh1=6*:b`82?x{zu2wi?k;50;794?6|,;;o68m4H332?l73l3:1(??k:06`?S46n3;p@?<>:0yUgf<5s-;2<7?;d:T200<5s-?in7k4$4`b>`=zutw0e<:j:18'64b=9=i0D??l;W02b?7|D;8:683;?i6X>4481!3ej3o0(8ln:d9~yx{f;3xH74628q]on4={%3:4?7212\:884={%7af?c<,??51zT`g?4|,83;6<8;;W371?4|,{zut1d=5750;&15a<6001]>>6?u+5c`95>"2jh0:7psr}:a7c0=8321<7>t$33g>0e<@;;:7d?;d;29 77c28>h7[<>f;3xH74628q]on4={%3:4?73l2\:884={%7af?c<,??51zT`g?4|,83;6<:j;W371?4|,{zut1b=8750;&15a<6>6?u+5c`9a>"2jh0n7psr}:k221<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190::95Y15796~"2jk0<7);ma;58yx{z3`;=;7>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;353>P6<<09w);mb;58 0df2>1vqps4i04;>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728<37[?;5;0x 0de2l1/9oo5e:~yx=n9?31<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>517;8R4222;q/9ol5e:&6fd8883>!46l3;356X=1g82I4593;pZnm52z&2=5<6001]=9;52z&6fg<63-?im7?4}|~?xd4n>0;654?:1y'64b==j1C>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728>o7[?;5;0x 0de2l1/9oo5e:~yx=n9=o1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>515g8R4222;q/9ol5e:&6fd5883>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6=01]=9;52z&6fg:0yUgf<5s-;2<7?94:T200<5s-?in794$4`b>2=zutw0e<88:18'64b=9=i0D??l;W02b?7|D;8:683;=;6X>4481!3ej3=0(8ln:69~yx{f;3xH74628q]on4={%3:4?7102\:884={%7af?c<,290/>??51zT`g?4|,83;6<86;W371?4|,{zut1d=5750;&15a<6001]>>6?u+5c`95>"2jh0:7psr}:a7c>=8331<7>t$33g>0e<@;;:7d?;d;29 77c28>h7[<>f;3xH74628q]on4={%3:4?73l2\:884={%7af?c<,??51zT`g?4|,83;6<:j;W371?4|,{zut1b=8750;&15a<6>6?u+5c`9a>"2jh0n7psr}:k221<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190::95Y15796~"2jk0<7);ma;58yx{z3`;=;7>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;353>P6<<09w);mb;58 0df2>1vqps4i04;>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728<37[?;5;0x 0de2l1/9oo5e:~yx=n9?31<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>517;8R4222;q/9ol5e:&6fd6`83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6>h1]=9;52z&6fg:0yUgf<5s-;2<7?79:T200<5s-?in7?4$4`b>4=zutw0qo=i9;29=?6=8r.9=i4:c:J154=n9=n1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>515f8R4222;q/9ol5e:&6fd4d83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6:0yUgf<5s-;2<7?:9:T200<5s-?in7k4$4`b>`=zutw0e<8;:18'64b=9=i0Z??i:0yO677=9r\ho74033_;?972=#=kk1;6sr}|9j531=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=;94V066>7}#=kh1;6*:b`84?x{zu2c::54?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91822==Q9=?1>v*:bc8f?!3ei3o0qpsr;h35=?6=,;;o6<:l;W02b?7|D;8:683;=56X>4481!3ej3o0(8ln:d9~yx{nl4>;|~y>{e;o81<7650;2x 77c28237E<>1:k20a<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:8i5Y15796~"2jk0:7);ma;38yx{z3`;?i7>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;37a>P6<<09w);mb;38 0df281vqps4i07:>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728?27[?;5;0x 0de281/9oo51:~yx=n9?>1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>51768R4222;q/9ol51:&6fd<63twvq6g>6683>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6>>1]=9;52z&6fg<63-?im7?4}|~?l7103:1(??k:06`?S46n3;p@?<>:0yUgf<5s-;2<7?98:T200<5s-?in7?4$4`b>4=zutw0e<86:18'64b=9=i0Z??i:0yO677=9r\ho740>3_;?974=#=kk1=6sr}|9l5=?=83.9=i4>889U64`=9rF9><4>{Wa`>7}#90:1=574V066>7}#=kh1=6*:b`82?x{zu2wi?kj50;094?6|,;;o6o94H332?l73k3:1(??k:06`?>i6000;6)<>d;3;=>P59o0:wA<=1;3xRfe=:r.:5=4>889U513=:r.>no4>;%7ae?73<729q/>;h37g?6=,;;o6<:l;W02b?7|D;8:66=4+20f9g0=Q:8l1=vB=2082S71m38p(<7?:b78R4222;q/9ol51:&6fd<63twvq6gl6;29 77c2j<0Z??i:3yO677=9r\::h4={%3:4?e13_;?976=#=kk1?6sr}M014?2|^;:n6>uYc`81!3e13i=7)={Qkk09w);m9;37g>"4;m0>no5r$76;>g=z^;:n6>uYc`81!3e13i=7)={Qkk09w);m9;37g>"4;m0>nl5r$76;>g=z^;:n6>uYc`81!3e13i=7)={Qkk09w);m9;a6?!54l3?in6s+65:96>{Q91l1>vXla;0x 0d>2j<0(>=k:4`a?x"1<10:<6sr}:k14a<72-8:h77}#90:1>=j4V066>7}#=kh1j6*:b`8e?x{zD;8;6{zu2c9>6?u+5c`9b>"2jh0m7psrL303>4}Q:8<1>vXla;0x 0d>2;:h7)={#>=21j6sr}:m2<<<72-8:h7?79:9~f6`b29086=4?{%02`?46i2B9=<5f15f94?"59m0:8n5Y20d95~J5:80:w[ml:3y'5<6=9=n0Z<:::3y'1gd=92.>nl4>;|~y>o6d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>4d9U513=:r.>no4>;%7ae?7f;3xH74628q]on4={%3:4?7?12\:884={%7af?7<,50z&15a<5:=1C>5<#:8n1=574;|`745<72:0;6=u+20f91f=O:8;0e<:k:18'64b=9=i0Z??i:0yO677=9r\ho742c3_;?97`=#=kk1i6sr}|9j51c=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=9k4V066>7}#=kh1i6*:b`8f?x{zu2e:444?:%02`?7?12\9=k4>{M015?7|^ji1>v*>9182<<=Q9=?1>v*:bc82?!3ei3;0qpsr;|`575<72;0;6=u+20f9f2=O:8;0e<:l:18'64b=9=i07b?79;29 77c28227[<>f;3xH74628q]on4={%3:4?7?12\:884={%7af?7<,50z&15a<647h6=4+20f951e<^;;m6l09w)?60;37g>P6<<09w);mb;38 0df281vqps4ib794?"59m0h96X=1g82I4593;pZ<8j:3y'5<6=k<1]=9;52z&6fg<63-?im7?4}|~?le1290/><4>{W35a?4|,83;6n84V066>7}#=kh1?6*:b`80?x{zD;8;69uY21g97~Pdi38p(8l6:b48 65c228>h7)={#>=21n6sY21g97~Pdi38p(8l6:b48 65c228>h7)={#>=21n6sY21g97~Pdi38p(8l6:b48 65c22j?0(>=k:4`a?x"1<1097pX>8g81Sef2;q/9o75c79'76b==kh0q)8;8;33?x{z3`8;h7>5$33g>76c3_8:j74}Q9?o1>v*>91814a=Q9=?1>v*:bc8e?!3ei3l0qpsC23295~P59?09w[mn:3y'1g?=:9n0(>=k:4`a?x"1<10m7psr;h03g?6=,;;o6?>l;W02b?4|D;8:65$33g>4>>32wi:>?50;194?6|,;;o6??n;I025>o6d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>4e9U513=:r.>no4>;%7ae?7h7[<>f;3xH74628q]on4={%3:4?73m2\:884={%7af?7<,>290/>??51zT`g?4|,83;6<66;W371?4|,{zut1vn;==:182>5<7s-8:h7<=4:J154=h9131<7*=1e82<<=53;294~"59m0>o6F=109j51b=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=9j4V066>7}#=kh1i6*:b`8f?x{zu2c:8h4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91820`=Q9=?1>v*:bc8f?!3ei3o0qpsr;n3;=?6=,;;o6<66;W02b?7|D;8:683;356X>4481!3ej3;0(8ln:09~yx{52;294~"59m0i;6F=109j51e=83.9=i4>4b98k4>>290/>??51zT`g?4|,83;6<66;W371?4|,{zut1vn?5<7s-8:h7?;a:J154=#9?n1=<5f15a94?"59m0:8n5Y20d95~J5:80:w[?9e;0x 4?728>h7[?;5;0x 0de281/9oo51:~yx=nk<0;6)<>d;a6?S46n3;p@?<>:0yU53c=:r.:5=4l5:T200<5s-?in7?4$4`b>4=zutw0en850;&15a2\9=k4={M015?7|^8v*:bc80?!3ei390qpsC23290~P58l08w[mn:3y'1g?=k?1/?>j55c`8ySee2;q/9o7515a8 65c2j55c`8ySee2;q/9o7515a8 65c2j55c`8ySee2;q/9o75c49'76b==kh0q)8;8;08yS7?n38pZno52z&6f<2.8?i4:bc9~ 32?28:0qps4i32g>5<#:8n1>=j4V33e>7}K:;;1=vX>6d81!7>838;h6X>4481!3ej3l0(8ln:g9~yxJ5:90:w[<>6;0xRfg=:r.>n44=0e9'76b==kh0q)8;8;d8yx{l09w)?60;03g>P6<<09w);mb;d8 0df2o1vqpB=2182S46>38pZno52z&6f<<58j1/?>j55c`8y!0303l0qps4o0::>5<#:8n1=574;|`162<72:0;6=u+20f964g<@;;:7d?;d;29 77c28>h7[<>f;3xH74628q]on4={%3:4?73l2\:884={%7af?7<,??51zT`g?4|,83;6<:j;W371?4|,{zut1d=5750;&15a<6001]>>6?u+5c`95>"2jh0:7psr}:a67>=83;1<7>t$33g>7433A8:=6a>8883>!46l3;3565rb30:>5<4290;w)<>d;7`?M4692c:8i4?:%02`?73k2B9=n5Y20d95~J5:80:w[ml:3y'5<6=9=n0Z<:::3y'1gd=?2.>nl48;|~y>o6d;37g>N59j1]>>6?u+5c`93>"2jh0<7psr}:m2<<<72-8:h7?79:T15c<6sE89=7?tVba96~"6190:445Y15796~"2jk0:7);ma;38yx{z3th8><4?:383>5}#:8n1n:5G2038m42d290/>:0yUgf<5s-;2<7?79:T200<5s-?in7?4$4`b>4=zutw0qo==8;292?6=8r.9=i4>4`9K647<,85<#:8n1=9m4V33e>4}K:;;1=vX>6d81!7>83;?o6X>4481!3ej3;0(8ln:09~yx{P59o0:wA<=1;3xR40b2;q/=4>5c49U513=:r.>no4>;%7ae?7f0<^8>>6?u+5c`97>"2jh087psrL303>1}Q:9o1?vXla;0x 0d>2j<0(>=k:4`a?xPdj38p(8l6:06`?!54l3?in6s+65:9f>{Q:9o1?vXla;0x 0d>2j<0(>=k:4`a?xPdj38p(8l6:06`?!54l3?im6s+65:9f>{Q:9o1?vXla;0x 0d>2j<0(>=k:4`a?xPdj38p(8l6:b78 65c2j55c`8y!0303;;7psr;h03`?6=,;;o6?>k;W02b?4|D;8:65$33g>76d3_8:j74}Q9?o1>v*>91814f=Q9=?1>v*:bc8e?!3ei3l0qpsC23295~P59?09w[mn:3y'1g?=:9i0(>=k:4`a?x"1<10m7psr;n3;=?6=,;;o6<66;:a774=8391<7>t$33g>77f3A8:=6g>4e83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6:0yUgf<5s-;2<7?;e:T200<5s-?in7?4$4`b>4=zutw0c<66:18'64b=9130Z??i:0yO677=9r\ho74>>3_;?974=#=kk1=6sr}|9~f644290:6=4?{%02`?45<2B9=<5`19;94?"59m0:4454}c111?6=<3:1N5981b=9j50;&15a<6>6?u+5c`9a>"2jh0n7psr}:k20`<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:8h5Y15796~"2jk0n7);ma;g8yx{z3`;>57>5$33g>42d3A8:o6X=1g82I4593;pZnm52z&2=5<6=01]=9;52z&6fg:0yUgf<5s-;2<7?79:T200<5s-?in7?4$4`b>4=zutw0qo==6;290?6=8r.9=i4:c:J154=n9=n1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>515f8R4222;q/9ol5e:&6fd4d83>!46l3;?o6F=1b9U64`=9rF9><4>{Wa`>7}#90:1=9k4V066>7}#=kh1i6*:b`8f?x{zu2c:944?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91821<=Q9=?1>v*:bc8f?!3ei3o0qpsr;n3;=?6=,;;o6<66;W02b?7|D;8:683;356X>4481!3ej3;0(8ln:09~yx{53;294~"59m0:455G2038m42c290/>??51zT`g?4|,83;6<:k;W371?4|,{zut1b=9k50;&15a<6>6?u+5c`95>"2jh0:7psr}:m2<<<72-8:h7?79:T15c<6sE89=7?tVba96~"6190:445Y15796~"2jk0:7);ma;38yx{z3th8h<4?:383>5}#:8n1n:5G2038m42d290/>:0yUgf<5s-;2<7?79:T200<5s-?in7?4$4`b>4=zutw0qo=k6;292?6=8r.9=i4>4`9K647<,85<#:8n1=9m4V33e>4}K:;;1=vX>6d81!7>83;?o6X>4481!3ej3;0(8ln:09~yx{P59o0:wA<=1;3xR40b2;q/=4>5c49U513=:r.>no4>;%7ae?7f0<^8>>6?u+5c`97>"2jh087psrL303>1}Q:9o1?vXla;0x 0d>2j<0(>=k:4`a?xPdj38p(8l6:06`?!54l3?in6s+65:9f>{Q:9o1?vXla;0x 0d>2j<0(>=k:4`a?xPdj38p(8l6:06`?!54l3?im6s+65:9f>{Q:9o1?vXla;0x 0d>2j<0(>=k:4`a?xPdj38p(8l6:b78 65c2j55c`8y!0303;;7psr;h03`?6=,;;o6?>k;W02b?4|D;8:65$33g>76d3_8:j74}Q9?o1>v*>91814f=Q9=?1>v*:bc8e?!3ei3l0qpsC23295~P59?09w[mn:3y'1g?=:9i0(>=k:4`a?x"1<10m7psr;n3;=?6=,;;o6<66;:a7a4=8391<7>t$33g>77f3A8:=6g>4e83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6:0yUgf<5s-;2<7?;e:T200<5s-?in7?4$4`b>4=zutw0c<66:18'64b=9130Z??i:0yO677=9r\ho74>>3_;?974=#=kk1=6sr}|9~f6b4290:6=4?{%02`?45<2B9=<5`19;94?"59m0:4454}c1g0?6=?3:1N5981b=9j50;&15a<64}K:;;1=vXlc;0x 4?728>o7[?;5;0x 0de2l1/9oo5e:~yx=n9=o1<7*=1e820f=O:8i0Z??i:0yO677=9r\ho742b3_;?97`=#=kk1i6sr}|9j50?=83.9=i4>4b9K64e<^;;m6??51zT`g?4|,83;6<8;;W371?4|,{zut1b=;950;&15a<64}K:;;1=vXlc;0x 4?728<<7[?;5;0x 0de2l1/9oo5e:~yx=n9?21<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>517:8R4222;q/9ol5e:&6fd8883>!46l3;356X=1g82I4593;pZnm52z&2=5<6001]=9;52z&6fg<63-?im7?4}|~?xd4l>0;6?4?:1y'64b=j>1C>5<#:8n1=9m4;n3;=?6=,;;o6<66;W02b?7|D;8:683;356X>4481!3ej3;0(8ln:09~yx{56;294~"59m0:8l5G2038 40c28;0e<:l:18'64b=9=i0Z??i:0yO677=9r\::h4={%3:4?73k2\:884={%7af?7<,7}#90:1o85Y15796~"2jk0:7);ma;38yx{z3`i=6=4+20f9g3=Q:8l1>vB=2082S71m38p(<7?:b48R4222;q/9ol53:&6fd<43twv@?f0<,:9o68lm;|T`f?4|,f0<,:9o68lm;|T`f?4|,f0<,:9o68lm;|T`f?4|,0de3t.=854=;|T2f;0xH74628q]=;k52z&2=5<58m1]=9;52z&6fg7}#=k31>=j4$21g>0de3t.=854i;|~?l47k3:1(??k:32`?S46n38p@?<>:0yU53c=:r.:5=4=0b9U513=:r.>no4i;%7ae?`?>51zT153<5s_ij6?u+5c;965e<,:9o68lm;|&50={M015?7|^ji1>v*>91820a=Q9=?1>v*:bc82?!3ei3;0qpsr;h37a?6=,;;o6<:l;W02b?7|D;8:683;?i6X>4481!3ej3;0(8ln:09~yx{5<6290;w)<>d;010>N5981d=5750;&15a<60010qo=ka;297?6=8r.9=i4:c:J154=n9=n1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>515f8R4222;q/9ol57:&6fd<03twvq6g>4d83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6:0yUgf<5s-;2<7?79:T200<5s-?in7?4$4`b>4=zutw0qo=j3;296?6=8r.9=i4m7:J154=n9=i1<7*=1e820f=5<1290;w)<>d;37e>N5981/=;j5109j51e=83.9=i4>4b9U64`=9rF9><4>{W35a?4|,83;6<:l;W371?4|,{zut1bo84?:%02`?e23_8:j7?tL302>4}Q9?o1>v*>918`1>P6<<09w);mb;38 0df281vqps4ib494?"59m0h:6X=1g81I4593;pZ<8j:3y'5<6=k?1]=9;52z&6fg<43-?im7=4}|O676=7}#=k31o;5+32f91gd7}#=k31o;5+32f91gd7}#=k31o;5+32f91gd36<>4}|8m76c290/>??51zT22`<5s-;2<7c=zutF9>=4>{W022?4|^jk1>v*:b8814a=#;:n19ol4}%47l:18'64b=:9i0Z??i:3yO677=9r\::h4={%3:4?47k2\:884={%7af?`<,>290/>4?:1y'64b=:8k0D??>;h37`?6=,;;o6<:l;I02g>P59o0:wA<=1;3xRfe=:r.:5=4>4e9U513=:r.>no4>;%7ae?7h7[<>f;3xH74628q]on4={%3:4?73m2\:884={%7af?7<,>290/>??51zT`g?4|,83;6<66;W371?4|,{zut1vn>k::182>5<7s-8:h7<=4:J154=h9131<7*=1e82<<=53;294~"59m0>o6F=109j51b=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=9j4V066>7}#=kh1=6*:b`82?x{zu2c:8h4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91820`=Q9=?1>v*:bc82?!3ei3;0qpsr;n3;=?6=,;;o6<66;W02b?7|D;8:683;356X>4481!3ej3;0(8ln:09~yx{53;294~"59m0>o6F=109j51b=83.9=i4>4b9K64e<^;;m6nl4j;|~y>i6000;6)<>d;3;=>P59o0:wA<=1;3xRfe=:r.:5=4>889U513=:r.>no4>;%7ae?71<729q/>o6=4+20f951e<@;;h7[<>f;3xH74628q]on4={%3:4?73l2\:884={%7af?c<,4}Qkj09w)?60;37a>P6<<09w);mb;g8 0df2l1vqps4i07:>5<#:8n1=9m4H33`?S46n3;p@?<>:0yUgf<5s-;2<7?:9:T200<5s-?in7k4$4`b>`=zutw0c<66:18'64b=9130Z??i:0yO677=9r\ho74>>3_;?974=#=kk1=6sr}|9~f6cf290>6=4?{%02`?3d3A8:=6g>4e83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6:0yUgf<5s-;2<7?;e:T200<5s-?in7k4$4`b>`=zutw0e<;6:18'64b=9=i0Z??i:0yO677=9r\ho743>3_;?972=#=kk1;6sr}|9j532=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=;:4V066>7}#=kh1i6*:b`8f?x{zu2e:444?:%02`?7?12\9=k4>{M015?7|^ji1>v*>9182<<=Q9=?1>v*:bc82?!3ei3;0qpsr;|`0ag<72?0;6=u+20f91f=O:8;0e<:k:18'64b=9=i0Z??i:0yO677=9r\ho742c3_;?97`=#=kk1i6sr}|9j51c=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=9k4V066>7}#=kh1i6*:b`8f?x{zu2c:944?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91821<=Q9=?1>v*:bc84?!3ei3=0qpsr;h350?6=,;;o6<:l;W02b?7|D;8:683;=86X>4481!3ej3o0(8ln:d9~yx{nl4>;|~y>{e;li1<7850;2x 77c2;h37`?6=,;;o6<:l;W02b?7|D;8:683;?h6X>4481!3ej3o0(8ln:d9~yx{n6=4+20f951e<^;;m6nl48;|~y>o6>=0;6)<>d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>659U513=:r.>no4j;%7ae?ch7[<>f;3xH74628q]on4={%3:4?71?2\:884={%7af?c<,>290/>??51zT`g?4|,83;6<66;W371?4|,{zut1vn>k9:184>5<7s-8:h7?78:J154=n9=n1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>515f8R4222;q/9ol51:&6fd<63twvq6g>4d83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6:0yUgf<5s-;2<7?:9:T200<5s-?in7?4$4`b>4=zutw0e<8;:18'64b=9=i0Z??i:0yO677=9r\ho74033_;?974=#=kk1=6sr}|9j531=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=;94V066>7}#=kh1=6*:b`82?x{zu2c::54?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91822==Q9=?1>v*:bc82?!3ei3;0qpsr;n3;=?6=,;;o6<66;W02b?7|D;8:683;356X>4481!3ej3;0(8ln:09~yx{52;294~"59m0i;6F=109j51e=83.9=i4>4b98k4>>290/>??51zT`g?4|,83;6<66;W371?4|,{zut1vn?jk:185>5<7s-8:h7?;a:J154=#9?n1=<5f15a94?"59m0:8n5Y20d95~J5:80:w[?9e;0x 4?728>h7[?;5;0x 0de281/9oo51:~yx=nk<0;6)<>d;a6?S46n3;p@?<>:0yU53c=:r.:5=4l5:T200<5s-?in7?4$4`b>4=zutw0en850;&15a2\9=k4={M015?7|^8v*:bc80?!3ei390qpsC23290~P58l08w[mn:3y'1g?=k?1/?>j55c`8ySee2;q/9o7515a8 65c2j55c`8ySee2;q/9o7515a8 65c2j55c`8ySee2;q/9o75c49'76b==kh0q)8;8;08yS7?n38pZno52z&6f<2.8?i4:bc9~ 32?2<1vqp5f21f94?"59m09vB=2082S71m38p(<7?:32`?S73=38p(8lm:g9'1gg=n2wvqA<=0;3xR7712;q]ol4={%7a=?47k2.8?i4:bc9~ 32?2o1vqp5`19;94?"59m0:4454}c0g2?6=;3:142c3_;?974=#=kk1=6sr}|9j51c=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=9k4V066>7}#=kh1=6*:b`82?x{zu2e:444?:%02`?7?12\9=k4>{M015?7|^ji1>v*>9182<<=Q9=?1>v*:bc82?!3ei3;0qpsr;|`1`2<7280;6=u+20f9672<@;;:7b?79;29 77c282276sm2e;94?5=83:p(??k:4a8L7763`;?h7>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;37`>P6<<09w);mb;g8 0df2l1vqps4i06f>5<#:8n1=9m4H33`?S46n3;p@?<>:0yUgf<5s-;2<7?;e:T200<5s-?in7k4$4`b>`=zutw0c<66:18'64b=9130Z??i:0yO677=9r\ho74>>3_;?974=#=kk1=6sr}|9~f7bf29086=4?{%02`?3d3A8:=6g>4e83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6{M015?7|^ji1>v*>91820`=Q9=?1>v*:bc8f?!3ei3o0qpsr;n3;=?6=,;;o6<66;W02b?7|D;8:683;356X>4481!3ej3;0(8ln:09~yx{55;294~"59m0>o6F=109j51b=83.9=i4>4b9K64e<^;;m6??51zT`g?4|,83;6<:j;W371?4|,{zut1b=8750;&15a<64}K:;;1=vXlc;0x 4?728?27[?;5;0x 0de2l1/9oo5e:~yx=n9?>1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>51768R4222;q/9ol5e:&6fd8883>!46l3;356X=1g82I4593;pZnm52z&2=5<6001]=9;52z&6fg<63-?im7?4}|~?xd5l10;694?:1y'64b=9120D??>;h37`?6=,;;o6<:l;W02b?7|D;8:683;?h6X>4481!3ej3;0(8ln:09~yx{n6=4+20f951e<^;;m6nl4>;|~y>i6000;6)<>d;3;=>P59o0:wA<=1;3xRfe=:r.:5=4>889U513=:r.>no4>;%7ae?76<729q/>o6=4+20f951e<^;;m6nl47;|~y>i6000;6)<>d;3;=>P59o0:wA<=1;3xRfe=:r.:5=4>889U513=:r.>no4>;%7ae?77<729q/>h6=4+20f951e<3f;357>5$33g>4>>3_8:j7?tL302>4}Qkj09w)?60;3;=>P6<<09w);mb;38 0df281vqps4}c0f2?6=>3:1??51zT22`<5s-;2<7?;c:T200<5s-?in7?4$4`b>4=zutw0en;50;&15a{M015?7|^8v*:bc82?!3ei3;0qpsr;ha5>5<#:8n1o;5Y20d96~J5:80:w[?9e;0x 4?72j<0Z<:::3y'1gd=;2.>nl4<;|~H7472=q]>=k53zT`e?4|,0de3t\hn742d3-98h7;mb:'21>=j2w]>=k53zT`e?4|,0de3t\hn742d3-98h7;ma:'21>=j2w]>=k53zT`e?4|,0de3t\hn7f3<,:9o68lm;|&50=<53t\:4k4={Wab>7}#=k31o;5+32f91gd??51zT22`<5s-;2<7c=zutF9>=4>{W022?4|^jk1>v*:b8814a=#;:n19ol4}%47l:18'64b=:9i0Z??i:3yO677=9r\::h4={%3:4?47k2\:884={%7af?`<,>290/>4?:1y'64b=:8k0D??>;h37`?6=,;;o6<:l;W02b?7|D;8:683;?h6X>4481!3ej3;0(8ln:09~yx{n6=4+20f951e<^;;m6nl4>;|~y>{e:l;1<7?50;2x 77c2;8?7E<>1:m2<<<72-8:h7?79:9~f7c4290?6=4?{%02`?3d3A8:=6g>4e83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6:0yUgf<5s-;2<7?;e:T200<5s-?in7k4$4`b>`=zutw0e<;6:18'64b=9=i0D??l;W02b?7|D;8:683;>56X>4481!3ej3o0(8ln:d9~yx{5<2290;w)<>d;7`?M4692c:8i4?:%02`?73k2B9=n5Y20d95~J5:80:w[ml:3y'5<6=9=n0Z<:::3y'1gd=m2.>nl4j;|~y>o6d;37g>N59j1]>>6?u+5c`9a>"2jh0n7psr}:k21<<72-8:h7?;c:J15f=Q:8l1=vB=2082Sed2;q/=4>514;8R4222;q/9ol5e:&6fd6583>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6>=1]=9;52z&6fg:0yUgf<5s-;2<7?79:T200<5s-?in7?4$4`b>4=zutw0qo899K647o6=4+20f951e<^;;m6nl4>;|~y>i6000;6)<>d;3;=>P59o0:wA<=1;3xRfe=:r.:5=4>889U513=:r.>no4>;%7ae?76<729q/>o6=4+20f951e<^;;m6nl47;|~y>i6000;6)<>d;3;=>P59o0:wA<=1;3xRfe=:r.:5=4>889U513=:r.>no4>;%7ae?77<729q/>h6=4+20f951e<3f;357>5$33g>4>>3_8:j7?tL302>4}Qkj09w)?60;3;=>P6<<09w);mb;38 0df281vqps4}c177?6=>3:1??51zT22`<5s-;2<7?;c:T200<5s-?in7?4$4`b>4=zutw0en;50;&15a{M015?7|^8v*:bc82?!3ei3;0qpsr;ha5>5<#:8n1o;5Y20d96~J5:80:w[?9e;0x 4?72j<0Z<:::3y'1gd=;2.>nl4<;|~H7472=q]>=k53zT`e?4|,0de3t\hn742d3-98h7;mb:'21>=j2w]>=k53zT`e?4|,0de3t\hn742d3-98h7;ma:'21>=j2w]>=k53zT`e?4|,0de3t\hn7f3<,:9o68lm;|&50=<53t\:4k4={Wab>7}#=k31o;5+32f91gd:0yU53c=:r.:5=4=0e9U513=:r.>no4i;%7ae?`?>51zT153<5s_ij6?u+5c;965b<,:9o68lm;|&50=f;0xH74628q]=;k52z&2=5<58j1]=9;52z&6fg7}#=k31>=m4$21g>0de3t.=854i;|~?j7?13:1(??k:0::?>{e;:l1<7=50;2x 77c2;;j7E<>1:k20a<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:8i5Y15796~"2jk0:7);ma;38yx{z3`;?i7>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;37a>P6<<09w);mb;38 0df281vqps4o0::>5<#:8n1=574V33e>4}K:;;1=vXlc;0x 4?728227[?;5;0x 0de281/9oo51:~yx=zj:>;6=4>:183!46l38986F=109l5=?=83.9=i4>8898yg5393:1?7>50z&15a<2k2B9=<5f15f94?"59m0:8n5G20a8R77a28qG>??51zT`g?4|,83;6<:k;W371?4|,{zut1b=9k50;&15a<64}K:;;1=vXlc;0x 4?728>n7[?;5;0x 0de2>1/9oo57:~yx=h9131<7*=1e82<<=Q:8l1=vB=2082Sed2;q/=4>519;8R4222;q/9ol51:&6fd<63twvq6sm36494?4=83:p(??k:c58L7763`;?o7>5$33g>42d32e:444?:%02`?7?12\9=k4>{M015?7|^ji1>v*>9182<<=Q9=?1>v*:bc82?!3ei3;0qpsr;|`03a<72?0;6=u+20f951g<@;;:7)?9d;32?l73k3:1(??k:06`?S46n3;p@?<>:0yU53c=:r.:5=4>4b9U513=:r.>no4>;%7ae?7f3<^8>>6?u+5c`95>"2jh0:7psr}:k`2?6=,;;o6n84V33e>7}K:;;1=vX>6d81!7>83i=7[?;5;0x 0de2:1/9oo53:~yI4583>pZ?>j:2yUgd<5s-?i57m9;%10`?3ej2w]oo4={%7a=?73k2.8?i4:bc9~ 32?2k1vZ?>j:2yUgd<5s-?i57m9;%10`?3ej2w]oo4={%7a=?73k2.8?i4:b`9~ 32?2k1vZ?>j:2yUgd<5s-?i57m9;%10`?3ej2w]oo4={%7a=?e23-98h7;mb:'21>=:2w]=5h52zT`e?4|,0de3t.=854>0:~y>o58m0;6)<>d;03`>P59o09wA<=1;3xR40b2;q/=4>521f8R4222;q/9ol5f:&6fd76c3-98h7;mb:'21>=n2wvq6g=0b83>!46l38;o6X=1g81I4593;pZ<8j:3y'5<6=:9i0Z<:::3y'1gd=n2.>nl4i;|~H74728q]><852zT`e?4|,l;%10`?3ej2w/:965f:~y>i6000;6)<>d;3;=>=zj:=<6=4<:183!46l38:m6F=109j51b=83.9=i4>4b9K64e<^;;m6nl4>;|~y>i6000;6)<>d;3;=>P59o0:wA<=1;3xRfe=:r.:5=4>889U513=:r.>no4>;%7ae?74<729q/>5$33g>4>>32wi?:o50;194?6|,;;o68m4H332?l73l3:1(??k:06`?M46k2\9=k4>{M015?7|^ji1>v*>91820a=Q9=?1>v*:bc8f?!3ei3o0qpsr;h37a?6=,;;o6<:l;W02b?7|D;8:683;?i6X>4481!3ej3o0(8ln:d9~yx{5<3290;w)<>d;7`?M4692c:8i4?:%02`?73k2B9=n5Y20d95~J5:80:w[ml:3y'5<6=9=n0Z<:::3y'1gd=m2.>nl4j;|~y>o6d;37g>N59j1]>>6?u+5c`9a>"2jh0n7psr}:k21<<72-8:h7?;c:J15f=Q:8l1=vB=2082Sed2;q/=4>514;8R4222;q/9ol5e:&6fd8883>!46l3;356X=1g82I4593;pZnm52z&2=5<6001]=9;52z&6fg<63-?im7?4}|~?xd4?00;6>4?:1y'64b=9120D??>;h37`?6=,;;o6<:l;W02b?7|D;8:683;?h6X>4481!3ej3;0(8ln:09~yx{n6=4+20f951e<^;;m6nl4>;|~y>{e;>o1<7<50;2x 77c2k=0D??>;h37g?6=,;;o6<:l;:m2<<<72-8:h7?79:T15c<6sE89=7?tVba96~"6190:445Y15796~"2jk0:7);ma;38yx{z3th84>4?:783>5}#:8n1=9o4H332?!71l3;:7d?;c;29 77c28>h7[<>f;3xH74628q]=;k52z&2=5<6<4>{W35a?4|,83;6n;4V066>7}#=kh1=6*:b`82?x{zu2ch:7>5$33g>f0<^;;m6?uC23395~P6>l09w)?60;a5?S73=38p(8lm:29'1gg=;2wvqA<=0;6xR76b2:q]ol4={%7a=?e13-98h7;mb:Ugg<5s-?i57?;c:&07a<2jk1v(;:7:c9~R76b2:q]ol4={%7a=?e13-98h7;mb:Ugg<5s-?i57?;c:&07a<2jh1v(;:7:c9~R76b2:q]ol4={%7a=?e13-98h7;mb:Ugg<5s-?i57m:;%10`?3ej2w/:9652:U5=`=:r\hm7f0<,:9o68lm;|&50=<682wvq6g=0e83>!46l38;h6X=1g81I4593;pZ<8j:3y'5<6=:9n0Z<:::3y'1gd=n2.>nl4i;|~H74728q]><852zT`e?4|,k;%10`?3ej2w/:965f:~y>o58j0;6)<>d;03g>P59o09wA<=1;3xR40b2;q/=4>521a8R4222;q/9ol5f:&6fd76d3-98h7;mb:'21>=n2wvq6a>8883>!46l3;3565rb25e>5<4290;w)<>d;02e>N5981b=9j50;&15a<6>6?u+5c`95>"2jh0:7psr}:k20`<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:8h5Y15796~"2jk0:7);ma;38yx{z3f;357>5$33g>4>>3_8:j7?tL302>4}Qkj09w)?60;3;=>P6<<09w);mb;38 0df281vqps4}c1;4?6=93:16<729q/>o6=4+20f951e<@;;h7[<>f;3xH74628q]on4={%3:4?73l2\:884={%7af?1<,4}Qkj09w)?60;37a>P6<<09w);mb;58 0df2>1vqps4o0::>5<#:8n1=574V33e>4}K:;;1=vXlc;0x 4?728227[?;5;0x 0de281/9oo51:~yx=zj:2?6=4=:183!46l3h<7E<>1:k20f<72-8:h7?;c:9l5=?=83.9=i4>889U64`=9rF9><4>{Wa`>7}#90:1=574V066>7}#=kh1=6*:b`82?x{zu2wi?5750;494?6|,;;o6<:n;I025>"6>m0:=6g>4b83>!46l3;?o6X=1g82I4593;pZ<8j:3y'5<6=9=i0Z<:::3y'1gd=92.>nl4>;|~y>od=3:1(??k:b78R77a28qG>??51zT22`<5s-;2<7m:;W371?4|,{zut1bo;4?:%02`?e13_8:j74}Q9?o1>v*>918`2>P6<<09w);mb;18 0df2:1vqpB=2187S47m39pZno52z&6f<2.8?i4:bc9~Rfd=:r.>n44>4b9'76b==kh0q)8;8;`8yS47m39pZno52z&6f<2.8?i4:bc9~Rfd=:r.>n44>4b9'76b==kk0q)8;8;`8yS47m39pZno52z&6f<2.8?i4:bc9~Rfd=:r.>n44l5:&07a<2jk1v(;:7:39~R4>a2;q]ol4={%7a=?e13-98h7;mb:'21>=991vqp5f21f94?"59m09vB=2082S71m38p(<7?:32`?S73=38p(8lm:g9'1gg=n2wvqA<=0;3xR7712;q]ol4={%7a=?47k2.8?i4:bc9~ 32?2o1vqp5`19;94?"59m0:4454}c1;1?6=;3:142c3_;?974=#=kk1=6sr}|9j51c=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=9k4V066>7}#=kh1=6*:b`82?x{zu2e:444?:%02`?7?12\9=k4>{M015?7|^ji1>v*>9182<<=Q9=?1>v*:bc82?!3ei3;0qpsr;|`0<3<7280;6=u+20f9672<@;;:7b?79;29 77c282276sm39594?5=83:p(??k:4a8L7763`;?h7>5$33g>42d3A8:o6X=1g82I4593;pZnm52z&2=5<6{M015?7|^ji1>v*>91820`=Q9=?1>v*:bc84?!3ei3=0qpsr;n3;=?6=,;;o6<66;W02b?7|D;8:683;356X>4481!3ej3;0(8ln:09~yx{52;294~"59m0i;6F=109j51e=83.9=i4>4b98k4>>290/>??51zT`g?4|,83;6<66;W371?4|,{zut1vn>6i:185>5<7s-8:h7?;a:J154=#9?n1=<5f15a94?"59m0:8n5Y20d95~J5:80:w[?9e;0x 4?728>h7[?;5;0x 0de281/9oo51:~yx=nk<0;6)<>d;a6?S46n3;p@?<>:0yU53c=:r.:5=4l5:T200<5s-?in7?4$4`b>4=zutw0en850;&15a2\9=k4={M015?7|^8v*:bc80?!3ei390qpsC23290~P58l08w[mn:3y'1g?=k?1/?>j55c`8ySee2;q/9o7515a8 65c2j55c`8ySee2;q/9o7515a8 65c2j55c`8ySee2;q/9o75c49'76b==kh0q)8;8;08yS7?n38pZno52z&6f<2.8?i4:bc9~ 32?28:0qps4i32g>5<#:8n1>=j4V33e>7}K:;;1=vX>6d81!7>838;h6X>4481!3ej3l0(8ln:g9~yxJ5:90:w[<>6;0xRfg=:r.>n44=0e9'76b==kh0q)8;8;d8yx{l09w)?60;03g>P6<<09w);mb;d8 0df2o1vqpB=2182S46>38pZno52z&6f<<58j1/?>j55c`8y!0303l0qps4o0::>5<#:8n1=574;|`0h7[<>f;3xH74628q]on4={%3:4?73l2\:884={%7af?7<,??51zT`g?4|,83;6<:j;W371?4|,{zut1d=5750;&15a<6001]>>6?u+5c`95>"2jh0:7psr}:a7=e=83;1<7>t$33g>7433A8:=6a>8883>!46l3;3565rb2:g>5<4290;w)<>d;7`?M4692c:8i4?:%02`?73k2B9=n5Y20d95~J5:80:w[ml:3y'5<6=9=n0Z<:::3y'1gd=?2.>nl48;|~y>o6d;37g>N59j1]>>6?u+5c`93>"2jh0<7psr}:m2<<<72-8:h7?79:T15c<6sE89=7?tVba96~"6190:445Y15796~"2jk0:7);ma;38yx{z3th85=4?:383>5}#:8n1n:5G2038m42d290/>:0yUgf<5s-;2<7?79:T200<5s-?in7?4$4`b>4=zutw0qo=65;292?6=8r.9=i4>4`9K647<,85<#:8n1=9m4V33e>4}K:;;1=vX>6d81!7>83;?o6X>4481!3ej3;0(8ln:09~yx{P59o0:wA<=1;3xR40b2;q/=4>5c49U513=:r.>no4>;%7ae?7f0<^8>>6?u+5c`97>"2jh087psrL303>1}Q:9o1?vXla;0x 0d>2j<0(>=k:4`a?xPdj38p(8l6:06`?!54l3?in6s+65:9f>{Q:9o1?vXla;0x 0d>2j<0(>=k:4`a?xPdj38p(8l6:06`?!54l3?im6s+65:9f>{Q:9o1?vXla;0x 0d>2j<0(>=k:4`a?xPdj38p(8l6:b78 65c2j55c`8y!0303;;7psr;h03`?6=,;;o6?>k;W02b?4|D;8:65$33g>76d3_8:j74}Q9?o1>v*>91814f=Q9=?1>v*:bc8e?!3ei3l0qpsC23295~P59?09w[mn:3y'1g?=:9i0(>=k:4`a?x"1<10m7psr;n3;=?6=,;;o6<66;:a7<7=8391<7>t$33g>77f3A8:=6g>4e83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6:0yUgf<5s-;2<7?;e:T200<5s-?in7?4$4`b>4=zutw0c<66:18'64b=9130Z??i:0yO677=9r\ho74>>3_;?974=#=kk1=6sr}|9~f6?5290:6=4?{%02`?45<2B9=<5`19;94?"59m0:4454}c1:7?6=;3:1N5981b=9j50;&15a<64}K:;;1=vXlc;0x 4?728>o7[?;5;0x 0de2>1/9oo57:~yx=n9=o1<7*=1e820f=O:8i0Z??i:0yO677=9r\ho742b3_;?972=#=kk1;6sr}|9l5=?=83.9=i4>889U64`=9rF9><4>{Wa`>7}#90:1=574V066>7}#=kh1=6*:b`82?x{zu2wi?4850;094?6|,;;o6o94H332?l73k3:1(??k:06`?>i6000;6)<>d;3;=>P59o0:wA<=1;3xRfe=:r.:5=4>889U513=:r.>no4>;%7ae?73<729q/>;h37g?6=,;;o6<:l;W02b?7|D;8:66=4+20f9g0=Q:8l1=vB=2082S71m38p(<7?:b78R4222;q/9ol51:&6fd<63twvq6gl6;29 77c2j<0Z??i:3yO677=9r\::h4={%3:4?e13_;?976=#=kk1?6sr}M014?2|^;:n6>uYc`81!3e13i=7)={Qkk09w);m9;37g>"4;m0>no5r$76;>g=z^;:n6>uYc`81!3e13i=7)={Qkk09w);m9;37g>"4;m0>nl5r$76;>g=z^;:n6>uYc`81!3e13i=7)={Qkk09w);m9;a6?!54l3?in6s+65:96>{Q91l1>vXla;0x 0d>2j<0(>=k:4`a?x"1<10:<6sr}:k14a<72-8:h77}#90:1>=j4V066>7}#=kh1j6*:b`8e?x{zD;8;6{zu2c9>6?u+5c`9b>"2jh0m7psrL303>4}Q:8<1>vXla;0x 0d>2;:h7)={#>=21j6sr}:m2<<<72-8:h7?79:9~f6?029086=4?{%02`?46i2B9=<5f15f94?"59m0:8n5Y20d95~J5:80:w[ml:3y'5<6=9=n0Z<:::3y'1gd=92.>nl4>;|~y>o6d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>4d9U513=:r.>no4>;%7ae?7f;3xH74628q]on4={%3:4?7?12\:884={%7af?7<,03:1=7>50z&15a<5:=1C>5<#:8n1=574;|`0=d<72:0;6=u+20f91f=O:8;0e<:k:18'64b=9=i0Z??i:0yO677=9r\ho742c3_;?97`=#=kk1i6sr}|9j51c=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=9k4V066>7}#=kh1i6*:b`8f?x{zu2e:444?:%02`?7?12\9=k4>{M015?7|^ji1>v*>9182<<=Q9=?1>v*:bc82?!3ei3;0qpsr;|`0=g<72:0;6=u+20f91f=O:8;0e<:k:18'64b=9=i0Z??i:0yO677=9r\ho742c3_;?97`=#=kk1i6sr}|9j51c=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=9k4V066>7}#=kh1i6*:b`8f?x{zu2e:444?:%02`?7?12\9=k4>{M015?7|^ji1>v*>9182<<=Q9=?1>v*:bc82?!3ei3;0qpsr;|`0=<<72:0;6=u+20f95=><@;;:7d?;d;29 77c28>h7[<>f;3xH74628q]on4={%3:4?73l2\:884={%7af?7<,??51zT`g?4|,83;6<:j;W371?4|,{zut1d=5750;&15a<6001]>>6?u+5c`95>"2jh0:7psr}:a7ab=8381<7>t$33g>g1<@;;:7d?;c;29 77c28>h76a>8883>!46l3;356X=1g82I4593;pZnm52z&2=5<6001]=9;52z&6fg<63-?im7?4}|~?xd4m;0;6;4?:1y'64b=9=k0D??>;%35`?763`;?o7>5$33g>42d3_8:j7?tL302>4}Q9?o1>v*>91820f=Q9=?1>v*:bc82?!3ei3;0qpsr;ha6>5<#:8n1o85Y20d95~J5:80:w[?9e;0x 4?72j?0Z<:::3y'1gd=92.>nl4>;|~y>od>3:1(??k:b48R77a2;qG>??51zT22`<5s-;2<7m9;W371?4|,5+5cc97>{zuE89<7:tV32f>6}Qkh09w);m9;a5?!54l3?in6sYcc81!3e13;?o6*<3e86fg=z,?>36o5rV32f>6}Qkh09w);m9;a5?!54l3?in6sYcc81!3e13;?o6*<3e86fd=z,?>36o5rV32f>6}Qkh09w);m9;a5?!54l3?in6sYcc81!3e13i>7)={#>=21>6sY19d96~Pdi38p(8l6:b48 65c2{zu2c9>6?u+5c`9b>"2jh0m7psrL303>4}Q:8<1>vXla;0x 0d>2;:o7)={#>=21j6sr}:k14f<72-8:h77}#90:1>=m4V066>7}#=kh1j6*:b`8e?x{zD;8;6{zu2e:444?:%02`?7?121vn>jj:180>5<7s-8:h7<>a:J154=n9=n1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>515f8R4222;q/9ol51:&6fd<63twvq6g>4d83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6:0yUgf<5s-;2<7?79:T200<5s-?in7?4$4`b>4=zutw0qo=kf;295?6=8r.9=i4=259K6475}#:8n19n5G2038m42c290/>??51zT`g?4|,83;6<:k;W371?4|,{zut1b=9k50;&15a<6>6?u+5c`9a>"2jh0n7psr}:m2<<<72-8:h7?79:T15c<6sE89=7?tVba96~"6190:445Y15796~"2jk0:7);ma;38yx{z3th?>=4?:383>5}#:8n1n:5G2038m42d290/>:0yUgf<5s-;2<7?79:T200<5s-?in7?4$4`b>4=zutw0qo:=5;292?6=8r.9=i4>4`9K647<,85<#:8n1=9m4V33e>4}K:;;1=vX>6d81!7>83;?o6X>4481!3ej3;0(8ln:09~yx{P59o0:wA<=1;3xR40b2;q/=4>5c49U513=:r.>no4>;%7ae?7f0<^8>>6?u+5c`97>"2jh087psrL303>1}Q:9o1?vXla;0x 0d>2j<0(>=k:4`a?xPdj38p(8l6:06`?!54l3?in6s+65:9f>{Q:9o1?vXla;0x 0d>2j<0(>=k:4`a?xPdj38p(8l6:06`?!54l3?im6s+65:9f>{Q:9o1?vXla;0x 0d>2j<0(>=k:4`a?xPdj38p(8l6:b78 65c2j55c`8y!0303;;7psr;h03`?6=,;;o6?>k;W02b?4|D;8:65$33g>76d3_8:j74}Q9?o1>v*>91814f=Q9=?1>v*:bc8e?!3ei3l0qpsC23295~P59?09w[mn:3y'1g?=:9i0(>=k:4`a?x"1<10m7psr;n3;=?6=,;;o6<66;:a077=8391<7>t$33g>77f3A8:=6g>4e83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6:0yUgf<5s-;2<7?;e:T200<5s-?in7?4$4`b>4=zutw0c<66:18'64b=9130Z??i:0yO677=9r\ho74>>3_;?974=#=kk1=6sr}|9~f145290:6=4?{%02`?45<2B9=<5`19;94?"59m0:4454}c617?6=;3:1N5981b=9j50;&15a<64}K:;;1=vXlc;0x 4?728>o7[?;5;0x 0de2>1/9oo57:~yx=n9=o1<7*=1e820f=O:8i0Z??i:0yO677=9r\ho742b3_;?972=#=kk1;6sr}|9l5=?=83.9=i4>889U64`=9rF9><4>{Wa`>7}#90:1=574V066>7}#=kh1=6*:b`82?x{zu2wi8?850;094?6|,;;o6o94H332?l73k3:1(??k:06`?>i6000;6)<>d;3;=>P59o0:wA<=1;3xRfe=:r.:5=4>889U513=:r.>no4>;%7ae?73<729q/>;h37g?6=,;;o6<:l;W02b?7|D;8:66=4+20f9g0=Q:8l1=vB=2082S71m38p(<7?:b78R4222;q/9ol51:&6fd<63twvq6gl6;29 77c2j<0Z??i:3yO677=9r\::h4={%3:4?e13_;?976=#=kk1?6sr}M014?2|^;:n6>uYc`81!3e13i=7)={Qkk09w);m9;37g>"4;m0>no5r$76;>g=z^;:n6>uYc`81!3e13i=7)={Qkk09w);m9;37g>"4;m0>nl5r$76;>g=z^;:n6>uYc`81!3e13i=7)={Qkk09w);m9;a6?!54l3?in6s+65:96>{Q91l1>vXla;0x 0d>2j<0(>=k:4`a?x"1<10:<6sr}:k14a<72-8:h77}#90:1>=j4V066>7}#=kh1j6*:b`8e?x{zD;8;6{zu2c9>6?u+5c`9b>"2jh0m7psrL303>4}Q:8<1>vXla;0x 0d>2;:h7)={#>=21j6sr}:m2<<<72-8:h7?79:9~f14029086=4?{%02`?46i2B9=<5f15f94?"59m0:8n5Y20d95~J5:80:w[ml:3y'5<6=9=n0Z<:::3y'1gd=92.>nl4>;|~y>o6d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>4d9U513=:r.>no4>;%7ae?7f;3xH74628q]on4={%3:4?7?12\:884={%7af?7<,50z&15a<5:=1C>5<#:8n1=574;|`76<<72:0;6=u+20f91f=O:8;0e<:k:18'64b=9=i0D??l;W02b?7|D;8:683;?h6X>4481!3ej3=0(8ln:69~yx{n6=4+20f951e<@;;h7[<>f;3xH74628q]on4={%3:4?73m2\:884={%7af?1<,>290/>??51zT`g?4|,83;6<66;W371?4|,{zut1vn95<7s-8:h7l8;I025>o6d;37g>=h9131<7*=1e82<<=Q:8l1=vB=2082Sed2;q/=4>519;8R4222;q/9ol51:&6fd<63twvq6sm42394?0=83:p(??k:06b?M4692.::i4>1:k20f<72-8:h7?;c:T15c<6sE89=7?tV04f>7}#90:1=9m4V066>7}#=kh1=6*:b`82?x{zu2ch97>5$33g>f3<^;;m6l09w)?60;a6?S73=38p(8lm:09'1gg=92wvqp5fc783>!46l3i=7[<>f;0xH74628q]=;k52z&2=52\:884={%7af?5<,5r}|N165<3s_8;i7=tVbc96~"2j00h:6*<3e86fg=z^jh1>v*:b8820f=#;:n19ol4}%47v*:b8820f=#;:n19oo4}%47v*:b88`1>"4;m0>no5r$76;>7=z^82m6?uYc`81!3e13i=7)={#>=21==5r}|9j65b=83.9=i4=0e9U64`=:rF9><4>{W35a?4|,83;6?>k;W371?4|,{zuE89<7?tV335>7}Qkh09w);m9;03`>"4;m0>no5r$76;>c=zut1b>=m50;&15a<58j1]>76d3_;?97c=#=kk1j6sr}M014?7|^;;=6?uYc`81!3e138;o6*<3e86fg=z,?>36k5r}|9l5=?=83.9=i4>8898yg25l3:1?7>50z&15a<59h1C>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728>o7[?;5;0x 0de281/9oo51:~yx=n9=o1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>515g8R4222;q/9ol51:&6fd<63twvq6a>8883>!46l3;356X=1g82I4593;pZnm52z&2=5<6001]=9;52z&6fg<63-?im7?4}|~?xd3:l0;6<4?:1y'64b=:;>0D??>;n3;=?6=,;;o6<66;:a07`=8391<7>t$33g>0e<@;;:7d?;d;29 77c28>h7E<>c:T15c<6sE89=7?tVba96~"6190:8i5Y15796~"2jk0<7);ma;58yx{z3`;?i7>5$33g>42d3A8:o6X=1g82I4593;pZnm52z&2=5<6:0yUgf<5s-;2<7?79:T200<5s-?in7?4$4`b>4=zutw0qo:<2;296?6=8r.9=i4m7:J154=n9=i1<7*=1e820f=5<1290;w)<>d;37e>N5981/=;j5109j51e=83.9=i4>4b9U64`=9rF9><4>{W35a?4|,83;6<:l;W371?4|,{zut1bo84?:%02`?e23_8:j7?tL302>4}Q9?o1>v*>918`1>P6<<09w);mb;38 0df281vqps4ib494?"59m0h:6X=1g81I4593;pZ<8j:3y'5<6=k?1]=9;52z&6fg<43-?im7=4}|O676=7}#=k31o;5+32f91gd7}#=k31o;5+32f91gd7}#=k31o;5+32f91gd36<>4}|8m76c290/>??51zT22`<5s-;2<7c=zutF9>=4>{W022?4|^jk1>v*:b8814a=#;:n19ol4}%47l:18'64b=:9i0Z??i:3yO677=9r\::h4={%3:4?47k2\:884={%7af?`<,>290/>4?:1y'64b=:8k0D??>;h37`?6=,;;o6<:l;W02b?7|D;8:683;?h6X>4481!3ej3;0(8ln:09~yx{n6=4+20f951e<^;;m6nl4>;|~y>{e<:>1<7?50;2x 77c2;8?7E<>1:m2<<<72-8:h7?79:9~f15229086=4?{%02`?3d3A8:=6g>4e83>!46l3;?o6F=1b9U64`=9rF9><4>{Wa`>7}#90:1=9j4V066>7}#=kh1;6*:b`84?x{zu2c:8h4?:%02`?73k2B9=n5Y20d95~J5:80:w[ml:3y'5<6=9=o0Z<:::3y'1gd=?2.>nl48;|~y>i6000;6)<>d;3;=>P59o0:wA<=1;3xRfe=:r.:5=4>889U513=:r.>no4>;%7ae?77<729q/>h6=4+20f951e<3f;357>5$33g>4>>3_8:j7?tL302>4}Qkj09w)?60;3;=>P6<<09w);mb;38 0df281vqps4}c641?6=>3:1??51zT22`<5s-;2<7?;c:T200<5s-?in7?4$4`b>4=zutw0en;50;&15a{M015?7|^8v*:bc82?!3ei3;0qpsr;ha5>5<#:8n1o;5Y20d96~J5:80:w[?9e;0x 4?72j<0Z<:::3y'1gd=;2.>nl4<;|~H7472=q]>=k53zT`e?4|,0de3t\hn742d3-98h7;mb:'21>=j2w]>=k53zT`e?4|,0de3t\hn742d3-98h7;ma:'21>=j2w]>=k53zT`e?4|,0de3t\hn7f3<,:9o68lm;|&50=<53t\:4k4={Wab>7}#=k31o;5+32f91gd:0yU53c=:r.:5=4=0e9U513=:r.>no4i;%7ae?`?>51zT153<5s_ij6?u+5c;965b<,:9o68lm;|&50=f;0xH74628q]=;k52z&2=5<58j1]=9;52z&6fg7}#=k31>=m4$21g>0de3t.=854i;|~?j7?13:1(??k:0::?>{e<>;1<7=50;2x 77c2;;j7E<>1:k20a<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:8i5Y15796~"2jk0:7);ma;38yx{z3`;?i7>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;37a>P6<<09w);mb;38 0df281vqps4o0::>5<#:8n1=574V33e>4}K:;;1=vXlc;0x 4?728227[?;5;0x 0de281/9oo51:~yx=zj==96=4>:183!46l38986F=109l5=?=83.9=i4>8898yg20;3:1?7>50z&15a<2k2B9=<5f15f94?"59m0:8n5Y20d95~J5:80:w[ml:3y'5<6=9=n0Z<:::3y'1gd=m2.>nl4j;|~y>o6d;37g>N59j1]>>6?u+5c`9a>"2jh0n7psr}:m2<<<72-8:h7?79:T15c<6sE89=7?tVba96~"6190:445Y15796~"2jk0:7);ma;38yx{z3th?;:4?:383>5}#:8n1n:5G2038m42d290/>:0yUgf<5s-;2<7?79:T200<5s-?in7?4$4`b>4=zutw0qo:8b;296?6=8r.9=i4m7:J154=n9=i1<7*=1e820f=5<1290;w)<>d;37e>N5981/=;j5109j51e=83.9=i4>4b9U64`=9rF9><4>{W35a?4|,83;6<:l;W371?4|,{zut1bo84?:%02`?e23_8:j7?tL302>4}Q9?o1>v*>918`1>P6<<09w);mb;38 0df281vqps4ib494?"59m0h:6X=1g81I4593;pZ<8j:3y'5<6=k?1]=9;52z&6fg<43-?im7=4}|O676=7}#=k31o;5+32f91gd7}#=k31o;5+32f91gd7}#=k31o;5+32f91gd36<>4}|8m76c290/>??51zT22`<5s-;2<7c=zutF9>=4>{W022?4|^jk1>v*:b8814a=#;:n19ol4}%47l:18'64b=:9i0Z??i:3yO677=9r\::h4={%3:4?47k2\:884={%7af?`<,>290/>4?:1y'64b=:8k0D??>;h37`?6=,;;o6<:l;W02b?7|D;8:683;?h6X>4481!3ej3;0(8ln:09~yx{n6=4+20f951e<^;;m6nl4>;|~y>{e<>31<7?50;2x 77c2;8?7E<>1:m2<<<72-8:h7?79:9~f11f290?6=4?{%02`?3d3A8:=6g>4e83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6{M015?7|^ji1>v*>91820`=Q9=?1>v*:bc8f?!3ei3o0qpsr;h36=?6=,;;o6<:l;I02g>P59o0:wA<=1;3xRfe=:r.:5=4>589U513=:r.>no4j;%7ae?cf;3xH74628q]on4={%3:4?7?12\:884={%7af?7<,50z&15a<2k2B9=<5f15f94?"59m0:8n5Y20d95~J5:80:w[ml:3y'5<6=9=n0Z<:::3y'1gd=12.>nl46;|~y>o6d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>4d9U513=:r.>no46;%7ae??f;3xH74628q]on4={%3:4?7?12\:884={%7af?7<,=3:1>7>50z&15a5<#:8n1=574V33e>4}K:;;1=vXlc;0x 4?728227[?;5;0x 0de281/9oo51:~yx=zj=3o6=4=:183!46l3h<7E<>1:k20f<72-8:h7?;c:9l5=?=83.9=i4>889U64`=9rF9><4>{Wa`>7}#90:1=574V066>7}#=kh1=6*:b`82?x{zu2wi8l<50;494?6|,;;o6<:n;I025>"6>m0:=6g>4b83>!46l3;?o6X=1g82I4593;pZ<8j:3y'5<6=9=i0Z<:::3y'1gd=92.>nl4>;|~y>od=3:1(??k:b78R77a28qG>??51zT22`<5s-;2<7m:;W371?4|,{zut1bo;4?:%02`?e13_8:j74}Q9?o1>v*>918`2>P6<<09w);mb;18 0df2:1vqpB=2187S47m39pZno52z&6f<2.8?i4:bc9~Rfd=:r.>n44>4b9'76b==kh0q)8;8;`8yS47m39pZno52z&6f<2.8?i4:bc9~Rfd=:r.>n44>4b9'76b==kk0q)8;8;`8yS47m39pZno52z&6f<2.8?i4:bc9~Rfd=:r.>n44l5:&07a<2jk1v(;:7:39~R4>a2;q]ol4={%7a=?e13-98h7;mb:'21>=991vqp5f21f94?"59m09vB=2082S71m38p(<7?:32`?S73=38p(8lm:g9'1gg=n2wvqA<=0;3xR7712;q]ol4={%7a=?47k2.8?i4:bc9~ 32?2o1vqp5`19;94?"59m0:4454}c6:2?6=;3:142c3_;?974=#=kk1=6sr}|9j51c=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=9k4V066>7}#=kh1=6*:b`82?x{zu2e:444?:%02`?7?12\9=k4>{M015?7|^ji1>v*>9182<<=Q9=?1>v*:bc82?!3ei3;0qpsr;|`7=2<7280;6=u+20f9672<@;;:7b?79;29 77c282276sm48;94?5=83:p(??k:4a8L7763`;?h7>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;37`>P6<<09w);mb;38 0df281vqps4i06f>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728>n7[?;5;0x 0de281/9oo51:~yx=h9131<7*=1e82<<=Q:8l1=vB=2082Sed2;q/=4>519;8R4222;q/9ol51:&6fd<63twvq6sm48c94?5=83:p(??k:4a8L7763`;?h7>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;37`>P6<<09w);mb;g8 0df2l1vqps4i06f>5<#:8n1=9m4H33`?S46n3;p@?<>:0yUgf<5s-;2<7?;e:T200<5s-?in7k4$4`b>`=zutw0c<66:18'64b=9130Z??i:0yO677=9r\ho74>>3_;?974=#=kk1=6sr}|9~f1?e29086=4?{%02`?3d3A8:=6g>4e83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6{M015?7|^ji1>v*>91820`=Q9=?1>v*:bc8f?!3ei3o0qpsr;n3;=?6=,;;o6<66;W02b?7|D;8:683;356X>4481!3ej3;0(8ln:09~yx{2o7>53;294~"59m0>o6F=109j51b=83.9=i4>4b9K64e<^;;m6??51zT`g?4|,83;6<:j;W371?4|,{zut1d=5750;&15a<6001]>>6?u+5c`95>"2jh0:7psr}:a0<>=83?1<7>t$33g>4>?3A8:=6g>4e83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6:0yUgf<5s-;2<7?;e:T200<5s-?in7?4$4`b>4=zutw0e<;6:18'64b=9=i0Z??i:0yO677=9r\ho743>3_;?974=#=kk1=6sr}|9j532=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=;:4V066>7}#=kh1=6*:b`82?x{zu2e:444?:%02`?7?12\9=k4>{M015?7|^ji1>v*>9182<<=Q9=?1>v*:bc82?!3ei3;0qpsr;|`7=`<72;;1<7>t$33g>0e<@;;:7d?;d;29 77c28>h7[<>f;3xH74628q]on4={%3:4?73l2\:884={%7af??<,??51zT`g?4|,83;6<:j;W371?4|,{zut1b=8750;&15a<6>6?u+5c`9=>"2jh027psr}:k221<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190::95Y15796~"2jk027);ma;;8yx{z3`;=;7>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;353>P6<<09w);mb;;8 0df201vqps4i04;>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728<37[?;5;0x 0de201/9oo59:~yx=n9?31<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>517;8R4222;q/9ol59:&6fd<>3twvq6g>6`83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6>h1]=9;52z&6fg<>3-?im774}|~?l71j3:1(??k:06`?S46n3;p@?<>:0yUgf<5s-;2<7?9b:T200<5s-?in774$4`b><=zutw0e<8l:18'64b=9=i0Z??i:0yO677=9r\ho740d3_;?97<=#=kk156sr}|9j51`=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=9h4V066>7}#=kh156*:b`8:?x{zu2c:9=4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>918215=Q9=?1>v*:bc8:?!3ei330qpsr;h365?6=,;;o6<:l;W02b?7|D;8:683;>=6X>4481!3ej330(8ln:89~yx{nl46;|~y>o6==0;6)<>d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>559U513=:r.>no46;%7ae??h7[<>f;3xH74628q]on4={%3:4?72=2\:884={%7af?`<,??51zT`g?4|,83;6<;9;W371?4|,{zut1b=8950;&15a<6>6?u+5c`95>"2jh0:7psr}:k21=<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:955Y15796~"2jk0:7);ma;38yx{z3`;>m7>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;36e>P6<<09w);mb;38 0df281vqps4i07a>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728?i7[?;5;0x 0de281/9oo51:~yx=n9514a8R4222;q/9ol51:&6fd<63twvq6g>5e83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6=m1]=9;52z&6fg<63-?im7?4}|~?l72m3:1(??k:06`?S46n3;p@?<>:0yUgf<5s-;2<7?:e:T200<5s-?in7?4$4`b>4=zutw0e<;i:18'64b=9=i0Z??i:0yO677=9r\ho743a3_;?974=#=kk1=6sr}|9j536=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=;>4V066>7}#=kh1=6*:b`82?x{zu2c::<4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>918224=Q9=?1>v*:bc82?!3ei3;0qpsr;h356?6=,;;o6<:l;W02b?7|D;8:683;=>6X>4481!3ej3;0(8ln:09~yx{nl4>;|~y>o6>?0;6)<>d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>679U513=:r.>no4>;%7ae?7f;3xH74628q]on4={%3:4?7?12\:884={%7af?7<,n3:1><4?:1y'64b==j1C>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728>o7[?;5;0x 0de201/9oo59:~yx=n9=o1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>515g8R4222;q/9ol59:&6fd<>3twvq6g>5883>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6=01]=9;52z&6fg<>3-?im774}|~?l71<3:1(??k:06`?S46n3;p@?<>:0yUgf<5s-;2<7?94:T200<5s-?in774$4`b><=zutw0e<88:18'64b=9=i0Z??i:0yO677=9r\ho74003_;?97<=#=kk156sr}|9j53>=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=;64V066>7}#=kh156*:b`8:?x{zu2c::44?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91822<=Q9=?1>v*:bc8:?!3ei330qpsr;h35e?6=,;;o6<:l;W02b?7|D;8:683;=m6X>4481!3ej330(8ln:89~yx{nl46;|~y>o6d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>4g9U513=:r.>no46;%7ae??h7[<>f;3xH74628q]on4={%3:4?7282\:884={%7af??<,??51zT`g?4|,83;6<;>;W371?4|,{zut1b=8<50;&15a<6>6?u+5c`9=>"2jh027psr}:k216<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:9>5Y15796~"2jk027);ma;;8yx{z3`;>87>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;360>P6<<09w);mb;;8 0df201vqps4i076>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728?>7[?;5;0x 0de2o1/9oo5f:~yx=n9<<1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>51448R4222;q/9ol51:&6fd<63twvq6g>5683>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6=>1]=9;52z&6fg<63-?im7?4}|~?l7203:1(??k:06`?S46n3;p@?<>:0yUgf<5s-;2<7?:8:T200<5s-?in7?4$4`b>4=zutw0e<;n:18'64b=9=i0Z??i:0yO677=9r\ho743f3_;?974=#=kk1=6sr}|9j50d=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=8l4V066>7}#=kh1=6*:b`82?x{zu2c:9n4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91821f=Q9=?1>v*:bc82?!3ei3;0qpsr;h36`?6=,;;o6<:l;W02b?7|D;8:683;>h6X>4481!3ej3;0(8ln:09~yx{nl4>;|~y>o6>90;6)<>d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>619U513=:r.>no4>;%7ae?7h7[<>f;3xH74628q]on4={%3:4?7192\:884={%7af?7<,??51zT`g?4|,83;6<8=;W371?4|,{zut1b=;=50;&15a<6>6?u+5c`95>"2jh0:7psr}:k220<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190::85Y15796~"2jk0:7);ma;38yx{z3`;=:7>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;352>P6<<09w);mb;38 0df281vqps4o0::>5<#:8n1=574V33e>4}K:;;1=vXlc;0x 4?728227[?;5;0x 0de281/9oo51:~yx=zj=k;6=4<:183!46l3;346F=109j51b=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=9j4V066>7}#=kh1=6*:b`82?x{zu2c:8h4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91820`=Q9=?1>v*:bc82?!3ei3;0qpsr;n3;=?6=,;;o6<66;W02b?7|D;8:683;356X>4481!3ej3;0(8ln:09~yx{52;294~"59m0i;6F=109j51e=83.9=i4>4b98k4>>290/>??51zT`g?4|,83;6<66;W371?4|,{zut1vn8jl:181>5<7s-8:h7l8;I025>o6d;37g>=h9131<7*=1e82<<=Q:8l1=vB=2082Sed2;q/=4>519;8R4222;q/9ol51:&6fd<63twvq6sm5d394?0=83:p(??k:06b?M4692.::i4>1:k20f<72-8:h7?;c:T15c<6sE89=7?tV04f>7}#90:1=9m4V066>7}#=kh1=6*:b`82?x{zu2ch97>5$33g>f3<^;;m6l09w)?60;a6?S73=38p(8lm:09'1gg=92wvqp5fc783>!46l3i=7[<>f;0xH74628q]=;k52z&2=52\:884={%7af?5<,5r}|N165<3s_8;i7=tVbc96~"2j00h:6*<3e86fg=z^jh1>v*:b8820f=#;:n19ol4}%47v*:b8820f=#;:n19oo4}%47v*:b88`1>"4;m0>no5r$76;>7=z^82m6?uYc`81!3e13i=7)={#>=21==5r}|9j65b=83.9=i4=0e9U64`=:rF9><4>{W35a?4|,83;6?>k;W371?4|,{zuE89<7?tV335>7}Qkh09w);m9;03`>"4;m0>no5r$76;>c=zut1b>=m50;&15a<58j1]>76d3_;?97c=#=kk1j6sr}M014?7|^;;=6?uYc`81!3e138;o6*<3e86fg=z,?>36k5r}|9l5=?=83.9=i4>8898yg3c=3:1?7>50z&15a<59h1C>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728>o7[?;5;0x 0de281/9oo51:~yx=n9=o1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>515g8R4222;q/9ol51:&6fd<63twvq6a>8883>!46l3;356X=1g82I4593;pZnm52z&2=5<6001]=9;52z&6fg<63-?im7?4}|~?xd2l?0;6<4?:1y'64b=:;>0D??>;n3;=?6=,;;o6<66;:a1a>=8391<7>t$33g>0e<@;;:7d?;d;29 77c28>h7[<>f;3xH74628q]on4={%3:4?73l2\:884={%7af?7<,??51zT`g?4|,83;6<:j;W371?4|,{zut1d=5750;&15a<6001]>>6?u+5c`95>"2jh0:7psr}:a1a?=83?1<7>t$33g>0e<@;;:7d?;d;29 77c28>h7[<>f;3xH74628q]on4={%3:4?73l2\:884={%7af?c<,4}Qkj09w)?60;37a>P6<<09w);mb;g8 0df2l1vqps4i07:>5<#:8n1=9m4H33`?S46n3;p@?<>:0yUgf<5s-;2<7?:9:T200<5s-?in7k4$4`b>`=zutw0e<8;:18'64b=9=i0D??l;W02b?7|D;8:683;=86X>4481!3ej3o0(8ln:d9~yx{5<1290;w)<>d;7`?M4692c:8i4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91820a=Q9=?1>v*:bc84?!3ei3=0qpsr;h37a?6=,;;o6<:l;I02g>P59o0:wA<=1;3xRfe=:r.:5=4>4d9U513=:r.>no4j;%7ae?ch7E<>c:T15c<6sE89=7?tVba96~"6190:945Y15796~"2jk0n7);ma;g8yx{z3`;=87>5$33g>42d3A8:o6X=1g82I4593;pZnm52z&2=5<6>=1]=9;52z&6fg:0yUgf<5s-;2<7?97:T200<5s-?in7k4$4`b>`=zutw0c<66:18'64b=9130Z??i:0yO677=9r\ho74>>3_;?974=#=kk1=6sr}|9~f0be290=6=4?{%02`?3d3A8:=6g>4e83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6{M015?7|^ji1>v*>91820`=Q9=?1>v*:bc8f?!3ei3o0qpsr;h36=?6=,;;o6<:l;I02g>P59o0:wA<=1;3xRfe=:r.:5=4>589U513=:r.>no4j;%7ae?ch7E<>c:T15c<6sE89=7?tVba96~"6190::95Y15796~"2jk0n7);ma;g8yx{z3`;=;7>5$33g>42d3A8:o6X=1g82I4593;pZnm52z&2=5<6>>1]=9;52z&6fg:0yUgf<5s-;2<7?79:T200<5s-?in7?4$4`b>4=zutw0qo;k7;291?6=8r.9=i4>899K647o6=4+20f951e<^;;m6nl4>;|~y>o6=00;6)<>d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>589U513=:r.>no4>;%7ae?7h7[<>f;3xH74628q]on4={%3:4?71<2\:884={%7af?7<,>290/>??51zT`g?4|,83;6<66;W371?4|,{zut1vn8jk:18:>5<7s-8:h7;l;I025>o6d;37g>N59j1]>>6?u+5c`9=>"2jh027psr}:k20`<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:8h5Y15796~"2jk027);ma;;8yx{z3`;>57>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;36=>P6<<09w);mb;;8 0df201vqps4i047>5<#:8n1=9m4H33`?S46n3;p@?<>:0yUgf<5s-;2<7?94:T200<5s-?in774$4`b><=zutw0e<88:18'64b=9=i0D??l;W02b?7|D;8:683;=;6X>4481!3ej3l0(8ln:g9~yx{f;3xH74628q]on4={%3:4?7102\:884={%7af?`<,290/>4}Qkj09w)?60;35=>P6<<09w);mb;d8 0df2o1vqps4i04b>5<#:8n1=9m4H33`?S46n3;p@?<>:0yUgf<5s-;2<7?9a:T200<5s-?in7h4$4`b>c=zutw0c<66:18'64b=9130Z??i:0yO677=9r\ho74>>3_;?974=#=kk1=6sr}|9~f0bb29026=4?{%02`?3d3A8:=6g>4e83>!46l3;?o6F=1b9U64`=9rF9><4>{Wa`>7}#90:1=9j4V066>7}#=kh156*:b`8:?x{zu2c:8h4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91820`=Q9=?1>v*:bc8:?!3ei330qpsr;h36=?6=,;;o6<:l;W02b?7|D;8:683;>56X>4481!3ej330(8ln:89~yx{??51zT`g?4|,83;6<88;W371?4|,{zut1b=;650;&15a<64}K:;;1=vXlc;0x 4?728<37[?;5;0x 0de2o1/9oo5f:~yx=n9?31<7*=1e820f=O:8i0Z??i:0yO677=9r\ho740>3_;?97c=#=kk1j6sr}|9j53g=83.9=i4>4b9K64e<^;;m6nl4>;|~y>{e=ml1<7=50;2x 77c28237E<>1:k20a<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:8i5Y15796~"2jk0:7);ma;38yx{z3`;?i7>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;37a>P6<<09w);mb;38 0df281vqps4o0::>5<#:8n1=574V33e>4}K:;;1=vXlc;0x 4?728227[?;5;0x 0de281/9oo51:~yx=zj?8?6=4=:183!46l3h<7E<>1:k20f<72-8:h7?;c:9l5=?=83.9=i4>889U64`=9rF9><4>{Wa`>7}#90:1=574V066>7}#=kh1=6*:b`82?x{zu2wi:?750;494?6|,;;o6<:n;I025>"6>m0:=6g>4b83>!46l3;?o6X=1g82I4593;pZ<8j:3y'5<6=9=i0Z<:::3y'1gd=92.>nl4>;|~y>od=3:1(??k:b78R77a28qG>??51zT22`<5s-;2<7m:;W371?4|,{zut1bo;4?:%02`?e13_8:j74}Q9?o1>v*>918`2>P6<<09w);mb;18 0df2:1vqpB=2187S47m39pZno52z&6f<2.8?i4:bc9~Rfd=:r.>n44>4b9'76b==kh0q)8;8;`8yS47m39pZno52z&6f<2.8?i4:bc9~Rfd=:r.>n44>4b9'76b==kk0q)8;8;`8yS47m39pZno52z&6f<2.8?i4:bc9~Rfd=:r.>n44l5:&07a<2jk1v(;:7:39~R4>a2;q]ol4={%7a=?e13-98h7;mb:'21>=991vqp5f21f94?"59m09vB=2082S71m38p(<7?:32`?S73=38p(8lm:g9'1gg=n2wvqA<=0;3xR7712;q]ol4={%7a=?47k2.8?i4:bc9~ 32?2o1vqp5`19;94?"59m0:4454}c411?6=;3:142c3_;?974=#=kk1=6sr}|9j51c=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=9k4V066>7}#=kh1=6*:b`82?x{zu2e:444?:%02`?7?12\9=k4>{M015?7|^ji1>v*>9182<<=Q9=?1>v*:bc82?!3ei3;0qpsr;|`563<7280;6=u+20f9672<@;;:7b?79;29 77c282276sm63594?5=83:p(??k:4a8L7763`;?h7>5$33g>42d3A8:o6X=1g82I4593;pZnm52z&2=5<6:0yUgf<5s-;2<7?;e:T200<5s-?in7k4$4`b>`=zutw0c<66:18'64b=9130Z??i:0yO677=9r\ho74>>3_;?974=#=kk1=6sr}|9~f35d29096=4?{%02`?d03A8:=6g>4b83>!46l3;?o65`19;94?"59m0:445Y20d95~J5:80:w[ml:3y'5<6=9130Z<:::3y'1gd=92.>nl4>;|~y>{e>=;1<7850;2x 77c28>j7E<>1:&22a<692c:8n4?:%02`?73k2\9=k4>{M015?7|^8>6?u+5c`95>"2jh0:7psr}:k`1?6=,;;o6n;4V33e>4}K:;;1=vX>6d81!7>83i>7[?;5;0x 0de281/9oo51:~yx=nk?0;6)<>d;a5?S46n38p@?<>:0yU53c=:r.:5=4l6:T200<5s-?in7=4$4`b>6=zutF9>=4;{W03a?5|^jk1>v*:b88`2>"4;m0>no5rVb`96~"2j00:8n5+32f91gdv*:b88`2>"4;m0>no5rVb`96~"2j00:8n5+32f91ggv*:b88`2>"4;m0>no5rVb`96~"2j00h96*<3e86fg=z,?>36?5rV0:e>7}Qkh09w);m9;a5?!54l3?in6s+65:955=zut1b>=j50;&15a<58m1]>76c3_;?97c=#=kk1j6sr}M014?7|^;;=6?uYc`81!3e138;h6*<3e86fg=z,?>36k5r}|9j65e=83.9=i4=0b9U64`=:rF9><4>{W35a?4|,83;6?>l;W371?4|,{zuE89<7?tV335>7}Qkh09w);m9;03g>"4;m0>no5r$76;>c=zut1d=5750;&15a<60010qo8o6=4+20f951e<^;;m6nl4>;|~y>i6000;6)<>d;3;=>P59o0:wA<=1;3xRfe=:r.:5=4>889U513=:r.>no4>;%7ae?74<729q/>5$33g>4>>32wi:>h50;194?6|,;;o68m4H332?l73l3:1(??k:06`?S46n3;p@?<>:0yUgf<5s-;2<7?;d:T200<5s-?in7k4$4`b>`=zutw0e<:j:18'64b=9=i0Z??i:0yO677=9r\ho742b3_;?97`=#=kk1i6sr}|9l5=?=83.9=i4>889U64`=9rF9><4>{Wa`>7}#90:1=574V066>7}#=kh1=6*:b`82?x{zu2wi=lo50;094?6|,;;o6o94H332?l73k3:1(??k:06`?>i6000;6)<>d;3;=>P59o0:wA<=1;3xRfe=:r.:5=4>889U513=:r.>no4>;%7ae?7b183>7<729q/>h6=4+20f951e<3f;357>5$33g>4>>3_8:j7?tL302>4}Qkj09w)?60;3;=>P6<<09w);mb;48 0df2?1vqps4}c3bf?6=;3:142c3_;?974=#=kk1=6sr}|9j51c=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=9k4V066>7}#=kh1=6*:b`82?x{zu2e:444?:%02`?7?12\9=k4>{M015?7|^ji1>v*>9182<<=Q9=?1>v*:bc82?!3ei3;0qpsr;|`2ef<7280;6=u+20f9672<@;;:7b?79;29 77c282276sm1`g94?5=83:p(??k:4a8L7763`;?h7>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;37`>P6<<09w);mb;58 0df2>1vqps4i06f>5<#:8n1=9m4H33`?S46n3;p@?<>:0yUgf<5s-;2<7?;e:T200<5s-?in7k4$4`b>`=zutw0c<66:18'64b=9130Z??i:0yO677=9r\ho74>>3_;?974=#=kk1=6sr}|9~f4ga29086=4?{%02`?3d3A8:=6g>4e83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6:0yUgf<5s-;2<7?;e:T200<5s-?in794$4`b>2=zutw0c<66:18'64b=9130Z??i:0yO677=9r\ho74>>3_;?974=#=kk1=6sr}|9~f4gc29086=4?{%02`?7?02B9=<5f15f94?"59m0:8n5Y20d95~J5:80:w[ml:3y'5<6=9=n0Z<:::3y'1gd=92.>nl4>;|~y>o6d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>4d9U513=:r.>no4>;%7ae?7f;3xH74628q]on4={%3:4?7?12\:884={%7af?7<,7>50z&15a5<#:8n1=574V33e>4}K:;;1=vXlc;0x 4?728227[?;5;0x 0de281/9oo51:~yx=zj8h<6=4=:183!46l3h<7E<>1:k20f<72-8:h7?;c:9l5=?=83.9=i4>889U64`=9rF9><4>{Wa`>7}#90:1=574V066>7}#=kh1:6*:b`85?x{zu2wi=o<50;194?6|,;;o6??n;I025>o6d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>4e9U513=:r.>no4>;%7ae?7h7[<>f;3xH74628q]on4={%3:4?73m2\:884={%7af?7<,>290/>??51zT`g?4|,83;6<66;W371?4|,{zut1vn5<7s-8:h7<=4:J154=h9131<7*=1e82<<=53;294~"59m0>o6F=109j51b=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=9j4V066>7}#=kh1;6*:b`84?x{zu2c:8h4?:%02`?73k2B9=n5Y20d95~J5:80:w[ml:3y'5<6=9=o0Z<:::3y'1gd=m2.>nl4j;|~y>i6000;6)<>d;3;=>P59o0:wA<=1;3xRfe=:r.:5=4>889U513=:r.>no4>;%7ae?7b783>6<729q/>o6=4+20f951e<^;;m6nl48;|~y>i6000;6)<>d;3;=>P59o0:wA<=1;3xRfe=:r.:5=4>889U513=:r.>no4>;%7ae?7b583>6<729q/>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;37`>P6<<09w);mb;38 0df281vqps4i06f>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728>n7[?;5;0x 0de281/9oo51:~yx=h9131<7*=1e82<<=Q:8l1=vB=2082Sed2;q/=4>519;8R4222;q/9ol51:&6fd<63twvq6sm1c:94?4=83:p(??k:c58L7763`;?o7>5$33g>42d32e:444?:%02`?7?12\9=k4>{M015?7|^ji1>v*>9182<<=Q9=?1>v*:bc82?!3ei3;0qpsr;|`2f`<72;0;6=u+20f9f2=O:8;0e<:l:18'64b=9=i07b?79;29 77c28227[<>f;3xH74628q]on4={%3:4?7?12\:884={%7af?7<,7>50z&15a5<#:8n1=574V33e>4}K:;;1=vXlc;0x 4?728227[?;5;0x 0de2?1/9oo56:~yx=zj8h26=4<:183!46l38:m6F=109j51b=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=9j4V066>7}#=kh1=6*:b`82?x{zu2c:8h4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91820`=Q9=?1>v*:bc82?!3ei3;0qpsr;n3;=?6=,;;o6<66;W02b?7|D;8:683;356X>4481!3ej3;0(8ln:09~yx{51;294~"59m09>95G2038k4>>290/>4?:1y'64b==j1C>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728>o7[?;5;0x 0de2>1/9oo57:~yx=n9=o1<7*=1e820f=O:8i0Z??i:0yO677=9r\ho742b3_;?97`=#=kk1i6sr}|9l5=?=83.9=i4>889U64`=9rF9><4>{Wa`>7}#90:1=574V066>7}#=kh1=6*:b`82?x{zu2wi=oj50;194?6|,;;o68m4H332?l73l3:1(??k:06`?S46n3;p@?<>:0yUgf<5s-;2<7?;d:T200<5s-?in7k4$4`b>`=zutw0e<:j:18'64b=9=i0Z??i:0yO677=9r\ho742b3_;?972=#=kk1;6sr}|9l5=?=83.9=i4>889U64`=9rF9><4>{Wa`>7}#90:1=574V066>7}#=kh1=6*:b`82?x{zu2wi=ol50;194?6|,;;o6<67;I025>o6d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>4e9U513=:r.>no4>;%7ae?7h7[<>f;3xH74628q]on4={%3:4?73m2\:884={%7af?7<,>290/>??51zT`g?4|,83;6<66;W371?4|,{zut1vn5<7s-8:h7;l;I025>o6d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>4e9U513=:r.>no4i;%7ae?`h7[<>f;3xH74628q]on4={%3:4?73m2\:884={%7af??<,>290/>??51zT`g?4|,83;6<66;W371?4|,{zut1vn:181>5<7s-8:h7l8;I025>o6d;37g>=h9131<7*=1e82<<=Q:8l1=vB=2082Sed2;q/=4>519;8R4222;q/9ol51:&6fd<63twvq6sm1b594?4=83:p(??k:c58L7763`;?o7>5$33g>42d32e:444?:%02`?7?12\9=k4>{M015?7|^ji1>v*>9182<<=Q9=?1>v*:bc82?!3ei3;0qpsr;|`2gg<72;0;6=u+20f9f2=O:8;0e<:l:18'64b=9=i07b?79;29 77c28227[<>f;3xH74628q]on4={%3:4?7?12\:884={%7af?0<,50z&15a<59h1C>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728>o7[?;5;0x 0de281/9oo51:~yx=n9=o1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>515g8R4222;q/9ol51:&6fd<63twvq6a>8883>!46l3;356X=1g82I4593;pZnm52z&2=5<6001]=9;52z&6fg<63-?im7?4}|~?xd6k:0;6<4?:1y'64b=:;>0D??>;n3;=?6=,;;o6<66;:a5f3=8391<7>t$33g>0e<@;;:7d?;d;29 77c28>h7[<>f;3xH74628q]on4={%3:4?73l2\:884={%7af?1<,4}Qkj09w)?60;37a>P6<<09w);mb;g8 0df2l1vqps4o0::>5<#:8n1=574V33e>4}K:;;1=vXlc;0x 4?728227[?;5;0x 0de281/9oo51:~yx=zj8i=6=4<:183!46l3?h7E<>1:k20a<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:8i5Y15796~"2jk0n7);ma;g8yx{z3`;?i7>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;37a>P6<<09w);mb;58 0df2>1vqps4o0::>5<#:8n1=574V33e>4}K:;;1=vXlc;0x 4?728227[?;5;0x 0de281/9oo51:~yx=zj8i?6=4<:183!46l3;346F=109j51b=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=9j4V066>7}#=kh1=6*:b`82?x{zu2c:8h4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91820`=Q9=?1>v*:bc82?!3ei3;0qpsr;n3;=?6=,;;o6<66;W02b?7|D;8:683;356X>4481!3ej3;0(8ln:09~yx{53;294~"59m0>o6F=109j51b=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=9j4V066>7}#=kh156*:b`8:?x{zu2c:8h4?:%02`?73k2B9=n5Y20d95~J5:80:w[ml:3y'5<6=9=o0Z<:::3y'1gd=n2.>nl4i;|~y>i6000;6)<>d;3;=>P59o0:wA<=1;3xRfe=:r.:5=4>889U513=:r.>no4>;%7ae?7c883>6<729q/>o6=4+20f951e<^;;m6nl46;|~y>i6000;6)<>d;3;=>P59o0:wA<=1;3xRfe=:r.:5=4>889U513=:r.>no4>;%7ae?7c`83>6<729q/>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;37`>P6<<09w);mb;38 0df281vqps4i06f>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728>n7[?;5;0x 0de281/9oo51:~yx=h9131<7*=1e82<<=Q:8l1=vB=2082Sed2;q/=4>519;8R4222;q/9ol51:&6fd<63twvq6sm1ba94?4=83:p(??k:c58L7763`;?o7>5$33g>42d32e:444?:%02`?7?12\9=k4>{M015?7|^ji1>v*>9182<<=Q9=?1>v*:bc82?!3ei3;0qpsr;|`2`7<72;0;6=u+20f9f2=O:8;0e<:l:18'64b=9=i07b?79;29 77c28227[<>f;3xH74628q]on4={%3:4?7?12\:884={%7af?7<,7>50z&15a5<#:8n1=574V33e>4}K:;;1=vXlc;0x 4?728227[?;5;0x 0de2?1/9oo56:~yx=zj8io6=4<:183!46l38:m6F=109j51b=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=9j4V066>7}#=kh1=6*:b`82?x{zu2c:8h4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91820`=Q9=?1>v*:bc82?!3ei3;0qpsr;n3;=?6=,;;o6<66;W02b?7|D;8:683;356X>4481!3ej3;0(8ln:09~yx{51;294~"59m09>95G2038k4>>290/>4?:1y'64b==j1C>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728>o7[?;5;0x 0de281/9oo51:~yx=n9=o1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>515g8R4222;q/9ol51:&6fd<63twvq6a>8883>!46l3;356X=1g82I4593;pZnm52z&2=5<6001]=9;52z&6fg<63-?im7?4}|~?xd6l80;6>4?:1y'64b==j1C>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728>o7[?;5;0x 0de2>1/9oo57:~yx=n9=o1<7*=1e820f=O:8i0Z??i:0yO677=9r\ho742b3_;?97`=#=kk1i6sr}|9l5=?=83.9=i4>889U64`=9rF9><4>{Wa`>7}#90:1=574V066>7}#=kh1=6*:b`82?x{zu2wi=nh50;194?6|,;;o6<67;I025>o6d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>4e9U513=:r.>no4>;%7ae?7h7[<>f;3xH74628q]on4={%3:4?73m2\:884={%7af?7<,>290/>??51zT`g?4|,83;6<66;W371?4|,{zut1vn5<7s-8:h7;l;I025>o6d;37g>N59j1]>>6?u+5c`9=>"2jh027psr}:k20`<72-8:h7?;c:J15f=Q:8l1=vB=2082Sed2;q/=4>515g8R4222;q/9ol59:&6fd<>3twvq6g>5883>!46l3;?o6F=1b9U64`=9rF9><4>{Wa`>7}#90:1=874V066>7}#=kh156*:b`8:?x{zu2c::94?:%02`?73k2\9=k4>{M015?7|^ji1>v*>918221=Q9=?1>v*:bc8e?!3ei3l0qpsr;h353?6=,;;o6<:l;I02g>P59o0:wA<=1;3xRfe=:r.:5=4>669U513=:r.>no4i;%7ae?`h7[<>f;3xH74628q]on4={%3:4?7102\:884={%7af?`<,>290/>??51zT`g?4|,83;6<66;W371?4|,{zut1vn5<7s-8:h7;l;I025>o6d;37g>N59j1]>>6?u+5c`9=>"2jh027psr}:k20`<72-8:h7?;c:J15f=Q:8l1=vB=2082Sed2;q/=4>515g8R4222;q/9ol59:&6fd<>3twvq6g>5883>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6=01]=9;52z&6fg:0yUgf<5s-;2<7?94:T200<5s-?in7h4$4`b>c=zutw0e<88:18'64b=9=i0D??l;W02b?7|D;8:683;=;6X>4481!3ej3l0(8ln:g9~yx{nl4>;|~y>{e9m?1<7650;2x 77c2;h37`?6=,;;o6<:l;I02g>P59o0:wA<=1;3xRfe=:r.:5=4>4e9U513=:r.>no46;%7ae??h7[<>f;3xH74628q]on4={%3:4?73m2\:884={%7af??<,290/>??51zT`g?4|,83;6<;6;W371?4|,{zut1b=;:50;&15a<64}K:;;1=vXlc;0x 4?7284003_;?97c=#=kk1j6sr}|9j53>=83.9=i4>4b9K64e<^;;m6nl4i;|~y>i6000;6)<>d;3;=>P59o0:wA<=1;3xRfe=:r.:5=4>889U513=:r.>no4>;%7ae?7d783>=<729q/>o6=4+20f951e<@;;h7[<>f;3xH74628q]on4={%3:4?73l2\:884={%7af??<,??51zT`g?4|,83;6<:j;W371?4|,{zut1b=8750;&15a<6>6?u+5c`9=>"2jh027psr}:k221<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190::95Y15796~"2jk0m7);ma;d8yx{z3`;=;7>5$33g>42d3A8:o6X=1g82I4593;pZnm52z&2=5<6>>1]=9;52z&6fg{M015?7|^ji1>v*>91822==Q9=?1>v*:bc8e?!3ei3l0qpsr;h35=?6=,;;o6<:l;W02b?7|D;8:683;=56X>4481!3ej3l0(8ln:g9~yx{5<2290;w)<>d;3;<>N5981b=9j50;&15a<6>6?u+5c`95>"2jh0:7psr}:k20`<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:8h5Y15796~"2jk0:7);ma;38yx{z3`;>57>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;36=>P6<<09w);mb;38 0df281vqps4i047>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728519;8R4222;q/9ol51:&6fd<63twvq6sm1e;94?4=83:p(??k:c58L7763`;?o7>5$33g>42d32e:444?:%02`?7?12\9=k4>{M015?7|^ji1>v*>9182<<=Q9=?1>v*:bc82?!3ei3;0qpsr;|`2`a<72;0;6=u+20f9f2=O:8;0e<:l:18'64b=9=i07b?79;29 77c28227[<>f;3xH74628q]on4={%3:4?7?12\:884={%7af?7<,7>50z&15a5<#:8n1=574V33e>4}K:;;1=vXlc;0x 4?728227[?;5;0x 0de2?1/9oo56:~yx=zj8nj6=4<:183!46l38:m6F=109j51b=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=9j4V066>7}#=kh1=6*:b`82?x{zu2c:8h4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91820`=Q9=?1>v*:bc82?!3ei3;0qpsr;n3;=?6=,;;o6<66;W02b?7|D;8:683;356X>4481!3ej3;0(8ln:09~yx{51;294~"59m09>95G2038k4>>290/>4?:1y'64b==j1C>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728>o7[?;5;0x 0de281/9oo51:~yx=n9=o1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>515g8R4222;q/9ol51:&6fd<63twvq6a>8883>!46l3;356X=1g82I4593;pZnm52z&2=5<6001]=9;52z&6fg<63-?im7?4}|~?xd6ll0;6;4?:1y'64b==j1C>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728>o7[?;5;0x 0de201/9oo59:~yx=n9=o1<7*=1e820f=O:8i0Z??i:0yO677=9r\ho742b3_;?97c=#=kk1j6sr}|9j50?=83.9=i4>4b9K64e<^;;m6??51zT`g?4|,83;6<8;;W371?4|,{zut1b=;950;&15a<64}K:;;1=vXlc;0x 4?728<<7[?;5;0x 0de2o1/9oo5f:~yx=h9131<7*=1e82<<=Q:8l1=vB=2082Sed2;q/=4>519;8R4222;q/9ol51:&6fd<63twvq6sm1ed94?1=83:p(??k:4a8L7763`;?h7>5$33g>42d3A8:o6X=1g82I4593;pZnm52z&2=5<63-?im774}|~?l73m3:1(??k:06`?M46k2\9=k4>{M015?7|^ji1>v*>91820`=Q9=?1>v*:bc8:?!3ei330qpsr;h36=?6=,;;o6<:l;I02g>P59o0:wA<=1;3xRfe=:r.:5=4>589U513=:r.>no46;%7ae??h7[<>f;3xH74628q]on4={%3:4?71<2\:884={%7af?`<,4}Qkj09w)?60;353>P6<<09w);mb;d8 0df2o1vqps4i04;>5<#:8n1=9m4H33`?S46n3;p@?<>:0yUgf<5s-;2<7?98:T200<5s-?in7h4$4`b>c=zutw0c<66:18'64b=9130Z??i:0yO677=9r\ho74>>3_;?974=#=kk1=6sr}|9~f4c7290<6=4?{%02`?3d3A8:=6g>4e83>!46l3;?o6F=1b9U64`=9rF9><4>{Wa`>7}#90:1=9j4V066>7}#=kh156*:b`8:?x{zu2c:8h4?:%02`?73k2B9=n5Y20d95~J5:80:w[ml:3y'5<6=9=o0Z<:::3y'1gd=12.>nl46;|~y>o6=00;6)<>d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>589U513=:r.>no4i;%7ae?`h7[<>f;3xH74628q]on4={%3:4?71<2\:884={%7af?`<,4}Qkj09w)?60;353>P6<<09w);mb;d8 0df2o1vqps4i04;>5<#:8n1=9m4H33`?S46n3;p@?<>:0yUgf<5s-;2<7?98:T200<5s-?in7h4$4`b>c=zutw0c<66:18'64b=9130Z??i:0yO677=9r\ho74>>3_;?974=#=kk1=6sr}|9~f4c629036=4?{%02`?3d3A8:=6g>4e83>!46l3;?o6F=1b9U64`=9rF9><4>{Wa`>7}#90:1=9j4V066>7}#=kh156*:b`8:?x{zu2c:8h4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91820`=Q9=?1>v*:bc8:?!3ei330qpsr;h36=?6=,;;o6<:l;W02b?7|D;8:683;>56X>4481!3ej330(8ln:89~yx{f;3xH74628q]on4={%3:4?71<2\:884={%7af??<,4}Qkj09w)?60;353>P6<<09w);mb;d8 0df2o1vqps4i04;>5<#:8n1=9m4H33`?S46n3;p@?<>:0yUgf<5s-;2<7?98:T200<5s-?in7h4$4`b>c=zutw0e<86:18'64b=9=i0D??l;W02b?7|D;8:683;=56X>4481!3ej3l0(8ln:g9~yx{5d;7`?M4692c:8i4?:%02`?73k2B9=n5Y20d95~J5:80:w[ml:3y'5<6=9=n0Z<:::3y'1gd=12.>nl46;|~y>o6d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>4d9U513=:r.>no46;%7ae??h7[<>f;3xH74628q]on4={%3:4?7212\:884={%7af??<,??51zT`g?4|,83;6<8;;W371?4|,{zut1b=;950;&15a<64}K:;;1=vXlc;0x 4?728<<7[?;5;0x 0de2o1/9oo5f:~yx=n9?21<7*=1e820f=O:8i0Z??i:0yO677=9r\ho740?3_;?97c=#=kk1j6sr}|9j53?=83.9=i4>4b9K64e<^;;m6nl4>;|~y>{e9l91<7850;2x 77c28237E<>1:k20a<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:8i5Y15796~"2jk0:7);ma;38yx{z3`;?i7>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;37a>P6<<09w);mb;38 0df281vqps4i07:>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728?27[?;5;0x 0de281/9oo51:~yx=n9?>1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>51768R4222;q/9ol51:&6fd<63twvq6g>6683>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6>>1]=9;52z&6fg<63-?im7?4}|~?j7?13:1(??k:0::?S46n3;p@?<>:0yUgf<5s-;2<7?79:T200<5s-?in7?4$4`b>4=zutw0qo?j5;296?6=8r.9=i4m7:J154=n9=i1<7*=1e820f=5<5290;w)<>d;`4?M4692c:8n4?:%02`?73k21d=5750;&15a<6001]>>6?u+5c`95>"2jh0:7psr}:a5c7=8381<7>t$33g>g1<@;;:7d?;c;29 77c28>h76a>8883>!46l3;356X=1g82I4593;pZnm52z&2=5<6001]=9;52z&6fg<13-?im784}|~?xd6m?0;6>4?:1y'64b=:8k0D??>;h37`?6=,;;o6<:l;W02b?7|D;8:683;?h6X>4481!3ej3;0(8ln:09~yx{n6=4+20f951e<^;;m6nl4>;|~y>{e9l=1<7?50;2x 77c2;8?7E<>1:m2<<<72-8:h7?79:9~f4c>29086=4?{%02`?3d3A8:=6g>4e83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6:0yUgf<5s-;2<7?;e:T200<5s-?in7?4$4`b>4=zutw0c<66:18'64b=9130Z??i:0yO677=9r\ho74>>3_;?974=#=kk1=6sr}|9~f4cf29086=4?{%02`?3d3A8:=6g>4e83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6{M015?7|^ji1>v*>91820`=Q9=?1>v*:bc8f?!3ei3o0qpsr;n3;=?6=,;;o6<66;W02b?7|D;8:683;356X>4481!3ej3;0(8ln:09~yx{53;294~"59m0:455G2038m42c290/>??51zT`g?4|,83;6<:k;W371?4|,{zut1b=9k50;&15a<6>6?u+5c`95>"2jh0:7psr}:m2<<<72-8:h7?79:T15c<6sE89=7?tVba96~"6190:445Y15796~"2jk0:7);ma;38yx{z3th:in4?:683>5}#:8n19n5G2038m42c290/>??51zT`g?4|,83;6<:k;W371?4|,{zut1b=9k50;&15a<6>6?u+5c`9b>"2jh0m7psr}:k21<<72-8:h7?;c:J15f=Q:8l1=vB=2082Sed2;q/=4>514;8R4222;q/9ol5f:&6fd6583>!46l3;?o6F=1b9U64`=9rF9><4>{Wa`>7}#90:1=;:4V066>7}#=kh1j6*:b`8e?x{zu2c:::4?:%02`?73k2B9=n5Y20d95~J5:80:w[ml:3y'5<6=9?=0Z<:::3y'1gd=n2.>nl4i;|~y>o6>10;6)<>d;37g>N59j1]><^8>>6?u+5c`9b>"2jh0m7psr}:m2<<<72-8:h7?79:T15c<6sE89=7?tVba96~"6190:445Y15796~"2jk0:7);ma;38yx{z3th:ii4?:683>5}#:8n19n5G2038m42c290/>4}Qkj09w)?60;37`>P6<<09w);mb;;8 0df201vqps4i06f>5<#:8n1=9m4H33`?S46n3;p@?<>:0yUgf<5s-;2<7?;e:T200<5s-?in7h4$4`b>c=zutw0e<;6:18'64b=9=i0D??l;W02b?7|D;8:683;>56X>4481!3ej3l0(8ln:g9~yx{f;3xH74628q]on4={%3:4?71<2\:884={%7af?`<,4}Qkj09w)?60;353>P6<<09w);mb;d8 0df2o1vqps4i04;>5<#:8n1=9m4H33`?S46n3;p@?<>:0yUgf<5s-;2<7?98:T200<5s-?in7h4$4`b>c=zutw0c<66:18'64b=9130Z??i:0yO677=9r\ho74>>3_;?974=#=kk1=6sr}|9~f4cb29036=4?{%02`?3d3A8:=6g>4e83>!46l3;?o6F=1b9U64`=9rF9><4>{Wa`>7}#90:1=9j4V066>7}#=kh156*:b`8:?x{zu2c:8h4?:%02`?73k2B9=n5Y20d95~J5:80:w[ml:3y'5<6=9=o0Z<:::3y'1gd=12.>nl46;|~y>o6=00;6)<>d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>589U513=:r.>no4i;%7ae?`h7E<>c:T15c<6sE89=7?tVba96~"6190::95Y15796~"2jk0m7);ma;d8yx{z3`;=;7>5$33g>42d3A8:o6X=1g82I4593;pZnm52z&2=5<6>>1]=9;52z&6fg{M015?7|^ji1>v*>91822==Q9=?1>v*:bc8e?!3ei3l0qpsr;h35=?6=,;;o6<:l;I02g>P59o0:wA<=1;3xRfe=:r.:5=4>689U513=:r.>no4i;%7ae?`f;3xH74628q]on4={%3:4?7?12\:884={%7af?7<,50z&15a<2k2B9=<5f15f94?"59m0:8n5G20a8R77a28qG>??51zT`g?4|,83;6<:k;W371?4|,{zut1b=9k50;&15a<6>6?u+5c`9=>"2jh027psr}:k21<<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:945Y15796~"2jk027);ma;;8yx{z3`;=87>5$33g>42d3A8:o6X=1g82I4593;pZnm52z&2=5<6>=1]=9;52z&6fg{M015?7|^ji1>v*>918222=Q9=?1>v*:bc8e?!3ei3l0qpsr;h35P59o0:wA<=1;3xRfe=:r.:5=4>699U513=:r.>no4i;%7ae?`h7E<>c:T15c<6sE89=7?tVba96~"6190::45Y15796~"2jk0m7);ma;d8yx{z3`;=m7>5$33g>42d3A8:o6X=1g82I4593;pZnm52z&2=5<6>h1]=9;52z&6fg:0yUgf<5s-;2<7?79:T200<5s-?in7?4$4`b>4=zutw0qo?i0;291?6=8r.9=i4>899K647o6=4+20f951e<^;;m6nl4>;|~y>o6=00;6)<>d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>589U513=:r.>no4>;%7ae?7h7[<>f;3xH74628q]on4={%3:4?71<2\:884={%7af?7<,>290/>??51zT`g?4|,83;6<66;W371?4|,{zut1vn5<7s-8:h7l8;I025>o6d;37g>=h9131<7*=1e82<<=Q:8l1=vB=2082Sed2;q/=4>519;8R4222;q/9ol51:&6fd<63twvq6sm1g:94?4=83:p(??k:c58L7763`;?o7>5$33g>42d32e:444?:%02`?7?12\9=k4>{M015?7|^ji1>v*>9182<<=Q9=?1>v*:bc85?!3ei3<0qpsr;|`2b6<72:0;6=u+20f964g<@;;:7d?;d;29 77c28>h7[<>f;3xH74628q]on4={%3:4?73l2\:884={%7af?7<,??51zT`g?4|,83;6<:j;W371?4|,{zut1d=5750;&15a<6001]>>6?u+5c`95>"2jh0:7psr}:a5c2=83;1<7>t$33g>7433A8:=6a>8883>!46l3;3565rb0d5>5<4290;w)<>d;7`?M4692c:8i4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91820a=Q9=?1>v*:bc84?!3ei3=0qpsr;h37a?6=,;;o6<:l;W02b?7|D;8:683;?i6X>4481!3ej3o0(8ln:d9~yx{5<4290;w)<>d;7`?M4692c:8i4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91820a=Q9=?1>v*:bc84?!3ei3=0qpsr;h37a?6=,;;o6<:l;I02g>P59o0:wA<=1;3xRfe=:r.:5=4>4d9U513=:r.>no4j;%7ae?cf;3xH74628q]on4={%3:4?7?12\:884={%7af?7<,50z&15a<6011C>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728>o7[?;5;0x 0de281/9oo51:~yx=n9=o1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>515g8R4222;q/9ol51:&6fd<63twvq6a>8883>!46l3;356X=1g82I4593;pZnm52z&2=5<6001]=9;52z&6fg<63-?im7?4}|~?xd6n00;6?4?:1y'64b=j>1C>5<#:8n1=9m4;n3;=?6=,;;o6<66;W02b?7|D;8:683;356X>4481!3ej3;0(8ln:09~yx{52;294~"59m0i;6F=109j51e=83.9=i4>4b98k4>>290/>??51zT`g?4|,83;6<66;W371?4|,{zut1vn5<7s-8:h7<>a:J154=n9=n1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>515f8R4222;q/9ol51:&6fd<63twvq6g>4d83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6:0yUgf<5s-;2<7?79:T200<5s-?in7?4$4`b>4=zutw0qo?ib;295?6=8r.9=i4=259K6475}#:8n19n5G2038m42c290/>??51zT`g?4|,83;6<:k;W371?4|,{zut1b=9k50;&15a<6>6?u+5c`95>"2jh0:7psr}:m2<<<72-8:h7?79:T15c<6sE89=7?tVba96~"6190:445Y15796~"2jk0:7);ma;38yx{z3th:jh4?:283>5}#:8n19n5G2038m42c290/>??51zT`g?4|,83;6<:k;W371?4|,{zut1b=9k50;&15a<6>6?u+5c`95>"2jh0:7psr}:m2<<<72-8:h7?79:T15c<6sE89=7?tVba96~"6190:445Y15796~"2jk0:7);ma;38yx{z3th:jk4?:283>5}#:8n19n5G2038m42c290/>??51zT`g?4|,83;6<:k;W371?4|,{zut1b=9k50;&15a<6>6?u+5c`93>"2jh0<7psr}:m2<<<72-8:h7?79:T15c<6sE89=7?tVba96~"6190:445Y15796~"2jk0:7);ma;38yx{z3th9<=4?:283>5}#:8n19n5G2038m42c290/>??51zT`g?4|,83;6<:k;W371?4|,{zut1b=9k50;&15a<64}K:;;1=vXlc;0x 4?728>n7[?;5;0x 0de2l1/9oo5e:~yx=h9131<7*=1e82<<=Q:8l1=vB=2082Sed2;q/=4>519;8R4222;q/9ol51:&6fd<63twvq6sm21394?2=83:p(??k:4a8L7763`;?h7>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;37`>P6<<09w);mb;58 0df2>1vqps4i06f>5<#:8n1=9m4H33`?S46n3;p@?<>:0yUgf<5s-;2<7?;e:T200<5s-?in794$4`b>2=zutw0e<;6:18'64b=9=i0Z??i:0yO677=9r\ho743>3_;?972=#=kk1;6sr}|9l5=?=83.9=i4>889U64`=9rF9><4>{Wa`>7}#90:1=574V066>7}#=kh1=6*:b`82?x{zu2wi>=<50;694?6|,;;o68m4H332?l73l3:1(??k:06`?S46n3;p@?<>:0yUgf<5s-;2<7?;d:T200<5s-?in794$4`b>2=zutw0e<:j:18'64b=9=i0Z??i:0yO677=9r\ho742b3_;?972=#=kk1;6sr}|9j50?=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=874V066>7}#=kh1i6*:b`8f?x{zu2e:444?:%02`?7?12\9=k4>{M015?7|^ji1>v*>9182<<=Q9=?1>v*:bc82?!3ei3;0qpsr;|`146<72=0;6=u+20f91f=O:8;0e<:k:18'64b=9=i0D??l;W02b?7|D;8:683;?h6X>4481!3ej3=0(8ln:69~yx{n6=4+20f951e<^;;m6??51zT`g?4|,83;6<;6;W371?4|,{zut1d=5750;&15a<6001]>>6?u+5c`95>"2jh0:7psr}:a5ce=8321<7>t$33g>4>?3A8:=6g>4e83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6:0yUgf<5s-;2<7?;e:T200<5s-?in7?4$4`b>4=zutw0e<;6:18'64b=9=i0Z??i:0yO677=9r\ho743>3_;?974=#=kk1=6sr}|9j532=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=;:4V066>7}#=kh1=6*:b`82?x{zu2c:::4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>918222=Q9=?1>v*:bc82?!3ei3;0qpsr;h3583;=46X>4481!3ej3;0(8ln:09~yx{nl4>;|~y>{e:9?1<7<50;2x 77c2k=0D??>;h37g?6=,;;o6<:l;:m2<<<72-8:h7?79:T15c<6sE89=7?tVba96~"6190:445Y15796~"2jk0:7);ma;38yx{z3th95}#:8n1n:5G2038m42d290/>:0yUgf<5s-;2<7?79:T200<5s-?in784$4`b>3=zutw0qoo6=4+20f951e<^;;m6nl4>;|~y>i6000;6)<>d;3;=>P59o0:wA<=1;3xRfe=:r.:5=4>889U513=:r.>no4>;%7ae?74<729q/>5$33g>4>>32wi>=750;194?6|,;;o68m4H332?l73l3:1(??k:06`?S46n3;p@?<>:0yUgf<5s-;2<7?;d:T200<5s-?in794$4`b>2=zutw0e<:j:18'64b=9=i0Z??i:0yO677=9r\ho742b3_;?97`=#=kk1i6sr}|9l5=?=83.9=i4>889U64`=9rF9><4>{Wa`>7}#90:1=574V066>7}#=kh1=6*:b`82?x{zu2wi>=o50;194?6|,;;o68m4H332?l73l3:1(??k:06`?S46n3;p@?<>:0yUgf<5s-;2<7?;d:T200<5s-?in794$4`b>2=zutw0e<:j:18'64b=9=i0D??l;W02b?7|D;8:683;?i6X>4481!3ej3o0(8ln:d9~yx{5<4290;w)<>d;3;<>N5981b=9j50;&15a<6>6?u+5c`95>"2jh0:7psr}:k20`<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:8h5Y15796~"2jk0:7);ma;38yx{z3f;357>5$33g>4>>3_8:j7?tL302>4}Qkj09w)?60;3;=>P6<<09w);mb;38 0df281vqps4}c763?6=:3:1N5981b=9m50;&15a<64>>3_;?974=#=kk1=6sr}|9~f03e29096=4?{%02`?d03A8:=6g>4b83>!46l3;?o65`19;94?"59m0:445Y20d95~J5:80:w[ml:3y'5<6=9130Z<:::3y'1gd=>2.>nl49;|~y>{e=<21<7=50;2x 77c2;;j7E<>1:k20a<72-8:h7?;c:J15f=Q:8l1=vB=2082Sed2;q/=4>515f8R4222;q/9ol51:&6fd<63twvq6g>4d83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6:0yUgf<5s-;2<7?79:T200<5s-?in7?4$4`b>4=zutw0qo;:9;295?6=8r.9=i4=259K6479l4?:283>5}#:8n19n5G2038m42c290/>4}Qkj09w)?60;37`>P6<<09w);mb;g8 0df2l1vqps4i06f>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728>n7[?;5;0x 0de2l1/9oo5e:~yx=h9131<7*=1e82<<=Q:8l1=vB=2082Sed2;q/=4>519;8R4222;q/9ol51:&6fd<63twvq6sm57494?4=83:p(??k:c58L7763`;?o7>5$33g>42d32e:444?:%02`?7?12\9=k4>{M015?7|^ji1>v*>9182<<=Q9=?1>v*:bc82?!3ei3;0qpsr;|`62d<72;0;6=u+20f9f2=O:8;0e<:l:18'64b=9=i07b?79;29 77c28227[<>f;3xH74628q]on4={%3:4?7?12\:884={%7af?0<,50z&15a<59h1C>5<#:8n1=9m4H33`?S46n3;p@?<>:0yUgf<5s-;2<7?;d:T200<5s-?in7?4$4`b>4=zutw0e<:j:18'64b=9=i0Z??i:0yO677=9r\ho742b3_;?974=#=kk1=6sr}|9l5=?=83.9=i4>889U64`=9rF9><4>{Wa`>7}#90:1=574V066>7}#=kh1=6*:b`82?x{zu2wi9;650;394?6|,;;o6?<;;I025>i6000;6)<>d;3;=>=zj<<26=4<:183!46l3?h7E<>1:k20a<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:8i5Y15796~"2jk0<7);ma;58yx{z3`;?i7>5$33g>42d3A8:o6X=1g82I4593;pZnm52z&2=5<6:0yUgf<5s-;2<7?79:T200<5s-?in7?4$4`b>4=zutw0qo;6c;296?6=8r.9=i4m7:J154=n9=i1<7*=1e820f=5<5290;w)<>d;`4?M4692c:8n4?:%02`?73k21d=5750;&15a<6001]>>6?u+5c`95>"2jh0:7psr}:a1d2=8381<7>t$33g>g1<@;;:7d?;c;29 77c28>h76a>8883>!46l3;356X=1g82I4593;pZnm52z&2=5<6001]=9;52z&6fg<13-?im784}|~?xd21m0;6>4?:1y'64b=:8k0D??>;h37`?6=,;;o6<:l;I02g>P59o0:wA<=1;3xRfe=:r.:5=4>4e9U513=:r.>no4>;%7ae?7h7[<>f;3xH74628q]on4={%3:4?73m2\:884={%7af?7<,>290/>??51zT`g?4|,83;6<66;W371?4|,{zut1vn87j:182>5<7s-8:h7<=4:J154=h9131<7*=1e82<<=53;294~"59m0>o6F=109j51b=83.9=i4>4b9K64e<^;;m6??51zT`g?4|,83;6<:j;W371?4|,{zut1d=5750;&15a<6001]>>6?u+5c`95>"2jh0:7psr}:a1d7=83;:6=4?{%02`?3d3A8:=6g>4e83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<63-?im774}|~?l73m3:1(??k:06`?S46n3;p@?<>:0yUgf<5s-;2<7?;e:T200<5s-?in774$4`b><=zutw0e<;6:18'64b=9=i0Z??i:0yO677=9r\ho743>3_;?97<=#=kk156sr}|9j532=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=;:4V066>7}#=kh156*:b`8:?x{zu2c:::4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>918222=Q9=?1>v*:bc8:?!3ei330qpsr;h3583;=46X>4481!3ej330(8ln:89~yx{??51zT`g?4|,83;6<8n;W371?4|,{zut1b=;l50;&15a<64}K:;;1=vXlc;0x 4?728517a8R4222;q/9ol5f:&6fd4g83>!46l3;?o6F=1b9U64`=9rF9><4>{Wa`>7}#90:1=9h4V066>7}#=kh1j6*:b`8e?x{zu2c:9=4?:%02`?73k2B9=n5Y20d95~J5:80:w[ml:3y'5<6=9<:0Z<:::3y'1gd=n2.>nl4i;|~y>o6=80;6)<>d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>509U513=:r.>no4i;%7ae?`h7[<>f;3xH74628q]on4={%3:4?72:2\:884={%7af?`<,??51zT`g?4|,83;6<;<;W371?4|,{zut1b=8:50;&15a<6>6?u+5c`95>"2jh0:7psr}:m2<<<72-8:h7?79:T15c<6sE89=7?tVba96~"6190:445Y15796~"2jk0:7);ma;38yx{z3th>m?4?:0394?6|,;;o68m4H332?l73l3:1(??k:06`?S46n3;p@?<>:0yUgf<5s-;2<7?;d:T200<5s-?in774$4`b><=zutw0e<:j:18'64b=9=i0Z??i:0yO677=9r\ho742b3_;?97<=#=kk156sr}|9j50?=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=874V066>7}#=kh156*:b`8:?x{zu2c::94?:%02`?73k2\9=k4>{M015?7|^ji1>v*>918221=Q9=?1>v*:bc8:?!3ei330qpsr;h353?6=,;;o6<:l;W02b?7|D;8:683;=;6X>4481!3ej330(8ln:89~yx{nl46;|~y>o6>h0;6)<>d;37g>N59j1]>>6?u+5c`9=>"2jh027psr}:k22g<72-8:h7?;c:J15f=Q:8l1=vB=2082Sed2;q/=4>517`8R4222;q/9ol5f:&6fd6b83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6>j1]=9;52z&6fg{M015?7|^ji1>v*>91820c=Q9=?1>v*:bc8e?!3ei3l0qpsr;h364?6=,;;o6<:l;I02g>P59o0:wA<=1;3xRfe=:r.:5=4>519U513=:r.>no4i;%7ae?`h7[<>f;3xH74628q]on4={%3:4?7292\:884={%7af?`<,??51zT`g?4|,83;6<;=;W371?4|,{zut1b=8=50;&15a<6>6?u+5c`95>"2jh0:7psr}:k211<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:995Y15796~"2jk0:7);ma;38yx{z3f;357>5$33g>4>>3_8:j7?tL302>4}Qkj09w)?60;3;=>P6<<09w);mb;38 0df281vqps4}c7b7?6=;3:142c3_;?974=#=kk1=6sr}|9j51c=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=9k4V066>7}#=kh1=6*:b`82?x{zu2e:444?:%02`?7?12\9=k4>{M015?7|^ji1>v*>9182<<=Q9=?1>v*:bc82?!3ei3;0qpsr;|`6e`<72;0;6=u+20f9f2=O:8;0e<:l:18'64b=9=i07b?79;29 77c28227[<>f;3xH74628q]on4={%3:4?7?12\:884={%7af?7<,7>50z&15a5<#:8n1=574V33e>4}K:;;1=vXlc;0x 4?728227[?;5;0x 0de2?1/9oo56:~yx=zj4b9K64e<^;;m6nl4>;|~y>i6000;6)<>d;3;=>P59o0:wA<=1;3xRfe=:r.:5=4>889U513=:r.>no4>;%7ae?74<729q/>5$33g>4>>32wi9o?50;194?6|,;;o68m4H332?l73l3:1(??k:06`?M46k2\9=k4>{M015?7|^ji1>v*>91820a=Q9=?1>v*:bc84?!3ei3=0qpsr;h37a?6=,;;o6<:l;W02b?7|D;8:683;?i6X>4481!3ej3o0(8ln:d9~yx{5<5290;w)<>d;`4?M4692c:8n4?:%02`?73k21d=5750;&15a<6001]>>6?u+5c`95>"2jh0:7psr}:a011=8381<7>t$33g>g1<@;;:7d?;c;29 77c28>h76a>8883>!46l3;356X=1g82I4593;pZnm52z&2=5<6001]=9;52z&6fg<63-?im7?4}|~?xd3;%35`?763`;?o7>5$33g>42d3_8:j7?tL302>4}Q9?o1>v*>91820f=Q9=?1>v*:bc82?!3ei3;0qpsr;ha6>5<#:8n1o85Y20d95~J5:80:w[?9e;0x 4?72j?0Z<:::3y'1gd=92.>nl4>;|~y>od>3:1(??k:b48R77a2;qG>??51zT22`<5s-;2<7m9;W371?4|,5+5cc97>{zuE89<7:tV32f>6}Qkh09w);m9;a5?!54l3?in6sYcc81!3e13;?o6*<3e86fg=z,?>36o5rV32f>6}Qkh09w);m9;a5?!54l3?in6sYcc81!3e13;?o6*<3e86fd=z,?>36o5rV32f>6}Qkh09w);m9;a5?!54l3?in6sYcc81!3e13i>7)={#>=21>6sY19d96~Pdi38p(8l6:b48 65c2{zu2c9>6?u+5c`9b>"2jh0m7psrL303>4}Q:8<1>vXla;0x 0d>2;:o7)={#>=21j6sr}:k14f<72-8:h77}#90:1>=m4V066>7}#=kh1j6*:b`8e?x{zD;8;6{zu2e:444?:%02`?7?121vn9:;:180>5<7s-8:h7<>a:J154=n9=n1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>515f8R4222;q/9ol51:&6fd<63twvq6g>4d83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6:0yUgf<5s-;2<7?79:T200<5s-?in7?4$4`b>4=zutw0qo:;5;295?6=8r.9=i4=259K6475}#:8n19n5G2038m42c290/>??51zT`g?4|,83;6<:k;W371?4|,{zut1b=9k50;&15a<6>6?u+5c`9a>"2jh0n7psr}:m2<<<72-8:h7?79:T15c<6sE89=7?tVba96~"6190:445Y15796~"2jk0:7);ma;38yx{z3th?854?:783>5}#:8n19n5G2038m42c290/>??51zT`g?4|,83;6<:k;W371?4|,{zut1b=9k50;&15a<6>6?u+5c`9b>"2jh0m7psr}:k21<<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:945Y15796~"2jk027);ma;;8yx{z3`;=87>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;350>P6<<09w);mb;d8 0df2o1vqps4i044>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728<<7[?;5;0x 0de2o1/9oo5f:~yx=h9131<7*=1e82<<=Q:8l1=vB=2082Sed2;q/=4>519;8R4222;q/9ol51:&6fd<63twvq6sm50d94?4=83:p(??k:c58L7763`;?o7>5$33g>42d32e:444?:%02`?7?12\9=k4>{M015?7|^ji1>v*>9182<<=Q9=?1>v*:bc82?!3ei3;0qpsr;|`666<72;0;6=u+20f9f2=O:8;0e<:l:18'64b=9=i07b?79;29 77c28227[<>f;3xH74628q]on4={%3:4?7?12\:884={%7af?0<,50z&15a<59h1C>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728>o7[?;5;0x 0de281/9oo51:~yx=n9=o1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>515g8R4222;q/9ol51:&6fd<63twvq6a>8883>!46l3;356X=1g82I4593;pZnm52z&2=5<6001]=9;52z&6fg<63-?im7?4}|~?xd2:80;6<4?:1y'64b=:;>0D??>;n3;=?6=,;;o6<66;:a174=8391<7>t$33g>0e<@;;:7d?;d;29 77c28>h7E<>c:T15c<6sE89=7?tVba96~"6190:8i5Y15796~"2jk0n7);ma;g8yx{z3`;?i7>5$33g>42d3A8:o6X=1g82I4593;pZnm52z&2=5<6:0yUgf<5s-;2<7?79:T200<5s-?in7?4$4`b>4=zutw0qo;;d;296?6=8r.9=i4m7:J154=n9=i1<7*=1e820f=5<5290;w)<>d;`4?M4692c:8n4?:%02`?73k21d=5750;&15a<6001]>>6?u+5c`92>"2jh0=7psr}:a11c=8391<7>t$33g>77f3A8:=6g>4e83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6:0yUgf<5s-;2<7?;e:T200<5s-?in7?4$4`b>4=zutw0c<66:18'64b=9130Z??i:0yO677=9r\ho74>>3_;?974=#=kk1=6sr}|9~f02a290:6=4?{%02`?45<2B9=<5`19;94?"59m0:4454}c765?6=<3:1N5981b=9j50;&15a<6>6?u+5c`93>"2jh0<7psr}:k20`<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:8h5Y15796~"2jk0<7);ma;58yx{z3`;>57>5$33g>42d3A8:o6X=1g82I4593;pZnm52z&2=5<6=01]=9;52z&6fg<03-?im794}|~?j7?13:1(??k:0::?S46n3;p@?<>:0yUgf<5s-;2<7?79:T200<5s-?in7?4$4`b>4=zutw0qo;:2;291?6=8r.9=i4:c:J154=n9=n1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>515f8R4222;q/9ol57:&6fd<03twvq6g>4d83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6:0yUgf<5s-;2<7?:9:T200<5s-?in794$4`b>2=zutw0e<8;:18'64b=9=i0D??l;W02b?7|D;8:683;=86X>4481!3ej3=0(8ln:69~yx{5<2290;w)<>d;7`?M4692c:8i4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91820a=Q9=?1>v*:bc84?!3ei3=0qpsr;h37a?6=,;;o6<:l;W02b?7|D;8:683;?i6X>4481!3ej3=0(8ln:69~yx{??51zT`g?4|,83;6<8;;W371?4|,{zut1d=5750;&15a<6001]>>6?u+5c`95>"2jh0:7psr}:a106=83>1<7>t$33g>4>?3A8:=6g>4e83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6:0yUgf<5s-;2<7?;e:T200<5s-?in7?4$4`b>4=zutw0e<;6:18'64b=9=i0Z??i:0yO677=9r\ho743>3_;?974=#=kk1=6sr}|9l5=?=83.9=i4>889U64`=9rF9><4>{Wa`>7}#90:1=574V066>7}#=kh1=6*:b`82?x{zu2wi95<50;094?6|,;;o6o94H332?l73k3:1(??k:06`?>i6000;6)<>d;3;=>P59o0:wA<=1;3xRfe=:r.:5=4>889U513=:r.>no4>;%7ae?77<729q/>h6=4+20f951e<3f;357>5$33g>4>>3_8:j7?tL302>4}Qkj09w)?60;3;=>P6<<09w);mb;48 0df2?1vqps4}c7;7?6=;3:183;?h6X>4481!3ej3;0(8ln:09~yx{n6=4+20f951e<^;;m6nl4>;|~y>{e=1>1<7?50;2x 77c2;8?7E<>1:m2<<<72-8:h7?79:9~f0>129086=4?{%02`?3d3A8:=6g>4e83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6:0yUgf<5s-;2<7?;e:T200<5s-?in7k4$4`b>`=zutw0c<66:18'64b=9130Z??i:0yO677=9r\ho74>>3_;?974=#=kk1=6sr}|9~f0>0290=6=4?{%02`?3d3A8:=6g>4e83>!46l3;?o6F=1b9U64`=9rF9><4>{Wa`>7}#90:1=9j4V066>7}#=kh1;6*:b`84?x{zu2c:8h4?:%02`?73k2B9=n5Y20d95~J5:80:w[ml:3y'5<6=9=o0Z<:::3y'1gd=?2.>nl48;|~y>o6=00;6)<>d;37g>N59j1]>>6?u+5c`93>"2jh0<7psr}:k221<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190::95Y15796~"2jk0n7);ma;g8yx{z3`;=;7>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;353>P6<<09w);mb;g8 0df2l1vqps4o0::>5<#:8n1=574V33e>4}K:;;1=vXlc;0x 4?728227[?;5;0x 0de281/9oo51:~yx=zj<236=48:183!46l3?h7E<>1:k20a<72-8:h7?;c:J15f=Q:8l1=vB=2082Sed2;q/=4>515f8R4222;q/9ol57:&6fd<03twvq6g>4d83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6:0yUgf<5s-;2<7?:9:T200<5s-?in794$4`b>2=zutw0e<8;:18'64b=9=i0D??l;W02b?7|D;8:683;=86X>4481!3ej3=0(8ln:69~yx{f;3xH74628q]on4={%3:4?71?2\:884={%7af?c<,??51zT`g?4|,83;6<87;W371?4|,{zut1d=5750;&15a<6001]>>6?u+5c`95>"2jh0:7psr}:a1=3=83>1<7>t$33g>4>?3A8:=6g>4e83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6:0yUgf<5s-;2<7?;e:T200<5s-?in7?4$4`b>4=zutw0e<;6:18'64b=9=i0Z??i:0yO677=9r\ho743>3_;?974=#=kk1=6sr}|9l5=?=83.9=i4>889U64`=9rF9><4>{Wa`>7}#90:1=574V066>7}#=kh1=6*:b`82?x{zu2wi:9<50;094?6|,;;o6o94H332?l73k3:1(??k:06`?>i6000;6)<>d;3;=>P59o0:wA<=1;3xRfe=:r.:5=4>889U513=:r.>no4>;%7ae?73<729q/>;h37g?6=,;;o6<:l;W02b?7|D;8:66=4+20f9g0=Q:8l1=vB=2082S71m38p(<7?:b78R4222;q/9ol51:&6fd<63twvq6gl6;29 77c2j<0Z??i:3yO677=9r\::h4={%3:4?e13_;?976=#=kk1?6sr}M014?2|^;:n6>uYc`81!3e13i=7)={Qkk09w);m9;37g>"4;m0>no5r$76;>g=z^;:n6>uYc`81!3e13i=7)={Qkk09w);m9;37g>"4;m0>nl5r$76;>g=z^;:n6>uYc`81!3e13i=7)={Qkk09w);m9;a6?!54l3?in6s+65:96>{Q91l1>vXla;0x 0d>2j<0(>=k:4`a?x"1<10:<6sr}:k14a<72-8:h77}#90:1>=j4V066>7}#=kh1j6*:b`8e?x{zD;8;6{zu2c9>6?u+5c`9b>"2jh0m7psrL303>4}Q:8<1>vXla;0x 0d>2;:h7)={#>=21j6sr}:m2<<<72-8:h7?79:9~f32429086=4?{%02`?46i2B9=<5f15f94?"59m0:8n5Y20d95~J5:80:w[ml:3y'5<6=9=n0Z<:::3y'1gd=92.>nl4>;|~y>o6d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>4d9U513=:r.>no4>;%7ae?7f;3xH74628q]on4={%3:4?7?12\:884={%7af?7<,50z&15a<5:=1C>5<#:8n1=574;|`500<72:0;6=u+20f91f=O:8;0e<:k:18'64b=9=i0D??l;W02b?7|D;8:683;?h6X>4481!3ej3o0(8ln:d9~yx{n6=4+20f951e<@;;h7[<>f;3xH74628q]on4={%3:4?73m2\:884={%7af?c<,>290/>??51zT`g?4|,83;6<66;W371?4|,{zut1vn<9;:181>5<7s-8:h7l8;I025>o6d;37g>=h9131<7*=1e82<<=Q:8l1=vB=2082Sed2;q/=4>519;8R4222;q/9ol51:&6fd<63twvq6sm16194?4=83:p(??k:c58L7763`;?o7>5$33g>42d32e:444?:%02`?7?12\9=k4>{M015?7|^ji1>v*>9182<<=Q9=?1>v*:bc82?!3ei3;0qpsr;|`230<72;0;6=u+20f9f2=O:8;0e<:l:18'64b=9=i07b?79;29 77c28227[<>f;3xH74628q]on4={%3:4?7?12\:884={%7af?7<,7>50z&15a5<#:8n1=574V33e>4}K:;;1=vXlc;0x 4?728227[?;5;0x 0de2?1/9oo56:~yx=zj8==6=4<:183!46l38:m6F=109j51b=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=9j4V066>7}#=kh1=6*:b`82?x{zu2c:8h4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91820`=Q9=?1>v*:bc82?!3ei3;0qpsr;n3;=?6=,;;o6<66;W02b?7|D;8:683;356X>4481!3ej3;0(8ln:09~yx{51;294~"59m09>95G2038k4>>290/>0D??>;n3;=?6=,;;o6<66;:a52g=8391<7>t$33g>0e<@;;:7d?;d;29 77c28>h7E<>c:T15c<6sE89=7?tVba96~"6190:8i5Y15796~"2jk0?7);ma;68yx{z3`;?i7>5$33g>42d3A8:o6X=1g82I4593;pZnm52z&2=5<6:0yUgf<5s-;2<7?79:T200<5s-?in7?4$4`b>4=zutw0qo78:181>5<7s-8:h7l8;I025>o6d;37g>=h9131<7*=1e82<<=Q:8l1=vB=2082Sed2;q/=4>519;8R4222;q/9ol51:&6fd<63twvq6sm9c83>7<729q/>h6=4+20f951e<3f;357>5$33g>4>>3_8:j7?tL302>4}Qkj09w)?60;3;=>P6<<09w);mb;38 0df281vqps4}cc1>5<5290;w)<>d;`4?M4692c:8n4?:%02`?73k21d=5750;&15a<6001]>>6?u+5c`92>"2jh0=7psr}:a==<72:0;6=u+20f964g<@;;:7d?;d;29 77c28>h7[<>f;3xH74628q]on4={%3:4?73l2\:884={%7af?7<,??51zT`g?4|,83;6<:j;W371?4|,{zut1d=5750;&15a<6001]>>6?u+5c`95>"2jh0:7psr}:a=<<7280;6=u+20f9672<@;;:7b?79;29 77c282276sm9`83>6<729q/>o6=4+20f951e<^;;m6nl4>;|~y>i6000;6)<>d;3;=>P59o0:wA<=1;3xRfe=:r.:5=4>889U513=:r.>no4>;%7ae?7o6=4+20f951e<^;;m6nl46;|~y>o6=00;6)<>d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>589U513=:r.>no46;%7ae??h7[<>f;3xH74628q]on4={%3:4?71<2\:884={%7af??<,??51zT`g?4|,83;6<88;W371?4|,{zut1b=;650;&15a<6<^8>>6?u+5c`9=>"2jh027psr}:k22<<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190::45Y15796~"2jk027);ma;;8yx{z3`;=m7>5$33g>42d3A8:o6X=1g82I4593;pZnm52z&2=5<6>h1]=9;52z&6fg<>3-?im774}|~?l71j3:1(??k:06`?M46k2\9=k4>{M015?7|^ji1>v*>91822g=Q9=?1>v*:bc8e?!3ei3l0qpsr;h35g?6=,;;o6<:l;W02b?7|D;8:683;=o6X>4481!3ej3l0(8ln:g9~yx{m6=4+20f951e<@;;h7[<>f;3xH74628q]on4={%3:4?73n2\:884={%7af?`<,4}Qkj09w)?60;364>P6<<09w);mb;d8 0df2o1vqps4i072>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728?:7[?;5;0x 0de2o1/9oo5f:~yx=n9<81<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>51408R4222;q/9ol51:&6fd<63twvq6g>5283>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6=:1]=9;52z&6fg<63-?im7?4}|~?l72<3:1(??k:06`?S46n3;p@?<>:0yUgf<5s-;2<7?:4:T200<5s-?in7?4$4`b>4=zutw0c<66:18'64b=9130Z??i:0yO677=9r\ho74>>3_;?974=#=kk1=6sr}|9~f4e83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<63-?im774}|~?l73m3:1(??k:06`?M46k2\9=k4>{M015?7|^ji1>v*>91820`=Q9=?1>v*:bc8:?!3ei330qpsr;h36=?6=,;;o6<:l;I02g>P59o0:wA<=1;3xRfe=:r.:5=4>589U513=:r.>no46;%7ae??h7[<>f;3xH74628q]on4={%3:4?71<2\:884={%7af??<,??51zT`g?4|,83;6<88;W371?4|,{zut1b=;650;&15a<6<^8>>6?u+5c`9=>"2jh027psr}:k22<<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190::45Y15796~"2jk027);ma;;8yx{z3`;=m7>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;35e>P6<<09w);mb;;8 0df201vqps4i04a>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?72840d3_;?97<=#=kk156sr}|9j51`=83.9=i4>4b9K64e<^;;m6??51zT`g?4|,83;6<;?;W371?4|,{zut1b=8?50;&15a<6>6?u+5c`9b>"2jh0m7psr}:k217<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:9?5Y15796~"2jk0m7);ma;d8yx{z3`;>?7>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;367>P6<<09w);mb;38 0df281vqps4i077>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728??7[?;5;0x 0de281/9oo51:~yx=h9131<7*=1e82<<=Q:8l1=vB=2082Sed2;q/=4>519;8R4222;q/9ol51:&6fd<63twvq6sm9d83>47=83:p(??k:4a8L7763`;?h7>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;37`>P6<<09w);mb;;8 0df201vqps4i06f>5<#:8n1=9m4H33`?S46n3;p@?<>:0yUgf<5s-;2<7?;e:T200<5s-?in774$4`b><=zutw0e<;6:18'64b=9=i0D??l;W02b?7|D;8:683;>56X>4481!3ej330(8ln:89~yx{nl46;|~y>o6>10;6)<>d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>699U513=:r.>no46;%7ae??h7[<>f;3xH74628q]on4={%3:4?7112\:884={%7af??<,??51zT`g?4|,83;6<8n;W371?4|,{zut1b=;l50;&15a<6>6?u+5c`9=>"2jh027psr}:k22f<72-8:h7?;c:J15f=Q:8l1=vB=2082Sed2;q/=4>517a8R4222;q/9ol59:&6fd<>3twvq6g>4g83>!46l3;?o6F=1b9U64`=9rF9><4>{Wa`>7}#90:1=9h4V066>7}#=kh1j6*:b`8e?x{zu2c:9=4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>918215=Q9=?1>v*:bc8e?!3ei3l0qpsr;h365?6=,;;o6<:l;W02b?7|D;8:683;>=6X>4481!3ej3l0(8ln:g9~yx{nl4>;|~y>o6==0;6)<>d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>559U513=:r.>no4>;%7ae?7f;3xH74628q]on4={%3:4?7?12\:884={%7af?7<,50z&15a<2k2B9=<5f15f94?"59m0:8n5Y20d95~J5:80:w[ml:3y'5<6=9=n0Z<:::3y'1gd=12.>nl46;|~y>o6d;37g>N59j1]>>6?u+5c`9=>"2jh027psr}:k21<<72-8:h7?;c:J15f=Q:8l1=vB=2082Sed2;q/=4>514;8R4222;q/9ol59:&6fd<>3twvq6g>6583>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6>=1]=9;52z&6fg<>3-?im774}|~?l71?3:1(??k:06`?S46n3;p@?<>:0yUgf<5s-;2<7?97:T200<5s-?in774$4`b><=zutw0e<87:18'64b=9=i0Z??i:0yO677=9r\ho740?3_;?97<=#=kk156sr}|9j53?=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=;74V066>7}#=kh156*:b`8:?x{zu2c::l4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91822d=Q9=?1>v*:bc8:?!3ei330qpsr;h35f?6=,;;o6<:l;W02b?7|D;8:683;=n6X>4481!3ej330(8ln:89~yx{f;3xH74628q]on4={%3:4?71k2\:884={%7af??<,4}Qkj09w)?60;37b>P6<<09w);mb;;8 0df201vqps4i073>5<#:8n1=9m4H33`?S46n3;p@?<>:0yUgf<5s-;2<7?:0:T200<5s-?in7h4$4`b>c=zutw0e<;>:18'64b=9=i0Z??i:0yO677=9r\ho74363_;?97c=#=kk1j6sr}|9j504=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=8<4V066>7}#=kh1j6*:b`8e?x{zu2c:9>4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>918216=Q9=?1>v*:bc82?!3ei3;0qpsr;h360?6=,;;o6<:l;W02b?7|D;8:683;>86X>4481!3ej3;0(8ln:09~yx{d;7`?M4692c:8i4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91820a=Q9=?1>v*:bc8:?!3ei330qpsr;h37a?6=,;;o6<:l;I02g>P59o0:wA<=1;3xRfe=:r.:5=4>4d9U513=:r.>no46;%7ae??h7E<>c:T15c<6sE89=7?tVba96~"6190:945Y15796~"2jk027);ma;;8yx{z3`;=87>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;350>P6<<09w);mb;;8 0df201vqps4i044>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728<<7[?;5;0x 0de201/9oo59:~yx=n9?21<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>517:8R4222;q/9ol59:&6fd<>3twvq6g>6883>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6>01]=9;52z&6fg<>3-?im774}|~?l71i3:1(??k:06`?S46n3;p@?<>:0yUgf<5s-;2<7?9a:T200<5s-?in774$4`b><=zutw0e<8m:18'64b=9=i0Z??i:0yO677=9r\ho740e3_;?97<=#=kk156sr}|9j53e=83.9=i4>4b9K64e<^;;m6??51zT`g?4|,83;6<:i;W371?4|,{zut1b=8>50;&15a<6>6?u+5c`9b>"2jh0m7psr}:k214<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:9<5Y15796~"2jk0m7);ma;d8yx{z3`;>>7>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;366>P6<<09w);mb;d8 0df2o1vqps4i070>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728?87[?;5;0x 0de281/9oo51:~yx=n9<>1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>51468R4222;q/9ol51:&6fd<63twvq6a>8883>!46l3;356X=1g82I4593;pZnm52z&2=5<6001]=9;52z&6fg<63-?im7?4}|~?xdf93:1:7>50z&15a<6011C>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728>o7[?;5;0x 0de281/9oo51:~yx=n9=o1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>515g8R4222;q/9ol51:&6fd<63twvq6g>5883>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6=01]=9;52z&6fg<63-?im7?4}|~?l71<3:1(??k:06`?S46n3;p@?<>:0yUgf<5s-;2<7?94:T200<5s-?in7?4$4`b>4=zutw0e<88:18'64b=9=i0Z??i:0yO677=9r\ho74003_;?974=#=kk1=6sr}|9l5=?=83.9=i4>889U64`=9rF9><4>{Wa`>7}#90:1=574V066>7}#=kh1=6*:b`82?x{zu2wim;4?:383>5}#:8n1n:5G2038m42d290/>:0yUgf<5s-;2<7?79:T200<5s-?in7?4$4`b>4=zutw0qoon:181>5<7s-8:h7l8;I025>o6d;37g>=h9131<7*=1e82<<=Q:8l1=vB=2082Sed2;q/=4>519;8R4222;q/9ol51:&6fd<63twvq6smab83>7<729q/>h6=4+20f951e<3f;357>5$33g>4>>3_8:j7?tL302>4}Qkj09w)?60;3;=>P6<<09w);mb;48 0df2?1vqps4}cc4>5<4290;w)<>d;02e>N5981b=9j50;&15a<6>6?u+5c`95>"2jh0:7psr}:k20`<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:8h5Y15796~"2jk0:7);ma;38yx{z3f;357>5$33g>4>>3_8:j7?tL302>4}Qkj09w)?60;3;=>P6<<09w);mb;38 0df281vqps4}cc;>5<6290;w)<>d;010>N5981d=5750;&15a<60010qoo6:180>5<7s-8:h7;l;I025>o6d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>4e9U513=:r.>no48;%7ae?1h7[<>f;3xH74628q]on4={%3:4?73m2\:884={%7af?1<,>290/>??51zT`g?4|,83;6<66;W371?4|,{zut1vnll50;594?6|,;;o68m4H332?l73l3:1(??k:06`?S46n3;p@?<>:0yUgf<5s-;2<7?;d:T200<5s-?in774$4`b><=zutw0e<:j:18'64b=9=i0D??l;W02b?7|D;8:683;?i6X>4481!3ej330(8ln:89~yx{0Z<:::3y'1gd=12.>nl46;|~y>o6>>0;6)<>d;37g>N59j1]>>6?u+5c`9b>"2jh0m7psr}:k22=<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190::55Y15796~"2jk0m7);ma;d8yx{z3f;357>5$33g>4>>3_8:j7?tL302>4}Qkj09w)?60;3;=>P6<<09w);mb;38 0df281vqps4}c712?6=:3:1N5981b=9m50;&15a<64>>3_;?974=#=kk1=6sr}|9~f11129096=4?{%02`?d03A8:=6g>4b83>!46l3;?o65`19;94?"59m0:445Y20d95~J5:80:w[ml:3y'5<6=9130Z<:::3y'1gd=92.>nl4>;|~y>{e=;n1<7850;2x 77c28>j7E<>1:&22a<6:2c:8n4?:%02`?73k2\9=k4>{M015?7|^8>6?u+5c`95>"2jh0:7psr}:k`1?6=,;;o6n;4V33e>4}K:;;1=vX>6d81!7>83i>7[?;5;0x 0de281/9oo51:~yx=nk?0;6)<>d;a5?S46n38p@?<>:0yU53c=:r.:5=4l6:T200<5s-?in7=4$4`b>6=zutF9>=4;{W03a?5|^jk1>v*:b88`2>"4;m0>no5rVb`96~"2j00:8n5+32f91gdv*:b88`2>"4;m0>no5rVb`96~"2j00:8n5+32f91ggv*:b88`2>"4;m0>no5rVb`96~"2j00h96*<3e86fg=z,?>36?5rV0:e>7}Qkh09w);m9;a5?!54l3?in6s+65:955=zut1b>=j50;&15a<58m1]>76c3_;?97c=#=kk1j6sr}M014?7|^;;=6?uYc`81!3e138;h6*<3e86fg=z,?>36k5r}|9j65e=83.9=i4=0b9U64`=:rF9><4>{W35a?4|,83;6?>l;W371?4|,{zuE89<7?tV335>7}Qkh09w);m9;03g>"4;m0>no5r$76;>c=zut1d=5750;&15a<60010qo;=7;297?6=8r.9=i4=1`9K647o6=4+20f951e<@;;h7[<>f;3xH74628q]on4={%3:4?73l2\:884={%7af?7<,??51zT`g?4|,83;6<:j;W371?4|,{zut1d=5750;&15a<6001]>>6?u+5c`95>"2jh0:7psr}:a17>=83;1<7>t$33g>7433A8:=6a>8883>!46l3;3565rb40b>5<4290;w)<>d;7`?M4692c:8i4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91820a=Q9=?1>v*:bc8f?!3ei3o0qpsr;h37a?6=,;;o6<:l;W02b?7|D;8:683;?i6X>4481!3ej3o0(8ln:d9~yx{5<4290;w)<>d;7`?M4692c:8i4?:%02`?73k2B9=n5Y20d95~J5:80:w[ml:3y'5<6=9=n0Z<:::3y'1gd=m2.>nl4j;|~y>o6d;37g>N59j1]>>6?u+5c`9a>"2jh0n7psr}:m2<<<72-8:h7?79:T15c<6sE89=7?tVba96~"6190:445Y15796~"2jk0:7);ma;38yx{z3th>>44?:283>5}#:8n1=564H332?l73l3:1(??k:06`?S46n3;p@?<>:0yUgf<5s-;2<7?;d:T200<5s-?in7?4$4`b>4=zutw0e<:j:18'64b=9=i0Z??i:0yO677=9r\ho742b3_;?974=#=kk1=6sr}|9l5=?=83.9=i4>889U64`=9rF9><4>{Wa`>7}#90:1=574V066>7}#=kh1=6*:b`82?x{zu2wi9>;50;094?6|,;;o6o94H332?l73k3:1(??k:06`?>i6000;6)<>d;3;=>P59o0:wA<=1;3xRfe=:r.:5=4>889U513=:r.>no4>;%7ae?77<729q/>h6=4+20f951e<3f;357>5$33g>4>>3_8:j7?tL302>4}Qkj09w)?60;3;=>P6<<09w);mb;38 0df281vqps4}c70e?6=>3:1??51zT22`<5s-;2<7?;c:T200<5s-?in7?4$4`b>4=zutw0en;50;&15a{M015?7|^8v*:bc82?!3ei3;0qpsr;ha5>5<#:8n1o;5Y20d96~J5:80:w[?9e;0x 4?72j<0Z<:::3y'1gd=;2.>nl4<;|~H7472=q]>=k53zT`e?4|,0de3t\hn742d3-98h7;mb:'21>=j2w]>=k53zT`e?4|,0de3t\hn742d3-98h7;ma:'21>=j2w]>=k53zT`e?4|,0de3t\hn7f3<,:9o68lm;|&50=<53t\:4k4={Wab>7}#=k31o;5+32f91gd:0yU53c=:r.:5=4=0e9U513=:r.>no4i;%7ae?`?>51zT153<5s_ij6?u+5c;965b<,:9o68lm;|&50=f;0xH74628q]=;k52z&2=5<58j1]=9;52z&6fg7}#=k31>=m4$21g>0de3t.=854i;|~?j7?13:1(??k:0::?>{e=:<1<7=50;2x 77c2;;j7E<>1:k20a<72-8:h7?;c:J15f=Q:8l1=vB=2082Sed2;q/=4>515f8R4222;q/9ol51:&6fd<63twvq6g>4d83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6:0yUgf<5s-;2<7?79:T200<5s-?in7?4$4`b>4=zutw0qo;<7;295?6=8r.9=i4=259K647?54?:583>5}#:8n19n5G2038m42c290/>4}Qkj09w)?60;37`>P6<<09w);mb;g8 0df2l1vqps4i06f>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728>n7[?;5;0x 0de2l1/9oo5e:~yx=n9<31<7*=1e820f=O:8i0Z??i:0yO677=9r\ho743>3_;?97`=#=kk1i6sr}|9l5=?=83.9=i4>889U64`=9rF9><4>{Wa`>7}#90:1=574V066>7}#=kh1=6*:b`82?x{zu2wi9;j50;094?6|,;;o6o94H332?l73k3:1(??k:06`?>i6000;6)<>d;3;=>P59o0:wA<=1;3xRfe=:r.:5=4>889U513=:r.>no4>;%7ae?77<729q/>h6=4+20f951e<3f;357>5$33g>4>>3_8:j7?tL302>4}Qkj09w)?60;3;=>P6<<09w);mb;48 0df2?1vqps4}c75a?6=;3:183;?h6X>4481!3ej3;0(8ln:09~yx{n6=4+20f951e<^;;m6nl4>;|~y>{e=?l1<7?50;2x 77c2;8?7E<>1:m2<<<72-8:h7?79:9~f017290>6=4?{%02`?3d3A8:=6g>4e83>!46l3;?o6F=1b9U64`=9rF9><4>{Wa`>7}#90:1=9j4V066>7}#=kh1;6*:b`84?x{zu2c:8h4?:%02`?73k2B9=n5Y20d95~J5:80:w[ml:3y'5<6=9=o0Z<:::3y'1gd=?2.>nl48;|~y>o6=00;6)<>d;37g>N59j1]>>6?u+5c`93>"2jh0<7psr}:k221<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190::95Y15796~"2jk0n7);ma;g8yx{z3f;357>5$33g>4>>3_8:j7?tL302>4}Qkj09w)?60;3;=>P6<<09w);mb;38 0df281vqps4}c740?6=:3:1N5981b=9m50;&15a<64>>3_;?974=#=kk1=6sr}|9~f01?29096=4?{%02`?d03A8:=6g>4b83>!46l3;?o65`19;94?"59m0:445Y20d95~J5:80:w[ml:3y'5<6=9130Z<:::3y'1gd=92.>nl4>;|~y>{e=>l1<7<50;2x 77c2k=0D??>;h37g?6=,;;o6<:l;:m2<<<72-8:h7?79:T15c<6sE89=7?tVba96~"6190:445Y15796~"2jk0=7);ma;48yx{z3th>;84?:283>5}#:8n1>{M015?7|^ji1>v*>91820a=Q9=?1>v*:bc82?!3ei3;0qpsr;h37a?6=,;;o6<:l;W02b?7|D;8:683;?i6X>4481!3ej3;0(8ln:09~yx{5<6290;w)<>d;010>N5981d=5750;&15a<60010qo;87;297?6=8r.9=i4:c:J154=n9=n1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>515f8R4222;q/9ol51:&6fd<63twvq6g>4d83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6:0yUgf<5s-;2<7?79:T200<5s-?in7?4$4`b>4=zutw0qo;89;291?6=8r.9=i4:c:J154=n9=n1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>515f8R4222;q/9ol5f:&6fd4d83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6{M015?7|^ji1>v*>91821<=Q9=?1>v*:bc8e?!3ei3l0qpsr;h350?6=,;;o6<:l;W02b?7|D;8:683;=86X>4481!3ej3l0(8ln:g9~yx{5<>290;w)<>d;7`?M4692c:8i4?:%02`?73k2B9=n5Y20d95~J5:80:w[ml:3y'5<6=9=n0Z<:::3y'1gd=12.>nl46;|~y>o6d;37g>N59j1]>>6?u+5c`9=>"2jh027psr}:k21<<72-8:h7?;c:J15f=Q:8l1=vB=2082Sed2;q/=4>514;8R4222;q/9ol59:&6fd<>3twvq6g>6583>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6>=1]=9;52z&6fg{M015?7|^ji1>v*>918222=Q9=?1>v*:bc8e?!3ei3l0qpsr;h35P59o0:wA<=1;3xRfe=:r.:5=4>699U513=:r.>no4i;%7ae?`h7[<>f;3xH74628q]on4={%3:4?7112\:884={%7af?`<,4}Qkj09w)?60;35e>P6<<09w);mb;d8 0df2o1vqps4o0::>5<#:8n1=574V33e>4}K:;;1=vXlc;0x 4?728227[?;5;0x 0de281/9oo51:~yx=zj<=i6=46:183!46l3?h7E<>1:k20a<72-8:h7?;c:J15f=Q:8l1=vB=2082Sed2;q/=4>515f8R4222;q/9ol59:&6fd<>3twvq6g>4d83>!46l3;?o6F=1b9U64`=9rF9><4>{Wa`>7}#90:1=9k4V066>7}#=kh156*:b`8:?x{zu2c:944?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91821<=Q9=?1>v*:bc8e?!3ei3l0qpsr;h350?6=,;;o6<:l;W02b?7|D;8:683;=86X>4481!3ej3l0(8ln:g9~yx{f;3xH74628q]on4={%3:4?71?2\:884={%7af?`<,4}Qkj09w)?60;35<>P6<<09w);mb;d8 0df2o1vqps4i04:>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728<27[?;5;0x 0de2o1/9oo5f:~yx=n9?k1<7*=1e820f=O:8i0Z??i:0yO677=9r\ho740f3_;?97c=#=kk1j6sr}|9l5=?=83.9=i4>889U64`=9rF9><4>{Wa`>7}#90:1=574V066>7}#=kh1=6*:b`82?x{zu2wi9:m50;32>5<7s-8:h7;l;I025>o6d;37g>N59j1]>>6?u+5c`9=>"2jh027psr}:k20`<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:8h5Y15796~"2jk027);ma;;8yx{z3`;>57>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;36=>P6<<09w);mb;;8 0df201vqps4i047>5<#:8n1=9m4H33`?S46n3;p@?<>:0yUgf<5s-;2<7?94:T200<5s-?in774$4`b><=zutw0e<88:18'64b=9=i0D??l;W02b?7|D;8:683;=;6X>4481!3ej3l0(8ln:g9~yx{f;3xH74628q]on4={%3:4?7102\:884={%7af?`<,290/>4}Qkj09w)?60;35=>P6<<09w);mb;d8 0df2o1vqps4i04b>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?72840e3_;?97c=#=kk1j6sr}|9j53e=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=;m4V066>7}#=kh1=6*:b`82?x{zu2c:8k4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91820c=Q9=?1>v*:bc82?!3ei3;0qpsr;h364?6=,;;o6<:l;W02b?7|D;8:683;><6X>4481!3ej3;0(8ln:09~yx{nl4>;|~y>o6=:0;6)<>d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>529U513=:r.>no4>;%7ae?7h7[<>f;3xH74628q]on4={%3:4?72<2\:884={%7af?7<,>290/>??51zT`g?4|,83;6<66;W371?4|,{zut1vn89k:1825?6=8r.9=i4:c:J154=n9=n1<7*=1e820f=O:8i0Z??i:0yO677=9r\ho742c3_;?97<=#=kk156sr}|9j51c=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=9k4V066>7}#=kh156*:b`8:?x{zu2c:944?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91821<=Q9=?1>v*:bc8:?!3ei330qpsr;h350?6=,;;o6<:l;W02b?7|D;8:683;=86X>4481!3ej3l0(8ln:g9~yx{f;3xH74628q]on4={%3:4?71?2\:884={%7af?`<,4}Qkj09w)?60;35<>P6<<09w);mb;d8 0df2o1vqps4i04:>5<#:8n1=9m4H33`?S46n3;p@?<>:0yUgf<5s-;2<7?99:T200<5s-?in7h4$4`b>c=zutw0e<8n:18'64b=9=i0Z??i:0yO677=9r\ho740f3_;?97c=#=kk1j6sr}|9j53d=83.9=i4>4b9K64e<^;;m6nl4>;|~y>o6d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>4g9U513=:r.>no4>;%7ae?7h7[<>f;3xH74628q]on4={%3:4?7282\:884={%7af?7<,??51zT`g?4|,83;6<;>;W371?4|,{zut1b=8<50;&15a<6>6?u+5c`95>"2jh0:7psr}:k216<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:9>5Y15796~"2jk0:7);ma;38yx{z3`;>87>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;360>P6<<09w);mb;38 0df281vqps4o0::>5<#:8n1=574V33e>4}K:;;1=vXlc;0x 4?728227[?;5;0x 0de281/9oo51:~yx=zj<=n6=49:183!46l3;346F=109j51b=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=9j4V066>7}#=kh1=6*:b`82?x{zu2c:8h4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91820`=Q9=?1>v*:bc82?!3ei3;0qpsr;h36=?6=,;;o6<:l;W02b?7|D;8:683;>56X>4481!3ej3;0(8ln:09~yx{nl4>;|~y>i6000;6)<>d;3;=>P59o0:wA<=1;3xRfe=:r.:5=4>889U513=:r.>no4>;%7ae?77<729q/>h6=4+20f951e<3f;357>5$33g>4>>3_8:j7?tL302>4}Qkj09w)?60;3;=>P6<<09w);mb;38 0df281vqps4}c7:6?6=:3:1N5981b=9m50;&15a<64>>3_;?974=#=kk1=6sr}|9~f0?>29096=4?{%02`?d03A8:=6g>4b83>!46l3;?o65`19;94?"59m0:445Y20d95~J5:80:w[ml:3y'5<6=9130Z<:::3y'1gd=>2.>nl49;|~y>{e=1l1<7=50;2x 77c2;;j7E<>1:k20a<72-8:h7?;c:J15f=Q:8l1=vB=2082Sed2;q/=4>515f8R4222;q/9ol51:&6fd<63twvq6g>4d83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6:0yUgf<5s-;2<7?79:T200<5s-?in7?4$4`b>4=zutw0qo;60;295?6=8r.9=i4=259K6475<4?:283>5}#:8n19n5G2038m42c290/>??51zT`g?4|,83;6<:k;W371?4|,{zut1b=9k50;&15a<6>6?u+5c`95>"2jh0:7psr}:m2<<<72-8:h7?79:T15c<6sE89=7?tVba96~"6190:445Y15796~"2jk0:7);ma;38yx{z3th>5>4?:683>5}#:8n19n5G2038m42c290/>??51zT`g?4|,83;6<:k;W371?4|,{zut1b=9k50;&15a<64}K:;;1=vXlc;0x 4?728>n7[?;5;0x 0de201/9oo59:~yx=n9<31<7*=1e820f=O:8i0Z??i:0yO677=9r\ho743>3_;?97c=#=kk1j6sr}|9j532=83.9=i4>4b9K64e<^;;m6??51zT`g?4|,83;6<88;W371?4|,{zut1b=;650;&15a<64}K:;;1=vXlc;0x 4?728<37[?;5;0x 0de2o1/9oo5f:~yx=h9131<7*=1e82<<=Q:8l1=vB=2082Sed2;q/=4>519;8R4222;q/9ol51:&6fd<63twvq6sm58694?1=83:p(??k:4a8L7763`;?h7>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;37`>P6<<09w);mb;;8 0df201vqps4i06f>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728>n7[?;5;0x 0de2o1/9oo5f:~yx=n9<31<7*=1e820f=O:8i0Z??i:0yO677=9r\ho743>3_;?97c=#=kk1j6sr}|9j532=83.9=i4>4b9K64e<^;;m6??51zT`g?4|,83;6<88;W371?4|,{zut1b=;650;&15a<64}K:;;1=vXlc;0x 4?728<37[?;5;0x 0de2o1/9oo5f:~yx=h9131<7*=1e82<<=Q:8l1=vB=2082Sed2;q/=4>519;8R4222;q/9ol51:&6fd<63twvq6sm58794?1=83:p(??k:4a8L7763`;?h7>5$33g>42d3A8:o6X=1g82I4593;pZnm52z&2=5<63-?im774}|~?l73m3:1(??k:06`?M46k2\9=k4>{M015?7|^ji1>v*>91820`=Q9=?1>v*:bc8e?!3ei3l0qpsr;h36=?6=,;;o6<:l;I02g>P59o0:wA<=1;3xRfe=:r.:5=4>589U513=:r.>no4i;%7ae?`h7E<>c:T15c<6sE89=7?tVba96~"6190::95Y15796~"2jk0m7);ma;d8yx{z3`;=;7>5$33g>42d3A8:o6X=1g82I4593;pZnm52z&2=5<6>>1]=9;52z&6fg{M015?7|^ji1>v*>91822==Q9=?1>v*:bc8e?!3ei3l0qpsr;n3;=?6=,;;o6<66;W02b?7|D;8:683;356X>4481!3ej3;0(8ln:09~yx{58;294~"59m0>o6F=109j51b=83.9=i4>4b9K64e<^;;m6??51zT`g?4|,83;6<:j;W371?4|,{zut1b=8750;&15a<64}K:;;1=vXlc;0x 4?728?27[?;5;0x 0de201/9oo59:~yx=n9?>1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>51768R4222;q/9ol5f:&6fd6683>!46l3;?o6F=1b9U64`=9rF9><4>{Wa`>7}#90:1=;94V066>7}#=kh1j6*:b`8e?x{zu2c::54?:%02`?73k2B9=n5Y20d95~J5:80:w[ml:3y'5<6=9?20Z<:::3y'1gd=n2.>nl4i;|~y>o6>00;6)<>d;37g>N59j1]>>6?u+5c`9b>"2jh0m7psr}:m2<<<72-8:h7?79:T15c<6sE89=7?tVba96~"6190:445Y15796~"2jk0:7);ma;38yx{z3th>5:4?:983>5}#:8n19n5G2038m42c290/>4}Qkj09w)?60;37`>P6<<09w);mb;;8 0df201vqps4i06f>5<#:8n1=9m4H33`?S46n3;p@?<>:0yUgf<5s-;2<7?;e:T200<5s-?in774$4`b><=zutw0e<;6:18'64b=9=i0Z??i:0yO677=9r\ho743>3_;?97c=#=kk1j6sr}|9j532=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=;:4V066>7}#=kh1j6*:b`8e?x{zu2c:::4?:%02`?73k2B9=n5Y20d95~J5:80:w[ml:3y'5<6=9?=0Z<:::3y'1gd=n2.>nl4i;|~y>o6>10;6)<>d;37g>N59j1]><^8>>6?u+5c`9b>"2jh0m7psr}:k22<<72-8:h7?;c:J15f=Q:8l1=vB=2082Sed2;q/=4>517;8R4222;q/9ol5f:&6fd8883>!46l3;356X=1g82I4593;pZnm52z&2=5<6001]=9;52z&6fg<63-?im7?4}|~?xd2110;6;4?:1y'64b=9120D??>;h37`?6=,;;o6<:l;W02b?7|D;8:683;?h6X>4481!3ej3;0(8ln:09~yx{n6=4+20f951e<^;;m6nl4>;|~y>o6>=0;6)<>d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>659U513=:r.>no4>;%7ae?7h7[<>f;3xH74628q]on4={%3:4?71?2\:884={%7af?7<,>290/>??51zT`g?4|,83;6<66;W371?4|,{zut1vn<6>:181>5<7s-8:h7l8;I025>o6d;37g>=h9131<7*=1e82<<=Q:8l1=vB=2082Sed2;q/=4>519;8R4222;q/9ol51:&6fd<63twvq6sm19794?4=83:p(??k:c58L7763`;?o7>5$33g>42d32e:444?:%02`?7?12\9=k4>{M015?7|^ji1>v*>9182<<=Q9=?1>v*:bc85?!3ei3<0qpsr;|`2<7<72:0;6=u+20f964g<@;;:7d?;d;29 77c28>h7[<>f;3xH74628q]on4={%3:4?73l2\:884={%7af?7<,??51zT`g?4|,83;6<:j;W371?4|,{zut1d=5750;&15a<6001]>>6?u+5c`95>"2jh0:7psr}:a5=5=83;1<7>t$33g>7433A8:=6a>8883>!46l3;3565rb0:7>5<6290;w)<>d;3;3>N5981d=5750;&15a<60010qo?8c;296?6=8r.9=i4m7:J154=n9=i1<7*=1e820f=5<5290;w)<>d;`4?M4692c:8n4?:%02`?73k21d=5750;&15a<6001]>>6?u+5c`92>"2jh0=7psr}:a52b=8391<7>t$33g>77f3A8:=6g>4e83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6:0yUgf<5s-;2<7?;e:T200<5s-?in7?4$4`b>4=zutw0c<66:18'64b=9130Z??i:0yO677=9r\ho74>>3_;?974=#=kk1=6sr}|9~f41b290:6=4?{%02`?45<2B9=<5`19;94?"59m0:4454}c34b?6=93:15$33g>42d3_8:j7?tL302>4}Qkj09w)?60;37`>P6<<09w);mb;f8 0df2m1vqps4i06f>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728>n7[?;5;0x 0de2m1/9oo5d:~yx=h9131<7*=1e82<<=Q:8l1=vB=2082Sed2;q/=4>519;8R4222;q/9ol51:&6fd<63twvq6smd083>47=83:p(??k:4a8L7763`;?h7>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;37`>P6<<09w);mb;;8 0df201vqps4i06f>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728>n7[?;5;0x 0de201/9oo59:~yx=n9<31<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>514;8R4222;q/9ol59:&6fd<>3twvq6g>6583>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6>=1]=9;52z&6fg<>3-?im774}|~?l71?3:1(??k:06`?S46n3;p@?<>:0yUgf<5s-;2<7?97:T200<5s-?in774$4`b><=zutw0e<87:18'64b=9=i0Z??i:0yO677=9r\ho740?3_;?97<=#=kk156sr}|9j53?=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=;74V066>7}#=kh156*:b`8:?x{zu2c::l4?:%02`?73k2B9=n5Y20d95~J5:80:w[ml:3y'5<6=9?k0Z<:::3y'1gd=12.>nl46;|~y>o6>k0;6)<>d;37g>N59j1]>>6?u+5c`9=>"2jh027psr}:k22f<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190::n5Y15796~"2jk0m7);ma;d8yx{z3`;?j7>5$33g>42d3A8:o6X=1g82I4593;pZnm52z&2=5<6{M015?7|^ji1>v*>918215=Q9=?1>v*:bc8e?!3ei3l0qpsr;h365?6=,;;o6<:l;I02g>P59o0:wA<=1;3xRfe=:r.:5=4>509U513=:r.>no4i;%7ae?`h7[<>f;3xH74628q]on4={%3:4?72:2\:884={%7af?`<,??51zT`g?4|,83;6<;<;W371?4|,{zut1b=8:50;&15a<6>6?u+5c`95>"2jh0:7psr}:m2<<<72-8:h7?79:T15c<6sE89=7?tVba96~"6190:445Y15796~"2jk0:7);ma;38yx{z3tho>7>51083>5}#:8n19n5G2038m42c290/>??51zT`g?4|,83;6<:k;W371?4|,{zut1b=9k50;&15a<6>6?u+5c`9=>"2jh027psr}:k21<<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:945Y15796~"2jk027);ma;;8yx{z3`;=87>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;350>P6<<09w);mb;;8 0df201vqps4i044>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728<<7[?;5;0x 0de201/9oo59:~yx=n9?21<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>517:8R4222;q/9ol59:&6fd<>3twvq6g>6883>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6>01]=9;52z&6fg<>3-?im774}|~?l71i3:1(??k:06`?M46k2\9=k4>{M015?7|^ji1>v*>91822d=Q9=?1>v*:bc8:?!3ei330qpsr;h35f?6=,;;o6<:l;W02b?7|D;8:683;=n6X>4481!3ej3l0(8ln:g9~yx{??51zT`g?4|,83;6<:i;W371?4|,{zut1b=8>50;&15a<64}K:;;1=vXlc;0x 4?728?;7[?;5;0x 0de2o1/9oo5f:~yx=n9<;1<7*=1e820f=O:8i0Z??i:0yO677=9r\ho74363_;?97c=#=kk1j6sr}|9j504=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=8<4V066>7}#=kh1j6*:b`8e?x{zu2c:9>4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>918216=Q9=?1>v*:bc82?!3ei3;0qpsr;h360?6=,;;o6<:l;W02b?7|D;8:683;>86X>4481!3ej3;0(8ln:09~yx{d;7`?M4692c:8i4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91820a=Q9=?1>v*:bc8:?!3ei330qpsr;h37a?6=,;;o6<:l;W02b?7|D;8:683;?i6X>4481!3ej330(8ln:89~yx{0Z<:::3y'1gd=12.>nl46;|~y>o6>>0;6)<>d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>669U513=:r.>no46;%7ae??h7[<>f;3xH74628q]on4={%3:4?7102\:884={%7af??<,290/>??51zT`g?4|,83;6<86;W371?4|,{zut1b=;o50;&15a<64}K:;;1=vXlc;0x 4?72840e3_;?97<=#=kk156sr}|9j53e=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=;m4V066>7}#=kh1j6*:b`8e?x{zu2c:8k4?:%02`?73k2B9=n5Y20d95~J5:80:w[ml:3y'5<6=9=l0Z<:::3y'1gd=n2.>nl4i;|~y>o6=90;6)<>d;37g>N59j1]>>6?u+5c`9b>"2jh0m7psr}:k214<72-8:h7?;c:J15f=Q:8l1=vB=2082Sed2;q/=4>51438R4222;q/9ol5f:&6fd5383>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6=;1]=9;52z&6fg:0yUgf<5s-;2<7?:3:T200<5s-?in7?4$4`b>4=zutw0e<;;:18'64b=9=i0Z??i:0yO677=9r\ho74333_;?974=#=kk1=6sr}|9l5=?=83.9=i4>889U64`=9rF9><4>{Wa`>7}#90:1=574V066>7}#=kh1=6*:b`82?x{zu2wih94?:0394?6|,;;o68m4H332?l73l3:1(??k:06`?S46n3;p@?<>:0yUgf<5s-;2<7?;d:T200<5s-?in774$4`b><=zutw0e<:j:18'64b=9=i0Z??i:0yO677=9r\ho742b3_;?97<=#=kk156sr}|9j50?=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=874V066>7}#=kh156*:b`8:?x{zu2c::94?:%02`?73k2\9=k4>{M015?7|^ji1>v*>918221=Q9=?1>v*:bc8:?!3ei330qpsr;h353?6=,;;o6<:l;W02b?7|D;8:683;=;6X>4481!3ej330(8ln:89~yx{nl46;|~y>o6>h0;6)<>d;37g>N59j1]>>6?u+5c`9=>"2jh027psr}:k22g<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190::o5Y15796~"2jk0m7);ma;d8yx{z3`;=o7>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;35g>P6<<09w);mb;d8 0df2o1vqps4i06e>5<#:8n1=9m4H33`?S46n3;p@?<>:0yUgf<5s-;2<7?;f:T200<5s-?in7h4$4`b>c=zutw0e<;?:18'64b=9=i0D??l;W02b?7|D;8:683;><6X>4481!3ej3l0(8ln:g9~yx{f;3xH74628q]on4={%3:4?7292\:884={%7af?`<,??51zT`g?4|,83;6<;=;W371?4|,{zut1b=8=50;&15a<6>6?u+5c`95>"2jh0:7psr}:k211<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:995Y15796~"2jk0:7);ma;38yx{z3f;357>5$33g>4>>3_8:j7?tL302>4}Qkj09w)?60;3;=>P6<<09w);mb;38 0df281vqps4}cf6>5<593:1N5981b=9j50;&15a<6>6?u+5c`9=>"2jh027psr}:k20`<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:8h5Y15796~"2jk027);ma;;8yx{z3`;>57>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;36=>P6<<09w);mb;;8 0df201vqps4i047>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?72851758R4222;q/9ol59:&6fd<>3twvq6g>6983>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6>11]=9;52z&6fg<>3-?im774}|~?l7113:1(??k:06`?S46n3;p@?<>:0yUgf<5s-;2<7?99:T200<5s-?in774$4`b><=zutw0e<8n:18'64b=9=i0Z??i:0yO677=9r\ho740f3_;?97<=#=kk156sr}|9j53d=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=;l4V066>7}#=kh156*:b`8:?x{zu2c::n4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91822f=Q9=?1>v*:bc8:?!3ei330qpsr;h37b?6=,;;o6<:l;W02b?7|D;8:683;?j6X>4481!3ej330(8ln:89~yx{nl46;|~y>o6=;0;6)<>d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>539U513=:r.>no46;%7ae??h7[<>f;3xH74628q]on4={%3:4?72;2\:884={%7af??<,??51zT`g?4|,83;6<;;;W371?4|,{zut1b=8;50;&15a<64}K:;;1=vXlc;0x 4?728?>7[?;5;0x 0de201/9oo59:~yx=n9<<1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>51448R4222;q/9ol5f:&6fd5683>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6=>1]=9;52z&6fg<63-?im7?4}|~?l7203:1(??k:06`?S46n3;p@?<>:0yUgf<5s-;2<7?:8:T200<5s-?in7?4$4`b>4=zutw0e<;n:18'64b=9=i0Z??i:0yO677=9r\ho743f3_;?974=#=kk1=6sr}|9j50d=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=8l4V066>7}#=kh1=6*:b`82?x{zu2c:9n4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91821f=Q9=?1>v*:bc82?!3ei3;0qpsr;h36`?6=,;;o6<:l;W02b?7|D;8:683;>h6X>4481!3ej3;0(8ln:09~yx{nl4>;|~y>o6>90;6)<>d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>619U513=:r.>no4>;%7ae?7h7[<>f;3xH74628q]on4={%3:4?7192\:884={%7af?7<,??51zT`g?4|,83;6<8=;W371?4|,{zut1b=;=50;&15a<6>6?u+5c`95>"2jh0:7psr}:k220<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190::85Y15796~"2jk0:7);ma;38yx{z3`;=:7>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;352>P6<<09w);mb;38 0df281vqps4o0::>5<#:8n1=574V33e>4}K:;;1=vXlc;0x 4?728227[?;5;0x 0de281/9oo51:~yx=zjm<1<7950;2x 77c28237E<>1:k20a<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:8i5Y15796~"2jk0:7);ma;38yx{z3`;?i7>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;37a>P6<<09w);mb;38 0df281vqps4i07:>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728?27[?;5;0x 0de281/9oo51:~yx=n9?>1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>51768R4222;q/9ol51:&6fd<63twvq6g>6683>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6>>1]=9;52z&6fg<63-?im7?4}|~?l7103:1(??k:06`?S46n3;p@?<>:0yUgf<5s-;2<7?98:T200<5s-?in7?4$4`b>4=zutw0c<66:18'64b=9130Z??i:0yO677=9r\ho74>>3_;?974=#=kk1=6sr}|9~fa1=8381<7>t$33g>g1<@;;:7d?;c;29 77c28>h76a>8883>!46l3;356X=1g82I4593;pZnm52z&2=5<6001]=9;52z&6fg<63-?im7?4}|~?xdc03:1><4?:1y'64b==j1C>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728>o7[?;5;0x 0de201/9oo59:~yx=n9=o1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>515g8R4222;q/9ol59:&6fd<>3twvq6g>5883>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6=01]=9;52z&6fg<>3-?im774}|~?l71<3:1(??k:06`?S46n3;p@?<>:0yUgf<5s-;2<7?94:T200<5s-?in774$4`b><=zutw0e<88:18'64b=9=i0Z??i:0yO677=9r\ho74003_;?97<=#=kk156sr}|9j53>=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=;64V066>7}#=kh156*:b`8:?x{zu2c::44?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91822<=Q9=?1>v*:bc8:?!3ei330qpsr;h35e?6=,;;o6<:l;W02b?7|D;8:683;=m6X>4481!3ej330(8ln:89~yx{nl46;|~y>o6d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>4g9U513=:r.>no46;%7ae??h7[<>f;3xH74628q]on4={%3:4?7282\:884={%7af??<,??51zT`g?4|,83;6<;>;W371?4|,{zut1b=8<50;&15a<6>6?u+5c`9=>"2jh027psr}:k216<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:9>5Y15796~"2jk027);ma;;8yx{z3`;>87>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;360>P6<<09w);mb;;8 0df201vqps4i076>5<#:8n1=9m4H33`?S46n3;p@?<>:0yUgf<5s-;2<7?:5:T200<5s-?in774$4`b><=zutw0e<;9:18'64b=9=i0Z??i:0yO677=9r\ho74313_;?97c=#=kk1j6sr}|9j501=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=894V066>7}#=kh1=6*:b`82?x{zu2c:954?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91821==Q9=?1>v*:bc82?!3ei3;0qpsr;h36e?6=,;;o6<:l;W02b?7|D;8:683;>m6X>4481!3ej3;0(8ln:09~yx{nl4>;|~y>o6=m0;6)<>d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>5e9U513=:r.>no4>;%7ae?7h7[<>f;3xH74628q]on4={%3:4?72m2\:884={%7af?7<,??51zT`g?4|,83;6<;i;W371?4|,{zut1b=;>50;&15a<6>6?u+5c`95>"2jh0:7psr}:k224<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190::<5Y15796~"2jk0:7);ma;38yx{z3`;=>7>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;356>P6<<09w);mb;38 0df281vqps4i040>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728<87[?;5;0x 0de281/9oo51:~yx=n9??1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>51778R4222;q/9ol51:&6fd<63twvq6g>6783>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6>?1]=9;52z&6fg<63-?im7?4}|~?j7?13:1(??k:0::?S46n3;p@?<>:0yUgf<5s-;2<7?79:T200<5s-?in7?4$4`b>4=zutw0qoj6:1815?6=8r.9=i4:c:J154=n9=n1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>515f8R4222;q/9ol59:&6fd<>3twvq6g>4d83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<63-?im774}|~?l7213:1(??k:06`?S46n3;p@?<>:0yUgf<5s-;2<7?:9:T200<5s-?in774$4`b><=zutw0e<8;:18'64b=9=i0Z??i:0yO677=9r\ho74033_;?97<=#=kk156sr}|9j531=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=;94V066>7}#=kh156*:b`8:?x{zu2c::54?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91822==Q9=?1>v*:bc8:?!3ei330qpsr;h35=?6=,;;o6<:l;W02b?7|D;8:683;=56X>4481!3ej330(8ln:89~yx{nl46;|~y>o6>j0;6)<>d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>6b9U513=:r.>no46;%7ae??h7[<>f;3xH74628q]on4={%3:4?73n2\:884={%7af??<,??51zT`g?4|,83;6<;?;W371?4|,{zut1b=8?50;&15a<6>6?u+5c`9=>"2jh027psr}:k217<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:9?5Y15796~"2jk027);ma;;8yx{z3`;>?7>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;367>P6<<09w);mb;;8 0df201vqps4i077>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728??7[?;5;0x 0de201/9oo59:~yx=n951478R4222;q/9ol5f:&6fd5783>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6=?1]=9;52z&6fg:0yUgf<5s-;2<7?:7:T200<5s-?in7?4$4`b>4=zutw0e<;7:18'64b=9=i0Z??i:0yO677=9r\ho743?3_;?974=#=kk1=6sr}|9j50g=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=8o4V066>7}#=kh1=6*:b`82?x{zu2c:9o4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91821g=Q9=?1>v*:bc82?!3ei3;0qpsr;h36g?6=,;;o6<:l;W02b?7|D;8:683;>o6X>4481!3ej3;0(8ln:09~yx{nl4>;|~y>o6=o0;6)<>d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>5g9U513=:r.>no4>;%7ae?7h7[<>f;3xH74628q]on4={%3:4?7182\:884={%7af?7<,??51zT`g?4|,83;6<8>;W371?4|,{zut1b=;<50;&15a<6>6?u+5c`95>"2jh0:7psr}:k226<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190::>5Y15796~"2jk0:7);ma;38yx{z3`;=97>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;351>P6<<09w);mb;38 0df281vqps4i045>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728<=7[?;5;0x 0de281/9oo51:~yx=h9131<7*=1e82<<=Q:8l1=vB=2082Sed2;q/=4>519;8R4222;q/9ol51:&6fd<63twvq6smd`83>77=83:p(??k:4a8L7763`;?h7>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;37`>P6<<09w);mb;;8 0df201vqps4i06f>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728>n7[?;5;0x 0de201/9oo59:~yx=n9<31<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>514;8R4222;q/9ol59:&6fd<>3twvq6g>6583>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6>=1]=9;52z&6fg<>3-?im774}|~?l71?3:1(??k:06`?S46n3;p@?<>:0yUgf<5s-;2<7?97:T200<5s-?in774$4`b><=zutw0e<87:18'64b=9=i0Z??i:0yO677=9r\ho740?3_;?97<=#=kk156sr}|9j53?=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=;74V066>7}#=kh156*:b`8:?x{zu2c::l4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91822d=Q9=?1>v*:bc8:?!3ei330qpsr;h35f?6=,;;o6<:l;W02b?7|D;8:683;=n6X>4481!3ej330(8ln:89~yx{nl46;|~y>o6=90;6)<>d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>519U513=:r.>no46;%7ae??h7[<>f;3xH74628q]on4={%3:4?7292\:884={%7af??<,??51zT`g?4|,83;6<;=;W371?4|,{zut1b=8=50;&15a<6>6?u+5c`9=>"2jh027psr}:k211<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:995Y15796~"2jk027);ma;;8yx{z3`;>97>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;361>P6<<09w);mb;d8 0df2o1vqps4i075>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728?=7[?;5;0x 0de2o1/9oo5f:~yx=n9<=1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>51458R4222;q/9ol51:&6fd<63twvq6g>5983>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6=11]=9;52z&6fg<63-?im7?4}|~?l72i3:1(??k:06`?S46n3;p@?<>:0yUgf<5s-;2<7?:a:T200<5s-?in7?4$4`b>4=zutw0e<;m:18'64b=9=i0Z??i:0yO677=9r\ho743e3_;?974=#=kk1=6sr}|9j50e=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=8m4V066>7}#=kh1=6*:b`82?x{zu2c:9i4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91821a=Q9=?1>v*:bc82?!3ei3;0qpsr;h36a?6=,;;o6<:l;W02b?7|D;8:683;>i6X>4481!3ej3;0(8ln:09~yx{nl4>;|~y>o6>80;6)<>d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>609U513=:r.>no4>;%7ae?7h7[<>f;3xH74628q]on4={%3:4?71:2\:884={%7af?7<,??51zT`g?4|,83;6<8<;W371?4|,{zut1b=;;50;&15a<6>6?u+5c`95>"2jh0:7psr}:k223<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190::;5Y15796~"2jk0:7);ma;38yx{z3f;357>5$33g>4>>3_8:j7?tL302>4}Qkj09w)?60;3;=>P6<<09w);mb;38 0df281vqps4}cfa>5<3290;w)<>d;3;<>N5981b=9j50;&15a<6>6?u+5c`95>"2jh0:7psr}:k20`<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:8h5Y15796~"2jk0:7);ma;38yx{z3`;>57>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;36=>P6<<09w);mb;38 0df281vqps4o0::>5<#:8n1=574V33e>4}K:;;1=vXlc;0x 4?728227[?;5;0x 0de281/9oo51:~yx=zjmi1<7<50;2x 77c2k=0D??>;h37g?6=,;;o6<:l;:m2<<<72-8:h7?79:T15c<6sE89=7?tVba96~"6190:445Y15796~"2jk0:7);ma;38yx{z3thoh7>56;294~"59m0>o6F=109j51b=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=9j4V066>7}#=kh156*:b`8:?x{zu2c:8h4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91820`=Q9=?1>v*:bc8:?!3ei330qpsr;h36=?6=,;;o6<:l;I02g>P59o0:wA<=1;3xRfe=:r.:5=4>589U513=:r.>no4i;%7ae?`h7[<>f;3xH74628q]on4={%3:4?71<2\:884={%7af?`<,4}Qkj09w)?60;353>P6<<09w);mb;d8 0df2o1vqps4o0::>5<#:8n1=574V33e>4}K:;;1=vXlc;0x 4?728227[?;5;0x 0de281/9oo51:~yx=zjmo1<7?>:183!46l3?h7E<>1:k20a<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:8i5Y15796~"2jk027);ma;;8yx{z3`;?i7>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;37a>P6<<09w);mb;;8 0df201vqps4i07:>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728?27[?;5;0x 0de201/9oo59:~yx=n9?>1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>51768R4222;q/9ol59:&6fd<>3twvq6g>6683>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6>>1]=9;52z&6fg<>3-?im774}|~?l7103:1(??k:06`?S46n3;p@?<>:0yUgf<5s-;2<7?98:T200<5s-?in774$4`b><=zutw0e<86:18'64b=9=i0Z??i:0yO677=9r\ho740>3_;?97<=#=kk156sr}|9j53g=83.9=i4>4b9K64e<^;;m6??51zT`g?4|,83;6<8m;W371?4|,{zut1b=;m50;&15a<64}K:;;1=vXlc;0x 4?72842a3_;?97c=#=kk1j6sr}|9j506=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=8>4V066>7}#=kh1j6*:b`8e?x{zu2c:9<4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>918214=Q9=?1>v*:bc82?!3ei3;0qpsr;h366?6=,;;o6<:l;W02b?7|D;8:683;>>6X>4481!3ej3;0(8ln:09~yx{0Z<:::3y'1gd=92.>nl4>;|~y>i6000;6)<>d;3;=>P59o0:wA<=1;3xRfe=:r.:5=4>889U513=:r.>no4>;%7ae?7o6=4+20f951e<^;;m6nl46;|~y>o6=00;6)<>d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>589U513=:r.>no46;%7ae??h7[<>f;3xH74628q]on4={%3:4?71<2\:884={%7af??<,??51zT`g?4|,83;6<88;W371?4|,{zut1b=;650;&15a<6<^8>>6?u+5c`9=>"2jh027psr}:k22<<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190::45Y15796~"2jk027);ma;;8yx{z3`;=m7>5$33g>42d3A8:o6X=1g82I4593;pZnm52z&2=5<6>h1]=9;52z&6fg<>3-?im774}|~?l71j3:1(??k:06`?M46k2\9=k4>{M015?7|^ji1>v*>91822g=Q9=?1>v*:bc8e?!3ei3l0qpsr;h35g?6=,;;o6<:l;W02b?7|D;8:683;=o6X>4481!3ej3l0(8ln:g9~yx{m6=4+20f951e<@;;h7[<>f;3xH74628q]on4={%3:4?73n2\:884={%7af?`<,??51zT`g?4|,83;6<;?;W371?4|,{zut1b=8?50;&15a<6>6?u+5c`95>"2jh0:7psr}:k217<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:9?5Y15796~"2jk0:7);ma;38yx{z3`;>?7>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;367>P6<<09w);mb;38 0df281vqps4i077>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728??7[?;5;0x 0de281/9oo51:~yx=h9131<7*=1e82<<=Q:8l1=vB=2082Sed2;q/=4>519;8R4222;q/9ol51:&6fd<63twvq6sme183>47=83:p(??k:4a8L7763`;?h7>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;37`>P6<<09w);mb;;8 0df201vqps4i06f>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728>n7[?;5;0x 0de201/9oo59:~yx=n9<31<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>514;8R4222;q/9ol59:&6fd<>3twvq6g>6583>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6>=1]=9;52z&6fg<>3-?im774}|~?l71?3:1(??k:06`?S46n3;p@?<>:0yUgf<5s-;2<7?97:T200<5s-?in774$4`b><=zutw0e<87:18'64b=9=i0Z??i:0yO677=9r\ho740?3_;?97<=#=kk156sr}|9j53?=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=;74V066>7}#=kh156*:b`8:?x{zu2c::l4?:%02`?73k2B9=n5Y20d95~J5:80:w[ml:3y'5<6=9?k0Z<:::3y'1gd=12.>nl46;|~y>o6>k0;6)<>d;37g>N59j1]>>6?u+5c`9=>"2jh027psr}:k22f<72-8:h7?;c:J15f=Q:8l1=vB=2082Sed2;q/=4>517a8R4222;q/9ol5f:&6fd4g83>!46l3;?o6F=1b9U64`=9rF9><4>{Wa`>7}#90:1=9h4V066>7}#=kh1j6*:b`8e?x{zu2c:9=4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>918215=Q9=?1>v*:bc8e?!3ei3l0qpsr;h365?6=,;;o6<:l;W02b?7|D;8:683;>=6X>4481!3ej3;0(8ln:09~yx{nl4>;|~y>o6==0;6)<>d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>559U513=:r.>no4>;%7ae?7f;3xH74628q]on4={%3:4?7?12\:884={%7af?7<,50z&15a<2k2B9=<5f15f94?"59m0:8n5Y20d95~J5:80:w[ml:3y'5<6=9=n0Z<:::3y'1gd=12.>nl46;|~y>o6d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>4d9U513=:r.>no46;%7ae??h7[<>f;3xH74628q]on4={%3:4?7212\:884={%7af??<,??51zT`g?4|,83;6<8;;W371?4|,{zut1b=;950;&15a<6>6?u+5c`9=>"2jh027psr}:k22=<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190::55Y15796~"2jk027);ma;;8yx{z3`;=57>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;35=>P6<<09w);mb;;8 0df201vqps4i04b>5<#:8n1=9m4H33`?S46n3;p@?<>:0yUgf<5s-;2<7?9a:T200<5s-?in774$4`b><=zutw0e<8m:18'64b=9=i0D??l;W02b?7|D;8:683;=n6X>4481!3ej3l0(8ln:g9~yx{??51zT`g?4|,83;6<:i;W371?4|,{zut1b=8>50;&15a<6>6?u+5c`9b>"2jh0m7psr}:k214<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:9<5Y15796~"2jk0:7);ma;38yx{z3`;>>7>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;366>P6<<09w);mb;38 0df281vqps4i070>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728?87[?;5;0x 0de281/9oo51:~yx=n9<>1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>51468R4222;q/9ol51:&6fd<63twvq6a>8883>!46l3;356X=1g82I4593;pZnm52z&2=5<6001]=9;52z&6fg<63-?im7?4}|~?xdb:3:1:7>50z&15a<6011C>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728>o7[?;5;0x 0de281/9oo51:~yx=n9=o1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>515g8R4222;q/9ol51:&6fd<63twvq6g>5883>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6=01]=9;52z&6fg<63-?im7?4}|~?l71<3:1(??k:06`?S46n3;p@?<>:0yUgf<5s-;2<7?94:T200<5s-?in7?4$4`b>4=zutw0e<88:18'64b=9=i0Z??i:0yO677=9r\ho74003_;?974=#=kk1=6sr}|9l5=?=83.9=i4>889U64`=9rF9><4>{Wa`>7}#90:1=574V066>7}#=kh1=6*:b`82?x{zu2wii>4?:383>5}#:8n1n:5G2038m42d290/>:0yUgf<5s-;2<7?79:T200<5s-?in7?4$4`b>4=zutw0qok;:180>5<7s-8:h7;l;I025>o6d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>4e9U513=:r.>no4k;%7ae?bh7[<>f;3xH74628q]on4={%3:4?73m2\:884={%7af?b<,>290/>??51zT`g?4|,83;6<66;W371?4|,{zut1vnh;50;794?6|,;;o68m4H332?l73l3:1(??k:06`?S46n3;p@?<>:0yUgf<5s-;2<7?;d:T200<5s-?in774$4`b><=zutw0e<:j:18'64b=9=i0D??l;W02b?7|D;8:683;?i6X>4481!3ej330(8ln:89~yx{f;3xH74628q]on4={%3:4?7212\:884={%7af?`<,4}Qkj09w)?60;350>P6<<09w);mb;d8 0df2o1vqps4o0::>5<#:8n1=574V33e>4}K:;;1=vXlc;0x 4?728227[?;5;0x 0de281/9oo51:~yx=zjl<1<7;50;2x 77c2;h37`?6=,;;o6<:l;W02b?7|D;8:683;?h6X>4481!3ej330(8ln:89~yx{n6=4+20f951e<@;;h7[<>f;3xH74628q]on4={%3:4?73m2\:884={%7af?`<,290/>??51zT`g?4|,83;6<;6;W371?4|,{zut1b=;:50;&15a<64}K:;;1=vXlc;0x 4?728519;8R4222;q/9ol51:&6fd<63twvq6sme683>3<729q/>o6=4+20f951e<^;;m6nl46;|~y>o6=00;6)<>d;37g>N59j1]>>6?u+5c`9=>"2jh027psr}:k221<72-8:h7?;c:J15f=Q:8l1=vB=2082Sed2;q/=4>51768R4222;q/9ol5f:&6fd6683>!46l3;?o6F=1b9U64`=9rF9><4>{Wa`>7}#90:1=;94V066>7}#=kh1j6*:b`8e?x{zu2e:444?:%02`?7?12\9=k4>{M015?7|^ji1>v*>9182<<=Q9=?1>v*:bc82?!3ei3;0qpsr;|`f3:1N5981b=9j50;&15a<6>6?u+5c`9=>"2jh027psr}:k20`<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:8h5Y15796~"2jk027);ma;;8yx{z3`;>57>5$33g>42d3A8:o6X=1g82I4593;pZnm52z&2=5<6=01]=9;52z&6fg:0yUgf<5s-;2<7?94:T200<5s-?in7h4$4`b>c=zutw0e<88:18'64b=9=i0D??l;W02b?7|D;8:683;=;6X>4481!3ej3l0(8ln:g9~yx{5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;37`>P6<<09w);mb;;8 0df201vqps4i06f>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728>n7[?;5;0x 0de201/9oo59:~yx=n9<31<7*=1e820f=O:8i0Z??i:0yO677=9r\ho743>3_;?97<=#=kk156sr}|9j532=83.9=i4>4b9K64e<^;;m6??51zT`g?4|,83;6<88;W371?4|,{zut1d=5750;&15a<6001]>>6?u+5c`95>"2jh0:7psr}:aad<72>0;6=u+20f95=><@;;:7d?;d;29 77c28>h7[<>f;3xH74628q]on4={%3:4?73l2\:884={%7af?7<,??51zT`g?4|,83;6<:j;W371?4|,{zut1b=8750;&15a<6>6?u+5c`95>"2jh0:7psr}:k221<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190::95Y15796~"2jk0:7);ma;38yx{z3`;=;7>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;353>P6<<09w);mb;38 0df281vqps4i04;>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728<37[?;5;0x 0de281/9oo51:~yx=h9131<7*=1e82<<=Q:8l1=vB=2082Sed2;q/=4>519;8R4222;q/9ol51:&6fd<63twvq6smec83>7<729q/>h6=4+20f951e<3f;357>5$33g>4>>3_8:j7?tL302>4}Qkj09w)?60;3;=>P6<<09w);mb;38 0df281vqps4}cg`>5<4290;w)<>d;7`?M4692c:8i4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91820a=Q9=?1>v*:bc8g?!3ei3n0qpsr;h37a?6=,;;o6<:l;W02b?7|D;8:683;?i6X>4481!3ej3n0(8ln:e9~yx{5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;37`>P6<<09w);mb;;8 0df201vqps4i06f>5<#:8n1=9m4H33`?S46n3;p@?<>:0yUgf<5s-;2<7?;e:T200<5s-?in7h4$4`b>c=zutw0c<66:18'64b=9130Z??i:0yO677=9r\ho74>>3_;?974=#=kk1=6sr}|9~f`c=83?1<7>t$33g>0e<@;;:7d?;d;29 77c28>h7[<>f;3xH74628q]on4={%3:4?73l2\:884={%7af??<,4}Qkj09w)?60;37a>P6<<09w);mb;d8 0df2o1vqps4i07:>5<#:8n1=9m4H33`?S46n3;p@?<>:0yUgf<5s-;2<7?:9:T200<5s-?in7h4$4`b>c=zutw0e<8;:18'64b=9=i0Z??i:0yO677=9r\ho74033_;?97c=#=kk1j6sr}|9l5=?=83.9=i4>889U64`=9rF9><4>{Wa`>7}#90:1=574V066>7}#=kh1=6*:b`82?x{zu2wiik4?:783>5}#:8n19n5G2038m42c290/>??51zT`g?4|,83;6<:k;W371?4|,{zut1b=9k50;&15a<6>6?u+5c`9=>"2jh027psr}:k21<<72-8:h7?;c:J15f=Q:8l1=vB=2082Sed2;q/=4>514;8R4222;q/9ol5f:&6fd6583>!46l3;?o6F=1b9U64`=9rF9><4>{Wa`>7}#90:1=;:4V066>7}#=kh1j6*:b`8e?x{zu2c:::4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>918222=Q9=?1>v*:bc8e?!3ei3l0qpsr;n3;=?6=,;;o6<66;W02b?7|D;8:683;356X>4481!3ej3;0(8ln:09~yx{1:k20a<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:8i5Y15796~"2jk027);ma;;8yx{z3`;?i7>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;37a>P6<<09w);mb;;8 0df201vqps4i07:>5<#:8n1=9m4H33`?S46n3;p@?<>:0yUgf<5s-;2<7?:9:T200<5s-?in7h4$4`b>c=zutw0e<8;:18'64b=9=i0D??l;W02b?7|D;8:683;=86X>4481!3ej3l0(8ln:g9~yx{nl4>;|~y>{en80;6:4?:1y'64b==j1C>5<#:8n1=9m4H33`?S46n3;p@?<>:0yUgf<5s-;2<7?;d:T200<5s-?in774$4`b><=zutw0e<:j:18'64b=9=i0D??l;W02b?7|D;8:683;?i6X>4481!3ej330(8ln:89~yx{??51zT`g?4|,83;6<8;;W371?4|,{zut1b=;950;&15a<64}K:;;1=vXlc;0x 4?728<<7[?;5;0x 0de2o1/9oo5f:~yx=n9?21<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>517:8R4222;q/9ol5f:&6fd8883>!46l3;356X=1g82I4593;pZnm52z&2=5<6001]=9;52z&6fg<63-?im7?4}|~?xda:3:1;7>50z&15a<6011C>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728>o7[?;5;0x 0de281/9oo51:~yx=n9=o1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>515g8R4222;q/9ol51:&6fd<63twvq6g>5883>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6=01]=9;52z&6fg<63-?im7?4}|~?l71<3:1(??k:06`?S46n3;p@?<>:0yUgf<5s-;2<7?94:T200<5s-?in7?4$4`b>4=zutw0e<88:18'64b=9=i0Z??i:0yO677=9r\ho74003_;?974=#=kk1=6sr}|9j53>=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=;64V066>7}#=kh1=6*:b`82?x{zu2e:444?:%02`?7?12\9=k4>{M015?7|^ji1>v*>9182<<=Q9=?1>v*:bc82?!3ei3;0qpsr;|`e7?6=:3:1N5981b=9m50;&15a<64>>3_;?974=#=kk1=6sr}|9~fc2=8391<7>t$33g>0e<@;;:7d?;d;29 77c28>h7[<>f;3xH74628q]on4={%3:4?73l2\:884={%7af?b<,??51zT`g?4|,83;6<:j;W371?4|,{zut1d=5750;&15a<6001]>>6?u+5c`95>"2jh0:7psr}:ab0<72:0;6=u+20f91f=O:8;0e<:k:18'64b=9=i0Z??i:0yO677=9r\ho742c3_;?97<=#=kk156sr}|9j51c=83.9=i4>4b9K64e<^;;m6nl4>;|~y>{en?0;694?:1y'64b==j1C>5<#:8n1=9m4H33`?S46n3;p@?<>:0yUgf<5s-;2<7?;d:T200<5s-?in774$4`b><=zutw0e<:j:18'64b=9=i0D??l;W02b?7|D;8:683;?i6X>4481!3ej330(8ln:89~yx{f;3xH74628q]on4={%3:4?7212\:884={%7af??<,>290/>??51zT`g?4|,83;6<66;W371?4|,{zut1vnk950;694?6|,;;o68m4H332?l73l3:1(??k:06`?M46k2\9=k4>{M015?7|^ji1>v*>91820a=Q9=?1>v*:bc8:?!3ei330qpsr;h37a?6=,;;o6<:l;I02g>P59o0:wA<=1;3xRfe=:r.:5=4>4d9U513=:r.>no46;%7ae??h7E<>c:T15c<6sE89=7?tVba96~"6190:945Y15796~"2jk027);ma;;8yx{z3f;357>5$33g>4>>3_8:j7?tL302>4}Qkj09w)?60;3;=>P6<<09w);mb;38 0df281vqps4}cd;>5<3290;w)<>d;7`?M4692c:8i4?:%02`?73k2B9=n5Y20d95~J5:80:w[ml:3y'5<6=9=n0Z<:::3y'1gd=12.>nl46;|~y>o6d;37g>N59j1]>>6?u+5c`9=>"2jh027psr}:k21<<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:945Y15796~"2jk027);ma;;8yx{z3f;357>5$33g>4>>3_8:j7?tL302>4}Qkj09w)?60;3;=>P6<<09w);mb;38 0df281vqps4}cd:>5<3290;w)<>d;7`?M4692c:8i4?:%02`?73k2B9=n5Y20d95~J5:80:w[ml:3y'5<6=9=n0Z<:::3y'1gd=12.>nl46;|~y>o6d;37g>N59j1]>>6?u+5c`9=>"2jh027psr}:k21<<72-8:h7?;c:J15f=Q:8l1=vB=2082Sed2;q/=4>514;8R4222;q/9ol5f:&6fd8883>!46l3;356X=1g82I4593;pZnm52z&2=5<6001]=9;52z&6fg<63-?im7?4}|~?xdai3:1;7>50z&15a<6011C>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728>o7[?;5;0x 0de281/9oo51:~yx=n9=o1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>515g8R4222;q/9ol51:&6fd<63twvq6g>5883>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6=01]=9;52z&6fg<63-?im7?4}|~?l71<3:1(??k:06`?S46n3;p@?<>:0yUgf<5s-;2<7?94:T200<5s-?in7?4$4`b>4=zutw0e<88:18'64b=9=i0Z??i:0yO677=9r\ho74003_;?974=#=kk1=6sr}|9j53>=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=;64V066>7}#=kh1=6*:b`82?x{zu2e:444?:%02`?7?12\9=k4>{M015?7|^ji1>v*>9182<<=Q9=?1>v*:bc82?!3ei3;0qpsr;|`ef?6=:3:1N5981b=9m50;&15a<64>>3_;?974=#=kk1=6sr}|9~fce=83>1<7>t$33g>0e<@;;:7d?;d;29 77c28>h7E<>c:T15c<6sE89=7?tVba96~"6190:8i5Y15796~"2jk027);ma;;8yx{z3`;?i7>5$33g>42d3A8:o6X=1g82I4593;pZnm52z&2=5<63-?im774}|~?l7213:1(??k:06`?M46k2\9=k4>{M015?7|^ji1>v*>91821<=Q9=?1>v*:bc8:?!3ei330qpsr;n3;=?6=,;;o6<66;W02b?7|D;8:683;356X>4481!3ej3;0(8ln:09~yx{1:k20a<72-8:h7?;c:J15f=Q:8l1=vB=2082Sed2;q/=4>515f8R4222;q/9ol59:&6fd<>3twvq6g>4d83>!46l3;?o6F=1b9U64`=9rF9><4>{Wa`>7}#90:1=9k4V066>7}#=kh156*:b`8:?x{zu2c:944?:%02`?73k2B9=n5Y20d95~J5:80:w[ml:3y'5<6=9<30Z<:::3y'1gd=12.>nl46;|~y>i6000;6)<>d;3;=>P59o0:wA<=1;3xRfe=:r.:5=4>889U513=:r.>no4>;%7ae?742c3_;?97<=#=kk156sr}|9j51c=83.9=i4>4b9K64e<^;;m6??51zT`g?4|,83;6<;6;W371?4|,{zut1d=5750;&15a<6001]>>6?u+5c`95>"2jh0:7psr}:abc<72=0;6=u+20f91f=O:8;0e<:k:18'64b=9=i0D??l;W02b?7|D;8:683;?h6X>4481!3ej330(8ln:89~yx{n6=4+20f951e<@;;h7[<>f;3xH74628q]on4={%3:4?73m2\:884={%7af??<,290/>4}Qkj09w)?60;36=>P6<<09w);mb;;8 0df201vqps4o0::>5<#:8n1=574V33e>4}K:;;1=vXlc;0x 4?728227[?;5;0x 0de281/9oo51:~yx=zj8:;6=4;:183!46l3?h7E<>1:k20a<72-8:h7?;c:J15f=Q:8l1=vB=2082Sed2;q/=4>515f8R4222;q/9ol59:&6fd<>3twvq6g>4d83>!46l3;?o6F=1b9U64`=9rF9><4>{Wa`>7}#90:1=9k4V066>7}#=kh156*:b`8:?x{zu2c:944?:%02`?73k2B9=n5Y20d95~J5:80:w[ml:3y'5<6=9<30Z<:::3y'1gd=12.>nl46;|~y>i6000;6)<>d;3;=>P59o0:wA<=1;3xRfe=:r.:5=4>889U513=:r.>no4>;%7ae?70083>3<729q/>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;37`>P6<<09w);mb;38 0df281vqps4i06f>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728>n7[?;5;0x 0de281/9oo51:~yx=n9<31<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>514;8R4222;q/9ol51:&6fd<63twvq6g>6583>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6>=1]=9;52z&6fg<63-?im7?4}|~?l71?3:1(??k:06`?S46n3;p@?<>:0yUgf<5s-;2<7?97:T200<5s-?in7?4$4`b>4=zutw0c<66:18'64b=9130Z??i:0yO677=9r\ho74>>3_;?974=#=kk1=6sr}|9~f46529096=4?{%02`?d03A8:=6g>4b83>!46l3;?o65`19;94?"59m0:445Y20d95~J5:80:w[ml:3y'5<6=9130Z<:::3y'1gd=92.>nl4>;|~y>{e9991<7;50;2x 77c2;h37`?6=,;;o6<:l;I02g>P59o0:wA<=1;3xRfe=:r.:5=4>4e9U513=:r.>no4i;%7ae?`h7E<>c:T15c<6sE89=7?tVba96~"6190:8h5Y15796~"2jk0m7);ma;d8yx{z3`;>57>5$33g>42d3A8:o6X=1g82I4593;pZnm52z&2=5<6=01]=9;52z&6fg{M015?7|^ji1>v*>918221=Q9=?1>v*:bc8e?!3ei3l0qpsr;n3;=?6=,;;o6<66;W02b?7|D;8:683;356X>4481!3ej3;0(8ln:09~yx{56;294~"59m0>o6F=109j51b=83.9=i4>4b9K64e<^;;m6??51zT`g?4|,83;6<:j;W371?4|,{zut1b=8750;&15a<64}K:;;1=vXlc;0x 4?728?27[?;5;0x 0de201/9oo59:~yx=n9?>1<7*=1e820f=O:8i0Z??i:0yO677=9r\ho74033_;?97c=#=kk1j6sr}|9j531=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=;94V066>7}#=kh1j6*:b`8e?x{zu2e:444?:%02`?7?12\9=k4>{M015?7|^ji1>v*>9182<<=Q9=?1>v*:bc82?!3ei3;0qpsr;|`240<7210;6=u+20f91f=O:8;0e<:k:18'64b=9=i0Z??i:0yO677=9r\ho742c3_;?97<=#=kk156sr}|9j51c=83.9=i4>4b9K64e<^;;m6??51zT`g?4|,83;6<;6;W371?4|,{zut1b=;:50;&15a<64}K:;;1=vXlc;0x 4?7284003_;?97c=#=kk1j6sr}|9j53>=83.9=i4>4b9K64e<^;;m6nl4i;|~y>i6000;6)<>d;3;=>P59o0:wA<=1;3xRfe=:r.:5=4>889U513=:r.>no4>;%7ae?70783>=<729q/>o6=4+20f951e<^;;m6??51zT`g?4|,83;6<:j;W371?4|,{zut1b=8750;&15a<64}K:;;1=vXlc;0x 4?728?27[?;5;0x 0de2o1/9oo5f:~yx=n9?>1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>51768R4222;q/9ol5f:&6fd6683>!46l3;?o6F=1b9U64`=9rF9><4>{Wa`>7}#90:1=;94V066>7}#=kh1j6*:b`8e?x{zu2c::54?:%02`?73k2B9=n5Y20d95~J5:80:w[ml:3y'5<6=9?20Z<:::3y'1gd=n2.>nl4i;|~y>o6>00;6)<>d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>689U513=:r.>no4i;%7ae?`f;3xH74628q]on4={%3:4?7?12\:884={%7af?7<,50z&15a<2k2B9=<5f15f94?"59m0:8n5Y20d95~J5:80:w[ml:3y'5<6=9=n0Z<:::3y'1gd=12.>nl46;|~y>o6d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>4d9U513=:r.>no46;%7ae??h7E<>c:T15c<6sE89=7?tVba96~"6190:945Y15796~"2jk027);ma;;8yx{z3`;=87>5$33g>42d3A8:o6X=1g82I4593;pZnm52z&2=5<6>=1]=9;52z&6fg<>3-?im774}|~?l71?3:1(??k:06`?M46k2\9=k4>{M015?7|^ji1>v*>918222=Q9=?1>v*:bc8e?!3ei3l0qpsr;h35P59o0:wA<=1;3xRfe=:r.:5=4>699U513=:r.>no4i;%7ae?`h7E<>c:T15c<6sE89=7?tVba96~"6190::45Y15796~"2jk0m7);ma;d8yx{z3`;=m7>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;35e>P6<<09w);mb;d8 0df2o1vqps4o0::>5<#:8n1=574V33e>4}K:;;1=vXlc;0x 4?728227[?;5;0x 0de281/9oo51:~yx=zj8:36=49:183!46l3;346F=109j51b=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=9j4V066>7}#=kh1=6*:b`82?x{zu2c:8h4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91820`=Q9=?1>v*:bc82?!3ei3;0qpsr;h36=?6=,;;o6<:l;W02b?7|D;8:683;>56X>4481!3ej3;0(8ln:09~yx{nl4>;|~y>i6000;6)<>d;3;=>P59o0:wA<=1;3xRfe=:r.:5=4>889U513=:r.>no4>;%7ae?70883>7<729q/>h6=4+20f951e<3f;357>5$33g>4>>3_8:j7?tL302>4}Qkj09w)?60;3;=>P6<<09w);mb;38 0df281vqps4}c33e?6=;3:1N5981b=9j50;&15a<6>6?u+5c`9`>"2jh0o7psr}:k20`<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:8h5Y15796~"2jk0o7);ma;f8yx{z3f;357>5$33g>4>>3_8:j7?tL302>4}Qkj09w)?60;3;=>P6<<09w);mb;38 0df281vqps4}c33f?6=13:1N5981b=9j50;&15a<6>6?u+5c`9=>"2jh027psr}:k20`<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:8h5Y15796~"2jk027);ma;;8yx{z3`;>57>5$33g>42d3A8:o6X=1g82I4593;pZnm52z&2=5<6=01]=9;52z&6fg<>3-?im774}|~?l71<3:1(??k:06`?M46k2\9=k4>{M015?7|^ji1>v*>918221=Q9=?1>v*:bc8e?!3ei3l0qpsr;h353?6=,;;o6<:l;W02b?7|D;8:683;=;6X>4481!3ej3l0(8ln:g9~yx{f;3xH74628q]on4={%3:4?7102\:884={%7af?`<,290/>4}Qkj09w)?60;35=>P6<<09w);mb;d8 0df2o1vqps4i04b>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728519;8R4222;q/9ol51:&6fd<63twvq6sm11a94??=83:p(??k:4a8L7763`;?h7>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;37`>P6<<09w);mb;;8 0df201vqps4i06f>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728>n7[?;5;0x 0de201/9oo59:~yx=n9<31<7*=1e820f=O:8i0Z??i:0yO677=9r\ho743>3_;?97<=#=kk156sr}|9j532=83.9=i4>4b9K64e<^;;m6??51zT`g?4|,83;6<88;W371?4|,{zut1b=;650;&15a<64}K:;;1=vXlc;0x 4?728<37[?;5;0x 0de2o1/9oo5f:~yx=n9?31<7*=1e820f=O:8i0Z??i:0yO677=9r\ho740>3_;?97c=#=kk1j6sr}|9j53g=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=;o4V066>7}#=kh1j6*:b`8e?x{zu2e:444?:%02`?7?12\9=k4>{M015?7|^ji1>v*>9182<<=Q9=?1>v*:bc82?!3ei3;0qpsr;|`24a<7200;6=u+20f91f=O:8;0e<:k:18'64b=9=i0Z??i:0yO677=9r\ho742c3_;?97<=#=kk156sr}|9j51c=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=9k4V066>7}#=kh156*:b`8:?x{zu2c:944?:%02`?73k2B9=n5Y20d95~J5:80:w[ml:3y'5<6=9<30Z<:::3y'1gd=12.>nl46;|~y>o6>=0;6)<>d;37g>N59j1]>>6?u+5c`9b>"2jh0m7psr}:k222<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:::5Y15796~"2jk0m7);ma;d8yx{z3`;=47>5$33g>42d3A8:o6X=1g82I4593;pZnm52z&2=5<6>11]=9;52z&6fg{M015?7|^ji1>v*>91822<=Q9=?1>v*:bc8e?!3ei3l0qpsr;h35e?6=,;;o6<:l;W02b?7|D;8:683;=m6X>4481!3ej3l0(8ln:g9~yx{5<693:1N5981b=9j50;&15a<6>6?u+5c`9=>"2jh027psr}:k20`<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:8h5Y15796~"2jk027);ma;;8yx{z3`;>57>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;36=>P6<<09w);mb;;8 0df201vqps4i047>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?72851758R4222;q/9ol59:&6fd<>3twvq6g>6983>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6>11]=9;52z&6fg<>3-?im774}|~?l7113:1(??k:06`?S46n3;p@?<>:0yUgf<5s-;2<7?99:T200<5s-?in774$4`b><=zutw0e<8n:18'64b=9=i0D??l;W02b?7|D;8:683;=m6X>4481!3ej330(8ln:89~yx{f;3xH74628q]on4={%3:4?71j2\:884={%7af??<,4}Qkj09w)?60;35g>P6<<09w);mb;d8 0df2o1vqps4i06e>5<#:8n1=9m4H33`?S46n3;p@?<>:0yUgf<5s-;2<7?;f:T200<5s-?in7h4$4`b>c=zutw0e<;?:18'64b=9=i0D??l;W02b?7|D;8:683;><6X>4481!3ej3l0(8ln:g9~yx{nl4i;|~y>o6=:0;6)<>d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>529U513=:r.>no4>;%7ae?7h7[<>f;3xH74628q]on4={%3:4?72<2\:884={%7af?7<,>290/>??51zT`g?4|,83;6<66;W371?4|,{zut1vn<>i:1825?6=8r.9=i4:c:J154=n9=n1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>515f8R4222;q/9ol59:&6fd<>3twvq6g>4d83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<63-?im774}|~?l7213:1(??k:06`?S46n3;p@?<>:0yUgf<5s-;2<7?:9:T200<5s-?in774$4`b><=zutw0e<8;:18'64b=9=i0Z??i:0yO677=9r\ho74033_;?97<=#=kk156sr}|9j531=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=;94V066>7}#=kh156*:b`8:?x{zu2c::54?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91822==Q9=?1>v*:bc8:?!3ei330qpsr;h35=?6=,;;o6<:l;W02b?7|D;8:683;=56X>4481!3ej330(8ln:89~yx{f;3xH74628q]on4={%3:4?71i2\:884={%7af??<,4}Qkj09w)?60;35f>P6<<09w);mb;;8 0df201vqps4i04`>5<#:8n1=9m4H33`?S46n3;p@?<>:0yUgf<5s-;2<7?9c:T200<5s-?in7h4$4`b>c=zutw0e<:i:18'64b=9=i0D??l;W02b?7|D;8:683;?j6X>4481!3ej3l0(8ln:g9~yx{f;3xH74628q]on4={%3:4?7282\:884={%7af?`<,??51zT`g?4|,83;6<;>;W371?4|,{zut1b=8<50;&15a<6>6?u+5c`9b>"2jh0m7psr}:k216<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:9>5Y15796~"2jk0:7);ma;38yx{z3`;>87>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;360>P6<<09w);mb;38 0df281vqps4o0::>5<#:8n1=574V33e>4}K:;;1=vXlc;0x 4?728227[?;5;0x 0de281/9oo51:~yx=zj8;;6=48:183!46l3;346F=109j51b=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=9j4V066>7}#=kh1=6*:b`82?x{zu2c:8h4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91820`=Q9=?1>v*:bc82?!3ei3;0qpsr;h36=?6=,;;o6<:l;W02b?7|D;8:683;>56X>4481!3ej3;0(8ln:09~yx{nl4>;|~y>o6>10;6)<>d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>699U513=:r.>no4>;%7ae?7f;3xH74628q]on4={%3:4?7?12\:884={%7af?7<,7>50z&15a5<#:8n1=574V33e>4}K:;;1=vXlc;0x 4?728227[?;5;0x 0de281/9oo51:~yx=zj8;96=48:183!46l3?h7E<>1:k20a<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:8i5Y15796~"2jk027);ma;;8yx{z3`;?i7>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;37a>P6<<09w);mb;;8 0df201vqps4i07:>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728?27[?;5;0x 0de2o1/9oo5f:~yx=n9?>1<7*=1e820f=O:8i0Z??i:0yO677=9r\ho74033_;?97c=#=kk1j6sr}|9j531=83.9=i4>4b9K64e<^;;m6nl4i;|~y>i6000;6)<>d;3;=>P59o0:wA<=1;3xRfe=:r.:5=4>889U513=:r.>no4>;%7ae?71283>=<729q/>o6=4+20f951e<@;;h7[<>f;3xH74628q]on4={%3:4?73l2\:884={%7af??<,4}Qkj09w)?60;37a>P6<<09w);mb;;8 0df201vqps4i07:>5<#:8n1=9m4H33`?S46n3;p@?<>:0yUgf<5s-;2<7?:9:T200<5s-?in774$4`b><=zutw0e<8;:18'64b=9=i0Z??i:0yO677=9r\ho74033_;?97c=#=kk1j6sr}|9j531=83.9=i4>4b9K64e<^;;m6??51zT`g?4|,83;6<87;W371?4|,{zut1b=;750;&15a<6>6?u+5c`9b>"2jh0m7psr}:m2<<<72-8:h7?79:T15c<6sE89=7?tVba96~"6190:445Y15796~"2jk0:7);ma;38yx{z3th:=94?:983>5}#:8n19n5G2038m42c290/>4}Qkj09w)?60;37`>P6<<09w);mb;;8 0df201vqps4i06f>5<#:8n1=9m4H33`?S46n3;p@?<>:0yUgf<5s-;2<7?;e:T200<5s-?in774$4`b><=zutw0e<;6:18'64b=9=i0Z??i:0yO677=9r\ho743>3_;?97c=#=kk1j6sr}|9j532=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=;:4V066>7}#=kh1j6*:b`8e?x{zu2c:::4?:%02`?73k2B9=n5Y20d95~J5:80:w[ml:3y'5<6=9?=0Z<:::3y'1gd=n2.>nl4i;|~y>o6>10;6)<>d;37g>N59j1]><^8>>6?u+5c`9b>"2jh0m7psr}:k22<<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190::45Y15796~"2jk0m7);ma;d8yx{z3f;357>5$33g>4>>3_8:j7?tL302>4}Qkj09w)?60;3;=>P6<<09w);mb;38 0df281vqps4}c321?6=13:1N5981b=9j50;&15a<64}K:;;1=vXlc;0x 4?728>o7[?;5;0x 0de201/9oo59:~yx=n9=o1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>515g8R4222;q/9ol59:&6fd<>3twvq6g>5883>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6=01]=9;52z&6fg<>3-?im774}|~?l71<3:1(??k:06`?M46k2\9=k4>{M015?7|^ji1>v*>918221=Q9=?1>v*:bc8:?!3ei330qpsr;h353?6=,;;o6<:l;I02g>P59o0:wA<=1;3xRfe=:r.:5=4>669U513=:r.>no4i;%7ae?`h7E<>c:T15c<6sE89=7?tVba96~"6190::55Y15796~"2jk0m7);ma;d8yx{z3`;=57>5$33g>42d3A8:o6X=1g82I4593;pZnm52z&2=5<6>01]=9;52z&6fg:0yUgf<5s-;2<7?9a:T200<5s-?in7h4$4`b>c=zutw0c<66:18'64b=9130Z??i:0yO677=9r\ho74>>3_;?974=#=kk1=6sr}|9~f47129026=4?{%02`?3d3A8:=6g>4e83>!46l3;?o6F=1b9U64`=9rF9><4>{Wa`>7}#90:1=9j4V066>7}#=kh156*:b`8:?x{zu2c:8h4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91820`=Q9=?1>v*:bc8:?!3ei330qpsr;h36=?6=,;;o6<:l;W02b?7|D;8:683;>56X>4481!3ej330(8ln:89~yx{??51zT`g?4|,83;6<88;W371?4|,{zut1b=;650;&15a<64}K:;;1=vXlc;0x 4?728<37[?;5;0x 0de2o1/9oo5f:~yx=n9?31<7*=1e820f=O:8i0Z??i:0yO677=9r\ho740>3_;?97c=#=kk1j6sr}|9j53g=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=;o4V066>7}#=kh1j6*:b`8e?x{zu2e:444?:%02`?7?12\9=k4>{M015?7|^ji1>v*>9182<<=Q9=?1>v*:bc82?!3ei3;0qpsr;|`252<72?0;6=u+20f95=><@;;:7d?;d;29 77c28>h7[<>f;3xH74628q]on4={%3:4?73l2\:884={%7af?7<,??51zT`g?4|,83;6<:j;W371?4|,{zut1b=8750;&15a<6>6?u+5c`95>"2jh0:7psr}:k221<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190::95Y15796~"2jk0:7);ma;38yx{z3`;=;7>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;353>P6<<09w);mb;38 0df281vqps4o0::>5<#:8n1=574V33e>4}K:;;1=vXlc;0x 4?728227[?;5;0x 0de281/9oo51:~yx=zj8;36=4=:183!46l3h<7E<>1:k20f<72-8:h7?;c:9l5=?=83.9=i4>889U64`=9rF9><4>{Wa`>7}#90:1=574V066>7}#=kh1=6*:b`82?x{zu2wi=<750;;94?6|,;;o68m4H332?l73l3:1(??k:06`?S46n3;p@?<>:0yUgf<5s-;2<7?;d:T200<5s-?in7h4$4`b>c=zutw0e<:j:18'64b=9=i0Z??i:0yO677=9r\ho742b3_;?97c=#=kk1j6sr}|9j50?=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=874V066>7}#=kh1j6*:b`8e?x{zu2c::94?:%02`?73k2\9=k4>{M015?7|^ji1>v*>918221=Q9=?1>v*:bc8:?!3ei330qpsr;h353?6=,;;o6<:l;I02g>P59o0:wA<=1;3xRfe=:r.:5=4>669U513=:r.>no46;%7ae??h7E<>c:T15c<6sE89=7?tVba96~"6190::55Y15796~"2jk0m7);ma;d8yx{z3`;=57>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;35=>P6<<09w);mb;d8 0df2o1vqps4i04b>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728519;8R4222;q/9ol51:&6fd<63twvq6sm10c94??=83:p(??k:4a8L7763`;?h7>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;37`>P6<<09w);mb;d8 0df2o1vqps4i06f>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728>n7[?;5;0x 0de2o1/9oo5f:~yx=n9<31<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>514;8R4222;q/9ol5f:&6fd6583>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6>=1]=9;52z&6fg<>3-?im774}|~?l71?3:1(??k:06`?M46k2\9=k4>{M015?7|^ji1>v*>918222=Q9=?1>v*:bc8:?!3ei330qpsr;h35P59o0:wA<=1;3xRfe=:r.:5=4>699U513=:r.>no4i;%7ae?`h7[<>f;3xH74628q]on4={%3:4?7112\:884={%7af?`<,??51zT`g?4|,83;6<8n;W371?4|,{zut1d=5750;&15a<6001]>>6?u+5c`95>"2jh0:7psr}:a54d=8331<7>t$33g>0e<@;;:7d?;d;29 77c28>h7[<>f;3xH74628q]on4={%3:4?73l2\:884={%7af?`<,??51zT`g?4|,83;6<:j;W371?4|,{zut1b=8750;&15a<6>6?u+5c`9b>"2jh0m7psr}:k221<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190::95Y15796~"2jk027);ma;;8yx{z3`;=;7>5$33g>42d3A8:o6X=1g82I4593;pZnm52z&2=5<6>>1]=9;52z&6fg<>3-?im774}|~?l7103:1(??k:06`?M46k2\9=k4>{M015?7|^ji1>v*>91822==Q9=?1>v*:bc8e?!3ei3l0qpsr;h35=?6=,;;o6<:l;W02b?7|D;8:683;=56X>4481!3ej3l0(8ln:g9~yx{nl4>;|~y>{e98i1<7:50;2x 77c28237E<>1:k20a<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:8i5Y15796~"2jk0:7);ma;38yx{z3`;?i7>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;37a>P6<<09w);mb;38 0df281vqps4i07:>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728?27[?;5;0x 0de281/9oo51:~yx=h9131<7*=1e82<<=Q:8l1=vB=2082Sed2;q/=4>519;8R4222;q/9ol51:&6fd<63twvq6sm10f94?4=83:p(??k:c58L7763`;?o7>5$33g>42d32e:444?:%02`?7?12\9=k4>{M015?7|^ji1>v*>9182<<=Q9=?1>v*:bc82?!3ei3;0qpsr;|`25`<72:0;6=u+20f91f=O:8;0e<:k:18'64b=9=i0Z??i:0yO677=9r\ho742c3_;?97a=#=kk1h6sr}|9j51c=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=9k4V066>7}#=kh1h6*:b`8g?x{zu2e:444?:%02`?7?12\9=k4>{M015?7|^ji1>v*>9182<<=Q9=?1>v*:bc82?!3ei3;0qpsr;|`25c<72?0;6=u+20f91f=O:8;0e<:k:18'64b=9=i0Z??i:0yO677=9r\ho742c3_;?97<=#=kk156sr}|9j51c=83.9=i4>4b9K64e<^;;m6??51zT`g?4|,83;6<;6;W371?4|,{zut1b=;:50;&15a<64}K:;;1=vXlc;0x 4?7284003_;?97c=#=kk1j6sr}|9l5=?=83.9=i4>889U64`=9rF9><4>{Wa`>7}#90:1=574V066>7}#=kh1=6*:b`82?x{zu2wi=?>50;594?6|,;;o68m4H332?l73l3:1(??k:06`?S46n3;p@?<>:0yUgf<5s-;2<7?;d:T200<5s-?in774$4`b><=zutw0e<:j:18'64b=9=i0D??l;W02b?7|D;8:683;?i6X>4481!3ej330(8ln:89~yx{f;3xH74628q]on4={%3:4?7212\:884={%7af?`<,4}Qkj09w)?60;350>P6<<09w);mb;d8 0df2o1vqps4i044>5<#:8n1=9m4H33`?S46n3;p@?<>:0yUgf<5s-;2<7?97:T200<5s-?in7h4$4`b>c=zutw0e<87:18'64b=9=i0D??l;W02b?7|D;8:683;=46X>4481!3ej3l0(8ln:g9~yx{5<0290;w)<>d;7`?M4692c:8i4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91820a=Q9=?1>v*:bc8:?!3ei330qpsr;h37a?6=,;;o6<:l;I02g>P59o0:wA<=1;3xRfe=:r.:5=4>4d9U513=:r.>no46;%7ae??h7E<>c:T15c<6sE89=7?tVba96~"6190:945Y15796~"2jk0m7);ma;d8yx{z3`;=87>5$33g>42d3A8:o6X=1g82I4593;pZnm52z&2=5<6>=1]=9;52z&6fg{M015?7|^ji1>v*>918222=Q9=?1>v*:bc8e?!3ei3l0qpsr;h3583;=46X>4481!3ej3l0(8ln:g9~yx{5<0290;w)<>d;7`?M4692c:8i4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91820a=Q9=?1>v*:bc8:?!3ei330qpsr;h37a?6=,;;o6<:l;W02b?7|D;8:683;?i6X>4481!3ej3l0(8ln:g9~yx{f;3xH74628q]on4={%3:4?7212\:884={%7af?`<,4}Qkj09w)?60;350>P6<<09w);mb;d8 0df2o1vqps4i044>5<#:8n1=9m4H33`?S46n3;p@?<>:0yUgf<5s-;2<7?97:T200<5s-?in7h4$4`b>c=zutw0e<87:18'64b=9=i0Z??i:0yO677=9r\ho740?3_;?97c=#=kk1j6sr}|9l5=?=83.9=i4>889U64`=9rF9><4>{Wa`>7}#90:1=574V066>7}#=kh1=6*:b`82?x{zu2wi=?=50;594?6|,;;o68m4H332?l73l3:1(??k:06`?M46k2\9=k4>{M015?7|^ji1>v*>91820a=Q9=?1>v*:bc8:?!3ei330qpsr;h37a?6=,;;o6<:l;I02g>P59o0:wA<=1;3xRfe=:r.:5=4>4d9U513=:r.>no4i;%7ae?`h7E<>c:T15c<6sE89=7?tVba96~"6190:945Y15796~"2jk0m7);ma;d8yx{z3`;=87>5$33g>42d3A8:o6X=1g82I4593;pZnm52z&2=5<6>=1]=9;52z&6fg{M015?7|^ji1>v*>918222=Q9=?1>v*:bc8e?!3ei3l0qpsr;h3583;=46X>4481!3ej3l0(8ln:g9~yx{5<0290;w)<>d;3;<>N5981b=9j50;&15a<6>6?u+5c`95>"2jh0:7psr}:k20`<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:8h5Y15796~"2jk0:7);ma;38yx{z3`;>57>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;36=>P6<<09w);mb;38 0df281vqps4i047>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?72851758R4222;q/9ol51:&6fd<63twvq6g>6983>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6>11]=9;52z&6fg<63-?im7?4}|~?j7?13:1(??k:0::?S46n3;p@?<>:0yUgf<5s-;2<7?79:T200<5s-?in7?4$4`b>4=zutw0qo?=5;296?6=8r.9=i4m7:J154=n9=i1<7*=1e820f=5<4290;w)<>d;7`?M4692c:8i4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91820a=Q9=?1>v*:bc8g?!3ei3n0qpsr;h37a?6=,;;o6<:l;W02b?7|D;8:683;?i6X>4481!3ej3n0(8ln:e9~yx{5<1290;w)<>d;7`?M4692c:8i4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91820a=Q9=?1>v*:bc8:?!3ei330qpsr;h37a?6=,;;o6<:l;I02g>P59o0:wA<=1;3xRfe=:r.:5=4>4d9U513=:r.>no4i;%7ae?`h7E<>c:T15c<6sE89=7?tVba96~"6190:945Y15796~"2jk0m7);ma;d8yx{z3`;=87>5$33g>42d3A8:o6X=1g82I4593;pZnm52z&2=5<6>=1]=9;52z&6fg{M015?7|^ji1>v*>918222=Q9=?1>v*:bc8e?!3ei3l0qpsr;n3;=?6=,;;o6<66;W02b?7|D;8:683;356X>4481!3ej3;0(8ln:09~yx{56;294~"59m0>o6F=109j51b=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=9j4V066>7}#=kh156*:b`8:?x{zu2c:8h4?:%02`?73k2B9=n5Y20d95~J5:80:w[ml:3y'5<6=9=o0Z<:::3y'1gd=n2.>nl4i;|~y>o6=00;6)<>d;37g>N59j1]>>6?u+5c`9b>"2jh0m7psr}:k221<72-8:h7?;c:J15f=Q:8l1=vB=2082Sed2;q/=4>51768R4222;q/9ol5f:&6fd6683>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6>>1]=9;52z&6fg:0yUgf<5s-;2<7?79:T200<5s-?in7?4$4`b>4=zutw0qo?=9;293?6=8r.9=i4:c:J154=n9=n1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>515f8R4222;q/9ol59:&6fd<>3twvq6g>4d83>!46l3;?o6F=1b9U64`=9rF9><4>{Wa`>7}#90:1=9k4V066>7}#=kh156*:b`8:?x{zu2c:944?:%02`?73k2B9=n5Y20d95~J5:80:w[ml:3y'5<6=9<30Z<:::3y'1gd=n2.>nl4i;|~y>o6>=0;6)<>d;37g>N59j1]>>6?u+5c`9b>"2jh0m7psr}:k222<72-8:h7?;c:J15f=Q:8l1=vB=2082Sed2;q/=4>51758R4222;q/9ol5f:&6fd6983>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6>11]=9;52z&6fg:0yUgf<5s-;2<7?79:T200<5s-?in7?4$4`b>4=zutw0qo?=a;293?6=8r.9=i4:c:J154=n9=n1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>515f8R4222;q/9ol59:&6fd<>3twvq6g>4d83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6{M015?7|^ji1>v*>91821<=Q9=?1>v*:bc8e?!3ei3l0qpsr;h350?6=,;;o6<:l;I02g>P59o0:wA<=1;3xRfe=:r.:5=4>659U513=:r.>no4i;%7ae?`h7E<>c:T15c<6sE89=7?tVba96~"6190:::5Y15796~"2jk0m7);ma;d8yx{z3`;=47>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;35<>P6<<09w);mb;d8 0df2o1vqps4o0::>5<#:8n1=574V33e>4}K:;;1=vXlc;0x 4?728227[?;5;0x 0de281/9oo51:~yx=zj88i6=48:183!46l3?h7E<>1:k20a<72-8:h7?;c:J15f=Q:8l1=vB=2082Sed2;q/=4>515f8R4222;q/9ol59:&6fd<>3twvq6g>4d83>!46l3;?o6F=1b9U64`=9rF9><4>{Wa`>7}#90:1=9k4V066>7}#=kh1j6*:b`8e?x{zu2c:944?:%02`?73k2B9=n5Y20d95~J5:80:w[ml:3y'5<6=9<30Z<:::3y'1gd=n2.>nl4i;|~y>o6>=0;6)<>d;37g>N59j1]>>6?u+5c`9b>"2jh0m7psr}:k222<72-8:h7?;c:J15f=Q:8l1=vB=2082Sed2;q/=4>51758R4222;q/9ol5f:&6fd6983>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6>11]=9;52z&6fg:0yUgf<5s-;2<7?79:T200<5s-?in7?4$4`b>4=zutw0qo?=c;293?6=8r.9=i4>899K647o6=4+20f951e<^;;m6nl4>;|~y>o6=00;6)<>d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>589U513=:r.>no4>;%7ae?7h7[<>f;3xH74628q]on4={%3:4?71<2\:884={%7af?7<,??51zT`g?4|,83;6<88;W371?4|,{zut1b=;650;&15a<6<^8>>6?u+5c`95>"2jh0:7psr}:m2<<<72-8:h7?79:T15c<6sE89=7?tVba96~"6190:445Y15796~"2jk0:7);ma;38yx{z3th:>i4?:383>5}#:8n1n:5G2038m42d290/>:0yUgf<5s-;2<7?79:T200<5s-?in7?4$4`b>4=zutw0qo?=e;297?6=8r.9=i4:c:J154=n9=n1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>515f8R4222;q/9ol5d:&6fd4d83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6:0yUgf<5s-;2<7?79:T200<5s-?in7?4$4`b>4=zutw0qo?=f;290?6=8r.9=i4:c:J154=n9=n1<7*=1e820f=O:8i0Z??i:0yO677=9r\ho742c3_;?97c=#=kk1j6sr}|9j51c=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=9k4V066>7}#=kh1j6*:b`8e?x{zu2c:944?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91821<=Q9=?1>v*:bc8e?!3ei3l0qpsr;n3;=?6=,;;o6<66;W02b?7|D;8:683;356X>4481!3ej3;0(8ln:09~yx{55;294~"59m0>o6F=109j51b=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=9j4V066>7}#=kh156*:b`8:?x{zu2c:8h4?:%02`?73k2B9=n5Y20d95~J5:80:w[ml:3y'5<6=9=o0Z<:::3y'1gd=n2.>nl4i;|~y>o6=00;6)<>d;37g>N59j1]>>6?u+5c`9b>"2jh0m7psr}:k221<72-8:h7?;c:J15f=Q:8l1=vB=2082Sed2;q/=4>51768R4222;q/9ol5f:&6fd8883>!46l3;356X=1g82I4593;pZnm52z&2=5<6001]=9;52z&6fg<63-?im7?4}|~?xd6;80;684?:1y'64b==j1C>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728>o7[?;5;0x 0de201/9oo59:~yx=n9=o1<7*=1e820f=O:8i0Z??i:0yO677=9r\ho742b3_;?97c=#=kk1j6sr}|9j50?=83.9=i4>4b9K64e<^;;m6??51zT`g?4|,83;6<8;;W371?4|,{zut1d=5750;&15a<6001]>>6?u+5c`95>"2jh0:7psr}:a564=83?1<7>t$33g>0e<@;;:7d?;d;29 77c28>h7E<>c:T15c<6sE89=7?tVba96~"6190:8i5Y15796~"2jk0m7);ma;d8yx{z3`;?i7>5$33g>42d3A8:o6X=1g82I4593;pZnm52z&2=5<6{M015?7|^ji1>v*>91821<=Q9=?1>v*:bc8e?!3ei3l0qpsr;h350?6=,;;o6<:l;I02g>P59o0:wA<=1;3xRfe=:r.:5=4>659U513=:r.>no4i;%7ae?`f;3xH74628q]on4={%3:4?7?12\:884={%7af?7<,50z&15a<2k2B9=<5f15f94?"59m0:8n5Y20d95~J5:80:w[ml:3y'5<6=9=n0Z<:::3y'1gd=12.>nl46;|~y>o6d;37g>N59j1]>>6?u+5c`9=>"2jh027psr}:k21<<72-8:h7?;c:J15f=Q:8l1=vB=2082Sed2;q/=4>514;8R4222;q/9ol5f:&6fd6583>!46l3;?o6F=1b9U64`=9rF9><4>{Wa`>7}#90:1=;:4V066>7}#=kh1j6*:b`8e?x{zu2c:::4?:%02`?73k2B9=n5Y20d95~J5:80:w[ml:3y'5<6=9?=0Z<:::3y'1gd=n2.>nl4i;|~y>i6000;6)<>d;3;=>P59o0:wA<=1;3xRfe=:r.:5=4>889U513=:r.>no4>;%7ae?73583>2<729q/>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;37`>P6<<09w);mb;38 0df281vqps4i06f>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728>n7[?;5;0x 0de281/9oo51:~yx=n9<31<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>514;8R4222;q/9ol51:&6fd<63twvq6g>6583>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6>=1]=9;52z&6fg<63-?im7?4}|~?l71?3:1(??k:06`?S46n3;p@?<>:0yUgf<5s-;2<7?97:T200<5s-?in7?4$4`b>4=zutw0e<87:18'64b=9=i0Z??i:0yO677=9r\ho740?3_;?974=#=kk1=6sr}|9l5=?=83.9=i4>889U64`=9rF9><4>{Wa`>7}#90:1=574V066>7}#=kh1=6*:b`82?x{zu2wi=>;50;094?6|,;;o6o94H332?l73k3:1(??k:06`?>i6000;6)<>d;3;=>P59o0:wA<=1;3xRfe=:r.:5=4>889U513=:r.>no4>;%7ae?73783>6<729q/>o6=4+20f951e<^;;m6nl4k;|~y>i6000;6)<>d;3;=>P59o0:wA<=1;3xRfe=:r.:5=4>889U513=:r.>no4>;%7ae?73683>3<729q/>o6=4+20f951e<^;;m6??51zT`g?4|,83;6<:j;W371?4|,{zut1b=8750;&15a<6>6?u+5c`9b>"2jh0m7psr}:k221<72-8:h7?;c:J15f=Q:8l1=vB=2082Sed2;q/=4>51768R4222;q/9ol5f:&6fd6683>!46l3;?o6F=1b9U64`=9rF9><4>{Wa`>7}#90:1=;94V066>7}#=kh1j6*:b`8e?x{zu2e:444?:%02`?7?12\9=k4>{M015?7|^ji1>v*>9182<<=Q9=?1>v*:bc82?!3ei3;0qpsr;|`27=<72>0;6=u+20f91f=O:8;0e<:k:18'64b=9=i0Z??i:0yO677=9r\ho742c3_;?97<=#=kk156sr}|9j51c=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=9k4V066>7}#=kh156*:b`8:?x{zu2c:944?:%02`?73k2B9=n5Y20d95~J5:80:w[ml:3y'5<6=9<30Z<:::3y'1gd=12.>nl46;|~y>o6>=0;6)<>d;37g>N59j1]>>6?u+5c`9b>"2jh0m7psr}:k222<72-8:h7?;c:J15f=Q:8l1=vB=2082Sed2;q/=4>51758R4222;q/9ol5f:&6fd6983>!46l3;?o6F=1b9U64`=9rF9><4>{Wa`>7}#90:1=;64V066>7}#=kh1j6*:b`8e?x{zu2e:444?:%02`?7?12\9=k4>{M015?7|^ji1>v*>9182<<=Q9=?1>v*:bc82?!3ei3;0qpsr;|`27<<72>0;6=u+20f91f=O:8;0e<:k:18'64b=9=i0Z??i:0yO677=9r\ho742c3_;?97<=#=kk156sr}|9j51c=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=9k4V066>7}#=kh156*:b`8:?x{zu2c:944?:%02`?73k2B9=n5Y20d95~J5:80:w[ml:3y'5<6=9<30Z<:::3y'1gd=n2.>nl4i;|~y>o6>=0;6)<>d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>659U513=:r.>no4i;%7ae?`h7E<>c:T15c<6sE89=7?tVba96~"6190:::5Y15796~"2jk0m7);ma;d8yx{z3`;=47>5$33g>42d3A8:o6X=1g82I4593;pZnm52z&2=5<6>11]=9;52z&6fg:0yUgf<5s-;2<7?79:T200<5s-?in7?4$4`b>4=zutw0qo?515f8R4222;q/9ol59:&6fd<>3twvq6g>4d83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<63-?im774}|~?l7213:1(??k:06`?M46k2\9=k4>{M015?7|^ji1>v*>91821<=Q9=?1>v*:bc8:?!3ei330qpsr;h350?6=,;;o6<:l;I02g>P59o0:wA<=1;3xRfe=:r.:5=4>659U513=:r.>no4i;%7ae?`h7E<>c:T15c<6sE89=7?tVba96~"6190:::5Y15796~"2jk0m7);ma;d8yx{z3`;=47>5$33g>42d3A8:o6X=1g82I4593;pZnm52z&2=5<6>11]=9;52z&6fg:0yUgf<5s-;2<7?79:T200<5s-?in7?4$4`b>4=zutw0qo?515f8R4222;q/9ol59:&6fd<>3twvq6g>4d83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<63-?im774}|~?l7213:1(??k:06`?M46k2\9=k4>{M015?7|^ji1>v*>91821<=Q9=?1>v*:bc8e?!3ei3l0qpsr;h350?6=,;;o6<:l;W02b?7|D;8:683;=86X>4481!3ej3l0(8ln:g9~yx{f;3xH74628q]on4={%3:4?71?2\:884={%7af?`<,4}Qkj09w)?60;35<>P6<<09w);mb;d8 0df2o1vqps4o0::>5<#:8n1=574V33e>4}K:;;1=vXlc;0x 4?728227[?;5;0x 0de281/9oo51:~yx=zj89h6=48:183!46l3;346F=109j51b=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=9j4V066>7}#=kh1=6*:b`82?x{zu2c:8h4?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91820`=Q9=?1>v*:bc82?!3ei3;0qpsr;h36=?6=,;;o6<:l;W02b?7|D;8:683;>56X>4481!3ej3;0(8ln:09~yx{nl4>;|~y>o6>10;6)<>d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>699U513=:r.>no4>;%7ae?7f;3xH74628q]on4={%3:4?7?12\:884={%7af?7<,7>50z&15a5<#:8n1=574V33e>4}K:;;1=vXlc;0x 4?728227[?;5;0x 0de281/9oo51:~yx=zj89n6=48:183!46l3?h7E<>1:k20a<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:8i5Y15796~"2jk027);ma;;8yx{z3`;?i7>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;37a>P6<<09w);mb;;8 0df201vqps4i07:>5<#:8n1=9m4H33`?S46n3;p@?<>:0yUgf<5s-;2<7?:9:T200<5s-?in7h4$4`b>c=zutw0e<8;:18'64b=9=i0Z??i:0yO677=9r\ho74033_;?97c=#=kk1j6sr}|9j531=83.9=i4>4b9K64e<^;;m6??51zT`g?4|,83;6<87;W371?4|,{zut1d=5750;&15a<6001]>>6?u+5c`95>"2jh0:7psr}:a56`=83=1<7>t$33g>0e<@;;:7d?;d;29 77c28>h7[<>f;3xH74628q]on4={%3:4?73l2\:884={%7af??<,??51zT`g?4|,83;6<:j;W371?4|,{zut1b=8750;&15a<64}K:;;1=vXlc;0x 4?728?27[?;5;0x 0de2o1/9oo5f:~yx=n9?>1<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>51768R4222;q/9ol5f:&6fd6683>!46l3;?o6F=1b9U64`=9rF9><4>{Wa`>7}#90:1=;94V066>7}#=kh1j6*:b`8e?x{zu2c::54?:%02`?73k2B9=n5Y20d95~J5:80:w[ml:3y'5<6=9?20Z<:::3y'1gd=n2.>nl4i;|~y>i6000;6)<>d;3;=>P59o0:wA<=1;3xRfe=:r.:5=4>889U513=:r.>no4>;%7ae?74183>47=83:p(??k:4a8L7763`;?h7>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;37`>P6<<09w);mb;;8 0df201vqps4i06f>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728>n7[?;5;0x 0de201/9oo59:~yx=n9<31<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>514;8R4222;q/9ol59:&6fd<>3twvq6g>6583>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6>=1]=9;52z&6fg<>3-?im774}|~?l71?3:1(??k:06`?S46n3;p@?<>:0yUgf<5s-;2<7?97:T200<5s-?in774$4`b><=zutw0e<87:18'64b=9=i0Z??i:0yO677=9r\ho740?3_;?97<=#=kk156sr}|9j53?=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=;74V066>7}#=kh156*:b`8:?x{zu2c::l4?:%02`?73k2B9=n5Y20d95~J5:80:w[ml:3y'5<6=9?k0Z<:::3y'1gd=12.>nl46;|~y>o6>k0;6)<>d;37g>N59j1]>>6?u+5c`9=>"2jh027psr}:k22f<72-8:h7?;c:J15f=Q:8l1=vB=2082Sed2;q/=4>517a8R4222;q/9ol5f:&6fd4g83>!46l3;?o6F=1b9U64`=9rF9><4>{Wa`>7}#90:1=9h4V066>7}#=kh1j6*:b`8e?x{zu2c:9=4?:%02`?73k2B9=n5Y20d95~J5:80:w[ml:3y'5<6=9<:0Z<:::3y'1gd=n2.>nl4i;|~y>o6=80;6)<>d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>509U513=:r.>no4i;%7ae?`h7[<>f;3xH74628q]on4={%3:4?72:2\:884={%7af?7<,??51zT`g?4|,83;6<;<;W371?4|,{zut1b=8:50;&15a<6>6?u+5c`95>"2jh0:7psr}:m2<<<72-8:h7?79:T15c<6sE89=7?tVba96~"6190:445Y15796~"2jk0:7);ma;38yx{z3th:8<4?:0394?6|,;;o68m4H332?l73l3:1(??k:06`?S46n3;p@?<>:0yUgf<5s-;2<7?;d:T200<5s-?in774$4`b><=zutw0e<:j:18'64b=9=i0Z??i:0yO677=9r\ho742b3_;?97<=#=kk156sr}|9j50?=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=874V066>7}#=kh156*:b`8:?x{zu2c::94?:%02`?73k2\9=k4>{M015?7|^ji1>v*>918221=Q9=?1>v*:bc8:?!3ei330qpsr;h353?6=,;;o6<:l;W02b?7|D;8:683;=;6X>4481!3ej330(8ln:89~yx{nl46;|~y>o6>h0;6)<>d;37g>N59j1]>>6?u+5c`9=>"2jh027psr}:k22g<72-8:h7?;c:J15f=Q:8l1=vB=2082Sed2;q/=4>517`8R4222;q/9ol5f:&6fd6b83>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6>j1]=9;52z&6fg{M015?7|^ji1>v*>91820c=Q9=?1>v*:bc8e?!3ei3l0qpsr;h364?6=,;;o6<:l;I02g>P59o0:wA<=1;3xRfe=:r.:5=4>519U513=:r.>no4i;%7ae?`h7[<>f;3xH74628q]on4={%3:4?7292\:884={%7af?`<,??51zT`g?4|,83;6<;=;W371?4|,{zut1b=8=50;&15a<6>6?u+5c`95>"2jh0:7psr}:k211<72-8:h7?;c:T15c<6sE89=7?tVba96~"6190:995Y15796~"2jk0:7);ma;38yx{z3f;357>5$33g>4>>3_8:j7?tL302>4}Qkj09w)?60;3;=>P6<<09w);mb;38 0df281vqps4}c376?6=980;6=u+20f91f=O:8;0e<:k:18'64b=9=i0Z??i:0yO677=9r\ho742c3_;?97<=#=kk156sr}|9j51c=83.9=i4>4b9U64`=9rF9><4>{Wa`>7}#90:1=9k4V066>7}#=kh156*:b`8:?x{zu2c:944?:%02`?73k2\9=k4>{M015?7|^ji1>v*>91821<=Q9=?1>v*:bc8:?!3ei330qpsr;h350?6=,;;o6<:l;W02b?7|D;8:683;=86X>4481!3ej330(8ln:89~yx{nl46;|~y>o6>00;6)<>d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>689U513=:r.>no46;%7ae??h7E<>c:T15c<6sE89=7?tVba96~"6190::l5Y15796~"2jk027);ma;;8yx{z3`;=n7>5$33g>42d3A8:o6X=1g82I4593;pZnm52z&2=5<6>k1]=9;52z&6fg<>3-?im774}|~?l71k3:1(??k:06`?M46k2\9=k4>{M015?7|^ji1>v*>91822f=Q9=?1>v*:bc8e?!3ei3l0qpsr;h37b?6=,;;o6<:l;I02g>P59o0:wA<=1;3xRfe=:r.:5=4>4g9U513=:r.>no4i;%7ae?`h7E<>c:T15c<6sE89=7?tVba96~"6190:9=5Y15796~"2jk0m7);ma;d8yx{z3`;>=7>5$33g>42d3_8:j7?tL302>4}Qkj09w)?60;365>P6<<09w);mb;d8 0df2o1vqps4i071>5<#:8n1=9m4V33e>4}K:;;1=vXlc;0x 4?728?97[?;5;0x 0de281/9oo51:~yx=n9<91<7*=1e820f=Q:8l1=vB=2082Sed2;q/=4>51418R4222;q/9ol51:&6fd<63twvq6g>5583>!46l3;?o6X=1g82I4593;pZnm52z&2=5<6==1]=9;52z&6fg<63-?im7?4}|~?j7?13:1(??k:0::?S46n3;p@?<>:0yUgf<5s-;2<7?79:T200<5s-?in7?4$4`b>4=zutw0qo?;3;292?6=8r.9=i4>899K647o6=4+20f951e<^;;m6nl4>;|~y>o6=00;6)<>d;37g>P59o0:wA<=1;3xRfe=:r.:5=4>589U513=:r.>no4>;%7ae?7h7[<>f;3xH74628q]on4={%3:4?71<2\:884={%7af?7<,??51zT`g?4|,83;6<88;W371?4|,{zut1d=5750;&15a<6001]>>6?u+5c`95>"2jh0:7psr}:p8`9]42c34>2i7?;d:?7=c<64e9>`0<64e9>`<<64e9~w=?=839p15o519c8Z=?<5k?1=9m4}r`6>56<66;<6:a?73m27?5k4>4d9>5c0=9=n01i;515g89a>=9=o01i7515g89ag=9=o0q~87:18080>282j7S87;<;1>42d3ty2>7>58z?:6?7?127?5h4>589>0<`=9<30128?270jn:07:?xu1>3:1?v397;3;e>X1>272=7?;c:p=4<7282p14?519;8963028>o70=9d;37`>;4?90:8i52506951b<5<;>6<:k;<7`0?73l27?5h4>659>0<`=9?>01:06g?83f93;?h636d;37`>;>m3;?h63k1;37`>;c:3;?h63k5;350>;c03;=863k9;350>;ci3;=863ke;37`>;cn3;?h63>0d820a=:9=:1=9j4=062>42c3ty=87>53z?51?7?i2T=86360;37g>{t190;6<6t=8295=?<5:?<6<:j;<15a?73l278;<4>4e9>140=9=n018?8:06g?83d<3;?i63;9d8222=:<0l1=;94=0a6>42c34?j>7?;d:?:g?73l272j7?;d:?b4?73l27o?7?;d:?g0?73l27o97?97:?g4e9>514=9=n0q~8=:180804282j7S8=;<:e>42d3ty3j7>58z?;b?7?127?5h4>699>0<`=9?20128<370jn:04;?xu183:1?v391;3;e>X18273i7?;c:p<`<721q64h4>889>0;c03;=563k9;35=>;ci3;=56s|5d83>6}:=o0:4l5Q5d9>n3;=m63>ad820a=:l<0::l52d9822d=:l00::l52d`822d=z{>h1<7=t=6a95=gh01lk515a8yvgb290>:v3ne;3;=>;3mm0:8i524dg951b<5;ki6<:j;<6bg?73l27==k4>4e9>276=9=n01>42c349>;7?:9:?025<6o70=>8;37`>;4900:8i5230c951b<5:;i6<:k;<12g?73l27><84>4e9>0`5=9=n018m>:06g?83d:3;?h63:c2820a=:=j>1=874=5;f>40e34>2j7?9b:?6`d<6o70?k3;37`>;6l=0:8i521e7951b<58n=6<:k;<3gb?73l27:i=4>4e9>5`7=9=n01eg820a=:9ol1=9j4=472>42c34?3;7?;d:?6<=<64d9>=`<64d9>e5<64d9>12g=9=n0189m:06g?830k3;?h63:7e820a=:=0<1=9j4=4;4>42c34n>6<8m;40e34n26<8m;40e34o>6<:k;42c34on6<:k;42c34l=6<:k;42c34l36<:k;42c34lh6<:k;42c34ln6<:k;42c34;;<7?;d:?241<6o70?>3;37`>;69=0:8i52107951b<58;=6<:k;<32b?73l27:>:4>4e9>57>=9=n01<=?:06g?874?3;?h6s|7983>6}:?00:4l5Q799>ea<6;3n90:8i522``950?<5=kh6<:j;<42b?73m278>i4>4d9>77c=9=o01>:6:06f?853i3;?i63<55820a=:;403349=>7?;d:?026<6n70=>8;37a>;4900:8h5230c951c<5:;i6<:j;<12g?73m27=

4e9>25`=9=n019k<:06f?83d93;?i63:c3820`=:=j>1=;:4=5;f>40d34>2j7?9c:?6`a<6n70?k4;37a>;6l<0:8h521e4951c<58nm6<:j;<3f4?73m27:i<4>4d9>5`4=9=o01ed820`=:9ll1=9k4=322>42c348;>7?;d:?146<6o70;77;37a>;2010:8h529e821<=:1l0:94529g821<=:i90:9452ac821<=:=>k1=9k4=45a>42b34?o70;65;37`>;21?0:8h52585951c<5m?1=;m4=e:953e<5m31=;m4=ec953e<5mn1=9j4=d5951b<5l21=9j4=d;951b<5ll1=9j4=g2951b<5o;1=9k4=g4951c<5o=1=9k4=g:951c<5o31=9k4=ga951c<5on1=9k4=gg951c<5ol1=9k4=024>42c34;;n7?;d:?24f<6o70?>3;37a>;69=0:8h52107951c<58;=6<:j;<314?73l27:><4>4e9>574=9=n01<<<:06g?87513;?h63>2`820a=:9;h1=9j4=010>42c34;847?;d:?27<<6o515f8945e28>o70?;6;o0:8i5rs6494?5|5>=1=5o4^6489d3=9=i0q~o::1864~;f=3;3563;eg820`=:>8l1=874=703>42b3499h7?:9:?06`<6=016?9o514;8963328>n70=92;37a>;4>:0:8h5237a950?<5:4d9>727=9=o018?;:06f?836=3;?i63:17820`=:=8=1=9k4=23;>43>34?h?7?;e:?7=`<6;6l<0:94521e4950?<58o:6<;6;<3f6?72127:ik4>589>657=9=o018o>:06f?83f:3;?i63:53820`=:=121=874=8a951c<50n1=;:4=8g9532<50l1=;:4=`29532<5h31=9j4=`;951c<5hh1=;:4=45`>43>34?4d9>`7<64>4d9>`1<64g9>`=<64g9>`d<64d9>`c<64d9>a4<64d9>a=<64d9>b3<6=016==>515g8946328>n70??7;37a>;68k0:8h5211g951c<58:m6<:j;<321?72127:=;4>589>576=9=o01<<>:06f?87513;?i63>32820`=:9:21=9k4=01:>42b34;8i7?;e:?205<6n7p}84;297~;0=3;3m6P84:?b0?73k2wxm94?:2cx9d2=913019h?:06f?806n3;=863921821<=:;;n1=;:4=20f>403349>97?;e:?021<6;4?80:9452506950?<5<;>6<;6;<722?72127>=:4>589>1f7=9<3018m<:07:?82>m3;><63;9g8215=::931=9j4=4c2>43>34?j>7?:9:?616<6589>=a<6>>165h4>669>=c<6>>16m=4>669>`4<6=016h?4>589>`6<6=016h94>589>`0<6=916h54>519>`<<6=916hl4>519>`a<6589>`c<6=016i=4>589>a4<6=016i44>4d9>b5<6589>556=9<301<>;:07:?877k3;?i63>0e820`=:99o1=874=02e>43>34;:>7?;e:?27d<6l515g8945a28>n70?;0;36=>;6<80:9452150950?4>f3W=;7079:06`?xu>>3:1>5u29782<<=:;?n1=;:4=24f>403349<<7?94:?034<6>=169<:517689072286;350>;29>0::95230;950?<5==h6<:k;<64g?73m27?5h4>509>0<`=9<;01?>n:06g?83f93;=863:a38221=:1j0::9529e822==:1l0::5529g822==:i90::552d08221=:l;0::952d28221=:l=0::952d48214=:l10:9<52d88214=:lh0:9<52dd8221=:lo0::952e18221=:m80::952f6821<=:99o1=;:4=02e>40334;?<7?94:?204<6>=16=9<51768yv0b2908w08i:0:b?[0b343>6<:l;|q:1?6=:hq6584>889>73b=9?=01>8j:044?85083;=;63<708222=:=8>1=;94=436>40034?::7?97:?652<6>>169n<514;891?b28?970:6f;366>;6no0:8h52212951b<5;::6<;6;<036?73m279<>4>4d9>1d7=9?=018o=:044?8?d28<<707k:04:?8?b28<2707i:04:?8g728<270j>:044?8b528<<70j<:044?8b328<<70j::071?8b?28?970j6:071?8bf28?970jj:044?8ba28<<70k?:044?8c628<<70hk:07:?877m3;=;63>0g8222=:9=:1=;94=062>40034;?>7?97:p2f<72:q6:i4>8`9]2f=:1=0:8n5rs8694?41s43?6<66;<15`?710278:h4>699>726=9?201>9>:04;?836<3;=463:14822==:=8<1=;64=434>40?349:m7?:9:?7=`<6=:1684h5141894`028>o70;n1;35<>;2i;0::5529b822==:1m0::l529d822d=:1o0::l52a1822d=:l80::552d3822==:l:0::552d5822==:l<0:9>52d98216=:l00:9>52d`8216=:ll0::552dg822==:m90::552e0822==:nl0:945211g953><58:m6<87;<374?71027:8<4>699>514=9?20q~8n:18080e282j7S8n;<;0>42d3ty2?7>527y>=6<60016?;j517;8960b28<270=80;35=>;4?80::452506953?<5<;>6<86;<722?71127>=:4>689>74d=9<30197j:077?82>n3;>863>e`820a=:=h;1=;74=4c1>40>343h6<86;<;g>40e343n6<8m;<;e>40e34k;6<8m;40>34n96<86;40>34n?6<86;43334n36<;;;43334nj6<;;;40>34nm6<86;40>34o:6<86;43>34;;i7?99:?24c<6>016=9>517;8942628<270?;2;35=>{tj00;69u2b`824b9>ff<6l3i=70=j2;a5?825j3i=70:<7;a5?xuek3:1mv3mc;3;=>;3=<0h:63;608`2>;3<80h:63;038`2>;40:0h:63<8g8`2>;3:<0h:63;308`2>;3;2j10:8n5rs4`;>5<5mr7>n54>889>0g>=9=n019l6:06g?851l3;=m63<6d822d=:;>:1=;o4=252>40f34?:87?9a:?650<6>h169<8517c8907028;3m:0:945257;951b<5<3m6<:k;<7b5?71i27>m?4>6`9>107=9=o018;=:07:?832;3;>5636c;35e>;>l3;=o636e;35g>;>n3;=o63n0;35g>;2?90:8i52d0822d=:l;0::l52d2822d=:l=0::l52dd822d=:lo0::l52e1822d=:m80::l52f9821<=:99?1=9k4=025>42b34;;;7?:9:?24g<6=016==m514;8946c28?270??e;35e>;68o0::l52152953g<58>:6<8n;<376?71i2wxo<4?:5y>g7<60h1Uo<52c2820f=:k=0:8n5rsb194?75s4i86<66;<05659>046=9?>019?>:047?826:3;=863;128221=:;o<1=;:4=2d4>403349m47?94:?0b<<6>=16?ho514;896ce28?270=jc;36=>;3<10:945210;9532<58;j6<8;;<32f?71<2wxo94?:04x9f2=91301?==:b48973c2j<01?8n:b4897132j<01?9i:b4897?22j<01?6n:b4897g72j<01?ok:b4897e42j<01?=k:b48972?2j<01?l7:b4897342j<01>?i:b4897ef2j<01?j<:b48974e2j<01><7:b4896bd2j<018=n:b48yv73>3:18v3>4682278?n4l6:?7ec27=>>4l6:?0772788n4l6:?01<278:;4l6:?727?594l6:?7ff27?o:4l6:?7`427?h54l6:?03127>=l4l6:?6a`27>jn4l6:?54=27>27==;4l6:?7ba27>h>4l6:?7<127?i84l6:?6g227=>k4l6:?57027?;84l6:?73`27?m?4l6:?6a427=>44l6:?50427>>i4l6:p51?=839p1<:6:0::?853;3i=708;7;a5?xu2:l0;6>u253d95=g{t=::1<7:n{<704?7?127?in4>4e9>0`b=9=o019ki:07:?82a83;>563<36820a=:400349?47?;d:?017<6515g8960528?270=94;36=>;3k;0:8i524b6951c<5<;96<:k;<720?71j27>=;4>6c9>1`g=9=n018kl:06g?83a>3;?h63902820a=:=9?1=9k4=731>42b34>mn7?;e:?6`4<6o70=;1;37`>;4<80:8h525e`951b<5659>5ac=9=n0142c34?>=7?:9:?617<6>=1698=5176890>028?270;78;350>;>l3;?j636f;37b>;2?90:8h5256c950?<5<=h6<8;;<7:2?72127o=7?9b:?g7?71j27o97?:5:?g;68o0::o52101950?<58;>6<8;;<305?73l27:?54>589>56g=9<301<:?:04a?873:3;=n6s|59c94?2|58=i6<:l;<7;f?7?i2T>4l5259c95=?57z?23g<60016?>=515f8965428>n70:=3;37`>;3::0:8h5243;951b<5=826<:j;|q61c<72:q69;>519c8Z03a34?==7?;c:p137=83?p188>:0::?82?i3;?i63;93820a=:<081=9k4=453>43>3ty>m84?:2y>1d0=91k0R8o:;<7b3?73k2wx9l950;7x90g0282270:le;37a>;3l?0:8i524e4951c<5=li6<;6;|q67a<72:q69>k519c8Z05c34?8j7?;c:p16`=83np18=i:0::?84103;=;63400349m57?97:?0<4<6028>o70=77;37a>;6900:::5210c9531<58;i6<88;|q``?6=;r7hi7?7a:\``>;6<=0:8n5rs067>5<69r7:894>889>75e=9=n01>>l:06f?84bn3;?h63=eg820`=::o21=9j4=3d;>42b348n47?;d:?1a=<6n70=ka;37`>;4lh0:8h522e7951b<5;n>6<:j;<0gb?73l279hk4>4d9~w03d2908w0;:d;3;e>X2=j1698k515a8yv32m3:1?v3:5d82<<=::;31=9j4=30:>42b3ty>8;4?:2y>111=91k0R8:9;<77;40m0:8h52381951b<5:386<:j;|q6f6<72:q69o:519c8Z0d434?i97?;c:p1g3=83?p18l::0::?825n3;?h63;2g820`=:<:?1=9j4=516>42b3ty<>7>53z?47?7?i2T<>63n3;37g>{ti:0;6?u2a282<<=:9hl1=9k4}r5g>5<4s4=n6<6n;_5g?8ga28>h7p}nf;296~;fn3;3563>b7820`=z{>l1<7=t=9295=gl01o>515a8yvd72909w0l?:0::?87el3;?i6s|8083>6}:0;0:4l5Q809>f4<6X?;27i>7?;c:pf7<72;q6n?4>889>766=9=o0q~6::1808>1282j7S6:;<`0>42d3tyi?7>52z?a7?7?127:o44>4d9~w=1=839p156519c8Z=1<5k>1=9m4}r`7>5<5s4h?6<66;<3ab?73m2wx9lo50;1x90ge282j7S;na:?6ed<6001v98::18183fi3;?o63;6482<<=z{=34>=87?79:p134=839p188<:0:b?[31:27>:?4>889~w12e2909w0;92;37g>;35<5s4?=>7m6;<67g?7?12wx9l650;1x90g>282j7S;n8:?6e=<6001v9;8:18183f03;?o63;5682<<=z{=?36=4={<7b34>>47?79:p167=839p18==:0:b?[34927>?<4>889~w15?2909w0;<1;37g>;3;10:445rs51:>5<5s4?8=7m6;<60=?7?12wx99:50;1x9022282j7S;;4:?601<6001v>88:181833<3;?o63<6682<<=z{<9i6=4<{<70g?7?i2T>?o5252`95=?52z?67g<6:06`?87fi3;356s|18:94?5|58326<6n;_3:<>;6110:445rs0`2>5<5s4;247?;c:?2f4<6001v<7n:18087>j3;3m6P>9`9>553z?2=a<60h1U=4m4=0;`>4>>3ty:o<4?:3y>5:0::?xu61l0;6>u218d95=g{t9ji1<742d34;ho7?79:p5d6=839p1:0:b?[7f827:m=4>889~w4b>2909w0?n0;37g>;6l00:445rs0c1>5<4s4;j?7?7a:\2e7=:9h81=574}r3f1?6=:r7:m?4>4b9>5`3=9130q~?n4;297~;6i<0:4l5Q1`6894g328227p}>f383>7}:9h>1=9m4=0d1>4>>3ty:m;4?:2y>5d1=91k0Rh70?i9;3;=>{t9h21<7=t=0c:>4>f3W;j463>a982<<=z{;:>6=4={<3b889~w0322908w0;:6;3;e>X2=<1698;519;8yv32?3:1>v3:54820f=:=<=1=574}r750?6=;r7>:84>8`9]132<5<6}:=0h1=5o4^4;b?83>i3;356s|58a94?4|5<3j6<:l;<7:g?7?12wx9lm50;1x90gc282j7S;nc:?6ef<6001v8oj:18183fk3;?o63:ad82<<=z{<>26=4<{<77e?7?i2T>845255;95=???7>52z?60<<6;2090:445rs4:1>5<5s4?3<7?;c:?6<7<6001v8:=:180833;3;3m6P:439>114=9130q~8;2;296~;2<;0:8n5265095=?52z?6v3:8`8`=>;6?:0:445rs0;5>5<4s4;2;7?7a:\2=3=:90<1=574}r;4>5<5s4;2:7?;c:?:3?7?12wx=4=50;1x94?3282j7S?63:?2=6<6001vl850;0x94?428>h70o9:0::?xu2:=0;6>u253795=g{t=;<1<742d34?9:7?79:p165=839p18=;:0:b?[34;27>?>4>889~w0522909w0;<3;37g>;2;<0:445rs44a>5<4s4?=o7?7a:\62g=:=?h1=574}r75`?6=:r7>:o4>4b9>13b=9130q~;82;297~;2?:0:4l5Q5608901528227p}:7583>7}:=>81=9m4=457>4>>3ty>4n4?:2y>1=b=91k0R86l;<7;g?7?12wx95k50;0x90>d28>h70;7e;3;=>{tjo0;6>u2c182889~w4>62909w0li:06`?87?93;356s|be83>6}:jl0:4l5Qbe9>fa<6001v<9l:1818dc28>h70?8c;3;=>{t42d34>=?7?;c:?72c<642c349o87?;d:p030=838p198;:06`?821>3;356s|47g94?4|5=<=6<:l;<65a?7?12wx8;950;0x910a28>o70:97;3;=>{t4>>34>=h7?;c:p51d=83how0?;b;3;=>;3>m096?>k;<661?47k27?:<4=0e9>037=:9i019:>:32g?823938;o63=33814a=:::81>=m4=37g>76c348>h7;o521a897132;:o70<84;03g>;5?o096?>k;<0:1?47k27?j?4=0e9>0c4=:9i01?6n:32g?84?i38;o63=a1814a=::h:1>=m4=3cg>76c348jh7n=521a8965d2;:o70=;5;m0936?>k;<076g>=:9i01?;<:32g?842;38;o63;ag814a=:=m4=700>76c34<9?7<521f8962d2;:o70=;c;03g>;4=009k;<152?47k27?4i4=0e9>0=b=:9i0197;:32g?82><38;o63;bb814a=:=m4=5a4>76c34>h;7;4?=09k;<72e?47k278=k4=0e9>74`=:9i018kj:32g?83bm38;o63<10814a=:;8;1>=m4=53e>76c34>:j7;39<09k;<4315d=:9i01;=m:32g?804j38;o63917814a=:>8<1>=m4=2c5>76c349j:7;5n?09k;<0eb?47k278n=4=0e9>7g6=:9i01?kk:32g?84bl38;o63<08814a=:;931>=m4=2`a>76c349in7;5kh09k;<6;0?47k279h>4=0e9>6a5=:9i019k::32g?82b=38;o63:c6814a=:=j=1>=m4=70e>76c34<9j7;1;<09k;<01f?47k278>54=0e9>77>=:9i01>j9:32g?85c>38;o63=m4=2gf>76c349ni7ij521a897c12;:o70;4<:09k;<14`?47k2784>4=0e9>7=5=:9i01>66:32g?85?138;o63<8g814a=:;1l1>=m4=2;6>76c349297;3:<09k;<61f?47k27??<4=0e9>067=:9i019=8:32g?824?38;o63;74814a=:<>?1>=m4=55f>76c34>;1:009:6?>k;<475?47k27?8l4=0e9>01g=:9i01;:8:32g?803?38;o63:2e814a=:=;n1>=m4=41b>76c34?8m7509>03d=9<80198m:070?821j3;>863;548`1>;3>80h963;408`1>;5=k0:9?5224`9505<5;?i6<;;;<6e6?e2348h<7?;f:?1g5<6=916>n>5143897e728?970;5k90:99522b3951`<5;i:6<;?;<0`5?729279o<4>539>6f7=9<901?m>:077?844i3;><63=3`8214=:::k1=8<4=31b>4343488m7?:4:?17g<6=916>>l51438975e28?970<;5;k0:99522579507<5;>>6<;=;<071?72;279884>559>610=9<;01?:9:071?843>3;>?63=478211=::k?1=;m4=3`6>42a348i97?:0:?1f0<6=816>o;5140897d228?870;5j?0::n522c4951`<5;h=6<;?;<0a2?729279n;4>539>6g0=9<901?l9:077?84283;>>63=518216=::<:1=8:4=372>435348>=7?:3:?114<6==168lh5c49>275=k<16?><5c49>71e=k<16?875c49>730=k<168om5c49>0f1=k<16?::5c49>73b=9<801>8k:070?851l3;>863<6d8217=:;?o1=8=4=24f>433349<<7?:1:?035<6=;16?:>51418961728??70=81;365>;4?80:9?523639505<5:=:6<;;;<72e?e234?:87?:2:?651<6=:169<:51468907228?970;>5;367>;29<0:99525049504<5<;=6<;<;<722?72<27>=:4>539>141=9<9018?8:077?856n3i>70;je;a6?85693i>70:>f;a6?83ak3i>70:?a;a6?826=3i>708?8;a6?837j3i>7083i>70=n6;a6?82al3i>70;k3;a6?84a>3i>707070=mb;a6?85d<3i>70=lf;a6?84di3i>70:74;a6?84c;3i>70:j5;a6?83d?3i>708=f;a6?85aj3i>70:?2;a6?804=3i>70<=b;a6?85503i>70=k6;a6?85ck3i>70=je;a6?84cl3i>7070=8d;a6?85?;3i>70=79;a6?85?n3i>70=65;a6?85>l3i>70=j2;a6?825=3i>70:=b;a6?82493i>70:<7;a6?820=3i>70:8e;a6?82f:3i>70:6e;362>;31l0:9:5248g950><5=3n6<;n;<6:a?72j27?5h4>5b9>0m3;>j63;9d8225=:<0o1=;?4=5;f>40534>2i7?93:?7=`<6><1684k5174891?a28?=70:6f;363>;31o0:955248d950g<5=3m6<;m;<6:b?72k27?5k4>5e9>0<`=9n3;=<63;9g8224=:<0l1=;<4=5;e>40434>2j7?95:?7=c<6>?169h?5c49>27?=k<16:9?5c49>1d7=9<9018o>:077?83f:3;>?63:a38211=:<=k1o8526559g0=:1j0:9?529b8216=:1j0:99529e8216=:1m0:99529d8216=:1l0:99529g8216=:1o0:9952a18216=:i90:995253f9g0=:=:k1o85256a953e<5<=h6<:i;<74g?72827>;n4>509>12e=9<80189l:070?830k3;>863:7e822f=:=>n1=9h4=45g>43734?:070?8b628??70j=:070?8b528??70j<:070?8b428??70j;:070?8b328??70j::074?8b228?370j::07b?8b228?i70j::07`?8b228?o70j::07f?8b228?m70j::043?8b228<:70j::041?8b228<870j::046?8b228<=70j7:074?8b?28?370j7:07b?8b?28?i70j7:07`?8b?28?o70j7:07f?8b?28?m70j7:043?8b?28<:70j7:041?8b?28<870j7:046?8b?28<=70j6:074?8b>28?370j6:07b?8b>28?i70j6:07`?8b>28?o70j6:07f?8b>28?m70j6:043?8b>28<:70j6:041?8b>28<870j6:046?8b>28<=70jn:074?8bf28?370jn:07b?8bf28?i70jn:07`?8bf28?o70jn:07f?8bf28?m70jn:043?8bf28<:70jn:041?8bf28<870jn:046?8bf28<=70jj:072?8bb28?970jj:070?8bb28??70ji:072?8ba28?970ji:070?8ba28??70k?:072?8c728?970k?:070?8c728??70k>:072?8c628?970k>:070?8c628??70??e;367>;68l0:995211d9505<58:m6<;;;<374?72:27:8=4>529>516=9<>01<:>:071?87393;>?63>408211=:9=81=8<4=061>43434;?>7?:4:p03>=838p1988:06g?82103;356s|47;94?4|5=<<6<:j;<65=?7?12wx?4k50;33821i3;?i63;6c820`=:;0o1=574=2c1>42c349j?7?;d:?0e1<6o70=m5;37`>;4j10:8i523b3951b<5:i96<:k;<1`f?73l278on4>4e9>7fb=9=n01>j;:06f?xu4i10;6ku247c950?<5=4d9>7d>=91301>ol:06f?85fl3;?i6342b349h>7?;e:?0gg<6n70=k4;36=>{t;k;1<7ht=54b>40334>=n7?94:?0e6<6=016?l:514;896d6282270=m5;37a>;4j?0:8h523c:950?<5:h26<:k;<1`5?721278o?4>589>7fd=9<301>ml:07:?85dl3;>563669>7d5=9?>01>o;:047?85e13;?i63403349ho7?94:?0ga<6>=16?i:51758yv5d>3:15v3;6`822==:400349j87?97:?0f<<6=016?n8519;896ef28>o70=ld;353>;4l=0::55rs54b>5<5s4>=m7?79:?72<<6k<:187821j3;=563;83820a=:<181=9k4=2g0>4>>3ty?>n4?:3y>03d=9?k01928>n7p}=2483>g}:42b34>>:7?;d:?713<6n70:;2;37`>;3<;0:8h5240f951b<5h70::5;3;=>{t<=n1<742d34>?h7?79:p000=838p19:k:06`?822>3;356s|45g94?4|5=?>6<:l;<67a?7?12wx89h50;0x912b28>o70:;f;3;=>{t<<:1<742b34>><7?79:p0=3=83>p19;>:06g?822:3;?h63;52820a=:<1?1=574}r623?6=9lq688?515g8913428>n70::d;37`>;3=o0:8i5242g951b<5;<36<:k;<623?7?127?=n4>4e9>051=9=n019>7:06g?827n3;?h63;11820a=:<8;1=9j4=531>42c34>:?7?;d:?0b0<6o70=i8;37`>;4n00:8i523d;951b<5:oj6<:k;<1ff?73l278in4>4e9>72d=9=n01>7n:06g?82303;?h63>18820a=:98k1=9j4=03a>42c3ty?;3=m0:8h5244g951b<5=9n6<:j;<60b?73l279:54>4d9>04d=9=n019?l:06f?826l3;?i63;09820`=:<9h1=574=52e>42b34>:<7?;e:?754<6n70=i5;37a>;4n?0:8h523g5951c<5:l36<:j;<1e=?73m278i54>4e9>7`?=9=o01>9n:06g?850j3;?i63<9c820a=:<=<1=9j4=03:>42b34;:m7?;e:?25g<6:18182293;3563;51820a=z{=:86=4>fz?717<6=01688=514;8913b28>n70::f;37a>;3;o0:8h5227:950?<5=;i6<:j;<62g?72127?=i4>589>055=913019>8:06f?827n3;>563;11821<=:<8;1=874=531>43>34>:?7?:9:?0b0<6=016?k8514;896`028?270=i8;36=>;4n00:94523d:951c<5:oj6<:j;<1ff?73m278in4>4d9>72g=9=o019:9:06f?82303;?i63>18821<=:98k1=874=03a>43>3ty?9?4?:3y>004=913019;?:06f?xu3i:0;6ou24419532<5=?m6<;6;<6b7?7?127?m44>4e9>0dg=9=n019om:06g?82fk3;>563;ae820a=:;0k1=9k4=2;a>42b34;;87?94:p005=838p19;<:0::?82283;>56s|47294?4|5=?<6<:l;<655?7?12wx88750;0x913?28>h70::9;3;=>{t42d34>=>7?79:p00g=838p198>:06`?822i3;356s|44`94?4|5=?j6<:k;<66f?7?12wx88m50;0x913f28>n70::c;3;=>{t43>34>>i7?:9:?71c<6>=168n7519;8yv22l3:1>v3;5e82<<=:<889>00e=9=o0q~::f;296~;3=o0:445244a950??<7>52z?77=<6v3;38820f=:<:k1=574}r676?6=:r7??l4>4b9>014=9130q~:8o7>52z?77g<6m519;8yv24l3:1>v3;3c820`=:<:n1=574}r60a?6=:r7??h4>889>06b=9=n0q~:53z?16f<6>=515g8975528227p}=2b83>4d|5;8h6<66;<004?73l2799o4>4e9>632=9=n01?8::06g?84083;?h63=70820a=::>i1=9j4=35g>42c3482>7?;d:?1=6<659515f897>?28>o70<6d;37`>;51l0:8i522`:951b<5;k26<:k;<0`4?73l279o<4>4e9>66g=9=n01?=m:06g?843=3;?h63=47820a=::k?1=9j4=3`5>42c348><7?;d:?114<64b9~w74c2909w0<<2;a6?845l3;356s|23d94?4|5;8n6<:k;<01b?7?12wx>>>50;0x974b28>n70<<0;3;=>{t:889>60g=9=n01?89:06g?84083;?i63=73820a=::>h1=9j4=35`>42b3482=7?;d:?1=7<658515f897>028>n70<6c;37`>;51m0:8h522`5951b<5;k36<:j;<0ab?73l279o=4>4d9>66?=9=n01?=n:06f?843<3;?h63=44820`=::k>1=9j4=3`6>42b348?j7?;d:?115<6n6515f897b728>o70;4:<0:8i5rs22a>5<4lr79?=4>589>67b=9=n01?42b348=<7?;d:?125<6:?515g8971528>n70<9c;37`>;5>j0:8h5226`951c<5;=o6<:j;<043?73l279;:4>4d9>6<7=9=o01?7<:06f?84?l3;?h63=8e820`=::1<1=9k4=3:;>42b3483>7?;d:?1<7<64m515g897?b28>n70<68;37`>;5110:8h522`5951c<5;k26<:j;<0b7?73l279m>4>4d9>6g`=9=o01?m>:06f?84ej3;?h63=bc820`=:::31=9k4=31a>42b348897?;d:?170<69:515g8972128>n70<;0;37`>;5<90:8h522c6951c<5;h=6<:j;<0a4?73l279n=4>4d9>61`=9=o01?;>:06f?843j3;?h63=4c820`=:;9h1=574=3d0>42c348m87?;d:?1bf<6kj515f897ce28>o70=?6;37`>;48>0:8i5rs37`>5<4s48>97?;c:?11`<68j519;8yv42?3:1>v3=5d820a=::<=1=574}r06a?6=:r799h4>889>60b=9=i0q~<:6;296~;5=m0h963=5782<<=z{;?36=4={<063?73l279954>889~w73>2909w0<:7;37a>;5=00:445rs37b>5<5s48>m7?79:?11<<6589>627=9<301?9l:07:?840l3;>563=93821<=::091=874=3:4>43>348347?:9:?1=a<6=016>4k514;897g?28?270;5k90:94522b3950?<5;9j6<;6;<00f?721279884>589>610=9<301?l::07:?84e>3;>563=51821<=::<;1=874}r05f?6=9>q6>8l514;8970e282270<82;36=>;5?j0::95226f9532<5;396<8;;<0:7?71<2794:4>659>6=>=9?>01?7k:047?84>m3;=863=a98221=::h31=;:4=3a3>403348h=7?94:?17d<6>=16>>l51768972228;5j<0::9522c49532<5;?;6<8;;<065?71<2wx>:850;36842j3;=863=7782<<=::>h1=874=3;1>4003482?7?97:?1<2<6>>16>565175897?c28<<70<6e;353>;5i10:::522`;9531<5;i;6<88;<0`5?71?279?l4>669>66d=9?=01?:::044?843>3;=;63=b48222=::k<1=;94=373>400348>=7?97:p6=e=83;:w0<:b;353>;50j0:4452283950?<5;3o6<87;<0:a?710279m54>699>6d?=9?201?m?:04;?84d93;=463=3`822==:::h1=;64=366>40?348?:7?98:?1f0<6>116>o8517:8973728<370<:1;35<>{t:1;1<7?<{<06f?7102795?4>699>6<5=9?201?6>:0::?84?>3;>563=9e822<=::0o1=;74=3c;>40>348j57?99:?1g5<6>016>n?517;8975f28<270<;5<<0::452254953?<5;h>6<86;<0a2?7112799=4>689>607=9?30q~<67;29b~;5=k0::45228595=?<5;3h6<;6;<0b6`9>6f6=9?k01?m>:04b?844i3;=m63=3c822d=::=?1=;o4=365>40f348i97?9a:?1f3<6>h16>8>517c8973628a}::4>>348j;7?:9:?1g5<6>k16>n?517`8975f28;5<<0::o52254953d<5;h>6<8m;<0a2?71j2799=4>6c9>607=9?h0q~6b9>613=9?i01?:9:04`?84283;=o63=50822f=z{;9?6=48{<06f?71k279?94>889>66?=9<301?:::06e?843>3;?j63=51820c=::<;1=9h4}r00b?6==r799o4>4g9>66`=91301?:;:07:?84283;><63=508215=z{;km6=4m{<06f?728279o=4>6b9>6f7=9?i01?=n:06e?844j3;?j63=448215=::=<1=8>4=3ce>4>>348i87?:9:?115<6=816>8?51438yv43i3:1?v3=5c8214=::=k1=574=36e>43>3ty99o4?:3y>60d=91301?;6:06f?xu5>00;6?u224d951e<5;;9515a8970?28227p}=6683>6}::?=1=574=2d0>42c349m?7?;e:p637=838p1?8n:06`?84193;356s|27294?4|5;4>>3ty9:?4?:3y>637=9=n01?8=:0::?xu5>:0;6?u2273951c<5;<86<66;|q121<72;q6>;:519;8970428>o7p}=6483>7}::??1=574=340>42b3ty9:;4?:3y>630=91301?8<:07:?xu4mo0;6<>t=34;>40?34>h?7?;d:?7g1<6o708>2;37`>;19:0:8i523dd95=?<5:l>6<8;;<1e2?710278j:4>699>7c>=9?201>h6:04;?87613;=463>1`822==:98h1=;64}r614?6==r79:54>689>047=9?=01918822<=z{=8=6=4:{<05699>070=913019:7:044?87613;=m6s|26194?5|5;889~w70c2909w0<85;37`>;5>m0:445rs356>5<5s48<97?79:?131<670<9c;3;=>{t:?o1<742c348=i7?79:p63`=838p1?8k:06f?841n3;356s|26294?4|5;=;6<66;<05b?73l2wx>:?50;0x9716282270<9f;37a>{t:>81<74>>348=j7?:9:p62c=839p1?99:06`?84?83;?i63=7g82<<=z{;=36=4={<0;4?73l279;54>889~w7>72909w0<70;3;=>;5?o0:8n5rs354>5<5s48:750;0x971?28>o70<89;3;=>{t:>k1<742b348:j50;0x971c282270<8a;36=>{t:0>1<7=t=3:`>42d3482:7?;e:?1=0<6001v?6j:18184>>3;?h63=8d82<<=z{;3=6=4={<0:2?7?1279584>4b9~w7>c2909w0<65;a6?84?l3;356s|29d94?4|5;2n6<:k;<0;b?7?12wx>4>50;0x97>b28>n70<60;3;=>{t:0;1<74>>3482<7?;d:p6<4=838p1?7=:0::?84>83;?i6s|28194?4|5;386<66;<0:4?7212wx8k?50;1x91c128>h70:i3;37a>;3n;0:445rs5g5>5<41r7?i;4>889>0`e=9=o019kk:07:?82bm3;?i63;eg8221=:42b34>i87?;d:?7f1<6;29=0::n52507953d<5<;=6<8l;<723?71j27><84>589>247=9=o01;?=:07:?806;3;?i63;de820a=:40e34?j>7?9b:?:g?71j272h7?:0:?:a?73n272j7?:0:?b4?73n27oh7?:9:?ga?71k27oj7?9b:?f4?71k27n=7?9b:?f1?72127n:7?;e:?f3?71<27n47?:9:?f=?71<27:<84>659>550=9<301<>8:044?877j3;=863>0b8222=:99n1=;:4=02f>40d34;;j7?9c:?272<6651768945>28?270?;6;k0:945212g950?<589m6<;6;<374?71k27:8<4>6c9>514=9?i0q~:j7;296~;3n:0:8i524d595=?m?7>52z?7b6<600168k<515a8yv2b03:1>v3;e6820a=:4d9>0`?=9130q~7m:18082bi3;?h63;e`820`=:1k0:445rs5gb>5<5s4>nm7?79:?7a<<6889>0`?=9=o0q~=43>3498;7?;e:?7ea<6=016??k51758962?28>n70=:2;37a>;4>80:8h52371950?<5=i96<:j;<6`1?72127>=?4>4d9>143=9?i018?8:04`?83bj3;?h63:eb820`=:>9>1=9j4=730>43>34?o=7?;e:?7a4<6;2ll0::9521e6950?<58n=6<8;;<3ga?73m27:i=4>589>5`4=9?>018o>:04`?83f:3;=o636c;35g>;>m3;><63n0;364>;2?00:8i5256`950?<5<=o6<8;;<7:3?72127o>7?9b:?g0?71j27o57?:5:?ge?72=27oh7?94:?gb?71k27n=7?9c:?f2?72127n47?94:?f`?73m27m97?;e:?243<6>=16==l51758946c28<<70?>2;36=>;69=0:94521049532<589:6<:j;<303?72127:?44>659>56d=9?>01<=j:047?874n3;=863>40822f=z{=oh6=4={<6fg?7?127?i44>589~w1`b2908>v3;ee8221=:40034>m<7?97:?7e<<6o70:l3;36=>;3k=0::9524b79532<5=ij6<:k;<720?73n27>=84>4g9>140=9=l018?8:06e?82am3;3563:05820a=:=9?1=;:4=732>43>34<:>7?94:?556<6>=168k6515f891`?28>n70:j2;37`>;3?:0:8i5246c951b<5=3j6<:k;<6:f?73l27>m<4>4g9>1d4=9=l014m515d8946428>o70??5;353>;68?0:::52115953><58:i6<87;<33g?71027:699>55c=9=l01<>i:06e?874?3;=863>398222=:9:31=;94=01b>40034;8n7?97:?27`<6>>16=>h51758942728>m70?;1;37b>;6<;0:8k5rs5d7>5<4>r7?ii4>669>0`c=9?=019ki:04;?82a83;=463;a`820`=:<1<1=9k4=5`b>42b34>hm7?;e:?651<6=9169<;51428907128?;70;>7;364>;28:0:8i52516951c<5<:>6<88;<6e0?7?127?j44>4e9>0`4=9=o0199<:06f?820i3;?i63;9`820`=:<0i1=9j4=4c2>43734?j>7?:0:?:g?72827oh7?97:?ga?73n27oj7?;f:?f4?73n27n=7?;f:?f1?71<27n:7?94:?f3?71?27n47?97:?f=?71?27:<>4>4d9>553=9?201<>9:04;?877?3;=563>0c822<=:99i1=;74=02g>40>34;;i7?:0:?24c<6=916=>951758945?28<370?<9;35<>;6;h0::55212`953><589n6<87;<30b?71027:8=4>519>517=9<:01<:=:073?xu3mm0;6?u24df95=?<5=o26<8;;|q7a`<72;q68hk519;891c>28<<7p};eg83>7}:40?3ty?j=4?:3y>0c6=913019k6:04:?xu5000;6>u2293951e<5;2i6<:j;<0;e?7?12wx>5=50;0x97>e28>o70<73;3;=>{t:1h1<74>>3483m7?;c:p6=4=838p1?6n:b7897>528227p}=8583>7}::191=9j4=3:7>4>>3ty9484?:3y>6=5=9=o01?6::0::?xu50?0;6?u229495=?<5;2>6<:k;|q1<2<72;q6>59519;897>228>n7p}=8983>7}::121=574=3:6>43>3ty95k4?:2y>6<1=9=i01?o>:06f?84f83;356s|28;94?4|5;k:6<:k;<0:=?7?12wx>l?50;0x97g6282270{t:021<7f3<5;336<66;|q1=d<72;q6>47515f897?f28227p}=9c83>7}::031=9k4=3;a>4>>3ty95n4?:3y>64k519;897?e28?27p}=ab83>6}::h81=9m4=3cf>42b348jh7?79:p6dd=838p1?on:06`?84fj3;356s|2`c94?5|5;kj6<66;<3e`?73l27:ji4>4d9~w7g32909w0;5i=0:445rs3cf>5<5s48ji7?79:?1ea<670{t:h?1<742c348j97?79:p6d0=838p1?o;:06f?84f>3;356s|2`594?4|5;k<6<66;<0b2?73l2wx>l650;0x97g?282270{t:h31<74>>348j:7?:9:p760=83>jw0;4;?0:44526329532<5:>26<;6;<17e?71<278:n4>659>73b=9?h01>8j:04a?85083;=n63<70822g=:=8>1=8?4=436>43634?::7?:1:?652<6=816?n708?f;37a>;2lm0:::525eg9531<58n86<8;;<3g0?71<27:h84>669>5a0=9?=01e08222=:9l81=;94=0g`>42b34;nh7?;e:?2a`<6=016=hh51768976528?270;2i80:9<525`09507<5<2<6<8;;<7;;l4>659>12d=9?>0189l:044?830l3;=;63:95820`=:=0?1=9k4=4;5>40334?2;7?94:?g5?71k27o>7?9c:?g7?71k27o87?9c:?ga?72827oj7?:0:?f4?72827n=7?:0:?e5?72127m57?:9:?24`<6=816==h514389474284;350>;69<0:::521049531<58896<:j;<317?73m27:>l4>4d9>57d=9=o01<:?:072?87393;>=63>438214=z{8z?1eg<6>>16?>>515f890c5282270?ne;37a>;6io0:8i521c7951c<58h=6<:k;<3ag?73m27:ni4>4e9>5g`=9=n013;?h63>c9820`=:9j31=9j4=0f2>42b34;nm7?;e:?2b3<6n70;58h0:8h52657951b<5?>>6<:j;40?3ty9o?4?:2y>6gg=9=i01?m;:06f?84d;3;356s|2ca94?4|5;i?6<:k;<0ag?7?12wx>n:50;0x97e3282270{t:kh1<7f3<5;hi6<66;|q1fa<72;q6>om515f897dc28227p}=bd83>7}::ki1=9k4=3`f>4>>3ty9nk4?:3y>6g`=91301?lj:06g?xu5k90;6?u22b295=?<5;hn6<:j;|q1g4<72;q6>n?519;897db28?27p}<3c83>7}:;:<1=9m4=21`>4>>3ty8?54?:3y>76e=9=i01>=7:0::?xu4;>0;6?u232a9g0=:;:=1=574}r10=?6=:r78?54>4e9>76?=9130q~=55z?07d<6o515g8964>282270==d;35<>;4:l0::55rs31`>5<4s48887?;c:?17`<6>j519;8yv44>3:1>v3=3d820a=:::<1=574}r00a?6=:r79?h4>889>66b=9=i0q~<<5;296~;5;m0h963=3482<<=z{;9<6=4={<002?73l279?:4>889~w75?2909w0<<6;37a>;5;10:445rs31:>5<5s48857?79:?17=<6589~w7202908w0<;5<00:8h5225:95=?52z?10<<69?519;8yv4313:1>v3=4882<<=::=21=9m4}r074?6=:r79854l5:?105<6001v?:=:18184393;?h63=4382<<=z{;>86=4={<075?73m2798>4>889~w7232909w0<;4;3;=>;5<:0:8i5rs366>5<5s48?97?79:?106<63;3563=42821<=z{;h<6=4<{<0bb?73k279n44>4d9>6g>=9130q~52z?1f<<60016>o6515a8yv4e83:1>v3=b98`1>;5j90:445rs3`1>5<5s48i=7?;d:?1f7<6001v?l<:18184e93;?i63=b282<<=z{;h?6=4={<0a0?7?1279n>4>4e9~w7d22909w0;5j:0:8h5rs3`5>5<5s48i:7?79:?1f6<6=01v?;=:180843i3;?o63=55820`=::<91=574}r07g?6=:r79994>4e9>61e=9130q~<:4;296~;5==0:4452241951e52z?116889~w72c2909w0<;c;37`>;55<5s48?o7?;e:?10`<6001v?:i:181843n3;3563=4d820a=z{;?;6=4={<064?7?12798h4>4d9~w7362909w0<:1;3;=>;55<5s4>j?7?;c:?7ec<6001v9o;:18182fn3;?o63;a582<<=z{=k>6=4={<6b0?73l27?m84>889~w1g12909w0:n4;37a>;3i?0:445rs021>5<4s4>j;7?;d:?7e2<6v3;a682<<=:4e9>0d>=9=o01<>6:0::?xu3i10;6?u24`:95=?<5=k=6<:j;|q7e<<72;q68l7519;891g128?27p};a`83>7}:4033ty?;;4?:3gx91ge28?270:nc;350>;3im0::95249c951b<5=2i6<:k;<6a1?73l27?n84>4d9>0f5=9?>019m;:044?82d=3;=;63;cd820a=:40d349=i7?9c:?035<6>j16?:?517a8906328?2708>1;350>;19;0:::526019531<5=nn6<:k;<6ga?73m27?;l4>589>0:071?83f:3;>>636d;366>;>m3;>>636f;366>;f83;>>63;7782<<=:=;h1=9j4=e3951`<5m81=9h4=e1951`<5m>1=9h4=020>43>34;;87?97:?240<6>016==8517;8946028;68j0::l5211f953g<58:n6<;=;<33b?72:2wx8ll50;0x91ge282270:n6;353>{t4>>34>j:7?98:p0db=838p19ok:0::?82f>3;=56s|63094?4|5?;36<:l;<417?7?12wx:<650;6x937?2822708>c;37`>;1;00:8i5263f951b52z?55`<642b3ty==44?:3y>275=9=i01;?6:0::?xu19h0;6?u260;951b<5?;j6<66;|q55g<72;q6:<7515g8937e28227p}93183>1}:>8i1=9k4=73g>42c34<9h7?;e:?575<6001v;?l:181806k3;356391c820a=z{fz?55a<6n70;jb;37a>;2mo0:44525g6951b<56<:k;<7e2?73m27>j54>4e9>1c?=9=n01;>=:06g?807;3;?i63905820`=:>9o1=874=72e>43>34?hj7?;d:?6`5<6o70?k3;353>;6l=0:::521e7953><58n=6<87;<74=?73m27>;l4>669>12d=9?=0189l:04;?830l3;=463>2g820a=:9::1=9k4=010>43>3ty>ji4?:27x937c28?270;j8;37a>;2m00:8i525da950?<5ji4>889>254=9=o01;>::06g?807>3;?h6390d8221=:>9l1=;:4=4ag>42c34?o=7?:9:?6`<<6;2ll0::552635951c<58nn6<;6;<3gb?71?27:i=4>669>5`7=9?201563>ee821<=:9lo1=;:4=0ge>40034?1169:m517;8901c28<270;63;36=>;21=0:9452587950?<5<3=6<88;<7:3?71?27:=k4>4d9>576=9<301<<>:07:?875:3;>563>22821<=:9;=1=9k4=00;>42b34;957?:9:?26d<6=016=?l514;8944a28>n70?<0;36=>;6;80:9452120951b<58986<8;;|q55a<72;q6:n7p}91g83>7}:>8l1=574=702>42c3ty=>=4?:3y>276=91301;<>:06f?xu4;80;6>u233;951e<5:9>6<:j;<106?7?12wx?>>50;0x964a28>h70=<0;3;=>{t;;l1<7=t=20e>4>>34;o<7?;d:?2`5<66=4={<101?7?1278??4>4b9~w6542909w0=<4;37`>;4;:0:445rs217>5<5s49887?79:?077<58j1v>n4>889~w64c2909w0==d;3;=>;4:j0:8i5rs20f>5<5s499i7?79:?06f<6:m:181853<3;?o63<4b82<<=z{:>?6=46{<170?7?1278844>659>71g=9?=01>8l:044?8`6283;353>;69=0:::52107953><58;=6<87;|q000<72;q6?9m515a8962228227p}<4783>7}:;=?1=9j4=265>4>>3ty88:4?:3y>713=9=o01>:8:0::?xu4<10;6?u235:95=?<5:><6<:k;|q6`1<720q6?9751758962f28<370=9c;35<>;2l=0:4452f08222=:9891=;64=037>40?34;:97?99:?253<6>01v>:6:18185313;3563<46820`=z{:>j6=4={<17e?7?12788:4>589~w63?2909w0=;d;37g>;4=00:445rs26g>5<60r788i4>889>705=9=o01>;;:07:?852=3;>563<61821<=:;?;1=874=241>403349=?7?94:?021<6>=16?;j515d8960b28>m70=80;37b>;4?80:8k5248g9503<5m;1=8>4=e09506<5m91=8>4=e69506<5m21=884=e;9500<5lo1=9k4=dd950?<5o:1=874=031>4033ty89:4?:3y>700=9=i01>;8:0::?xu4=?0;6>u234495=?<5o>1=9j4=g6951c52z?01<<6v3<4d820a=:;=l1=574}r164?6=:r788h4>4d9>706=9130q~=9f;297~;4=80:8i52343951c<5:o7p}<5383>7}:;<81=574=273>42b3ty?nh4?:0ax963428?270=:4;350>;4=<0::9523729532<5:<:6<8;;<156?71?278:>4>669>732=9?=019lj:0::?82d:3;>563;c28222=:1=;64=5a6>40?349=h7?:0:?02`<6=916?:>51428961628?;70:6f;361>;c93;>=63k2;365>;c;3;>=63k4;365>;c=3;>:63ka;362>;bm3;>563jf;350>;a83;=863>138222=z{:?86=4={<167?7?12789=4>589~w6332909w0=:4;3;=>;4=90::95rs276>5<5s49>97?79:?015<6>>1v>8::181852i3;?o63<6782<<=z{:?j6=4>0z?01d<60016?;>51758960628<<70=92;35<>;4>:0::552376953><543534n96<;=;43534n?6<;=;<326?71027:=>4>689>542=9?3013;=m6s|34`94?4|5:<=6<:l;<16f?7?12wx?8m50;0x963e28>o70=:c;3;=>{t;42b349>h7?79:pgc<72:q6?8k515f8963b28>n70mi:0::?xu4=l0;6?u234g95=?<5:?o6<:k;|q254<72:q6?8h515f8963a28>n70?>1;3;=>{t;4>>349>h7?;e:p736=838p1>8?:0::?852l3;>56s|37394?4|5:<:6<66;<16`?71<2wx?;<50;0x9605282270=:d;353>{t;?91<74>>349>h7?98:p732=838p1>8;:0::?852l3;=56s|49a94?4|5=2>6<:l;<6;`?7?12wx85950;0x91>c28>h70:77;3;=>{t<1<1<7f3<5=2=6<66;|q7<=<72;q6859515f891>?28227p};8883>7}:<1=1=9k4=5::>4>>3ty?4l4?:3y>0=g=91301966:06g?xu30l0;6?u249`951c<5=2n6<66;|q7>28>n7p};9283>7}:<1o1=9m4=5;7>4>>3ty?5=4?:3y>0<2=9=i0197?:0::?xu30o0;6?u24869g0=:<1l1=574}r6:5?6=:r7?5=4>4e9>0<7=9130q~:62;296~;3190:8h5248095=?o57>57z?7o70:k3;37a>;3l00:445253c951b<5<8j6<:j;|q7fg<72:q68o>515a891dc28>n70:mc;3;=>{t4>>34>i47?;e:?7f<<6v3;be820a=:889>0ge=9=i0q~:m2;296~;3j80:8i524c095=?i?7>52z?7f4<6v3;b582<<=:889>0g5=9=o0q~h<:18082e>3;?h63;b7820`=:n:0:445rs5`5>5<5s4>i:7?79:?7f6<6=01vkl50;1x91d028>o70:m7;37a>;aj3;356s|4c594?4|5=h<6<66;<6a7?71<2wx8o650;0x91d?282270:m3;353>{t4>>34>i?7?98:p0gg=838p19ln:0::?82e;3;=56s|4b494?5|5=hn6<:l;<6`889~w1da2909w0:l8;37`>;3jo0:445rs5a;>5<5s4>h47?79:?7g2<6889~w1e52909w0:l2;3;=>;3k80:8i5rs55e>5h?7?98:?7g1<6>0168n;517;8906428>n708>1;353>;19;0::552601953><5=l26<:j;<64b?7?127?5o4>4d9~w1e42909w0:l3;3;=>;3k80:8h5rs5a7>5<5s4>h87?79:?7g4<6=01v9m::18182d=3;3563;c08221=z{=n;6=4={<6`=?73k27?h<4>889~w1ee2909w0:k1;37g>;3kk0:445rs5ab>5<5s4>o=7m:;<6`e?7?12wx8nm50;0x91ee28>o70:lc;3;=>{t42b34>hh7?79:p0fc=838p19mj:0::?82dl3;?h6s|4e094?4|5=im6<:j;<6g6?7?12wx8nh50;0x91ea282270:ld;37a>{t42d34>o47?79:p0a2=838p19j7:06`?82c<3;356s|4e194?4|5=n36n;4=5f0>4>>3ty?h84?:3y>0a2=9=n019j::0::?xu3l?0;6?u24e6951c<5=n=6<66;|q036<72:q6?;9515a8961228>h70=84;3;=>{t;>?1<76t=256>4>>349=o7?99:?02a<6=816?;k514389`c=9?>01hh517589c6=9?=01k?517:8yv50:3:1>v3<6g820f=:;>81=574}r154b9>73>=9130q~=99;296~;4>10:8i5237;95=?52z?02=<6;4>k0:8h52ec82<<=z{:4e9~w60d2909w0=9c;3;=>;4>h0:8h5rs24g>5<5s49=h7?79:?02d<6=01v>8j:181851m3;3563<6`8221=z{:=;6=4={<144?7?1278;?4>4e9~w6162909w0=81;3;=>;4?;0:8h5rs43:>5<3s4?;h7?;c:?65g<60}:=8h1=574=431>43>34<:=7?98:?557<6>016:<=517;8yv3603:1>v3:12820f=:=821=574}r727?6=;r7>=>4>889>560=9=n01<=9:06f?xu28l0;6?u250a951b<5<:n6<66;|q65f<72;q69h7p}:0g83>7}:=9o1=9j4=42e>4>>3ty>==4?:3y>15c=9=o018??:0::?xu1990;6>u2503951b<5<;:6<:j;<424?7?12wx90;37`>{t=881<74>>34?:<7?;e:p142=838p18?;:0::?83603;?h6s|50794?4|5<;>6<66;<728;36=>{t=8=1<74>>34?:47?94:p74c=83>p1>?<:06`?856:3;?o63<21820`=:;8l1=574}r126?6=889>151=9=n018>7:06g?83403;?h6s|30f94?4|5:;<6<:l;<12`?7?12wx?<950;1x9670282270:kf;37`>;3lo0:8h5rs237>5<5s499<7?;d:?051<6001v>6=4={<120?73l278=84>889~w6712909w0=>4;37a>;49?0:445rs425>5<4s49::7?;d:?053<6v3<1982<<=:;8n1=9j4}r12=?6=:r78=44>889>74b=9=o0q~=>a;296~;49h0:445230f950?52z?05g<60016?v3<1b82<<=:;8n1=;94}r7f`?6=:r7>i?4>4b9>1`c=9130q~;j3;296~;2ml0:8n525d195=?52z?6a6<6v3:e2820`=:=l?1=574}r7:6?6=;r7>i;4>4e9>1`0=9=o0187=:0::?xu2m?0;6?u25d495=?<56<:k;|q260<72:q69h9515f890c028>n70?=5;3;=>{t=l=1<74>>34?n97?;e:p1`>=838p18k7:0::?83b=3;>56s|61;94?53s4?n57?;e:?6ad<6=0169hl514;890cd28;2n?0:94525g:950?<5589>253=9=o01;>9:06f?80713;356390d8222=:>9l1=;94=4af>42c34?o=7?94:?6`<<6=0169io514;890be28;2ll0::4521eg9532<58nm6<87;<3f4?71027:i<4>689>5`4=9?301ed8222=:9ll1=;64=45:>43>34?2?7?94:?6=1<6>=1694;5176890?128<370;67;35<>;69o0:94521329532<588:6<8;;<316?71<27:>>4>659>571=9<301<<7:07:?87513;=863>2`8221=:9;h1=;:4=00e>43>34;8<7?94:?274<6>=16=><515g8945428<<7p}:e883>7}:=l31=574=4g6>4033ty>il4?:3y>1`g=913018k::044?xu2mk0;6?u25d`95=?<56<87;|q573<72;>p18kl:044?83a>3;=863:f8821<=:>9?1=874=725>43>34<8:7?79:?6gc<6515g890b628<<70;k9;350>;2lh0::9525e`9531<58nn6<88;<3fg?71?27:ii4>669>5`c=9?201h1=;74=45`>40f34?>1694:5175890?228<<70?>f;350>;6:90:::521339531<58896<88;<317?71?27:>:4>659>57>=9?>01<<6:044?875i3;=;63>2c8222=:9:81=874}r7fg?6=:r7>in4>889>1`3=9?30q~=>0;296~;48k0:8n5230395=?52z?054<6v3<108`2>;48j0:445rs22f>5<5s49;h7?;d:?04`<6001v>>i:181857l3;?i63<0g82<<=z{;on6=4n{<13b?73l279ih4>889>6c5=9=o01?h;:06f?84al3;?i63<06820`=::m31=9j4=3fa>42c348n?7?;d:?1a1<643>348om7?;d:?1`g<6h=515g897c328>n7p}=e683><}:;9l1=874=3d0>43>348m87?:9:?1ba<6=016>h9519;897ce28>n70=?7;350>;5lk0:94522d6950?58z?04c<6>=16?=?519;8966128>n70;5lh0:8h522e`9532<5;o86<;6;<0f0?71<2wx8h70:>f;3;=>{t<821<742d34>:47?79:p04?=838p19?7:06g?82613;356s|40c94?4|5=;36<:j;<62e?7?12wx8a;37`>{t<8i1<74>>34>:m7?;e:p7cb=83>p19?k:044?85al3;3563h1=874}r62`?6=:r7?=i4>889>04g=9<30q~;ib;296~;2mo0:8n525ga95=?52z?6b2<642b3ty>j=4?:3y>1ce=9=i018h?:0::?xu2n80;6?u25g2951b<5515g890`528227p}:7983>6}:=o91=9j4=4d0>42b34?<47?79:p1c5=838p18h<:0::?83a:3;?h6s|5g694?4|5{t=o<1<74>>34?m>7?94:p1c>=838p18h7:0::?83ai3;?h6s|5g;94?4|5h70:?a;3;=>{t<9>1<742d34>;87?79:p053=838p19>;:06g?827=3;356s|41494?4|5=:?6<:j;<632?7?12wx8=950;0x9160282270:?6;37`>{t<921<74>>34>;:7?;e:p042=839p19>m:06`?826>3;?i63;1482<<=z{=:h6=4={<622?73l27?889~w1712909w0:>6;3;=>;39<0:8n5rs52g>5<5s4>;o7?;d:?74a<6001v9>j:181827k3;?i63;0d82<<=z{=:m6=4={<63b?7?127?

4e9~w6b6290>w0:>0;353>;4n?0::4523g5953?<5:n:6<66;<1fe?71<2wx8<>50;0x9177282270:?e;37a>{t<8;1<74>>34>;i7?:9:p72c=83?p19?=:044?85a03;=563o1=574=03b>40>3ty8494?:4y>044=9?201>h7:04b?85bj3;=;63<8582<<=:98k1=;o4}r626?6=:r7?=?4>889>05c=9?>0q~=7a;291~;39:0:::523g;953?<5:oh6<8;;<1;e?7?127:=o4>689~w6?7290>w0:>3;35<>;4n00::l523da9531<5:3;6<66;<32f?71i2wx8<=50;0x9174282270:?e;353>{t>9=1<742d34<;47?79:p1cc=838p1;>7:06`?83am3;356s|5gd94?4|550;0x90`b28>n708?0;3;=>{t9mn1<7=t=722>42c34<;=7?;e:?2`a<6001v;>>:18180793;3563901820a=z{?:96=4={<436?7?127=<=4>4d9~w3642909w08?3;3;=>;1890:945rs727>5<5s4<;87?79:?545<6>=1v;;k1=574=0g`>40?34;nh7?98:?6=6<6>11694:517:890?228<370?>f;353>;6:90::552135953152z?540<60016:=>51758yv3ek3:1nv39078221=:=m:1=874=4``>4>>34?om7?97:?264<6>116=?<517:8944428<370?=8;353>;6:00::55213c953><588i6<87;|q543<72;q6:=8519;8936728<37p}:0`83>6}:42b34?;n7?79:p15?=838p18>9:06`?83713;356s|4gd94?4|5<:h6<:k;<6eb?7?12wx9=m50;0x906d282270;?b;37g>{t=9:1<742c34?;<7?79:p157=838p19hi:06f?83793;356s|12794?5|5<:96<:k;<736?73m27:?84>889~w0652909w0;?2;3;=>;2880:8i5rs420>5<5s4?;?7?79:?644<6;:181837<3;3563:00821<=z{<:>6=4={<731?7?127><<4>659~w7e22903w0;?7;37a>;2810:8h522b795=?<5;i36<:j;<0g4?73m278>84>4d9>770=9=n018=7:06f?xu5kj0;65u2515950?<5<:36<;6;<0`889>6a7=9=o01><::07:?855>3;?i63:39821<=z{<:<6=4={<733?7?127><44>4e9~w7412908w0;?8;350>;5k10::95223495=?52z?64=<600169=7515g8yv04i3:1>v3937820f=:>:h1=574}r403?6=:r7=?o4>4b9>261=9130q~8<8;296~;1;>0:8i5262:95=?52z?572<67519;8yv04k3:1>v3938820`=:>:i1=574}r421?6=;r7=<44>4b9>241=9=o01;?9:0::?xu19=0;6?u2602951e<5?;?6<66;|q54d<72;q6:<9515f8936f28227p}91683>7}:>8=1=574=735>42d3ty=25g=9=n01;>m:0::?xu18j0;6?u261c951c<5?:h6<66;|q26a<72:q6:=j515f8936c28>n70?=d;3;=>{t>9n1<74>>34<;o7?;d:p25c=838p1;>j:0::?807k3;?i6s|61d94?4|5?:m6<66;<43g?7212wx:4;37`>{t>881<74>>34<:87?;e:p245=838p1;?<:0::?806<3;>56s|3`794?5|5:3n6<:l;<1b3?73m278m;4>889~w6?a2909w0=n7;37`>;41o0:445rs2c4>5<5s49j;7?79:?0e3<6o?:18185>n3;?h63889~w6b0290iw0=n2;37a>;4i:0::5523`g951b<5:h<6<:k;<1`4?73m278o<4>659>7fg=9=o01>mm:044?85c?3;3563589>7d2=9?201>oj:06f?85e?3;?i6343>349ho7?97:?0`a<6001v>o=:18185f:3;35634d9~w6g32909w0=n4;3;=>;4i80:945rs5d`>5<5s4>m87?;c:?7ba<6001v9hm:18182ai3;?o63;fc82<<=z{=lj6=4<{<6ee?7?127>;:4>4e9>121=9=o0q~:i5;296~;3nm0:8n524g795=?m:7>52z?7b0<6v3;f4820`=:889>0c1=9=n0q~:i9;296~;3n00:44524g5951c519y>0cd=9?>018m7:0::?83dl3;?i63:cd820`=:=jl1=;:4=4f3>40334?oh7?9a:?6``<6>h16=i=517:894b328<370?k5;35=>;6l?0::4521dg953?<58om6<8n;<7;3?71?27>454>699>126=9?>0189n:04b?830j3;=m63:7b822g=:=>n1=;l4=4;5>40>34?2;7?99:?277<6>=1v8j=:18183d03;?o63:d282<<=z{o44>889~w0ef2909w0;l9;37`>;2kh0:445rs4aa>5<5s4?h57?;e:?6gg<6001von4>889>1fd=9=n0q~;ld;296~;2km0:44525b`951c52z?6g`<600169nl514;8yv3dn3:1>v3:cg82<<=:=jh1=;:4}r7g4?6=:r7>h=4>889>1fd=9?=0q~;k1;296~;2l80:44525b`953>52z?1a`<6k8519;8yv4a83:1>v3=f7820f=::o:1=574}r0fb?6=:r79j;4l6:?1ac<6001v?h>:18184a83;?h63=f082<<=z{;l96=4={<0e4?73m279j?4>889~w7`42909w0;5n;0:8i5rs3d7>5<5s48m87?79:?1b7<64e9>6c?=9130q~=?0;296~;4890:44522gd951e52z?1bc279j54>889~w7`f2909w0;5nh0:445rs3da>5<5s48m57?;e:?1bg<6001v?hl:18184ak3;3563=fc820a=z{;lo6=4={<0e`?7?1279jo4>4d9~w6ga2909w0=n8;37g>;4j90:445rs2c:>5<5s49i<7?;c:?0e<<6001v>on:18185f13;?h63889~w6gd2909w0=nc;3;=>;4ik0:8i5rs2cg>5<5s49jh7?79:?0eg<6oj:18185fm3;3563889~w7c>2909w0;5m00:445rs3g;>5<5s48nh7m9;<0fho50;0x97c>28>o70{t:lh1<742b348nn7?79:p75>=839p1>>>:06`?857i3;?i63<0882<<=z{::86=4={<13e?73l278<>4>889~w66f2909w0=?a;3;=>;4800:8n5rs221>5<5s49;57m9;<136?7?12wx?=:50;0x966428>o70=?4;3;=>{t;9?1<742b349;97?79:p750=838p1>>9:0::?857=3;?h6s|31594?4|5::<6<66;<131?73m2wx?oo50;0x96d628>h70=mb;3;=>{t;k81<742d349i>7?79:p7g5=838p1>l=:06g?85e;3;356s|3c694?4|5:h96<:j;<1a0?7?12wx?o;50;0x96d2282270=m4;37`>{t;k<1<74>>349i87?;e:p7g1=838p1>l8:0::?85e<3;>56s|3c:94?4|5:h36<66;<1a0?71<2wx?o750;0x96d>282270=m4;353>{t;j91<7=t=2``>42d349h97?;e:?0g1<6001v>lk:18185d=3;?h636=4={<1`1?7?1278o94>4b9~w6db2909w0=md;37`>;4jl0:445rs2`e>5<5s49ih7?;e:?0fc<6001v>m?:18185d83;35634d9~w6e52909w0=l2;3;=>;4jo0:945rs2af>5<4s49h:7?;c:?0`5<6v3889>7f`=9=i0q~=l8;296~;4k>0:8i523b:95=?52z?0g2<6v3889>7f?=9=o0q~=lc;296~;4kj0:44523b;950?52z?0ga<60016?n751768yv4d13:1?v3=c4820f=::jh1=9k4=3ab>4>>3ty9o;4?:3y>6fd=9=n01?m9:0::?xu5kk0;6?u22b`95=?<5;ij6<:l;|q1g2<72;q6>n8515f897e028227p}=c983>7}::j<1=9k4=3a;>4>>3ty?4>4?:3y>02`=9=i0196;:0::?xu3090;6?u2496951e<5=2;6<66;|q7<4<72;q685>515f891>628227p};8383>7}:<1:1=9k4=5:1>4>>3ty9h?4?:3y>6fe=9=i01?j<:0::?xu5km0;6?u22e1951e<5;io6<66;|q1g`<72;q6>nj515f897eb28227p}=cg83>7}::jn1=9k4=3ae>4>>3ty9h=4?:3y>6a6=91301?mi:06g?xu5l80;6?u22e395=?<5;im6<:j;|q7a1<72;q68i7515a891c228227p};d`83>7}:4>>3ty?ho4?:3y>0ag=9=n019jm:0::?xu3lj0;6?u24ec951c<5=nh6<66;|q7`a<72;q68ij519;891bd28>o7p};dd83>7}:42b3ty?hk4?:3y>0a`=913019jl:07:?xu2k90;6>u24d2951b<5=o;6<:j;<7`4?7?12wx8h>50;0x91c7282270:kc;350>{t4>>34>oo7?97:p0`4=838p19k=:0::?82ck3;=46s|4d194?4|5=o86<66;<6gg?7112wx9n850;0x90dd28>h70;l7;3;=>{t=j?1<742d34?h97?79:p1gb=838p18m8:06`?83el3;356s|5cg94?4|5n70;mf;3;=>{t=j;1<74>>34?h97?;d:p1f4=838p18m=:0::?83d=3;?i6s|5b194?4|5{t>;o1<742d34<9j7?79:p27d=838p1;n708=d;3;=>{t;ok1<7=t=2ge>42d349mo7?;e:?0bg<6001v>h?:18185ak3;?h634b9~w6`62909w0=i0;37`>;4n80:445rs2d1>5<5s49m<7?;e:?0b7<6001v>h<:18185a;3;35634d9>54>=9130q~=i4;296~;4n=0:44523g0951c52z?0b0<60016?k<514;8yv5a>3:1>v3889>7c4=9?=0q~=i8;296~;4n10:44523g0953>52z?0b<<60016?k<517;8yv2793:1>v34b9>7cc=9130q~=if;296~;4nl0:8i523gd95=?;<7>52z?0b`<6519;8yv2>=3:1?v3;01820a=:<9:1=9k4=5;6>4>>3ty=?94?:3y>266=9=i01;=::0::?xu1;80;6?u2627951e<5?9:6<66;|q577<72;q6:>?515f8935528227p}93283>7}:>:;1=9k4=710>4>>3ty9h94?:2y>265=9=n01;=<:06f?84c<3;356s|23c94?4|5;8=6<:l;<01f?7?12wx>?950;0x974e28>h70<=7;3;=>{t:;21<742c348947?79:p67?=838p1?<8:06f?84513;356s|33594?4|5:8:6<:l;<11;6?h0:8i5216c951c7>52z?06=<6v3<23820a=:;;91=574}r110?6=:r78>?4>4d9>772=9130q~==5;296~;4:<0:4452336951b52z?063<60016??:515g8yv5c=3:1>v34b9>7a4=9130q~=k3;296~;4l;0:8i523e195=?52z?0`7<6v34b9>7a>=9130q~=k9;296~;4l10:8i523e;95=?52z?0`=<6v34b9>7`2=9130q~=j5;296~;4m=0:8i523d795=?52z?0a1<64>>3ty8i:4?:3y>7`1=91301>k9:06g?xu4m10;6?u23d:95=?<5:o=6<:j;|q0a<<72;q6?h7519;896c128?27p}7}:;lk1=574=2g5>4033ty8io4?:3y>7`d=91301>k9:044?xu4mj0;6?u23da95=?<5:o=6<87;|q1`f<72;q6>i:515a897bc28227p}=d783>7}::mn1=9m4=3f5>4>>3ty9h84?:3y>6ab=k?16>i;519;8yv4c?3:1>v3=d7820a=::m=1=574}r0g4d9>6a>=9130q~52z?1`d<60016>i6515g8yv4cj3:1>v3=dc82<<=::m21=874}r0f1?6=:r79hh4>4b9>6`0=9130q~h8515a897c728227p}=dg83>7}::l<1o;522ed95=?52z?1a5<6h?519;8yv4b:3:1>v3=e1820`=::l81=574}r0f7?6=:r79i>4>889>6`4=9=n0q~7>52z?07`<6v3<42820f=:;:l1=574}r174?6=:r78?k4>4e9>716=9130q~=;1;296~;4;o0:8h5235395=?52z?033<63:1>v3<7782<<=:=;81=9j4}r143?6=:r78;i4>4b9>721=9130q~=88;296~;4?>0:8i5236:95=?52z?032<6v3<7`82<<=:;>31=9j4}r14f?6=:r78;o4>889>72?=9=o0q~=72;296~;4?l0:8n5239195=?52z?0<6<6v3<7g820a=:;1:1=574}r1;5?6=:r78;k4>4d9>7=7=9130q~=78;296~;40=0:8n5239;95=?52z?0<<<63:1>v3<84820a=:;1<1=574}r1;3?6=:r78484>4d9>7=1=9130q~=7e;296~;40h0:8n5239d95=?52z?0v3<8c820a=:;1i1=574}r1;`?6=:r784o4>4d9>7=b=9130q~=64;296~;4190:8n5238795=?52z?0=0<6:3:1>v3<90820a=:;081=574}r1:7?6=:r785<4>4d9>7<5=9130q~=6c;296~;41?0:8n5238f95=?52z?0=3<6001698o515g8yv5>?3:1>v3<9e820f=:;0=1=574}r1:4e9>7<>=9130q~=69;296~;41>0:8h5238;95=?52z?0=d<60016?47515f8yv5>j3:1>v3<9c82<<=:;031=9k4}r1f5?6=:r78hi4>4b9>7`4=9130q~=ke;296~;4m;0:8n523eg95=?52z?0``<6v3=4>4b9>073=9130q~:=1;296~;3:<0:8n5243395=?9>7>52z?764<6v3;20820`=:<;91=574}r61e?6=:r7?>;4>4b9>07d=9130q~:=7;296~;3:k0:8n5243595=?947>52z?762<6v3;26820`=:<;31=574}r604?6=:r7?>n4>4b9>067=9130q~:=d;296~;3;80:8n5243f95=?9i7>52z?76a<6v3;2e820`=:<;l1=574}r602?6=:r7???4>4b9>061=9130q~:<3;296~;3;>0:8n5242195=?887>52z?776<6:519;8yv24=3:1>v3;32820`=:<:?1=574}r640?6=:r7?;=4>4b9>023=9130q~:80;296~;3?90:4452530951c<=7>52z?730<6v3;70820a=:<>81=574}r647?6=:r7?;<4>4d9>025=9130q~:8d;296~;3?>0:8n5246g95=?<;7>52z?732<600169?l515g8yv20k3:1>v3;7c820f=:<>i1=574}r64f?6=;r7?;o4>889>=d<64d9~w11?2909w0:8e;37g>;3?10:445rs55:>5<5s4><47?;d:?73<<6001v99n:18182003;?i63;7`82<<=z{=k:6=4={<6:1?73k27?m?4>889~w1g72909w0:6d;37g>;3i90:445rs5;g>5<4s4>2h7?79:?fg?73l27no7?;e:p0<0=838p19o=:06`?82>>3;356s|48594?4|5=3=6<:k;<6:3?7?12wx84650;0x91?128>n70:68;3;=>{tm:0;6>u248;951b<5=326<:j;4>>3ty?544?:3y>07}:<0i1=574=5;;>4033ty?5h4?:3y>07}:=mi1=9m4=4fe>4>>3ty>hn4?:2y>1ae=91301<<9:06g?875>3;?i6s|5e794?4|5o70;k6;3;=>{t=m=1<742b34?o;7?79:p54b=839p18j7:06g?83c03;?i63>1e82<<=z{h:4>4e9~w0b>2909w0;k9;3;=>;2l>0:8h5rs4fb>5<5s4?om7?79:?6`2<6=01v8jm:18183cj3;3563:d68221=z{hk4>4e9~w0bb2909w0;ke;3;=>;2lo0:8h5rs70;>5<5s4<987?;c:?56<<6001v;<;:180805<3;3563:87820a=:=1<1=9k4}r411?6=:r7=>44>4b9>273=9130q~8=6;296~;1:<0:8i5263495=?52z?560<6v393b820f=:>=;1=574}r40`?6=:r7=8<4>4b9>26b=9130q~852z?57a<6h519;8yv7e83:1>v3>a`820f=:9k:1=574}r3bf?6=:r7:n=4>4b9>5dd=9130q~?nc;296~;6ik0:8i521`a95=?52z?2eg<6v3>ad82<<=:9hn1=9j4}r3bb?6=:r7:mk4>889>5db=9=o0q~?m7;296~;6j80:8n521c595=?7>52z?2f2<6v3>b3820a=:9k91=574}r3a0?6=:r7:n?4>4d9>5g2=9130q~?m5;296~;6j<0:44521c6951b52z?2f3<60016=o:515g8yv7d83:1>v3>b9820f=:9j:1=574}r3ab?6=:r7:nh4>4b9>5g`=9130q~?me;297~;6jl0:44521d;951b<58o26<:j;|q2f<<72;q6=n>515a894d>28227p}>b`83>7}:9k31=9j4=0`b>4>>3ty:no4?:3y>5g?=9=o01n7p}>cc83>7}:9j;1=9m4=0aa>4>>3ty:ol4?:3y>5f1=9=i010;6>u21b595=?<58nh6<:k;<3gg?73m2wx=n<50;0x94ee28>h70?l2;3;=>{t9j91<742c34;h?7?79:p5f2=838p16<66;<3`0?73l2wx=n850;0x94e1282270?l4;37a>{t9j21<74>>34;hm7?;d:p5f?=838p1h70?k7;3;=>{t9jn1<742d34;hh7?79:p5fc=838p150;0x94b7282270?lf;37`>{t9m;1<74>>34;hj7?;e:p5a5=838p1{t9m<1<74>>34;o;7?94:p5`2=838p1h70?ka;3;=>{t9mh1<742c34;on7?79:p5ae=838p1{t9l:1<74>>34;n?7?:9:p5`7=838p1:0::?87b;3;=86s|1d094?4|58o96<66;<3f7?71?2wx=k?50;0x94c228>h70?i1;3;=>{t9o:1<742d34;m<7?79:p5`d=839p11d820`=z{8o=6=4={<3e5?73k27:i;4>889~w4c02909w0?j6;37`>;6m>0:445rs0g;>5<5s4;n:7?;e:?2a=<6001ve9820a=z{8oj6=4={<3fe?7?127:i54>4d9~w4cd2909w0?jc;3;=>;6n90:8i5rs0gg>5<5s4;nh7?79:?2b5<6f1821<=z{8om6=4={<3fb?7?127:j=4>659~w4`?2909w0?i2;37g>;6n10:445rs0d0>5<5s4;m47?;c:?2b6<6001vf582<<=z{8l>6=4={<3e7?73m27:j84>889~w4`12909w0?i6;3;=>;6n<0:8i5rs0d4>5<5s4;m;7?79:?2b0<6;:18187a13;?o63=0582<<=z{8lj6=4={<030?73k27:jl4>889~w4`e2909w0?ia;37`>;6nk0:445rs0d`>5<5s4;mm7?;e:?2bf<6001vfb820a=z{hk1<7=t=0df>42c34;mi7?;e:?be?7?12wx=kk50;0x94`b282270?ic;37a>{t9ol1<74>>34;mo7?:9:p656=838p1?>?:0::?87ak3;=86s|21394?4|5;::6<66;<3eg?71?2wx>=<50;0x9765282270?ic;35<>{t:991<74>>34;mo7?99:p65d=838p1?>::06`?847j3;356s|21494?4|5;:i6<:l;<032?7?12wx>=950;0x976128>o70{t:921<742b348;47?79:p65?=838p1?>6:0::?84703;?h6s|21c94?4|5;:j6<66;<03h70;:b;3;=>{t=<21<742d34?>47?79:p10?=838p18;7:06g?83213;356s|54c94?4|5h70;9a;3;=>{t=?=1<742d34?=;7?79:p13>=838p1888:06g?83103;356s|57;94?4|5<<<6<:j;<75=?7?12wx9l:50;0x90?d28>h70;n4;3;=>{t=h91<742d34?j?7?79:p1d6=839p18o?:0::?877i3;?h63>0`820`=z{<3o6=4={<7b0?73k27>5i4>889~w0?b2909w0;6d;37`>;21l0:445rs4;e>5<5s4?2h7?;e:?6=c<6001v8o>:18183f93;3563:a2820a=z{m>4>4d9~w0d52909w0;ne;37g>;2j;0:445rs4ce>5<5s4?i>7?;c:?6ec<6001v8l?:18183fn3;?h63:b182<<=z{n<4>889~w12>2909w0:;3;37g>;35<5s4>?;7?;c:?70=<6001v9:;:181823i3;?o63;4582<<=z{=>>6=4={<670?73l27?884>889~w1212909w0:;4;37a>;35<5s4?:j7?;c:?666<6001v8><4>889~w0452909w0;=0;37a>;2:;0:445rs477>5<5s4??h7?;c:?611<6001v8:j:181832<3;?o63:4d82<<=z{<>m6=4={<77a?73l27>8k4>889~w0372909w0;;e;37a>;2=90:445rs472>5<5s4?>=7?79:?615<69=4>589~w0>>2909w0;72;37g>;2000:445rs4:0>5<5s4?357?;c:?6<6<6001v86;:18183?;3;?h63:8582<<=z{<2>6=4={<7;7?73m27>484>889~w0>12909w0;76;3;=>;20<0:8i5rs4:4>5<5s4?3;7?79:?6<0<6=6=4={<476?73k27=8:4>889~w3242909w08;7;37g>;1<:0:445rs767>5<5s4889~w4122909w0?83;37g>;6?<0:445rs05b>5<5s4;<97?;c:?23d<6001v<99:18187013;?o63>7782<<=z{8=<6=4={<342?73l27:;:4>889~w41?2909w0?86;37a>;6?10:445rs`094?4|50=1=9m4=`095=?42d34k:6<66;|q:7?;c:?:==<6889~w:06g?xu>l3:1>v36d;3;=>;f93;?i6s|9d83>7}:1l0:4452a0821<=z{0l1<75<5s4k;6<66;4003tyjo7>52z?b2?73k27jo7?79:peg<72;q6ml4>4b9>eg<6001vl950;0x9de=9=i01l9519;8yvg?2909w0o8:06g?8g?28227p}n9;296~;f?3;?i63n9;3;=>{t=;i1<7=t=405>42d34><:7?;c:?66a<6001v8<8:181835l3;?o63:2682<<=z{<836=4={<713?73l27>>54>889~w04>2909w0;=7;37a>;2:00:445rs40b>5<5s4?9m7?79:?66<<684>4b9>16g=9130q~;<6;296~;2;h0:8n5252495=?52z?673<69519;8yv3403:1>v3:37820`=:=:21=574}r745?6=:r7>:i4>4b9>127=9130q~;9e;296~;2?80:8n5257g95=?52z?62`<6v3:6d820`=:=>:1=574}r74b?6=:r7>;94>4b9>12`=9130q~;8e;296~;2?10:8n5256g95=?52z?63c<63:1>v3:74820a=:=><1=574}r743?6=:r7>;84>4d9>121=9130q~;89;296~;2?00:445256g951b52z?63d<600169:k515g8yv30j3:1>v3:7c82<<=:=>o1=874}r74g?6=:r7>;n4>889>12c=9?>0q~;8d;296~;2?m0:445256g953152z?6<`<603:1>v3:93820f=:=021=574}r7;b?6=:r7>544>4b9>1=`=9130q~;60;296~;20o0:8i5258295=?52z?6;3:1>v3:9282<<=:=021=9j4}r7:0?6=:r7>594>889>1<>=9=o0q~;65;296~;21<0:445258:950?52z?6=3<6001694651768yv3>?3:1>v3:9682<<=:=021=;94}r3;1?6=:r7:4<4>4b9>5=3=9130q~?72;296~;60<0:8n5219095=?52z?2<7<6v3>83820`=:91>1=574}r3;4?6=:r7:;n4>4b9>5=6=9130q~?8d;296~;6090:8n5216f95=?52z?23a<6v3>7e820`=:9>l1=574}rf5>5<5s4im6<:l;4>>3tyo;7>53z?g4?73l27o<7?;e:?g3?7?12wxh=4?:3y>`5<60016h;4>4e9~wa7=838p1i?519;89a0=9=o0q~j=:1818b5282270j9:07:?xuc;3:1>v3k3;3;=>;c>3;=86s|d583>7}:l=0:4452d78222=z{m?1<75<5s4n<6<:l;4>>3tyo47>52z?g889>`g<6;b<3;?i6s|de83>7}:lm0:4452e3820a=z{mo1<75<5s4nm6<66;43>3tyn<7>52z?f4?7?127n>7?94:pa4<72;q6i<4>889>a7<6>>1vho50;0x9`5=9=i01ho519;8yvc32909w0k;:0::?8cf28>o7p}j5;296~;b=3;3563ja;37a>{tm?0;6?u2e782<<=:mh0:945rsd594?4|5l=1=574=dc95324>>34oj6<88;|qf=?6=:r7n57?79:?fe?7102wxj?4?:3y>ag<6889~w`e=838p1hm519;89c4=9=n0q~kk:1818cc282270h=:06f?xubm3:1>v3je;3;=>;a:3;>56s|eg83>7}:mo0:4452f38221=z{o:1<75<5s4l:6<66;40?3tymm7>52z?e7?73k27mm7?79:pb1<72;q6j94>889>bd<6{tn10;6?u2f982<<=:nh0:::5rsg;94?4|5o31=574=gc953>52z?ef?73k27:<<4>889~wce=838p1km519;8946628>o7p}id;296~;al3;3563>00820`=z{oo1<7=1v<>?:18187783;3563>008222=z{8:36=4={<336?73k27:<54>889~w4642909w0??3;3;=>;6810:8i5rs027>5<5s4;;87?79:?24=<6::181877=3;3563>09821<=z{8:=6=4={<332?7?127:<54>659~w4602909w0??7;3;=>;6810:::5rs033>5<5s4;;57?;c:?255<6001v<>n:181877i3;3563>11820a=z{8:i6=4={<33f?7?127:==4>4d9~w46d2909w0??c;3;=>;6990:945rs02g>5<5s4;;h7?79:?255<6>=1v<>j:181877m3;3563>118222=z{8:m6=4={<33b?7?127:==4>699~w4702909w0?>1;37g>;69>0:445rs031>5<5s4;:>7?79:?252<616820`=z{8;?6=4={<320?7?127:=:4>589~w4722909w0?>5;3;=>;69>0::95rs035>5<5s4;::7?79:?252<6>>1v1b82<<=z{8;26=4={<32=?7?127:=n4>4e9~w47f2909w0?>a;3;=>;69j0:8h5rs03a>5<5s4;:n7?79:?25f<6=01v<<;:181876l3;?o63>2582<<=z{8;n6=4={<32a?7?127:>94>4e9~w47a2909w0?>f;3;=>;6:=0:8h5rs003>5<5s4;9<7?79:?261<6=01v<<>:18187593;3563>258221=z{8896=4={<316?7?127:>94>669~w4442909w0?=3;3;=>;6:=0::55rs00`>5<5s4;997?;c:?26f<6001v<<9:181875>3;3563>2b820a=z{88<6=4={<313?7?127:>n4>4d9~w44?2909w0?=8;3;=>;6:j0:945rs00:>5<5s4;957?79:?26f<6>=1v<2b8222=z{88i6=4={<31f?7?127:>n4>699~w4532909w0?=d;37g>;6;=0:445rs00f>5<5s4;9i7?79:?271<635820`=z{89;6=4={<304?7?127:?94>589~w4562909w0?<1;3;=>;6;=0::95rs011>5<5s4;8>7?79:?271<6>>1v<=<:181874;3;3563>35822==z{89h6=4={<301?73k27:?n4>889~w4512909w0?<6;3;=>;6;j0:8i5rs014>5<5s4;8;7?79:?27f<63b821<=z{8926=4={<30=?7?127:?n4>659~w45f2909w0?;6;j0:::5rs01a>5<5s4;8n7?79:?27f<6>11v<:<:181874l3;?o63>4282<<=z{89n6=4={<30a?7?127:8>4>4e9~w45a2909w0?;6<:0:8h5rs063>5<5s4;?<7?79:?206<6=01v<:>:18187393;3563>428221=z{8>96=4={<376?7?127:8>4>669~yk01k:0;6j<1<7?t}o45g2<728qvb;8l8;295~{i>?i26=4>{|l52fg=83;pqc89cc83>4}zf?51zm23ec290:wp`96bg94?7|ug<=ok4?:0y~j30c83:1=vsa67f2>5<6std=:i<50;3xyk01l:0;6m<1<7?t}o45`2<728qvb;8k8;295~{i>?n26=4>{|l52ag=83;pqc89dc83>4}zf?51zm23bc290:wp`96eg94?7|ug<=hk4?:0y~j30b83:1=vsa67g2>5<6std=:h<50;3xyk01m:0;6l<1<7?t}o45a2<728qvb;8j8;295~{i>?o26=4>{|l52`g=83;pqc89ec83>4}zf?51zm23cc290:wp`96dg94?7|ug<=ik4?:0y~j30a83:1=vsa67d2>5<6std=:k<50;3xyk01n:0;6o<1<7?t}o45b2<728qvb;8i8;295~{i>?l26=4>{|l52cg=83;pqc89fc83>4}zf?51zm23`c290:wp`96gg94?7|ug<=jk4?:0y~j31783:1=vsa6622>5<6std=;=<50;3xyk008:0;6::182xh1?9<1<7?t}o4442<728qvb;9?8;295~{i>>:26=4>{|l535g=83;pqc880c83>4}zf?=;o7>51zm226c290:wp`971g94?7|ug<<5<6std=;<<50;3xyk009:0;68;295~{i>>;26=4>{|l534g=83;pqc881c83>4}zf?=:o7>51zm227c290:wp`970g94?7|ug<<=k4?:0y~j31583:1=vsa6602>5<6std=;?<50;3xyk00::0;6>826=4>{|l537g=83;pqc882c83>4}zf?=9o7>51zm224c290:wp`973g94?7|ug<<>k4?:0y~j31483:1=vsa6612>5<6std=;><50;3xyk00;:0;6>926=4>{|l536g=83;pqc883c83>4}zf?=8o7>51zm225c290:wp`972g94?7|ug<5<6std=;9<50;3xyk00<:0;6>>26=4>{|l531g=83;pqc884c83>4}zf?=?o7>51zm222c290:wp`975g94?7|ug<<8k4?:0y~j31283:1=vsa6672>5<6std=;8<50;3xyk00=:0;6>?26=4>{|l530g=83;pqc885c83>4}zf?=>o7>51zm223c290:wp`974g94?7|ug<<9k4?:0y~j31183:1=vsa6642>5<6std=;;<50;3xyk00>:0;6><26=4>{|l533g=83;pqc886c83>4}zf?==o7>51zm220c290:wp`977g94?7|ug<<:k4?:0y~j31083:1=vsa6652>5<6std=;:<50;3xyk00?:0;6<1<7?t}o4432<728qvb;988;295~{i>>=26=4>{|l532g=83;pqc887c83>4}zf?=51zm221c290:wp`976g94?7|ug<<;k4?:0y~j31?83:1=vsa66:2>5<6std=;5<50;3xyk000:0;6>226=4>{|l53=g=83;pqc888c83>4}zf?=3o7>51zm22>c290:wp`979g94?7|ug<<4k4?:0y~j31>83:1=vsa66;2>5<6std=;4<50;3xyk001:0;6>326=4>{|l534}zf?=2o7>51zm22?c290:wp`978g94?7|ug<<5k4?:0y~j31f83:1=vsa66c2>5<6std=;l<50;3xyk00i:0;6>k26=4>{|l53dg=83;pqc88ac83>4}zf?=jo7>51zm22gc290:wp`97`g94?7|ug<5<6std=;o<50;3xyk00j:0;6>h26=4>{|l53gg=83;pqc88bc83>4}zf?=io7>51zm22dc290:wp`97cg94?7|ug<5<6std=;n<50;3xyk00k:0;6>i26=4>{|l53fg=83;pqc88cc83>4}zf?=ho7>51zm22ec290:wp`97bg94?7|ug<5<6std=;i<50;3xyk00l:0;6>n26=4>{|l53ag=83;pqc88dc83>4}zf?=oo7>51zm22bc290:wp`97eg94?7|ug<5<6std=;h<50;3xyk00m:0;6>o26=4>{|l53`g=83;pqc88ec83>4}zf?=no7>51zm22cc290:wp`97dg94?7|ug<5<6std=;k<50;3xyk00n:0;6>l26=4>{|l53cg=83;pqc88fc83>4}zf?=mo7>51zm22`c290:wp`97gg94?7|ug<783:1=vsa6922>5<6std=4=<50;3xyk0?8:0;6::182xh109<1<7?t}o4;42<728qvb;6?8;295~{i>1:26=4>{|l5<5g=83;pqc870c83>4}zf?2;o7>51zm2=6c290:wp`981g94?7|ug<3683:1=vsa6932>5<6std=4<<50;3xyk0?9:0;68;295~{i>1;26=4>{|l5<4g=83;pqc871c83>4}zf?2:o7>51zm2=7c290:wp`980g94?7|ug<3=k4?:0y~j3>583:1=vsa6902>5<6std=4?<50;3xyk0?::0;61826=4>{|l5<7g=83;pqc872c83>4}zf?29o7>51zm2=4c290:wp`983g94?7|ug<3>k4?:0y~j3>483:1=vsa6912>5<6std=4><50;3xyk0?;:0;61926=4>{|l5<6g=83;pqc873c83>4}zf?28o7>51zm2=5c290:wp`982g94?7|ug<3?k4?:0y~j3>383:1=vsa6962>5<6std=49<50;3xyk0?<:0;61>26=4>{|l5<1g=83;pqc874c83>4}zf?2?o7>51zm2=2c290:wp`985g94?7|ug<38k4?:0y~j3>283:1=vsa6972>5<6std=48<50;3xyk0?=:0;61?26=4>{|l5<0g=83;pqc875c83>4}zf?2>o7>51zm2=3c290:wp`984g94?7|ug<39k4?:0y~j3>183:1=vsa6942>5<6std=4;<50;3xyk0?>:0;61<26=4>{|l5<3g=83;pqc876c83>4}zf?2=o7>51zm2=0c290:wp`987g94?7|ug<3:k4?:0y~j3>083:1=vsa6952>5<6std=4:<50;3xyk0??:0;6<1<7?t}o4;32<728qvb;688;295~{i>1=26=4>{|l5<2g=83;pqc877c83>4}zf?251zm2=1c290:wp`986g94?7|ug<3;k4?:0y~j3>?83:1=vsa69:2>5<6std=45<50;3xyk0?0:0;61226=4>{|l5<=g=83;pqc878c83>4}zf?23o7>51zm2=>c290:wp`989g94?7|ug<34k4?:0y~j3>>83:1=vsa69;2>5<6std=44<50;3xyk0?1:0;61326=4>{|l5<4}zf?22o7>51zm2=?c290:wp`988g94?7|ug<35k4?:0y~j3>f83:1=vsa69c2>5<6std=4l<50;3xyk0?i:0;61k26=4>{|l54}zf?2jo7>51zm2=gc290:wp`98`g94?7|ug<3mk4?:0y~j3>e83:1=vsa69`2>5<6std=4o<50;3xyk0?j:0;61h26=4>{|l54}zf?2io7>51zm2=dc290:wp`98cg94?7|ug<3nk4?:0y~j3>d83:1=vsa69a2>5<6std=4n<50;3xyk0?k:0;61i26=4>{|l54}zf?2ho7>51zm2=ec290:wp`98bg94?7|ug<3ok4?:0y~j3>c83:1=vsa69f2>5<6std=4i<50;3xyk0?l:0;61n26=4>{|l54}zf?2oo7>51zm2=bc290:wp`98eg94?7|ug<3hk4?:0y~j3>b83:1=vsa69g2>5<6std=4h<50;3xyk0?m:0;61o26=4>{|l5<`g=83;pqc87ec83>4}zf?2no7>51zm2=cc290:wp`98dg94?7|ug<3ik4?:0y~j3>a83:1=vsa69d2>5<6std=4k<50;3xyk0?n:0;61l26=4>{|l54}zf?2mo7>51zm2=`c290:wp`98gg94?7|ug<3jk4?:0y~j3?783:1=vsa6822>5<6std=5=<50;3xyk0>8:0;6::182xh119<1<7?t}o4:42<728qvb;7?8;295~{i>0:26=4>{|l5=5g=83;pqc860c83>4}zf?3;o7>51zm2<6c290:wp`991g94?7|ug<25<6std=5<<50;3xyk0>9:0;68;295~{i>0;26=4>{|l5=4g=83;pqc861c83>4}zf?3:o7>51zm2<7c290:wp`990g94?7|ug<2=k4?:0y~j3?583:1=vsa6802>5<6std=5?<50;3xyk0>::0;60826=4>{|l5=7g=83;pqc862c83>4}zf?39o7>51zm2<4c290:wp`993g94?7|ug<2>k4?:0y~j3?483:1=vsa6812>5<6std=5><50;3xyk0>;:0;60926=4>{|l5=6g=83;pqc863c83>4}zf?38o7>51zm2<5c290:wp`992g94?7|ug<2?k4?:0y~j3?383:1=vsa6862>5<6std=59<50;3xyk0><:0;60>26=4>{|l5=1g=83;pqc864c83>4}zf?3?o7>51zm2<2c290:wp`995g94?7|ug<28k4?:0y~j3?283:1=vsa6872>5<6std=58<50;3xyk0>=:0;60?26=4>{|l5=0g=83;pqc865c83>4}zf?3>o7>51zm2<3c290:wp`994g94?7|ug<29k4?:0y~j3?183:1=vsa6842>5<6std=5;<50;3xyk0>>:0;60<26=4>{|l5=3g=83;pqc866c83>4}zf?3=o7>51zm2<0c290:wp`997g94?7|ug<2:k4?:0y~j3?083:1=vsa6852>5<6std=5:<50;3xyk0>?:0;6<1<7?t}o4:32<728qvb;788;295~{i>0=26=4>{|l5=2g=83;pqc867c83>4}zf?351zm2<1c290:wp`996g94?7|ug<2;k4?:0y~j3??83:1=vsa68:2>5<6std=55<50;3xyk0>0:0;60226=4>{|l5==g=83;pqc868c83>4}zf?33o7>51zm2<>c290:wp`999g94?7|ug<24k4?:0y~j3?>83:1=vsa68;2>5<6std=54<50;3xyk0>1:0;60326=4>{|l5=4}zf?32o7>51zm25<6std=5l<50;3xyk0>i:0;60k26=4>{|l5=dg=83;pqc86ac83>4}zf?3jo7>51zm25<6std=5o<50;3xyk0>j:0;60h26=4>{|l5=gg=83;pqc86bc83>4}zf?3io7>51zm25<6std=5n<50;3xyk0>k:0;60i26=4>{|l5=fg=83;pqc86cc83>4}zf?3ho7>51zm25<6std=5i<50;3xyk0>l:0;60n26=4>{|l5=ag=83;pqc86dc83>4}zf?3oo7>51zm25<6std=5h<50;3xyk0>m:0;60o26=4>{|l5=`g=83;pqc86ec83>4}zf?3no7>51zm25<6std=5k<50;3xyk0>n:0;60l26=4>{|l5=cg=83;pqc86fc83>4}zf?3mo7>51zm2<`c290:wp`99gg94?7|ug<2jk4?:0y~j3g783:1=vsa6`22>5<6std=m=<50;3xyk0f8:0;6::182xh1i9<1<7?t}o4b42<728qvb;o?8;295~{i>h:26=4>{|l5e5g=83;pqc8n0c83>4}zf?k;o7>51zm2d6c290:wp`9a1g94?7|ug5<6std=m<<50;3xyk0f9:0;68;295~{i>h;26=4>{|l5e4g=83;pqc8n1c83>4}zf?k:o7>51zm2d7c290:wp`9a0g94?7|ug5<6std=m?<50;3xyk0f::0;6h826=4>{|l5e7g=83;pqc8n2c83>4}zf?k9o7>51zm2d4c290:wp`9a3g94?7|ugk4?:0y~j3g483:1=vsa6`12>5<6std=m><50;3xyk0f;:0;6h926=4>{|l5e6g=83;pqc8n3c83>4}zf?k8o7>51zm2d5c290:wp`9a2g94?7|ug5<6std=m9<50;3xyk0f<:0;6h>26=4>{|l5e1g=83;pqc8n4c83>4}zf?k?o7>51zm2d2c290:wp`9a5g94?7|ug5<6std=m8<50;3xyk0f=:0;6h?26=4>{|l5e0g=83;pqc8n5c83>4}zf?k>o7>51zm2d3c290:wp`9a4g94?7|ug5<6std=m;<50;3xyk0f>:0;6h<26=4>{|l5e3g=83;pqc8n6c83>4}zf?k=o7>51zm2d0c290:wp`9a7g94?7|ug5<6std=m:<50;3xyk0f?:0;6<1<7?t}o4b32<728qvb;o88;295~{i>h=26=4>{|l5e2g=83;pqc8n7c83>4}zf?k51zm2d1c290:wp`9a6g94?7|ug5<6std=m5<50;3xyk0f0:0;6h226=4>{|l5e=g=83;pqc8n8c83>4}zf?k3o7>51zm2d>c290:wp`9a9g94?7|ug83:1=vsa6`;2>5<6std=m4<50;3xyk0f1:0;6h326=4>{|l5e4}zf?k2o7>51zm2d?c290:wp`9a8g94?7|ug5<6std=ml<50;3xyk0fi:0;6hk26=4>{|l5edg=83;pqc8nac83>4}zf?kjo7>51zm2dgc290:wp`9a`g94?7|ug5<6std=mo<50;3xyk0fj:0;6hh26=4>{|l5egg=83;pqc8nbc83>4}zf?kio7>51zm2ddc290:wp`9acg94?7|ug5<6std=mn<50;3xyk0fk:0;6hi26=4>{|l5efg=83;pqc8ncc83>4}zf?kho7>51zm2dec290:wp`9abg94?7|ug5<6std=mi<50;3xyk0fl:0;6hn26=4>{|l5eag=83;pqc8ndc83>4}zf?koo7>51zm2dbc290:wp`9aeg94?7|ug5<6std=mh<50;3xyk0fm:0;6ho26=4>{|l5e`g=83;pqc8nec83>4}zf?kno7>51zm2dcc290:wp`9adg94?7|ug5<6std=mk<50;3xyk0fn:0;6hl26=4>{|l5ecg=83;pqc8nfc83>4}zf?kmo7>51zm2d`c290:wp`9agg94?7|ug5<6std=n=<50;3xyk0e8:0;6::182xh1j9<1<7?t}o4a42<728qvb;l?8;295~{i>k:26=4>{|l5f5g=83;pqc8m0c83>4}zf?h;o7>51zm2g6c290:wp`9b1g94?7|ug5<6std=n<<50;3xyk0e9:0;68;295~{i>k;26=4>{|l5f4g=83;pqc8m1c83>4}zf?h:o7>51zm2g7c290:wp`9b0g94?7|ug5<6std=n?<50;3xyk0e::0;6k826=4>{|l5f7g=83;pqc8m2c83>4}zf?h9o7>51zm2g4c290:wp`9b3g94?7|ugk4?:0y~j3d483:1=vsa6c12>5<6std=n><50;3xyk0e;:0;6k926=4>{|l5f6g=83;pqc8m3c83>4}zf?h8o7>51zm2g5c290:wp`9b2g94?7|ug5<6std=n9<50;3xyk0e<:0;6k>26=4>{|l5f1g=83;pqc8m4c83>4}zf?h?o7>51zm2g2c290:wp`9b5g94?7|ug5<6std=n8<50;3xyk0e=:0;6k?26=4>{|l5f0g=83;pqc8m5c83>4}zf?h>o7>51zm2g3c290:wp`9b4g94?7|ug5<6std=n;<50;3xyk0e>:0;6k<26=4>{|l5f3g=83;pqc8m6c83>4}zf?h=o7>51zm2g0c290:wp`9b7g94?7|ug5<6std=n:<50;3xyk0e?:0;6<1<7?t}o4a32<728qvb;l88;295~{i>k=26=4>{|l5f2g=83;pqc8m7c83>4}zf?h51zm2g1c290:wp`9b6g94?7|ug5<6std=n5<50;3xyk0e0:0;6k226=4>{|l5f=g=83;pqc8m8c83>4}zf?h3o7>51zm2g>c290:wp`9b9g94?7|ug83:1=vsa6c;2>5<6std=n4<50;3xyk0e1:0;6k326=4>{|l5f4}zf?h2o7>51zm2g?c290:wp`9b8g94?7|ug5<6std=nl<50;3xyk0ei:0;6kk26=4>{|l5fdg=83;pqc8mac83>4}zutwKLNu808795c6?>lk=qMNM{1CDU}zHI \ No newline at end of file diff --git a/cpld/XC95144XL/WarpSE.ngc b/cpld/XC95144XL/WarpSE.ngc index 1951002..96063e6 100644 --- a/cpld/XC95144XL/WarpSE.ngc +++ b/cpld/XC95144XL/WarpSE.ngc @@ -1,3 +1,3 @@ XILINX-XDB 0.1 STUB 0.1 ASCII XILINX-XDM V1.6e -$5654=79;1:>7AZTQWW>WG;980;2<:4228JJUSS2HUM_O2=3;3=b>5=AGZ^X7]X<282:1=FFM8?7L@K359BJA233HDO995NNE47?DHC001JSK]M<02==>GXNZH7=<06;@]EWG:6:730MRH\B=30:<=FWOYI0<:19:C\BVD;9<427LQISC>22;?89B[CUE482556OPFR@?5<8?3HUM_O2>>89B[CUE4;:556OPFR@?648>3HUM_O2=2?`8EZ@TJ5886<06;@]EWG:5;720MRH\B=0=<>GXNZH7?364A^DPF92902KTJ^L35?:8EZ@TJ5<546OPFR@?3;>3^KAQCbGXNZHT=;QFBTDg?DYA[KU:;RGMUGf8EZ@TJV;3SDLZFe9B[CUEW83TEO[Ic:C\BVDX9VCIYKj4A^DPFZ47W@H^Ji5N_GQA[77XAK_Mh6OPFR@\67YNJ\Lo7LQISC]17ZOE]Oi0MRH\B^0\MGSAk2KTJ^LP3^KAQCeGXNZHT9RGMUGa8EZ@TJVXAK_Mo6OPFR@\=ZOE]O>0NLM[4:@VB@>E58DOEn6M=0LGM[JDRN?1H>8CJNc9@60KBFVEIYK=4C9O;?F>JW@H^J?5LE29@HW?;D48AZOE]O>0JNBD9:D@HNYNJ\L97KJ;;GF@A4=@;2MEH<5F2:K36>O6:2C9>6G<2:K76>O2:2C=>6G84:KBGV26G@a:KLFVYUmhnr:6G@BTDF0>OHKZ=0@BIFC@Nb?IVJWQEY>R?=;O20?K66:2D:>6@=7:LFPRIUC81D86AMUG78KGSAM:1D^?=4OS12?Ue??12]O0>VFZ]k0\D@PBTQJ@]d1:0=UI59596\N<5<6?WG;=7?0^L29>49QE919=2XJ050:;SC?=;33[KT9RAMUG;8VDY1WFH^J45]A^5\KGSA12XJS5Q@BTD:?WGX1VEIYK;4RNO@W3=Umzgx;5\OTP@A0=T_5;596]X<3<4?VQ;;3;596]X<2<7?Q_WMj1^_H\PVHQJFIC43_IH56XFEV]W]UC13^ky|^K>d:ZJHLH_%QNI,= > RVVF%6)9)KXODG;;YMQ63=_[]FBN:5WSU]DJA1?1018gkr(IfCHQbuy23454>3jd#D^C2s32?fhs'@ZG>Qaou2344753jd#D^C2s]mkq6788;o7n`{/MVji`t;87o0ocz LUknaw:687o0ocz LUknaw:697o0ocz LUknaw:6:7o0ocz LUknaw:6;7n0ocz LUknaw:66m1hby!CThofv949l2iex"B[ilgq868c3jd#AZfmdp?0;b6:a=df}%GXdcjr=4=`>ei|&F_e`k}<6b9`jq)K\`gn~R>>6:amp*JSadoyS=Q?_omw45679>1hby!CThofvZ6X8Vddx=>?0036?fhs'E^bah|P0^]bja6789;=7n`{/MVji`tX8VUjbi>?01322>ei|&F_e`k}_1]\ekb789:9=;5lnu-OPlkbzV:TSl`k01237f=df}%GXdcjr^3g?fhs'E^bah|P1135?fhs'E^bah|P11]\ekb789::;6mat.NWmhcuW8:TSl`k0123541?1058gkr(D]cfiQ>1^]bja67898o7n`{/MVji`tX9;;=7n`{/MVji`tX9;UTmcj?01223>ei|&F_e`k}_00\[dhc89:;=<94cov,HQojm{U:>RQnne23454c3jd#AZfmdp\56713jd#AZfmdp\56YXign;<=>>7:amp*JSadoyS<=P_`lg456798=0ocz LUknawY6;VUjbi>?01021>ei|&F_e`k}_0]\ekb789:::6mat.NWmhcuW8UTmcj?012253=df}%GXdcjr^3\[dhc89:;>n5lnu-OPlkbzV8:96mat.NWmhcuW;UTmcj?01222>ei|&F_e`k}_3]\ekb789::=;5lnu-OPlkbzV8TSl`k01236f=df}%GXdcjr^121>ei|&F_e`k}_2]\ekb789:::6mat.NWmhcuW:UTmcj?012253=df}%GXdcjr^1\[dhc89:;>n5lnu-OPlkbzV>:96mat.NWmhcuW=UTmcj?01222>ei|&F_e`k}_5]\ekb789::=;5lnu-OPlkbzV>TSl`k01236f=df}%GXdcjr^721>ei|&F_e`k}_4]\ekb789:::6mat.NWmhcuWn5lnu-OPlkbzV<:96mat.NWmhcuW?UTmcj?01222>ei|&F_e`k}_7]\ekb789::=;5lnu-OPlkbzVei|&F_e`k}_6]\ekb789:::6mat.NWmhcuW>UTmcj?012253=df}%GXdcjr^5\[dhc89:;>n5lnu-OPlkbzV2:96mat.NWmhcuW1UTmcj?01222>ei|&F_e`k}_9]\ekb789::=;5lnu-OPlkbzV2TSl`k01236f=df}%GXdcjr^;21>ei|&F_e`k}_8]\ekb789:::6mat.NWmhcuW0UTmcj?012253=df}%GXdcjr^;\[dhc89:;>?64cov,HQojm{UFmijP_`fg4567WDrd~R\jstnw8469:11hby!CThofvZKflmUTmij?012\I}iuW[oxyaz310<1<>ei|&F_e`k}_Lcg`ZYflm:;<=QBxnp\V`urd}6:>3<7;blw+IRnelxTAljk_^cg`5678VGscQ]erwop9746;=0ocz LUknawYJimnTSljk0123[H~hzVXnxb{<0<13>ei|&F_e`k}_Lcg`ZYflm:;<=QBxnp\V`urd}692?94cov,HQojm{UFmijP_`fg4567WDrd~R\jstnw8685?2iex"B[ilgq[HgclVUjhi>?01]N|jtXZly~`y2;>358gkr(D]cfiQBaef\[dbc89:;S@v`r^Pfwpjs4<49;6mat.NWmhcuWDkohRQnde2345YJpfxT^h}zlu>5:71?_LzlvZTb{|f0:0=7:amp*JSadoyS@okd^]b`a6789UFtb|PRdqvhq:?6;=0ocz LUknawYJimnTSljk0123[H~hzVXnxb{<8<15>ei|&F_e`k}_Lcg`ZYflm:;<=QPaof34565:2iex"B[ilgq[HgclVUjhi>?01]\ekb789::><5lnu-OPlkbzVGjhiQPaef3456XWhdo<=>>239`jq)K\`gn~RCnde]\eab789:TSl`k0122577?_^cm`567:;80ocz LUknawYJimnTSljk0123[Zgil9:;><<>;blw+IRnelxTAljk_^cg`5678VUjbi>?0201?fhs'E^bah|PM`fg[Zgcl9:;?01]\ekb789>9>6mat.NWmhcuWDkohRQnde2345YXign;<=:>209`jq)K\`gn~RCnde]\eab789:TSl`k012667=df}%GXdcjr^Ob`aYXimn;<=>P_`lg45629;;0ocz LUknawYJimnTSljk0123[Zgil9:;:?<4cov,HQojm{UFmijP_`fg4567WVkeh=>?6002?fhs'E^bah|PM`fg[Zgcl9:;?0^]bja678>;9>6mat.NWmhcuWDkohRQnde2345YXign;<=9=209`jq)K\`gn~RCnde]\eab789:TSl`k012;67=df}%GXdcjr^Ob`aYXimn;<=>P_`lg456?9;;0ocz LUknawYJimnTSljk0123[Zgil9:;5?<4cov,HQojm{UFmijP_`fg4567WVkeh=>?9001?fhs'E^bah|PM`fg[Zgcl9:;?01]\ekb78938><5lnu-OPlkbzVGjhiQPaef3456XWhdo<=??239`jq)K\`gn~RCnde]\eab789:TSl`k0133577?_^cm`5669;80ocz LUknawYJimnTSljk0123[Zgil9::=1hby!CThofvZYflm:;<=2>1?34?fhs'E^bah|P_`fg45674885=:5lnu-OPlkbzVUjhi>?01>27;713jd#AZfmdp\[dbc89:;0<0>6:amp*JSadoySRokd12349499?1hby!CThofvZYflm:;<=2<>048gkr(D]cfiQPaef3456;<7;=7n`{/MVji`tXWhno<=>?<4<22>ei|&F_e`k}_^cg`56785<5=;5lnu-OPlkbzVUjhi>?01>4:405lnu-OPlkbzVkeh=>?0032?fhs'YEY_RH\M^DE`4733jd#]A]S^DPIZ@Al8'Bb<;4cov,TJTTWOYFSKHk1,Km543<;4cov,TJTTWOYFSKHk1,Km743149`jq)WG[YTJ^CPFGf1)Lh59<1hby!_OSQ\BVKXNOn9!D`<149`jq)WG[YTJ^CPFGf1)Lh39<1hby!_OSQ\BVKXNOn9!D`:109`jq)WG[YTJ^CPOtv057=df}%[C_]PFRO\Kpr49880ocz PNPP[CUJWF???<;blw+UIU[Vif|Rk~0120e>ei|&Xnj_k~109`jq)UmoXn}Ra}012357=df}%Yik\jq^mq45679880ocz RddQatYhz9:;?01126>ei|&Xnj_k~_np345639;1hby!]egPfuZiu89:;9<<4cov,V``UmxUd~=>?0731?fhs'[om^hPos234516:2iex"\jfSgr[jt789:3h6mat.PfbPt`mg~:86mat.PfbPt`mg~Tc>?0136?fhs'[omYijnu]lv56788;>7n`{/SgeQwabf}Ud~=>?0336?fhs'[omYijnu]lv5678:;>7n`{/SgeQwabf}Ud~=>?0536?fhs'[omYijnu]lv5678<;>7n`{/SgeQwabf}Ud~=>?0736?fhs'[omYijnu]lv5678>;>7n`{/SgeQwabf}Ud~=>?09a8gkr(\`gn~1>1c:amp*Rnelx7=3m4cov,Plkbz585o6mat.Vji`t;;7h0ocz ThofvQE6>2iex"ZfmdpWGZejxVoz<=>?169`jq)SadoyXNQlmq]fu56788;<7n`{/UknawRDWjg{Sh?012152=df}%_e`k}TB]`iuYby9:;<>?8;blw+Qojm{^HSnc_ds34563j2iex"Zfmdp\442ei|&^bah|P1068gkr(\`gn~R?P_`lg45679<1hby![ilgq[4YXign;<=>>149`jq)SadoyS0ocz ThofvZ5XWhdo<=>?149`jq)SadoyS>QPaof345669<1hby![ilgq[6YXign;<=>=279`jq)SadoyS@okd^]b`a6789UFtb|PRdqvhq:66;<0ocz ThofvZKflmUTmij?012\I}iuW[oxyaz32?05?fhs']cfiQBaef\[dbc89:;S@v`r^Pfwpjs4:49<6mat.Vji`tXEhnoSRokd1234ZYffm:;<=<>;blw+Qojm{UFmijP_`fg4567WVkeh=>?0003?fhs']cfiQBaef\[dbc89:;SRoad123577P_`lg456698?0ocz ThofvZYflm:;<=2>>078gkr(\`gn~RQnde2345:568?0ocz ThofvZYflm:;<=2<>c9`jq)iJ[UBCO?<;blw+kDUW@EIS`{w012351=df}%eN_QFOC]nq}6789;:86mat.lAVZOHJVg~t=>?0337?fhs'gHYSDAM_lw{4567;8>0ocz nCP\MJDXe|r;<=>;159`jq)iJ[UBCOQbuy234536<2iex"`MR^KLFZkrp9:;<;l4cov,jWCTg|~:?6mat.lQAVir|Vg~t=>?0068gkr(f[OXcxzPmtz345669=1hby!aRDQlqqYj}q:;<=<8;bq,MDET02ix#DOLS0:8gv)NIJY946m|/HC@W61et'@EH_et'@EH_864cr-JKFU102ix#DALS6:8gv)NGJY346m|/HM@W<13jy$^LCLS2;8gv)UIDIX845ls.PBIFU212ix#_OBCR4:?fu(ZHGH_:74cr-QEHET011h"\@MBQ:?fu(ZFGH_<74cr-QKHET:01h"\@MBQ0=>et'[EFO^:6;bq,VJKD[<30o~!]OLAP2<=d{&XDAN]8c:ap+kIqm{fju=?;;bq,jJpbzekr;gqa+DUunVddx=>?10:8bvd(JHI_56h|b.@BGQ7>3oyi#OOLT3`8bvd(Zlkou=|>3:dpf*Tbims;~RQ`r123442?01020>`tj&Xnmiw?r^]lv5678:h0j~l Rdcg}4t6;2lxn"\jae{2vZYhz9:;<<:4fr`,V`gcq8xTSb|?012251=a{k%Yiljv1s]\kw67898:86h|b.Pfea6zVUd~=>?0258bvd(^YK:<6h|b.TSEZYffm:;<=?>;gqa+SVFWVkeh=>?00d8bvd(^YKTSb|?01224>`tj&\[MRQ`r12344773oyi#[^N_^mq4567:8:0j~l VQC\[jt789:8==5isc-UTDYXg{:;<=:>0:dpf*PWIVUd~=>?0433?cue'_ZJSRa}01232463:dpf*hC\HI@SRa}01236==a{k%eZ]O6;gqa+kPWI830j~l nWRB6<=ngkg$MAK?109jkgk(IEO;S`{w012357=ngkg$MAK?_lw{45679880eblb/@NF4Zkrp9:;?01126>ohjd%J@H>Pmtz3456312cdn`!MESPb?liee&HN^_?m;hmai*DBZ[xmi6g`bl-AAWTunVD;j6g`bl-AAWTunVD;=o5foco,F@TUz{;87damm.@FVWtuWge<=>>159jkgk(JLXY~Qaou2344703`eia"LHf:klfh)EOVddx=>?1028mjdj'KMTbbz?0132e>ohjd%OXLMDb:klfh)C\HI@=n5foco,@QGDC{lh7damm.FWEFMuz8>0eblb/EVBGNtuWge<=>>149jkgk(L]KHG|Pnnv34576j2cdn`!KotvLA45?0037?liee&NdyyAJ_`lg4567:h1bcoc ER>3:d=ngkg$I^2>>`9jkgk(MZ692l5foco,AV:46h1bcoc ER>7:<=ngkg$I^Q?139jkgk(MZU;SRoad123445?2018mjdj'LYT?013:?liee&OXS??=;hmai*CTW;UTmcj?01227>ohjd%N_R>3:klfh)B[V>TSl`k012357295foco,AVYJimnTSljk0123[H~hzVXnxb{<3<10>ohjd%N_RCnde]\eab789:TAua}_Sgpqir;;78?7damm.GP[HgclVUjhi>?01]N|jtXZly~`y2;>0g8mjdj'LYTAljk_^cg`5678VUjbi>?013e?liee&OXS@okd^]b`a6789UTmcj?01225`=ngkg$I^QBaef\[dbc89:;SRoad12354`P_`lg45659o1bcoc ER]NeabXWhno<=>?_^cm`567:8;97damm.GP[TY6Wfx;<=>>3:klfh)B[V[T=Ra}0123545?3018mjdj'LYTSljk01238486;2cdn`!JS^]b`a6789692<=4in`n+@UXWhno<=>?<2<27>ohjd%N_RQnde2345:36o1bcoc ER]bja6788;;7damm.GP[dhc89::=<>4in`n+@UXign;<=?=e:klfh)B[Vey<=>?f:klfh)B[Vey<=>?1g9jkgk(MZUd~=>?03d8mjdj'LYTc>?011e?liee&OXSb|?0127b>ohjd%N_Ra}01231c=ngkg$I^Q`r12343`>129jkgk(M]KHGRoad12347743`eia"K[ABI\ekb789:8=>5foco,AQGDCVkeh=>?0530?liee&O_MNEPaof345629:1bcoc EUC@OZgil9:;<;94in`n+@t?3`eia"K}2`9jkgk(AFKHX<<4in`n+LIFK]Ujbi>?0130?liee&CDMNZPaof345669:1bcoc INC@PZgil9:;:129jkgk(AFKHXRoad12343743`eia"G@ABV\ekb789:<=?5foco,MJGD\Vg~t=>?0018mjdj'@EJOYQbuy234576;2cdn`!FO@AW[hs89:;><=4in`n+LIFK]Ufyu>?01127>ohjd%BCLM[_lw{4567<890eblb/HMBGQYj}q:;<=;>3:klfh)NGHI_S`{w0123245<;4in`n+LIEM[XTaxv?0122743?0537?liee&CDNH\]_lw{4567=8>0eblb/HMAAWTXe|r;<=>9159jkgk(AFHN^_Qbuy234516<2cdn`!FOCGQVZkrp9:;<5?;;hmai*OHJLXYS`{w0123=g=ngkg$EB\JQs32?liee&CD_RH\M^DE`4733`eia"G@S^DPIZ@Al8'Bb<;4in`n+LITWOYFSKHk1,Km543<;4in`n+LITWOYFSKHk1,Km743179jkgk(AFYTJ^CPFGf1)Lh688<0eblb/HMP[CUJWOLo> Ga1035?liee&CD_RH\M^DE`7+Nf88:96g`bl-JKVYA[DUMJi<"Io021>ohjd%BC^QISL]EBa4*Ag9:96g`bl-JKVYA[DUMJi<"Io621>ohjd%BC^QISL]EBa4*Ag?:96g`bl-JKVYA[DUMJi<"Io421>ohjd%BC^QISL]EBa4*Ag=:96g`bl-JKVYA[DUMJi<"Io:21>ohjd%BC^QISL]EBa4*Ag3:=6g`bl-JKVYA[DUMJi=>4:klfh)NGZUM_@QIFe1.Mk723`eia"G@S^DPIZ@Al:'Bb?:;hmai*OH[VLXARHId2/Jj1723`eia"G@S^DPIZ@Al:'Bb8?:;hmai*OH[VLXARHId2/Jj3723`eia"G@S^DPIZ@Al:'Bb:?:;hmai*OH[VLXARHId2/Jj=723`eia"G@S^DPIZ@Al:'Bb464in`n+WCT12cdn`!]ER3b?liee&XN_hn;hmai*TB[{x:>6g`bl-QAVtuWge<=>>129jkgk(ZLYy~R``t12354gohjd%]\L|}_omw45669k1bcoc n@Qlqq743`eia"`NSnww[kis89::=95foco,jDUh}}Uecy>?00320>ohjd%eM^azt^llp5679;;?7damm.lBWjssWge<=>>3068mjdj'gKXcxzPnnv3457312cdn`!aARpa?liee&dOecBJ139jkgk(fMce@HQ`r1234453`eia"`CDR32?liee&dGH^Qaou2344753`eia"`CDR]mkq6788;:>6g`bl-mHAUXff~;<=?=139jkgk(fENXSca{0122746=<5foco,jIBTWfx;<=?9109jkgk(fENXSb|?0134=>ohjd%eYI]>1:klfh)i]MYTbbz?01326>ohjd%eYI]Pnnv345769;1bcoc nTFP[kis89::><<4in`n+kSC[Vddx=>?12;8mjdj'g\FM<<4in`n+kPJIVUjbi>?0130?liee&d]ALQPaof34566981bcoc nWOB[Ziu89:;=?5foco,jSKFWVey<=>?1008mjdj'g\FMRQ`r12347753`eia"`YM@]\kw67899:>6g`bl-mRHGXWfx;<=>;139jkgk(f_GJSRa}01231441:klfh)i^DKTmcj?01226>ohjd%eZ@OPaof345669;1bcoc nWOB[dhc89:;><<4in`n+kPJIVkeh=>?0231?liee&d]ALQnne234526:2cdn`!aVLC\ekb789:>=?5foco,jSKFWhdo<=>?6008mjdj'g\FMRoad12342?>139jkgu(IEO:SRa}01225g=ngky$Oaknr037?lie{&Igil|>_^cm`56788>0ebl|/Bnfew7Xign;<=>>b:klfv)NGHI_~k5focq,MJDTW[ojht??;hmaw*OHJZUYiljv1028mjdt'@EI_R\jae{155=ngky$EBL\_Sgb`|56?2cdn~!FOCQ\V`gcqVkeh=>?00:8mjdt'@EI_R\jae{\ekb789::=55focq,MJDTW[ojhtQnne23454602cdn~!FOCQ\V`gcqVkeh=>?023;?lie{&CDN^Q]e`fz[dhc89:;8<64in`p+LIE[VXnmiwPaof3456212cdn~!FOM225>ohjz%BCA>Pmtz34566:2cdn~!FOM2\ip~789::=?5focq,MJJ7Wds<=>?2008mjdt'@EG:>6g`br-JKI6Xe|r;<=>:9:klfv)NGE;:=6g`br-JKI7Xff~;<=?>2:klfv)NGE;Tbbz?0132e>ohjz%BC_K^139jkgu(AFXN]Rczx123445?1130?lie{&CD^H_Pmtz345659:1bco} INPFUZkrp9:;<>?<;hmaw*OHZL[Taxv?012756=ngky$EB\JQ^ov|5678<;87dams.KLV@WXe|r;<=>9129jkgu(AFXN]Rczx12342743`ei"G@RDS\ip~789:3=>5focq,MJTBYVg~t=>?08c8mjdt'@EY[=?=;hmaw*OHZ^:Taxv?01227>ohjz%BC_Y?_lw{45679890ebl|/HMQS5Yj}q:;<=<>3:klfv)NG[];S`{w0123745:>6g`br-JKWQ7Wge<=>>129jkgu(AFX\5focq,MJTP8Vddx=>?1230?lie{&CD^Z>Pnnv345739:1bco} INPT4Zhh|9:;=8?<;hmaw*OHZ^:Tbbz?013556=ngky$EB\X0^llp5679>k0ebl|/HMQS4753`ei"G@RV3\ekb789::?6g`br-JKWQ6Whdo<=>?1018mjdt'@EY[?01627>ohjz%BC_Y>_`lg4567=890ebl|/HMQS4Yffm:;<=8l;hmaw*OHZlkou<;4in`p+LIUmhnrSRoad123440?0031?lie{&CDY=Qbuy234546:2cdn~!FOT2\ip~789:8=?5focq,MJS7Wds<=>?4008mjdt'@E^2:klfv)HfjoTSb|?012057=ngky$Ccmj_^mq4567<880ebl|/Nl`aZYhz9:;<8?=;hmaw*IiklUTc>?01426>ohjz%DbnkP_np34560991bco} PR]EWHYANm;:?6g`br-SWZ@TEVLMh<#Fn068mjdt'YYTJ^CPFGf2)Lh69=1bco} PR]EWHYANm;&Ec<>0:klfv)W[VLXARHId330?lie{&ZXSK]B_GDg6(Oi9=1bco} PR]EWHYANm8&Ec?>5:klfv)W[VLXARHId3/Jj466=2cdn~!_S^DPIZ@Al;'Bb5:klfv)W[VLXARHId3/Jj446=2cdn~!_S^DPIZ@Al;'Bb<=>4:klfv)W[VLXARHId3/Jj7733`ei"^\_GQN[C@c:$Ce?<:4in`p+UUXNZGTJKj=-Hl751=ngky$\^QISL]EBa4*Ag?:86g`br-SWZ@TEVLMh?#Fn737?lie{&ZXSK]B_GDg6(Oi?8>0ebl|/QQ\BVKXNOn9!D`7159jkgu(XZUM_@QIFe0.Mk?682cdn~!_S^DPIZIr|9;:7dams.RP[CUJWF<;hmaw*VTWOYFSB{{0331?lie{&ZXSnc_ds3456b3`ei"`MESP\BVD6>2cdn~!aBDPQ[CUEWVey<=>?169jkgu(fKOY^RH\B^]lv56788;<7dams.lAAWTXNZHTSb|?012152=ngky$bOK]R^DPFZYhz9:;<>l4in`p+kBnfFOh7dams.lGmkIB9j1bco} nEkmK@4d3`ei"`KioMF7<=iIMe~xAK?a:lB@jssDL::m6`NDnwwH@65i2dJHb{{LD20a>hFLf@H>POCWE=>hFLf@H?n;oCGkprKM8;n7cOKotvOA4YHJ\L<7cO\_GQAg>hF[VLXNRGMUG58jDUXAFHo7cO\_HMA[JDRNL;=7cO\_HMA[kGTW@EIcxzP0^34?kGh}}ENo6`NotvLAZIE]O30bOK]R^DPF`=iJLXYSK]M_N@VB<=iJLXYSDAMe:lAAWTXAFHTEO[I7:lACZOHJj1eNJQFOC]JFP@03gHYSDAMc:lAVZOHJVEIYK:4nBCP=>hDIZUDNXHn;oFWEFMXNZHm7cJ[ABI\BVDXGK_Mm6`KT@AH[LIEn2dOXLMD_HMA[LDRN?1eHd`CEc9m@lhKMVEIYK84nEkmK@dhUMZUBCO[IEb9mV@UXff~;<=?k;oPFWZhh|9:;=<84nSMNGVd?00227>tfe&XJAH@Pmtz345669890~lc R@OFJZkrp9:;<<<>3:pbi*TFELDTaxv?0122745:?6|nm.PBI@HXe|r;<=>>5018vdk(ZHGNBRczx1234406;2xja"\NMDL\ip~789::;<<4r`o,VDKBFVg~t=>?0331?wgj'[KFICQbuy234556:2xja"\NMDL\ip~789:?=?5}al-QEHCIWds<=>?5008vdk(ZHGNBRczx12343753{kf#_OBEO]nq}6789=:>6|nm.PBI@HXe|r;<=>7139qeh)UIDOES`{w0123=f=uid%YM@\jae{20>tfe&XJA_kndx]nq}6789;>7ob/SCNV`gcqVg~t=>?0035?wgj'[KF^hoky^ov|56788:::6|nm.PBIWcflpUfyu>?013253=uid%YM@\jae{\ip~789::><84r`o,VDKUmhnrS`{w012356713{kf#_OBRdcg}Zkrp9:;<<:>6:pbi*TFE[ojhtQbuy2345729<1ym`!]ALPfeaXe|r;<=>=149qeh)UIDXnmiwPmtz345649<1ym`!]ALPfeaXe|r;<=>;149qeh)UIDXnmiwPmtz345629<1ym`!]ALPfeaXe|r;<=>9149qeh)UIDXnmiwPmtz345609<1ym`!]ALPfeaXe|r;<=>7149qeh)UIDXnmiwPmtz3456>l2xja"\NM^Pfeab3{kf#_OB_Sgb`|7b3{kf#_OB_Sgb`|4>3{kf#_O\EM32?wgj'[KXIAQbuy2345753{kf#_O\EM]nq}6789;:?6|nm.PBW@JXe|r;<=>>0018vdk(ZHYN@Rczx1234476;2xja"\NSDN\ip~789::><=4r`o,VDUBDVg~t=>?00127>tfe&XJ_HBPmtz34566<890~lc R@QFHZkrp9:;<<;>3:pbi*TF[LFTaxv?0122245>8018vdk(ZHYN@Rczx12344?6:2xja"\NSDN\ip~789:9=>5}al-QEVCKWds<=>?2130?wgj'[KXIAQbuy2345469;1ym`!]ARGO[hs89:;?<<4r`o,VDUBDVg~t=>?0531?wgj'[KXIAQbuy234536:2xja"\NSDN\ip~789:==?5}al-QEVCKWds<=>?7008vdk(ZHYN@Rczx1234=753{kf#_O\EM]nq}67893:<6|nm.PB[5Yj}q:;<=?>;scn+WGX8Vg~t=>?0032?wgj'[KT?0038vdk(ZHU:S`{w0123547_lw{4567:8;0~lc R@]2[hs89:;??4028vdk(ZHU9S`{w012354=uid%YMR<109qeh)UIV8Taxv?012755=uid%YMR=Pmtz3456692xja"\N_2]nq}6789;:=6|nm.PB[6Yj}q:;<=<>1:pbi*TFW:Ufyu>?01125>tfe&XJS>Qbuy23452682xja"\N_5]nq}6789;:7ob/SC\0Zkrp9:;<;scn+WGX?0332?wgj'[KT8Rczx12346763{kf#_OP4^ov|5678=;;7ob/SC\1Zkrp9:;<?1038vdk(ZHU>S`{w01236474r`o,VDY1Wds<=>?109qeh)UIV;119qeh)UIV=Taxv?01225>tfe&XJS:Qbuy23457692xja"\N_6]nq}67898:=6|nm.PB[2Yj}q:;<==>1:pbi*TFW>Ufyu>?01624>tfe&XJS5Qbuy2345763{kf#_OP8^ov|56788;:7ob/SC\;scn+WGX0Vg~t=>?0232?wgj'[KT4Rczx12341763{kf#_OP8^ov|5678<;:7ob/SC\?0038vdk(ZHU2S`{w0123547Wds<=>?4g9qeh)U[VLXARHId031?wgj'[YTJ^CPFGf2)Lh6;2xja"\\_GQN[C@c9$Ce=<:4r`o,VVYA[DUMJi?"Io3351=uid%Y_RH\M^DE`4+Nf8;:86|nm.PP[CUJWOLo= Ga1337?wgj'[YTJ^CPFGf2)Lh6;8>0~lc RR]EWHYANm;&Ec?;129qeh)U[VLXARHId0/Jj7743{kf#_]PFRO\BCb6%@d8=>5}al-QWZ@TEVLMh<#Fn530?wgj'[YTJ^CPFGf2)Lh29:1ym`!]S^DPIZ@Al8'Bb;?<;scn+WUXNZGTJKj>-Hl456=uid%Y_RH\M^DE`4+Nf1;87ob/SQ\BVKXNOn:!D`6f:pbi*TTWOYFSKHk2008vdk(ZZUM_@QIFe0.Mk743{kf#_]PFRO\BCb5%@d:=95}al-QWZ@TEVLMh?#Fn0220>tfe&XXSK]B_GDg6(Oi98;?7ob/SQ\BVKXNOn9!D`>2068vdk(ZZUM_@QIFe0.Mk749=1ym`!]S^DPIZ@Al;'Bb<:>4:pbi*TTWOYFSKHk2,Km50743{kf#_]PFRO\BCb5%@d9=>5}al-QWZ@TEVLMh?#Fn230?wgj'[YTJ^CPFGf1)Lh39:1ym`!]S^DPIZ@Al;'Bb8?<;scn+WUXNZGTJKj=-Hl556=uid%Y_RH\M^DE`7+Nf>;87ob/SQ\BVKXNOn9!D`7129qeh)U[VLXARHId3/Jj<`5}al-QWZ@TEVLMh>#Fn037?wgj'[YTJ^CPFGf0)Lh688>0~lc RR]EWHYANm9&Ec?>159qeh)U[VLXARHId2/Jj446;2xja"\\_GQN[C@c;$Ce><=4r`o,VVYA[DUMJi="Io127>tfe&XXSK]B_GDg7(Oi<890~lc RR]EWHYANm9&Ec;>3:pbi*TTWOYFSKHk3,Km245?0068vdk(ZllOcckP_np345669=1ym`!]egFlj`YXg{:;<=<>4:pbi*TbnMeeiRQ`r12346733{kf#_kiDnlf[Ziu89:;8l5}al-QacTF[h1ym`!]egPfu`=uid%Yik\jqR{mg44>129qeh)UmoXn}Road12347b1:pbi*Tbo\xlicz\yoa`?wgj'Vg~t=>?099qeh)iKHY:<6|nm.l@EVYig}:;<;scn+kEF[Vddx=>?10;8vdk(fE]N=<>4r`o,jIQBWhdo<=>?109qeh)iD^OTmcj?012254=uid%e@ZKPaof34565981ym`!aLVG\ekb789:8=<5}al-mHRCXign;<=>;7:pbi*hHM11ym`!aOD3;?wgj'gEN>55}al-mVDU>3{kf#c\NS0;8vdk(f[KX>45}al-mVDU412xja"`]AR6:?wgj'gXJ_8l4r`o,jWIJKZ;i7ob/oPLIRC612xja"`ZWD324>tfe&d^[HQnne2345763{kf#c[XE^cm`56788;:7ob/oWTAZgil9:;;scn+kSPMVkeh=>?0232?wgj'g_\IRoad12341><=vc}ABs576b3IJs5i4I:382V2?2h=1;?4>35`3f4m1;:b5d=c1m0;6<4>{R6;>d1=?;0:?9l?b08;e5b<~]3?6=4>:0804c}T<10j;79=:017f5d621k;h6*6c;46?!142=>>7o7k:187f?4bi39;jvF6a:&:=??c3S9i6>u>:380>x-4>90;66a3:17b:>:188k63b2900e>:?:188k63f2900c>:9:188k6232900e9m50;9j0`<722c88l4?::m07<<722e8?n4?::k017<722e89n4?::k035<722e8:l4?::m01=<722c??7>5;h1g>5<5<5<5<5<n6=44o273>5<5<k1<7*85;5:?k132910c:650;&41?1>3g=?6<54o9194?"0=3=27c9;:398k=4=83.<9796;o57>6=50;&41?1>3g=?6854o6d94?"0=3=27c9;:798k2c=83.<9796;o57>2=n1<7*85;5:?k132110c:m50;&41?1>3g=?6454o6`94?"0=3=27c9;:`98k21=83.<9796;o57>g=6=2=5$6795==i?=0276gk:18'30<602d<87o4;ha94?"0=3;37c9;:c98mg<72-=>6<64n669g>=ni3:1(:;5199m315$6795==i?=0n76g=0;29 23=911e;94i;:k2b?6=,>?1=55a75824>=n9l0;6)9::0:8j22=9810e5<#?<0:46`84;30?>o6j3:1(:;5199m31<6<21b=l4?:%56>4>>1=854i0694?"0=3;37c9;:048?l??290/;8467:l40?6<3`3=6=4+748:3>h0<3;07d7::18'30<>?2d<87<4;cc2>5<6290;w)76:418Ld6<@0k0c8<50;9~fd4=83;1<7>t$8;9=g=Oi91C5l5`7083>>{ejm0;684?:1y'=<<292Bj<6F6a:k71?6=3`>=6=44i2a94?=n<00;66a86;29?xdd<3:197>50z&:=?363Ak;7E7n;h66>5<>o313:17b99:188ygb?290>6=4?{%;:>07<@h:0D4o4i5794?=n2900c:850;9~fae=83?1<7>t$8;914=Oi91C5l5f4483>>o3>3:17d=l:188m1?=831d;;4?::aa5<72<0;6=u+98865>Nf82B2m6g;5;29?l212900e>m50;9j0<<722e<:7>5;|`f0?6==3:15<2290;w)76:438Ld6<@0k0e9;50;9j03<722c8o7>5;h6:>5<<1<75rbda94?3=83:p(475509Ke5=O1h1b884?::k72?6=3`9h6=44i5;94?=h??0;66smf183>0<729q/544:1:Jb4>N>i2c?97>5;h65>5<>i0>3:17pli4;291?6=8r.257;>;Ic3?M?f3`>>6=44i5494?=n;j0;66g;9;29?j112900qom::186>5<7s-3268?4H`28L>o4k3:17d:6:188k20=831vnn750;794?6|,0319<5Ga19K=d=n<<0;66g;6;29?l5d2900e9750;9l33<722wioi4?:483>5}#100>=6Fn0:J:e>o3=3:17d:9:188m6e=831b844?::m42?6=3tho=7>55;294~">13?:7Eo?;I;b?l222900e9850;9j7f<722c?57>5;n55>5<53;294~">13?;7Eo?;I;b?!3a291b?n4?::k7=?6=3f==6=44}c353?6=;3:15;n55>5<53;294~">13?;7Eo?;I;b?!3a291b?n4?::k7=?6=3f==6=44}c36a?6=;3:15;n55>5<55;294~">13?:7Eo?;I;b?l222900e9850;9j7f<722c?57>5;n55>5<53;294~">13?;7Eo?;I;b?l5d2900e9750;9l33<722wi=i=50;794?6|,0319<5Ga19K=d=n<<0;66g;6;29?l5d2900e9750;9l33<722wi??850;794?6|,0319<5Ga19K=d=n<<0;66g;6;29?l5d2900e9750;9l33<722wi?=850;794?6|,0319<5Ga19K=d=#=o0;7d:::188m10=831b?n4?::k7=?6=3f==6=44}c0a7?6==3:1t$8;915=Oi91C5l5f3b83>>o313:17b99:188yg47;3:1?7>50z&:=?373Ak;7E7n;h1`>5<>{e:9<1<7=50;2x 5;n55>5<53;294~">13?;7Eo?;I;b?l5d2900e9750;9l33<722wi?=;50;194?6|,0319=5Ga19K=d=n;j0;66g;9;29?j112900qo?me;291?6=8r.257;>;Ic3?M?f3`>>6=44i5494?=n;j0;66g;9;29?j112900qo?l3;291?6=8r.257;>;Ic3?M?f3`>>6=44i5494?=n;j0;66g;9;29?j112900qo?l8;291?6=8r.257;>;Ic3?M?f3`>>6=44i5494?=n;j0;66g;9;29?j112900qo;Ic3?M?f3`>>6=44i5494?=n;j0;66g;9;29?j112900qo:>9;291?6=8r.257;>;Ic3?M?f3`>>6=44i5494?=n;j0;66g;9;29?j112900qo?m0;291?6=8r.257;>;Ic3?M?f3-?m6=5f4483>>o3>3:17d=l:188m1?=831d;;4?::a5g5=83?1<7>t$8;914=Oi91C5l5+5g83?l222900e9850;9j7f<722c?57>5;n55>5<53;294~">13?;7Eo?;I;b?l5d2900e9750;9l33<722wi=9>50;194?6|,0319=5Ga19K=d=n;j0;66g;9;29?j112900qo?:8;297?6=8r.257;?;Ic3?M?f3`9h6=44i5;94?=h??0;66sm25494?5=83:p(475519Ke5=O1h1b?n4?::k7=?6=3f==6=44}c3ge?6=;3:1t$8;915=Oi91C5l5f3b83>>o313:17b99:188yg44<3:1?7>50z&:=?373Ak;7E7n;h1`>5<>{e:>31<7=50;2x 5;n55>5<53;294~">13?;7Eo?;I;b?l5d2900e9750;9l33<722wi>:j50;194?6|,0319=5Ga19K=d=n;j0;66g;9;29?j112900qo?je;297?6=8r.257;?;Ic3?M?f3`9h6=44i5;94?=h??0;66sm29494?5=83:p(475519Ke5=O1h1b?n4?::k7=?6=3f==6=44}c0a6?6=;3:1t$8;915=Oi91C5l5f3b83>>o313:17b99:188yg25=3:1?7>50z&:=?373Ak;7E7n;h1`>5<>{e<;:1<7=50;2x 5;n55>5<957>53;294~">13?;7Eo?;I;b?l5d2900e9750;9l33<722wi8??50;194?6|,0319=5Ga19K=d=n;j0;66g;9;29?j112900qo=84;297?6=8r.257;?;Ic3?M?f3`9h6=44i5;94?=h??0;66sm36794?5=83:p(475519Ke5=O1h1/9k4?;h1`>5<>{e;121<7=50;2x 5<>{e<8l1<7=50;2x Nf82B2m6g2900c:850;9~fde=8391<7>t$8;915=Oi91C5l5f3b83>>o313:17b99:188yg4>i3:1?7>50z&:=?373Ak;7E7n;h1`>5<>{e:1n1<7=50;2x 5;n55>5<53;294~">13?;7Eo?;I;b?l5d2900e9750;9l33<722wi>5950;194?6|,0319=5Ga19K=d=n;j0;66g;9;29?j112900qo<<3;297?6=8r.257;?;Ic3?M?f3`9h6=44i5;94?=h??0;66sm26:94?5=83:p(475519Ke5=O1h1b?n4?::k7=?6=3f==6=44}c3f6?6=;3:1t$8;915=Oi91C5l5f3b83>>o313:17b99:188yg7bl3:1?7>50z&:=?373Ak;7E7n;h1`>5<>{e<;h1<7=50;2x 5;n55>5<53;294~">13?;7Eo?;I;b?!3a291b?n4?::k7=?6=3f==6=44}c0ef?6==3:15;n55>5<55;294~">13?:7Eo?;I;b?!3a291b884?::k72?6=3`9h6=44i5;94?=h??0;66sm24494?5=83:p(475519Ke5=O1h1/9k4?;h1`>5<>{e:;h1<7=50;2x 5<>{e9;l1<7=50;2x 5<>{e:<=1<7=50;2x 5<>{e:?h1<7=50;2x 5<>{e;891<7=50;2x 5<>{e;o=1<7=50;2x 5<>{e;:h1<7=50;2x 5;n4`>5<53;397~N>i2.25789;h6b>5<>df>3:1?7>50z&:=??a3Ak;7E7n;h76>5<>{te3<2>2wx8o4?:3y]0g=:i?0>96s|9283>7}Y1:16m;49c:~f41729086<4<{I;b?!?>2?<0e9o50;9j0g<722e2?7>5;cc5>5<4290;w)76:8d8Ld6<@0k0e8;50;9j13<722e=o7>5;|q7e?6=:rT?m63n6;75?xu3j3:1>vP;b:?b2?323ty2?7>52z\:7>;f>35<5sW>i70o9:478yv?42909wS7<;3e53;397~N>i2.25789;h6b>5<>df>3:1?7>50z&:=??a3Ak;7E7n;h76>5<>{te3<2>2wx8o4?:3y]0g=:i?0>96s|9283>7}Y1:16m;49c:~fcg=8391=7=tH8c8 ?1b8l4?::k7f?6=3f386=44b`494?5=83:p(4759g9Ke5=O1h1b984?::k62?6=3f5<5sW>j70o9:448yv2e2909wS:m;0353;294~">133m7Eo?;I;b?l322900e8850;9l2f<722wx8l4?:3y]0d=:i?0>:6s|4c83>7}Y52a785g>{zjo21<7=51;1xL>o3j3:17b7<:188fd0=8391<7>t$8;9=c=Oi91C5l5f5483>>o2>3:17b8l:188yv2f2909wS:n;00i6=4={_6a?8g12uG9`9'=<<1>2c?m7>5;h6a>5<6<729q/5446f:Jb4>N>i2c>97>5;h75>5<j1vqo??3;297?7=;rB2m6*69;45?l2f2900e9l50;9l=6<722hj:7>53;294~">133m7Eo?;I;b?l322900e8850;9l2f<722wx8l4?:3y]0d=:i?0>:6s|4c83>7}Y52a785g>{zj8:96=4<:080M?f3-326;84i5c94?=n<`<@h:0D4o4i4794?=n=?0;66a9c;29?xu3i3:1>vP;a:?b2?313ty?n7>52z\7f>;f>3?>7p}63;296~X>;27j:78l;|a557=8391=7=tH8c8 ?1b8l4?::k7f?6=3f386=44b`494?5=83:p(4759g9Ke5=O1h1b984?::k62?6=3f5<5sW>j70o9:448yv2e2909wS:m;030183>6<62:qC5l5+98852>o3i3:17d:m:188k<5=831im;4?:283>5}#1002j6Fn0:J:e>o2=3:17d;9:188k3e=831v9o50;0xZ1g<5h<19;5rs5`94?4|V=h01l85549~w<5=838pR4=4=`492f=zuklm6=4<:080M?f3-326;84i5c94?=n<`<@h:0D4o4i4794?=n=?0;66a9c;29?xu3i3:1>vP;a:?b2?313ty?n7>52z\7f>;f>3?>7p}63;296~X>;27j:78l;|ab`<72:0:6>uG9`9'=<<1>2c?m7>5;h6a>5<6<729q/5446f:Jb4>N>i2c>97>5;h75>5<j1vqohk:180>4<4sA3j7)76:748m1g=831b8o4?::m:7?6=3kk=6=4<:183!?>20l0Dl>4H8c8m03=831b9;4?::m5g?6=3ty?m7>52z\7e>;f>3?=7p};b;296~X3j27j:7;:;|q:7?6=:rT2?63n6;4`?x{enj0;6>4>:2yK=d=#100=:6g;a;29?l2e2900c4=50;9ae3<72:0;6=u+988:b>Nf82B2m6g:5;29?l312900c;m50;9~w1g=838pR9o4=`4913=z{=h1<7j6=44i5`94?=h1:0;66ln6;297?6=8r.2577i;Ic3?M?f3`?>6=44i4494?=h>j0;66s|4`83>7}Y{t1:0;6?uQ929>e3<1k2wvn?>j:180>4<4sA3j7)76:748m1g=831b8o4?::m:7?6=3kk=6=4<:183!?>20l0Dl>4H8c8m03=831b9;4?::m5g?6=3ty?m7>52z\7e>;f>3?=7p};b;296~X3j27j:7;:;|q:7?6=:rT2?63n6;4`?x{e:9n1<7=51;1xL>o3j3:17b7<:188fd0=8391<7>t$8;9=c=Oi91C5l5f5483>>o2>3:17b8l:188yv2f2909wS:n;00i6=4={_6a?8g12uG9`9'=<<1>2c?m7>5;h6a>5<6<729q/5446f:Jb4>N>i2c>97>5;h75>5<j1vqo=<5;296?6=8r.2578?;Ic3?M?f3`??6=44o7a94?=zj:<86=4=:183!?>2?:0Dl>4H8c8m02=831d:n4?::a5g4=8391<7>t$8;90>Nf82B2m6g:5;29?l312900c;m50;9~f14c29096=4?{%;:>36<@h:0D4o4i4694?=h>j0;66sm43594?4=83:p(475619Ke5=O1h1b994?::m5g?6=3th?>54?:283>5}#100?7Eo?;I;b?l322900e8850;9l2f<722wi8?=50;094?6|,031:=5Ga19K=d=n==0;66a9c;29?xd3:=0;6>4?:1y'=<<33Ak;7E7n;h76>5<>{e<:n1<7<50;2x 91Cm=5G9`9j11<722e=o7>5;|`76`<72;0;6=u+98854>Nf82B2m6g:4;29?j0d2900qo:2?:0Dl>4H8c8m02=831d:n4?::a7t$8;90>Nf82B2m6g:5;29?l312900c;m50;9~f7`529096=4?{%;:>36<@h:0D4o4i4694?=h>j0;66sm2g194?5=83:p(4754:Jb4>N>i2c>97>5;h75>5<5<4290;w)76:7d8Ld6<@0k0e8;50;9j13<722e=o7>5;|`06=<72;0;6=u+98854>Nf82B2m6g:4;29?j0d2900qo==9;296?6=8r.2578?;Ic3?M?f3`??6=44o7a94?=zj:8j6=4<:183!?>2?l0Dl>4H8c8m03=831b9;4?::m5g?6=3th8<94?:383>5}#100=<6Fn0:J:e>o2<3:17b8l:188yg4dl3:1>7>50z&:=?073Ak;7E7n;h77>5<5<4290;w)76:59Ke5=O1h1b984?::k62?6=3f:181>5<7s-326;>4H`28L1<75`6b83>>{e9j81<7=50;2x o1Cm=5G9`9j10<722c>:7>5;n4`>5<52;294~">13<;7Eo?;I;b?l332900c;m50;9~f4e129096=4?{%;:>36<@h:0D4o4i4694?=h>j0;66sm1b594?5=83:p(4756g9Ke5=O1h1b984?::k62?6=3f5;|`2`0<72;0;6=u+98854>Nf82B2m6g:4;29?j0d2900qo?k6;297?6=8r.2578i;Ic3?M?f3`?>6=44i4494?=h>j0;66sm1cc94?4=83:p(475619Ke5=O1h1b994?::m5g?6=3th:nn4?:383>5}#100=<6Fn0:J:e>o2<3:17b8l:188yg7el3:1?7>50z&:=?0a3Ak;7E7n;h76>5<>{e:8?1<7=50;2x 2=1Cm=5G9`9j10<722c>:7>5;n4`>5<53;294~">13>0Dl>4H8c8m03=831b9;4?::m5g?6=3th95}#100?7Eo?;I;b?l322900e8850;9l2f<722wi>=;50;194?6|,03186Fn0:J:e>o2=3:17d;9:188k3e=831vn?>7:180>5<7s-32695Ga19K=d=n=<0;66g:6;29?j0d2900qo>i1k3:17pl=a083>7<729q/54490:Jb4>N>i2c>87>5;n4`>5<52;294~">13<;7Eo?;I;b?l332900c;m50;9~f71a29096=4?{%;:>36<@h:0D4o4i4694?=h>j0;66sm1g294?4=83:p(475619Ke5=O1h1b994?::m5g?6=3th:il4?:383>5}#100=<6Fn0:J:e>o2<3:17b8l:188yg46n3:1>7>50z&:=?073Ak;7E7n;h77>5<5<4290;w)76:59Ke5=O1h1b984?::k62?6=3f5;|`2a4<72:0;6=u+9887?Mg73A3j7d;::188m00=831d:n4?::a621=8391<7>t$8;90>Nf82B2m6g:5;29?l312900c;m50;9~f41229086=4?{%;:>1=Oi91C5l5f5483>>o2>3:17b8l:188yg70;3:1?7>50z&:=?2<@h:0D4o4i4794?=n=?0;66a9c;29?xd6>90;6?4?:1y'=<<182Bj<6F6a:k60?6=3f5<7s-32695Ga19K=d=n=<0;66g:6;29?j0d2900qo?95;296?6=8r.2578?;Ic3?M?f3`??6=44o7a94?=zj8<=6=4<:183!?>2=1Cm=5G9`9j10<722c>:7>5;n4`>5<52;294~">13<;7Eo?;I;b?l332900c;m50;9~f40f29086=4?{%;:>1=Oi91C5l5f5483>>o2>3:17b8l:188yg71l3:1>7>50z&:=?073Ak;7E7n;h77>5<5<4290;w)76:59Ke5=O1h1b984?::k62?6=3f5;|`257<72:0;6=u+9887?Mg73A3j7d;::188m00=831d:n4?::a55c=8391<7>t$8;90>Nf82B2m6g:5;29?l312900c;m50;9~f46f29086=4?{%;:>1=Oi91C5l5f5483>>o2>3:17b8l:188yg77>3:1?7>50z&:=?2<@h:0D4o4i4794?=n=?0;66a9c;29?xdd93:1>7>50z&:=?073Ak;7E7n;h77>5<53;294~">13>0Dl>4H8c8m03=831b9;4?::m5g?6=3tho:7>52;294~">13<;7Eo?;I;b?l332900c;m50;9~fa1=8391<7>t$8;90>Nf82B2m6g:5;29?l312900c;m50;9~fag=8381<7>t$8;925=Oi91C5l5f5583>>i1k3:17plkb;297?6=8r.257:4H`28L>i1k3:17plke;296?6=8r.2578?;Ic3?M?f3`??6=44o7a94?=zjml1<7=50;2x 91Cm=5G9`9j11<722e=o7>5;|`f7?6=;3:15;|`f2?6=:3:1o2=3:17d;9:188k3e=831vnho50;094?6|,031:=5Ga19K=d=n==0;66a9c;29?xdbj3:1?7>50z&:=?2<@h:0D4o4i4794?=n=?0;66a9c;29?xdbm3:1>7>50z&:=?073Ak;7E7n;h77>5<N>i2c>97>5;h75>5<53;294~">13>0Dl>4H8c8m03=831b9;4?::m5g?6=3thm:7>52;294~">13<;7Eo?;I;b?l332900c;m50;9~fc1=8391<7>t$8;90>Nf82B2m6g:5;29?l312900c;m50;9~ff1=8381<7>t$8;925=Oi91C5l5f5583>>i1k3:17pll8;297?6=8r.257:4H`28L>i1k3:17pllb;296?6=8r.2578?;Ic3?M?f3`??6=44o7a94?=zjji1<7=50;2x 91Cm=5G9`9j11<722e=o7>5;|`g4?6=;3:15;|`g7?6=:3:1o2=3:17d;9:188k3e=831vnlk50;094?6|,031:=5Ga19K=d=n==0;66a9c;29?xdfi3:1>7>50z&:=?073Ak;7E7n;h77>5<N>i2c>97>5;h75>5<5<4290;w)76:59Ke5=O1h1b984?::k62?6=3f5<7s-32695Ga19K=d=n=<0;66g:6;29?j0d2900qo==3;296?6=8r.2578?;Ic3?M?f3`??6=44o7a94?=zj:8?6=4<:183!?>2=1Cm=5G9`9j10<722c>:7>5;n4`>5<:;7>52;294~">13<;7Eo?;I;b?l332900c;m50;9~f17?29086=4?{%;:>1=Oi91C5l5f5483>>o2>3:17b8l:188yg40j3:1>7>50z&:=?073Ak;7E7n;h77>5<5<5290;w)76:728Ld6<@0k0e8:50;9l2f<722wink4?:383>5}#100=<6Fn0:J:e>o2<3:17b8l:188yg7b<3:1>7>50z&:=?073Ak;7E7n;h77>5<5<5290;w)76:728Ld6<@0k0e8:50;9l2f<722wi>5750;194?6|,03186Fn0:J:e>o2=3:17d;9:188k3e=831vn??8:181>5<7s-326;>4H`28L1<75`6b83>>{e:821<7<50;2x 91Cm=5G9`9j11<722e=o7>5;|`15<<72:0;6=u+9887?Mg73A3j7d;::188m00=831d:n4?::a766=8381<7>t$8;925=Oi91C5l5f5583>>i1k3:17pl<3083>7<729q/54490:Jb4>N>i2c>87>5;n4`>5<7>53;294~">13>0Dl>4H8c8m03=831b9;4?::m5g?6=3th??84?:383>5}#100=<6Fn0:J:e>o2<3:17b8l:188yg24>3:1?7>50z&:=?0a3Ak;7E7n;h76>5<>{e<:o1<7=50;2x o1Cm=5G9`9j10<722c>:7>5;n4`>5<52;294~">13<;7Eo?;I;b?l332900c;m50;9~f4b>29086=4?{%;:>3`<@h:0D4o4i4794?=n=?0;66a9c;29?xd50k0;6?4?:1y'=<<182Bj<6F6a:k60?6=3ft$8;925=Oi91C5l5f5583>>i1k3:17pl=9e83>7<729q/54490:Jb4>N>i2c>87>5;n4`>5<53;294~">135h50;094?6|,031:=5Ga19K=d=n==0;66a9c;29?xd5190;6?4?:1y'=<<182Bj<6F6a:k60?6=3ft$8;9=>Nf82B2m6g:5;29?l312900e8950;9j1=<722c>57>5;h7b>5<>i1k3:17pl>8683><<729q/5446;Ic3?M?f3`?>6=44i4494?=n=>0;66g:8;29?l3>2900e8o50;9j1g<722c>o7>5;n4`>5<53;294~">13>0Dl>4H8c8m03=831b9;4?::m5g?6=3th:5o4?:383>5}#100=<6Fn0:J:e>o2<3:17b8l:188yg7>k3:1>7>50z&:=?073Ak;7E7n;h77>5<5<5290;w)76:728Ld6<@0k0e8:50;9l2f<722wi=4k50;794?6|,031:6Fn0:J:e>o2=3:17d;9:188m01=831b954?::m5g?6=3th8i>4?:283>5}#100?7Eo?;I;b?l322900e8850;9l2f<722wi?h:50;094?6|,031:=5Ga19K=d=n==0;66a9c;29?xd4m<0;6>4?:1y'=<<33Ak;7E7n;h76>5<>{e;l<1<7=50;2x o1Cm=5G9`9j10<722c>:7>5;n4`>5<53;294~">13>0Dl>4H8c8m03=831b9;4?::m5g?6=3th8h54?:383>5}#100=<6Fn0:J:e>o2<3:17b8l:188yg5c13:1?7>50z&:=?2<@h:0D4o4i4794?=n=?0;66a9c;29?xd4lh0;6>4?:1y'=<<1n2Bj<6F6a:k61?6=3`?=6=44o7a94?=zj:n96=4<:183!?>2=1Cm=5G9`9j10<722c>:7>5;n4`>5<52;294~">13<;7Eo?;I;b?l332900c;m50;9~f6b329086=4?{%;:>1=Oi91C5l5f5483>>o2>3:17b8l:188yg5c=3:1?7>50z&:=?0a3Ak;7E7n;h76>5<>{e;jn1<7=50;2x 2?:0Dl>4H8c8m02=831d:n4?::a7f`=8391<7>t$8;90>Nf82B2m6g:5;29?l312900c;m50;9~f6b729086=4?{%;:>3`<@h:0D4o4i4794?=n=?0;66a9c;29?xd4k10;6>4?:1y'=<<33Ak;7E7n;h76>5<>{e;j31<7<50;2x 91Cm=5G9`9j11<722e=o7>5;|`0gd<72:0;6=u+9887?Mg73A3j7d;::188m00=831d:n4?::a7fd=8391<7>t$8;92c=Oi91C5l5f5483>>o2>3:17b8l:188yg5d;3:1?7>50z&:=?2<@h:0D4o4i4794?=n=?0;66a9c;29?xd4k=0;6?4?:1y'=<<182Bj<6F6a:k60?6=3f5;|`0g3<72:0;6=u+9885b>Nf82B2m6g:5;29?l312900c;m50;9~f6db29086=4?{%;:>1=Oi91C5l5f5483>>o2>3:17b8l:188yg5en3:1>7>50z&:=?073Ak;7E7n;h77>5<5<4290;w)76:59Ke5=O1h1b984?::k62?6=3ft$8;90>Nf82B2m6g:5;29?l312900c;m50;9~f6df29096=4?{%;:>36<@h:0D4o4i4694?=h>j0;66sm3c`94?5=83:p(4754:Jb4>N>i2c>97>5;h75>5<5<4290;w)76:7d8Ld6<@0k0e8;50;9j13<722e=o7>5;|`0f1<72:0;6=u+9887?Mg73A3j7d;::188m00=831d:n4?::a7g3=8381<7>t$8;925=Oi91C5l5f5583>>i1k3:17pl6<729q/544;;Ic3?M?f3`?>6=44i4494?=h>j0;66sm3c594?5=83:p(4756g9Ke5=O1h1b984?::k62?6=3f:181>5<7s-326;>4H`28L1<75`6b83>>{e<:81<7<50;2x 91Cm=5G9`9j11<722e=o7>5;|`776<72<0;6=u+9885?Mg73A3j7d;::188m00=831b9:4?::k65<7s-326;>4H`28L1<75`6b83>>{e<=91<7<50;2x 91Cm=5G9`9j11<722e=o7>5;|`701<72<0;6=u+9885?Mg73A3j7d;::188m00=831b9:4?::k65;|`1b`<72;0;6=u+98854>Nf82B2m6g:4;29?j0d2900qo2=1Cm=5G9`9j10<722c>:7>5;n4`>5<53;294~">13n850;194?6|,03186Fn0:J:e>o2=3:17d;9:188k3e=831vn?m8:181>5<7s-326;>4H`28L1<75`6b83>>{e:j21<7<50;2x 91Cm=5G9`9j11<722e=o7>5;|`1g<<72:0;6=u+9887?Mg73A3j7d;::188m00=831d:n4?::a6fg=8391<7>t$8;92c=Oi91C5l5f5483>>o2>3:17b8l:188yg4ck3:1?7>50z&:=?2<@h:0D4o4i4794?=n=?0;66a9c;29?xd5lm0;6?4?:1y'=<<182Bj<6F6a:k60?6=3f5;|`1`c<72:0;6=u+9885b>Nf82B2m6g:5;29?l312900c;m50;9~f64d29086=4?{%;:>1=Oi91C5l5f5483>>o2>3:17b8l:188yg55l3:1>7>50z&:=?073Ak;7E7n;h77>5<5<4290;w)76:59Ke5=O1h1b984?::k62?6=3f5<7s-326;>4H`28L1<75`6b83>>{e:kn1<7:50;2x j0;66sm19194?5=83:p(4756g9Ke5=O1h1b984?::k62?6=3f5;|`21d<72;0;6=u+98854>Nf82B2m6g:4;29?j0d2900qo?:b;296?6=8r.2578?;Ic3?M?f3`??6=44o7a94?=zj8?h6=4=:183!?>2?:0Dl>4H8c8m02=831d:n4?::a50b=83?1<7>t$8;92>Nf82B2m6g:5;29?l312900e8950;9j1=<722e=o7>5;|`272<72;0;6=u+98854>Nf82B2m6g:4;29?j0d2900qo?<8;296?6=8r.2578?;Ic3?M?f3`??6=44o7a94?=zj8926=4<:183!?>2=1Cm=5G9`9j10<722c>:7>5;n4`>5<53;294~">13>0Dl>4H8c8m03=831b9;4?::m5g?6=3th:?o4?:283>5}#100=j6Fn0:J:e>o2=3:17d;9:188k3e=831vn<=>:180>5<7s-32695Ga19K=d=n=<0;66g:6;29?j0d2900qo?<2;296?6=8r.2578?;Ic3?M?f3`??6=44o7a94?=zj8986=4<:183!?>2=1Cm=5G9`9j10<722c>:7>5;n4`>5<53;294~">13;j50;194?6|,03186Fn0:J:e>o2=3:17d;9:188k3e=831vn?8j:180>5<7s-32695Ga19K=d=n=<0;66g:6;29?j0d2900qo<9f;296?6=8r.2578?;Ic3?M?f3`??6=44o7a94?=zj;=;6=4=:183!?>2?:0Dl>4H8c8m02=831d:n4?::a627=8381<7>t$8;925=Oi91C5l5f5583>>i1k3:17pl=7383>6<729q/544;;Ic3?M?f3`?>6=44i4494?=h>j0;66sm26194?5=83:p(4756g9Ke5=O1h1b984?::k62?6=3f;7>5;n4`>5<53;294~">138h50;094?6|,031:=5Ga19K=d=n==0;66a9c;29?xd5>:0;6?4?:1y'=<<182Bj<6F6a:k60?6=3f5<7s-326;>4H`28L1<75`6b83>>{e:?<1<7<50;2x 91Cm=5G9`9j11<722e=o7>5;|`122<72;0;6=u+98854>Nf82B2m6g:4;29?j0d2900qo<98;296?6=8r.2578?;Ic3?M?f3`??6=44o7a94?=zj;<26=4::183!?>2?1Cm=5G9`9j10<722c>:7>5;h74>5<>{e:?k1<7=50;2x 2>:0Dl>4H8c8m03=831b9;4?::k63?6=3f5;|`127<72:0;6=u+9885b>Nf82B2m6g:5;29?l312900c;m50;9~f73>29086=4?{%;:>1=Oi91C5l5f5483>>o2>3:17b8l:188yg42i3:1>7>50z&:=?073Ak;7E7n;h77>5<5<4290;w)76:59Ke5=O1h1b984?::k62?6=3ft$8;925=Oi91C5l5f5583>>i1k3:17pl<1`83>6<729q/5449f:Jb4>N>i2c>97>5;h75>5<5<4290;w)76:59Ke5=O1h1b984?::k62?6=3f?k:181>5<7s-326;>4H`28L1<75`6b83>>{e;8o1<7=50;2x 2?:0Dl>4H8c8m02=831d:n4?::a776=8391<7>t$8;90>Nf82B2m6g:5;29?l312900c;m50;9~f64629086=4?{%;:>1=Oi91C5l5f5483>>o2>3:17b8l:188yg56>3:1?7>50z&:=?2<@h:0D4o4i4794?=n=?0;66a9c;29?xd49>0;6>4?:1y'=<<1n2Bj<6F6a:k61?6=3`?=6=44o7a94?=zj:;36=4<:183!?>2=1Cm=5G9`9j10<722c>:7>5;n4`>5<54;294~">13=;7Eo?;I;b?l322900e8850;9j12<722e=o7>5;|`054<72:0;6=u+9887?Mg73A3j7d;::188m00=831d:n4?::a744=8391<7>t$8;92c=Oi91C5l5f5483>>o2>3:17b8l:188yg27i3:1>7>50z&:=?073Ak;7E7n;h77>5<5<4290;w)76:59Ke5=O1h1b984?::k62?6=3f5<7s-326;h4H`28L>i1k3:17pl;1083>7<729q/54490:Jb4>N>i2c>87>5;n4`>5<:>7>52;294~">13<;7Eo?;I;b?l332900c;m50;9~f17429086=4?{%;:>1=Oi91C5l5f5483>>o2>3:17b8l:188yg26<3:1?7>50z&:=?2<@h:0D4o4i4794?=n=?0;66a9c;29?xd39<0;694?:1y'=<<23Ak;7E7n;h76>5<>i1k3:17pl;0c83>6<729q/5449f:Jb4>N>i2c>97>5;h75>5<5<3290;w)76:49Ke5=O1h1b984?::k62?6=3`?<6=44o7a94?=zj=:o6=4<:183!?>2?l0Dl>4H8c8m03=831b9;4?::m5g?6=3th8j44?:383>5}#100=<6Fn0:J:e>o2<3:17b8l:188yg2783:1>7>50z&:=?073Ak;7E7n;h77>5<5<4290;w)76:59Ke5=O1h1b984?::k62?6=3f<:181>5<7s-326;>4H`28L1<75`6b83>>{e<9>1<7<50;2x 91Cm=5G9`9j11<722e=o7>5;|`740<72:0;6=u+9887?Mg73A3j7d;::188m00=831d:n4?::a050=8391<7>t$8;90>Nf82B2m6g:5;29?l312900c;m50;9~f16029086=4?{%;:>3`<@h:0D4o4i4794?=n=?0;66a9c;29?xd4nh0;6>4?:1y'=<<1n2Bj<6F6a:k61?6=3`?=6=44o7a94?=zj:li6=4<:183!?>2=1Cm=5G9`9j10<722c>:7>5;n4`>5<53;294~">13>0Dl>4H8c8m03=831b9;4?::m5g?6=3th8ji4?:283>5}#100=j6Fn0:J:e>o2=3:17d;9:188k3e=831vn>hj:180>5<7s-32695Ga19K=d=n=<0;66g:6;29?j0d2900qo=if;297?6=8r.2578i;Ic3?M?f3`?>6=44i4494?=h>j0;66sm3d;94?5=83:p(4754:Jb4>N>i2c>97>5;h75>5<5<5290;w)76:728Ld6<@0k0e8:50;9l2f<722wi?k>50;194?6|,03186Fn0:J:e>o2=3:17d;9:188k3e=831vn>h>:181>5<7s-326;>4H`28L1<75`6b83>>{e;o81<7<50;2x 91Cm=5G9`9j11<722e=o7>5;|`0b6<72;0;6=u+98854>Nf82B2m6g:4;29?j0d2900qo=i4;296?6=8r.2578?;Ic3?M?f3`??6=44o7a94?=zj:l>6=4<:183!?>2=1Cm=5G9`9j10<722c>:7>5;n4`>5<53;294~">13>0Dl>4H8c8m03=831b9;4?::m5g?6=3th8il4?:483>5}#100=7Eo?;I;b?l322900e8850;9j12<722c>47>5;n4`>5<53;294~">13>i1k3:17pl6<729q/5449f:Jb4>N>i2c>97>5;h75>5<5<3290;w)76:49Ke5=O1h1b984?::k62?6=3`?<6=44o7a94?=zj;886=4=:183!?>2?:0Dl>4H8c8m02=831d:n4?::a672=8381<7>t$8;925=Oi91C5l5f5583>>i1k3:17pl=2483>7<729q/54490:Jb4>N>i2c>87>5;n4`>5<52;294~">13<;7Eo?;I;b?l332900c;m50;9~f74029096=4?{%;:>36<@h:0D4o4i4694?=h>j0;66sm23:94?2=83:p(4755:Jb4>N>i2c>97>5;h75>5<>{e:;31<7=50;2x o1Cm=5G9`9j10<722c>:7>5;n4`>5<54;294~">13?0Dl>4H8c8m03=831b9;4?::k63?6=3ft$8;925=Oi91C5l5f5583>>i1k3:17pl>dd83>6<729q/544;;Ic3?M?f3`?>6=44i4494?=h>j0;66sm1ed94?5=83:p(4756g9Ke5=O1h1b984?::k62?6=3f5;|`20a<72;0;6=u+98854>Nf82B2m6g:4;29?j0d2900qo?;e;296?6=8r.2578?;Ic3?M?f3`??6=44o7a94?=zj8>m6=4=:183!?>2?:0Dl>4H8c8m02=831d:n4?::a506=8381<7>t$8;925=Oi91C5l5f5583>>i1k3:17pl>5083>6<729q/544;;Ic3?M?f3`?>6=44i4494?=h>j0;66sm14094?3=83:p(4756:Jb4>N>i2c>97>5;h75>5<>i1k3:17pl>5283>6<729q/5449f:Jb4>N>i2c>97>5;h75>5<5<4290;w)76:7d8Ld6<@0k0e8;50;9j13<722e=o7>5;|`206<72;0;6=u+98854>Nf82B2m6g:4;29?j0d2900qo?;4;296?6=8r.2578?;Ic3?M?f3`??6=44o7a94?=zj8>>6=4=:183!?>2?:0Dl>4H8c8m02=831d:n4?::a510=8381<7>t$8;925=Oi91C5l5f5583>>i1k3:17pl>4683>6<729q/544;;Ic3?M?f3`?>6=44i4494?=h>j0;66sm15:94?3=83:p(4756:Jb4>N>i2c>97>5;h75>5<>i1k3:17pl>4883>6<729q/5449f:Jb4>N>i2c>97>5;h75>5<5<4290;w)76:59Ke5=O1h1b984?::k62?6=3f5<7s-32695Ga19K=d=n=<0;66g:6;29?j0d2900qo?8e;297?6=8r.2578i;Ic3?M?f3`?>6=44i4494?=h>j0;66sm16d94?5=83:p(4754:Jb4>N>i2c>97>5;h75>5<5<4290;w)76:7d8Ld6<@0k0e8;50;9j13<722e=o7>5;|`2bf<72;0;6=u+98854>Nf82B2m6g:4;29?j0d2900qo?id;296?6=8r.2578?;Ic3?M?f3`??6=44o7a94?=zj8ln6=4<:183!?>2=1Cm=5G9`9j10<722c>:7>5;n4`>5<53;294~">139650;094?6|,031:=5Ga19K=d=n==0;66a9c;29?xd55<7s-32695Ga19K=d=n=<0;66g:6;29?j0d2900qo<:1;296?6=8r.2578?;Ic3?M?f3`??6=44o7a94?=zj;?96=4=:183!?>2?:0Dl>4H8c8m02=831d:n4?::a605=8391<7>t$8;92c=Oi91C5l5f5483>>o2>3:17b8l:188yg42<3:1?7>50z&:=?2<@h:0D4o4i4794?=n=?0;66a9c;29?xd5=<0;6>4?:1y'=<<33Ak;7E7n;h76>5<>{e:=31<7=50;2x j6=4;:183!?>2<1Cm=5G9`9j10<722c>:7>5;h74>5<5<3290;w)76:628Ld6<@0k0e8;50;9j13<722c>;7>5;n4`>5<53;294~">13>0Dl>4H8c8m03=831b9;4?::m5g?6=3th98i4?:283>5}#100=j6Fn0:J:e>o2=3:17d;9:188k3e=831vn?=i:181>5<7s-326;>4H`28L1<75`6b83>>{e:=:1<7=50;2x o1Cm=5G9`9j10<722c>:7>5;n4`>5<52;294~">13<;7Eo?;I;b?l332900c;m50;9~f72529086=4?{%;:>3`<@h:0D4o4i4794?=n=?0;66a9c;29?xd5<:0;6>4?:1y'=<<33Ak;7E7n;h76>5<>{e:=>1<7=50;2x >6=4<:183!?>2?l0Dl>4H8c8m03=831b9;4?::m5g?6=3th:m=4?:383>5}#100=<6Fn0:J:e>o2<3:17b8l:188yg7f93:1?7>50z&:=?2<@h:0D4o4i4794?=n=?0;66a9c;29?xd6i;0;6?4?:1y'=<<182Bj<6F6a:k60?6=3f5<7s-32695Ga19K=d=n=<0;66g:6;29?j0d2900qo?n5;297?6=8r.257:4H`28L>i1k3:17pl>a783>6<729q/5449f:Jb4>N>i2c>97>5;h75>5<5<4290;w)76:59Ke5=O1h1b984?::k62?6=3fo6:180>5<7s-32695Ga19K=d=n=<0;66g:6;29?j0d2900qo=nc;296?6=8r.2578?;Ic3?M?f3`??6=44o7a94?=zj:ko6=4=:183!?>2?:0Dl>4H8c8m02=831d:n4?::a7dc=8381<7>t$8;925=Oi91C5l5f5583>>i1k3:17pl7<729q/54490:Jb4>N>i2c>87>5;n4`>5<52;294~">13<;7Eo?;I;b?l332900c;m50;9~f6d629096=4?{%;:>36<@h:0D4o4i4694?=h>j0;66sm3c094?4=83:p(475619Ke5=O1h1b994?::m5g?6=3th85k4?:283>5}#100?7Eo?;I;b?l322900e8850;9l2f<722wi?l>50;694?6|,03196Fn0:J:e>o2=3:17d;9:188m01=831d:n4?::a7d7=8391<7>t$8;90>Nf82B2m6g:5;29?l312900c;m50;9~f6g5290?6=4?{%;:>0=Oi91C5l5f5483>>o2>3:17d;8:188k3e=831vn>o<:180>5<7s-326;h4H`28L>i1k3:17pl6<729q/5449f:Jb4>N>i2c>97>5;h75>5<5<4290;w)76:59Ke5=O1h1b984?::k62?6=3ft$8;90>Nf82B2m6g:5;29?l312900c;m50;9~f6g?29086=4?{%;:>3`<@h:0D4o4i4794?=n=?0;66a9c;29?xd4ih0;6>4?:1y'=<<33Ak;7E7n;h76>5<>{e;hh1<7=50;2x o1Cm=5G9`9j10<722c>:7>5;n4`>5<53;294~">1350;194?6|,03186Fn0:J:e>o2=3:17d;9:188k3e=831vn>6>:181>5<7s-326;>4H`28L1<75`6b83>>{e;181<7<50;2x 91Cm=5G9`9j11<722e=o7>5;|`0<6<72;0;6=u+98854>Nf82B2m6g:4;29?j0d2900qo=74;296?6=8r.2578?;Ic3?M?f3`??6=44o7a94?=zj:2>6=4=:183!?>2?:0Dl>4H8c8m02=831d:n4?::a7=0=8381<7>t$8;925=Oi91C5l5f5583>>i1k3:17pl<8683>7<729q/54490:Jb4>N>i2c>87>5;n4`>5<53;294~">13o2=3:17d;9:188m01=831b954?::m5g?6=3th8;l4?:283>5}#100?7Eo?;I;b?l322900e8850;9l2f<722wi?:l50;194?6|,031:k5Ga19K=d=n=<0;66g:6;29?j0d2900qo=8c;297?6=8r.257:4H`28L>i1k3:17pl<7e83>6<729q/5449f:Jb4>N>i2c>97>5;h75>5<5<4290;w)76:59Ke5=O1h1b984?::k62?6=3ft$8;925=Oi91C5l5f5583>>i1k3:17pl<9083>7<729q/54490:Jb4>N>i2c>87>5;n4`>5<7>52;294~">13<;7Eo?;I;b?l332900c;m50;9~f6?429096=4?{%;:>36<@h:0D4o4i4694?=h>j0;66sm38694?4=83:p(475619Ke5=O1h1b994?::m5g?6=3th8584?:383>5}#100=<6Fn0:J:e>o2<3:17b8l:188yg5>>3:1>7>50z&:=?073Ak;7E7n;h77>5<5<4290;w)76:59Ke5=O1h1b984?::k62?6=3ft$8;90>Nf82B2m6g:5;29?l312900c;m50;9~f6>d29086=4?{%;:>3`<@h:0D4o4i4794?=n=?0;66a9c;29?xd40m0;6>4?:1y'=<<33Ak;7E7n;h76>5<>{e;1o1<7=50;2x o1Cm=5G9`9j10<722c>:7>5;n4`>5<53;294~">1350;694?6|,03196Fn0:J:e>o2=3:17d;9:188m01=831d:n4?::a67b=8381<7>t$8;925=Oi91C5l5f5583>>i1k3:17pl=2d83>7<729q/54490:Jb4>N>i2c>87>5;n4`>5<52;294~">13<;7Eo?;I;b?l332900c;m50;9~f75729096=4?{%;:>36<@h:0D4o4i4694?=h>j0;66sm22394?4=83:p(475619Ke5=O1h1b994?::m5g?6=3th9??4?:683>5}#10037Eo?;I;b?l322900e8850;9j12<722c>47>5;h7:>5<>{e:h91<7<50;2x 91Cm=5G9`9j11<722e=o7>5;|`1e1<72;0;6=u+98854>Nf82B2m6g:4;29?j0d2900qo2?:0Dl>4H8c8m02=831d:n4?::a6d1=8381<7>t$8;925=Oi91C5l5f5583>>i1k3:17pl=a983>3<729q/5448;Ic3?M?f3`?>6=44i4494?=n=>0;66g:8;29?l3>2900c;m50;9~f7g>29086=4?{%;:>3`<@h:0D4o4i4794?=n=?0;66a9c;29?xd5ik0;684?:1y'=<<13Ak;7E7n;h76>5<>o203:17b8l:188yg4fk3:1?7>50z&:=?2<@h:0D4o4i4794?=n=?0;66a9c;29?xd5im0;6?4?:1y'=<<182Bj<6F6a:k60?6=3f5<7s-32695Ga19K=d=n=<0;66g:6;29?j0d2900qo>i1k3:17pl=b083>6<729q/544;;Ic3?M?f3`?>6=44i4494?=h>j0;66sm40`94?4=83:p(475619Ke5=O1h1b994?::m5g?6=3th?=n4?:383>5}#100=<6Fn0:J:e>o2<3:17b8l:188yg26l3:1?7>50z&:=?2<@h:0D4o4i4794?=n=?0;66a9c;29?xd39l0;6>4?:1y'=<<1n2Bj<6F6a:k61?6=3`?=6=44o7a94?=zj;oj6=4<:183!?>2=1Cm=5G9`9j10<722c>:7>5;n4`>5<53;294~">13hm50;094?6|,031:=5Ga19K=d=n==0;66a9c;29?xd5mm0;6?4?:1y'=<<182Bj<6F6a:k60?6=3f5;|`1ac<72=0;6=u+9886?Mg73A3j7d;::188m00=831b9:4?::m5g?6=3th94?4?:383>5}#100=<6Fn0:J:e>o2<3:17b8l:188yg4?;3:1>7>50z&:=?073Ak;7E7n;h77>5<5<4290;w)76:7d8Ld6<@0k0e8;50;9j13<722e=o7>5;|`1<0<72:0;6=u+9887?Mg73A3j7d;::188m00=831d:n4?::a5c5=8391<7>t$8;92c=Oi91C5l5f5483>>o2>3:17b8l:188yg7a<3:1?7>50z&:=?2<@h:0D4o4i4794?=n=?0;66a9c;29?xd6i00;6?4?:1y'=<<182Bj<6F6a:k60?6=3f5<7s-326;>4H`28L1<75`6b83>>{e9hi1<7<50;2x 91Cm=5G9`9j11<722e=o7>5;|`2ea<72;0;6=u+98854>Nf82B2m6g:4;29?j0d2900qo?ne;292?6=8r.25794H`28L>o2?3:17d;7:188m0?=831d:n4?::a5d`=8391<7>t$8;92c=Oi91C5l5f5483>>o2>3:17b8l:188yg4en3:1>7>50z&:=?073Ak;7E7n;h77>5<5<5290;w)76:728Ld6<@0k0e8:50;9l2f<722wi>n?50;094?6|,031:=5Ga19K=d=n==0;66a9c;29?xd5k;0;6?4?:1y'=<<182Bj<6F6a:k60?6=3f;7>5;h7;>5<5<5290;w)76:728Ld6<@0k0e8:50;9l2f<722wi?ij50;094?6|,031:=5Ga19K=d=n==0;66a9c;29?xd4ll0;694?:1y'=<<23Ak;7E7n;h76>5<>i1k3:17pl6<729q/5449f:Jb4>N>i2c>97>5;h75>5<5<4290;w)76:59Ke5=O1h1b984?::k62?6=3ft$8;925=Oi91C5l5f5583>>i1k3:17pl=f783>7<729q/54490:Jb4>N>i2c>87>5;n4`>5<52;294~">13<;7Eo?;I;b?l332900c;m50;9~f7`?29096=4?{%;:>36<@h:0D4o4i4694?=h>j0;66sm2g;94?3=83:p(4756:Jb4>N>i2c>97>5;h75>5<>i1k3:17pl=f`83>6<729q/5449f:Jb4>N>i2c>97>5;h75>5<5<5290;w)76:728Ld6<@0k0e8:50;9l2f<722wi>4:50;094?6|,031:=5Ga19K=d=n==0;66a9c;29?xd51<0;6?4?:1y'=<<182Bj<6F6a:k60?6=3f1<7>t$8;91>Nf82B2m6g:5;29?l312900e8950;9l2f<722wi>4650;194?6|,03186Fn0:J:e>o2=3:17d;9:188k3e=831vn?76:180>5<7s-326;h4H`28L>i1k3:17pl=3683>7<729q/54490:Jb4>N>i2c>87>5;n4`>5<52;294~">13<;7Eo?;I;b?l332900c;m50;9~f75>29096=4?{%;:>36<@h:0D4o4i4694?=h>j0;66sm22c94?4=83:p(475619Ke5=O1h1b994?::m5g?6=3th9?o4?:383>5}#100=<6Fn0:J:e>o2<3:17b8l:188yg44k3:197>50z&:=?0<@h:0D4o4i4794?=n=?0;66g:7;29?l3?2900c;m50;9~f75c29086=4?{%;:>3`<@h:0D4o4i4794?=n=?0;66a9c;29?xd60h0;6?4?:1y'=<<182Bj<6F6a:k60?6=3f;7>5;h7;>5<5<4290;w)76:7d8Ld6<@0k0e8;50;9j13<722e=o7>5;|`2=1<72;0;6=u+98854>Nf82B2m6g:4;29?j0d2900qo?65;297?6=8r.257:4H`28L>i1k3:17pl>9783>7<729q/54490:Jb4>N>i2c>87>5;n4`>5<52;294~">13<;7Eo?;I;b?l332900c;m50;9~f4??29086=4?{%;:>1=Oi91C5l5f5483>>o2>3:17b8l:188yg7>13:1?7>50z&:=?2<@h:0D4o4i4794?=n=?0;66a9c;29?xd60k0;6>4?:1y'=<<33Ak;7E7n;h76>5<>{e91i1<7=50;2x o1Cm=5G9`9j10<722c>:7>5;n4`>5<54;294~">13?0Dl>4H8c8m03=831b9;4?::k63?6=3f5;|`2Nf82B2m6g:5;29?l312900c;m50;9~f4?729086=4?{%;:>1=Oi91C5l5f5483>>o2>3:17b8l:188yg7>93:1?7>50z&:=?0a3Ak;7E7n;h76>5<>{e<:21<7<50;2x 91Cm=5G9`9j11<722e=o7>5;|`77<<72;0;6=u+98854>Nf82B2m6g:4;29?j0d2900qo:2>:0Dl>4H8c8m03=831b9;4?::k63?6=3f5;|`1`5<72;0;6=u+98854>Nf82B2m6g:4;29?j0d2900qo6=44i4494?=h>j0;66sm2e194?5=83:p(4754:Jb4>N>i2c>97>5;h75>5<5<5290;w)76:728Ld6<@0k0e8:50;9l2f<722wi>i;50;094?6|,031:=5Ga19K=d=n==0;66a9c;29?xd5l?0;6>4?:1y'=<<33Ak;7E7n;h76>5<>{e:m=1<7=50;2x 2?l0Dl>4H8c8m03=831b9;4?::m5g?6=3th9h44?:283>5}#100?7Eo?;I;b?l322900e8850;9l2f<722wi>i?50;194?6|,031:k5Ga19K=d=n=<0;66g:6;29?j0d2900qo=?8;296?6=8r.2578?;Ic3?M?f3`??6=44o7a94?=zj::26=4=:183!?>2?:0Dl>4H8c8m02=831d:n4?::a75g=8381<7>t$8;925=Oi91C5l5f5583>>i1k3:17pl<0c83>6<729q/544;;Ic3?M?f3`?>6=44i4494?=h>j0;66sm31a94?5=83:p(4756g9Ke5=O1h1b984?::k62?6=3f5;|`04`<72:0;6=u+9885b>Nf82B2m6g:5;29?l312900c;m50;9~f7c629086=4?{%;:>1=Oi91C5l5f5483>>o2>3:17b8l:188yg4b:3:1>7>50z&:=?073Ak;7E7n;h77>5<5<5290;w)76:728Ld6<@0k0e8:50;9l2f<722wi>h:50;094?6|,031:=5Ga19K=d=n==0;66a9c;29?xd5m<0;6>4?:1y'=<<33Ak;7E7n;h76>5<>{e:l<1<7=50;2x o1Cm=5G9`9j10<722c>:7>5;n4`>5<54;294~">13?0Dl>4H8c8m03=831b9;4?::k63?6=3f5<7s-326;>4H`28L1<75`6b83>>{e9jo1<7<50;2x 91Cm=5G9`9j11<722e=o7>5;|`2gc<72:0;6=u+9885b>Nf82B2m6g:5;29?l312900c;m50;9~f4b729086=4?{%;:>3`<@h:0D4o4i4794?=n=?0;66a9c;29?xd6l80;6>4?:1y'=<<33Ak;7E7n;h76>5<>{e9m81<7=50;2x o1Cm=5G9`9j10<722c>:7>5;n4`>5<54;294~">13?0Dl>4H8c8m03=831b9;4?::k63?6=3f;7>5;n4`>5<54;294~">13?0Dl>4H8c8m03=831b9;4?::k63?6=3f;7>5;h7;>5<5<1290;w)76:69Ke5=O1h1b984?::k62?6=3`?<6=44i4:94?=n=00;66a9c;29?xd69=0;644?:1y'=<<>3Ak;7E7n;h76>5<>o203:17d;6:188m0g=831b9o4?::k6g?6=3f5;|`25<<7200;6=u+988:?Mg73A3j7d;::188m00=831b9:4?::k6a;290?6=8r.257;4H`28L>o2?3:17b8l:188yg76j3:1?7>50z&:=?2<@h:0D4o4i4794?=n=?0;66a9c;29?xd4;10;6?4?:1y'=<<2m2Bj<6F6a:k60?6=3f:>:181>5<7s-3268k4H`28L1<75`6b83>>{e5<5290;w)76:4g8Ld6<@0k0e8:50;9l2f<722wi?9l50;094?6|,0319h5Ga19K=d=n==0;66a9c;29?xd4=:0;6?4?:1y'=<<2m2Bj<6F6a:k60?6=3f7>50z&:=?3b3Ak;7E7n;h77>5<5<5290;w)76:4g8Ld6<@0k0e8:50;9l2f<722wi?9=50;094?6|,0319h5Ga19K=d=n==0;66a9c;29?xd4?:0;6?4?:1y'=<<2m2Bj<6F6a:k60?6=3f5<5290;w)76:4g8Ld6<@0k0e8:50;9l2f<722wi>k4?:383>5}#100>i6Fn0:J:e>o2<3:17b8l:188yg4b29096=4?{%;:>0c<@h:0D4o4i4694?=h>j0;66sm2e83>7<729q/544:e:Jb4>N>i2c>87>5;n4`>5<24H8c8m02=831d:n4?::a6d<72;0;6=u+9886a>Nf82B2m6g:4;29?j0d2900qo<6:181>5<7s-3268k4H`28L1<75`6b83>>{e:10;6?4?:1y'=<<2m2Bj<6F6a:k60?6=3f5<5290;w)76:4g8Ld6<@0k0e8:50;9l2f<722wi>;4?:383>5}#100>i6Fn0:J:e>o2<3:17b8l:188yg4229096=4?{%;:>0c<@h:0D4o4i4694?=h>j0;66sm2583>7<729q/544:e:Jb4>N>i2c>87>5;n4`>5<24H8c8m02=831d:n4?::a67<72;0;6=u+9886a>Nf82B2m6g:4;29?j0d2900qo=7:181>5<7s-3268k4H`28L1<75`6b83>>{e;>0;6?4?:1y'=<<2m2Bj<6F6a:k60?6=3f5<5290;w)76:4g8Ld6<@0k0e8:50;9l2f<722wi?84?:383>5}#100>i6Fn0:J:e>o2<3:17b8l:188yg5329096=4?{%;:>0c<@h:0D4o4i4694?=h>j0;66sm3283>7<729q/544:e:Jb4>N>i2c>87>5;n4`>5<24H8c8m02=831d:n4?::a74<72;0;6=u+9886a>Nf82B2m6g:4;29?j0d2900qo5<7s-3268k4H`28L1<75`6b83>>{e;?;1<7:50;2x :1Cm=5G9`9j11<722c?o7>5;n4`>5<5}#100=h6Fn0:J:e>o2<3:17b8l:188yg51n3:1>7>50z&:=?0c3Ak;7E7n;h77>5<5<5290;w)76:7f8Ld6<@0k0e8:50;9l2f<722wi8?4?:383>5}#100=h6Fn0:J:e>o2<3:17b8l:188yg52n3:1>7>50z&:=?0c3Ak;7E7n;h77>5<5<5290;w)76:7f8Ld6<@0k0e8:50;9l2f<722wi?9950;094?6|,031:i5Ga19K=d=n==0;66a9c;29?xd4<<0;6?4?:1y'=<<1l2Bj<6F6a:k60?6=3ft$8;92a=Oi91C5l5f5583>>i1k3:17pl<5e83>7<729q/5449d:Jb4>N>i2c>87>5;n4`>5<53;294~">138::181>5<7s-326;j4H`28L1<75`6b83>>{e;=n1<7<50;2x m1Cm=5G9`9j11<722e=o7>5;|`012<72:0;6=u+9885a>Nf82B2m6g:4;29?l2d2900c;m50;9~f60c29086=4?{%;:>3c<@h:0D4o4i4694?=n=i:181>5<7s-326;j4H`28L1<75`6b83>>{e010;6?4?:1y'=<<1l2Bj<6F6a:k60?6=3f5<5290;w)76:7f8Ld6<@0k0e8:50;9l2f<722wi5<4?:383>5}#100=h6Fn0:J:e>o2<3:17b8l:188yg?729096=4?{%;:>3b<@h:0D4o4i4694?=h>j0;66sm8g83>7<729q/5449d:Jb4>N>i2c>87>5;n4`>5<2?n0Dl>4H8c8m02=831d:n4?::aNf82B2m6g:4;29?j0d2900qo6l:181>5<7s-326;j4H`28L1<75`6b83>>{e0k0;6?4?:1y'=<<1l2Bj<6F6a:k60?6=3f5<5290;w)76:7f8Ld6<@0k0e8:50;9l2f<722wi444?:383>5}#100=h6Fn0:J:e>o2<3:17b8l:188yg>129096=4?{%;:>3b<@h:0D4o4i4694?=h>j0;66s|a;296~Xf348968:4}r`94?4|Vk16>>4:4:pg?6=:rTh70<;:468yvb=838pRi5224860>{tm3:1>vPj;<05>0262<2<2wx==4?:3y]55=::10>86s|1083>7}Y9816>44:4:p57<72;qU=?522`860>{t9:0;6?uQ129>6g<2<2wx=94?:3y]51=::j0>86s|1483>7}Y9<16>i4:4:p53<72;qU=;522d860>{t9>0;6?uQ169>6c<2<2wx=44?:3y]5<=:;90>86s|1`83>7}Y9h16?<4:4:p5g<72;qU=o5233860>{t9j0;6?uQ1b9>76<2<2wx=i4?:3y]5a=:;=0>86s|1d83>7}Y9l16?84:4:p5c<72;qU=k5237860>{t:90;6?uQ219>72<2<2wx><4?:3y]64=:;10>86s|2383>6}:91<19:523c4910=::;0=o6s|2283>6}:91<195523c`910=:::0=o6s|2583>6}:91<194523b2910=::=0=o6s|2483>1}:91<19l523b7910=:909198522485g>{t:?0;69u219491g=:;jk19852181913=::?0=o6s|2683>6}:91<19n523bd910=::>0=o6s|2983>1}:91=198523e6910=:908198522985g>{t:00;69u2195913=:;m319852180913=::00=o6s|2`83>1}:91=19:523d2910=:90819:522`85g>{t:k0;68u219591==:;l?1985218091==::k0=o6378;77?xu5k3:1>v3;5k30>563>9c860>;4m:0>:63>a8860>;6190>963=d;4`?xu5m3:14v3>8686e>;61j0>863>a0862>;6ik0>863>8`860>;61<0>:63=e;4`?8>02<>0q~>3??70?68;76?87>13?>70l3??70?n3;77?87fl3??7093?>70=?:7a8yv562909w0=m9;75?8562?i0q~==:18185em3?=70==:7a8yv542909w0=l3;75?8542?i0q~=;:18185d03?=70=;:7a8yv522909w0=ld;75?8522?i0q~=9:18185c:3?=70=9:7a8yv502908w0?76;76?85c?3?=70=8:7a8yv5?2908w0?76;75?85cm3?>70=7:7a8yv5>290:hv3<7587=>;48?0?563>bd87=>;6k:0?563>b3861>;5n:0>963<28860>;6k80>863>c7860>;6kh0>963>d4860>;6jm0=o63>b5860>;49k0>:63;0b862>;4n00>863;00862>;4n90>:63<9d860>;4i<0>963<81861>;40=0>863<92860>;5mh0>963=f9860>;5l:0>:63<0`860>;5m80>:63>cd860>{t;m0;6?uQ3e9>7`<2<2wx?h4?:05x97?a2:i01<:2a897612:i01?>6:2a897212:i012:i0112:i01:2a897302:i01?;k:2a8970e2:i01j1v>h50;0xZ6`<5=:1:n5rs5394?4|V=;019<56b9~w15=838pR9=4=56911=z{=>1<7;t=cd911=::?l19952275911=:::h199524585g>{t0a<2<2wx8i4?:8y>53d=;j16=;953b9>535=;j16=8k53b9>51g=;j16=9>53b9>50>=;j16>>=5489>0a<1k2wx8h4?:3y]0`=:86s|4g83>70|58n86>m4=205>6e<5::=6>m4=3`0>6e<5;h=6>m4=226>6e<58hn6>m4=0a0>6e<58i36>m4=3d3>6e<5=;26>m4=0`3>6e<58h86>m4=3`1>6e<5;in6>m4=506>6e<5=8;6>m4=50:>6e<5=8:6>m4=257>6e<5:=>6>m4=2:;>6e<5:3h6>m4=53e>6e<5;h26>m4=3da>6e<5;i?6>m4=3fb>6e<5::96>m4=3aa>6e<5;o36>m4=22e>6e<5:;86>m4=2g4>6e<5:l<6>m4=52;>6e<58h368:4=5d92f=z{00<58n=68;4=0:;>3e58z?06f<2=279ni4:6:?05g<2=279il4:6:?2=4<1k279h>4:5:?04a<2=279i<4:5:p22<72;8p1l?5539>fa<3>27h87:9;10<5mi18;52e1872>;b<3>=70k7:5489`e=6984=b;903=:km0?:63k1;65?84>n3>=70?k3;65?855>3>=70=?6;65?84e;3>=70?me;65?87d;3>=70?l8;65?84a83>=70:>9;65?87e;3>=70=70=70=70?j8;65?85193??7p}98;296~;4>80=o63=7c860>{t>00;69u2a3845>;6j90?563;0;77?8252<>0q~8n:181854j3vP87:?;2?0d3ty<47>52z\4<>;??3vP8c:?;e?0d3ty52z\4`>;?j3vP70:?;a?0d3ty3=7>52z\;5>;?n3;39<0>:63;02860>;4mh0>963>a685g>;4i00>:63;4il0>863<81862>;41;0>863<96861>;4lm0>863;3;00>86s|8483>6}:;0h1:n521b2911=:9jn1995rs9494?4|5:h<6;m4=94911=z{131<73e<5131995rs9c94?4|5:i:6;m4=9c911=z{1h1<73e<51h1995rs9a94?4|5:ii6;m4=9a911=z{1n1<73e<51n1995rs9g94?4|5:n>6;m4=9g911=z{1l1<73e<51l1995rs8294?4|5:o:6;m4=82911=z{0;1<73e<50;1995rs8094?4|5=9o68:4=0ce>3e20<5:9i69m4=3:`>03<5:9j69m4=21g>02<5:1e<5:5<5s4k36974=``92f=z{hk1<7:6s|ab83>6}:ij0<:63>33860>;6?m0>96s|ae83>7}:ij0?563ne;4`?xufn3:14v3md;55?8`d2=k01<>9:4489f4===16==65569>55e==016=<;5579>54g==>1vok50;358dc2:i01n;53b9>g<<4k27hh7=l;6e<5j>1?n52d980g>;ck39h70k?:2a89`2=;j16i54m4=g697f=:i108o63=3280g>;60808o63>7880g>;6;<08o63>2g80g>;fk39h70li:7a8yve72909w0lk:5;89f5=>j1vn?50;0x9f7=>j16o>4:5:pg7<72;q6o?49c:?`7?313tyi87>57z?`0?1134lh69l4=025>03<58:36884=02`>0><58;?68m4=03b>0320<5o218o5210f913=:98319;5rsb494?4|5j?18452c985g>{tk>0;6?u2c685g>;d03?=7p}m1;290~;d13==70h6:5`8947a2<<01j1vnl50;0x9fd=>j16on4:6:pf7<72:q6oi486:?ee?2e34;:j7;:;|q`a?6=:r7hh7:6;3e3e<5m:19;5rsc194?1|5m;1;;52fc87f>;6:l0>963>82861>;6;10>863>38861>;6;80>:6s|d383>7}:l80?563k4;4`?xuc;3:1>v3k3;4`?8b32<<0q~j::1818e32=301i956b9~wa0=838p1i856b9>`2<2>2wxn84?:7y>`=<0>27mh7:m;<333e3e<5mh19;5rsc494?0|5mi1;;52fd87f>;68h0>963>0b862>;69=0>m63>1886f>{tlm0;6?u2db87=>;cn3w0k?:6489c`=542==016=<755b9~w`7=838p1h>5489>a6<1k2wxi?4?:3y>a7<1k27n?7;9;|qar7n8799;<334?2e34;;i7;:;<324?3134;:87;7;<32=?3f3tyn97>52z?f0?2>34o<6;m4}rg5>5<5s4o=6;m4=d5913=z{k31<7;t=d:933=:99;18o52102910=:98>19:5210;91==z{l31<77}:mh0=o63jb;75?xuei3:19v3jc;55?877:3>i70?>2;76?876<3?>70?>9;7:?xubl3:1>v3jc;6:?8ca2?i0q~kj:1818cb2?i01hh5579~wgd=83>p1k>5779>555=54?==>1vk?50;0x9c6=<016j>49c:pb7<72;q6j?49c:?e7?313tyio7>55z?e0?1134;;87:m;<323?3234;:h7;8;<32=?323tym97>52z?e0?2>34l<6;m4}rd5>5<5s4l=6;m4=g5913=z{8:>6=4={1g<58:=6;m4}r333?6=;r7mi7:n;<33e?3134;;478l;|q24<<72;q6jk4;a:?24d<1k2wx==l50;6x94672=k01<>j:44894772<=01<>l:7a8yv77l3:1>v3>0087e>;68l0=o6s|11d94?5|58:969o4=031>00<58;;6;m4}r325?6=:r7:<>4;a:?257<1k2wx=<=50;6x94632=k01v3>1585g>;69<0>96s|10494?4|5o218l5210592f=z{8;36=4<{1g<58;m6894=03a>3e52z?25<<1k27:=o4:5:p54g=838p1c;296~;ai3>j70?>d;4`?xu69l0;6?u2fc87e>;69o0=o6s|13294?4|5o215>52c9861>{t9;;1<796s|13094?4|5ok15>52d1861>{t9;91<796s|13694?4|5oi15>52d6861>{t9;?1<796s|13494?4|5oo15>52dg861>{t9;=1<796s|13:94?4|58:;64=4=d5910=z{8826=4={<335??434oi68;4}r31e?6=:r7:o4?:3y>555=1:16j>4:5:p57e=838p1<>;:8189c1==<1v<01i85559>`d<2<27oi7;;;02<5l<19952e`860>;bm3??70h=:4689c0===16o:4:4:?`f?3334im68:4=e1911=:9;o1:n5rs00e>5<1s4;9j799;<30a?3234;8;7;;;<300?3234;57`=<016=>:56b9~w4562909w0?<1;4`?874;3?>7p}>3383>7}:9:81:n52121913=z{8986=4={<307?0d34;887;9;|q270<72;5779>56b===16=>o5549>567==<16=:h5549~w4512909w0?<5;6:?874j33683>7}:9:=1:n5212;913=z{8936=4={<30756b9>56d==<1v<=n:181874i35<4s4ki68;4=01f>3e<582?68;4}r374?6=:r7:8=486:?764<312wx=9?50;0x94272=301<:6:7a8yv73:3:1>v3>4385g>;6<>0>96s|15194?4|58>86;m4=064>0052z?201<1k27:854:6:p513=838p1<:::7a8942?2<=0q~?;6;296~;64986<>{t9==1<73e<58>268;4}r372wx=9o50;0x942f2><019<6:5;8yv73j3:1>v3>4`87=>;6=:0=o6s|15a94?4|58>h6;m4=072>0352z?20a<1k27:9<4:6:p51c=838p1<:j:7a894352<<0q~?;f;296~;653863>{t9<:1<73e<58?96864}r365?6=:r7:9<49c:?216<2=2wx=8<50;0x94352?i01<;<:448yv7203:1=9u2be871>;a<3>>70h?:5789`e=<<16i54;5:?f0?2234o;69;4=ea900=:l10?963l4;66?8b62=?01nj5449>g<<3=27h97::;<3650b=>j1v<;n:181872i35<5s4;>o78l;<36`?3?3ty:994?:6y>50c=??16=;h54`9>525==?16=;?5559>50g===16=9k5559>512===1v<;i:181872m3>270?92;4`?xu6>90;6?u217292f=:9?81985rs042>5<5s4;==78l;<356?313ty:984?:9y>535=??16=;h54c9>525==<16=8l5559>51e==?16=9h5559>514==<16=9;5559~w4032909w0?93;6:?871>36483>7}:9??1:n52174913=z{8?=6=47{<353?1134;<<7:m;<341?3234;>o7;;;<37g?3234;><7;;;<376?3134;?:7;;;|q22=<72;q6=;95489>53g=>j1v<86:181871130;6:u217`933=:9>;18o5214f910=:9=n19952140910=:9=91995215:910=z{834;=i78l;|q22a<72;q6=;j56b9>53c==?1v<9=:18087083>j70?85;75?870;37583>7}:9>;18l5216792f=z{8==6=4={<35b??434;=:7;:;|q232<72;q6=:>5929>53g==<1v<97:181870933870?9e;76?xu6?00;68u216;933=:ih0>863>7c862>;6?l0>963<44860>{t9>k1<71?<582;6;m4}r34f?6=:r7:;o49c:?2<5<2=2wx=:m50;0x941d2?i01<9k:448yv70l3:1>v3>7e85g>;6?l0>:6s|16g94?4|58=n6;m4=05e>0052z?23c<1k27:4=4:6:p5=7=839p1<6>:64896042<>01<6<:448yv7?:3:1>v3>8087=>;60=0=o6s|19194?4|58286;m4=0:7>0052z?2<3<1k27:454:5:p5=0=838p1<68:7a894>?2<<0q~?79;296~;60h0=o63>99862>{t91k1<73e<582n68;4}r3;f?6=:r7:4n49c:?2c2?i01<6j:448yv7?l3:1>v3>8d85g>;60o0>:6s|19g94?4|582m6;m4=0;3>0052z?2=5<1k27:5<4:6:p5<4=838p1<7<:7a894>c2<<0q~?63;296~;61=0=o63>98862>{t90>1<73e<582i68;4}r3:1?6=:r7:5;49c:?22wx=4850;0x94?02?i01<6k:458yv7>?3:1>v3>9985g>;60o0>96s|18:94?4|58326;m4=0:`>0352z?2j3:1>v3>9c85g>;61l0>:6s|18a94?4|583h6;m4=0;f>0152z?2=a<1k27:5h4:8:p5<`=838p1a4861>{t9h;1<73e<58k>6884}r3b6?6=:r7:m>49c:?2e2<2>2wx=l=50;0x94g32?i01v3>a485g>;6i?0>:6s|1`794?4|58k=6;m4=0c4>0352z?2e<<1k27:mh4:6:p5d?=838p1ad863>{t9hh1<73e<58kn6864}r3bg?6=:r7:mi49c:?2e`<212wx=lj50;0x94gb2?i01v3>b1842>;6j:0?56s|1c394?4|58h;69;4=0`1>3e56z?2f6<0>27:m?4:4:?2e1<2=27:ml4:4:?2=1<2<27:584:5:p5g3=838p1be861>{t9k=1<7=t=0`5>6e<5=8i6>m4=0`;>3e52z?2f3<3127:nl49c:p5gd=838p1d1861>{t9kl1<713<58i96;m4}r3`4?6=:r7:o=49c:?2g7<2=2wx=n?50;0x94e62?i01v3>c2842>;6ko0>96s|1b694?4|58i869;4=0a4>3e52z?2g0<1k27:o:4:5:p5f0=838p1d9860>{t9j31<71?<58ij6;m4}r3`f?6=;r7:o54;5:?2`6<3=27:h?49c:p5fe=838p1d1862>{t9jo1<73e<58n96884}r3`b?6=:r7:ok49c:?2`4<2=2wx=i>50;0x94b72?i01:448yv7c93:1>v3>d085g>;6l;0>96s|1e194?4|58n86:84=26:>0252z?2`6<3127:h;49c:p5a3=838p1d8862>{t9mk1<720<5:9;68:4}r3gf?6=:r7:hl4;9:?2`c<1k2wx=im50;0x94bd2?i01v3>de85g>;6ll0>:6s|1eg94?4|58nn6;m4=0fe>0053z?2a4<1k2799k4:4:?172<2<2wx=h<50;0x94c52><01:448yv7b;3:1mv3>e380g>;51h08o63=8e80g>;50>08o63=8180g>;5?j08o63=7980g>;5=?08o63>ee80g>;6m=0=o6s|1d794?4|58o>6:84=0g2>0353z?2a0<3127:i?4;9:?2a2<1k2wx=h650;0x94c?2><01?8j:478yv7b13:1>v3>e987=>;6mh0=o6s|1d`94?5|58oh6;m4=340>02<5;9268:4}r3f`?6=:r7:ii486:?2af<2>2wx=hk50;0x94cb2><01ed87=>;6mm0?563>f185g>{t9o;1<720<5;2i68:4}r3e6?6=:r7:j<4;9:?2b1<1k2wx=k=50;0x94`42?i01f`842>;58j0?m63=10862>;5:;0>963=25860>;6nm0>863=2e860>;5i=0>863=ac862>{t9oh1<71?<58lm6;m4}r3eg?6=:r7:jn49c:?2b`<2=2wx=kj50;0x94`c2?i01v3>fd85g>;6no0>:6s|1g494?>|5;:;6:84=32`>1d<5;;:68;4=301>00<5;8868:4=30e>02<5;k868:4=3ca>0152z?145<31279<:648976c2=h01??<:47897402<>01?=>:46897g22<>01?om:4:8yv47<3:1>v3=0287=>;58<0=o6s|1g:94?1|5;:=6:84=32f>1d<5;;>68;4=305>02<5;9;68:4=3c5>02<5;ko68:4}r033?6=:r79<;4;9:?14=<1k2wx=k750;5x976>2><01?>i:5`897452<=01?<::46897552<<01?o8:46897gb2<>0q~{t:8:1<7=t=32g>1g<5;;86884=332>3e7>53z?14`<3i279=84:6:?156<1k2wx><:50;0x976a2=k01??::7a8yv46>3:19v3=03861>;58<0>963=09861>;58k0>963=1885g>{t:8=1<73e<5;;268;4}r022wx>=:448yv46j3:1>v3=0e8:7>;58<0>:6s|20a94?4|5;:n64=4=32;>0052z?14c<>;27901v3=1g85g>;5:90>:6s|23394?5|5;;<68:4=30b>3e<58lh68:4}r016?6=:r79>?49c:?16<<2=2wx>?=50;0x97442?i01?<7:448yv45<3:1>v3=2585g>;5:10>96s|23794?4|5;8>6;m4=30;>0152z?163<1k279>l4:5:p671=838p1?<8:7a8974f2<=0q~<=8;296~;5:10=o63=28862>{t:;31<73e<5;8j6884}r01f?6=;r79>o486:?121<2<279?l4:4:p67e=838p1?{t:;o1<73e<5;996894}r01b?6=:r79>k49c:?177<212wx>>>50;0x97572?i01?==:4:8yv4493:1>v3=3085g>;5;;0>m6s|22194?5|5;9?6974=310>20<5;;m68:4}r000?6=:r79?9486:?165<2=2wx>>;50;1x97522><01?l6:5;897ge2863=52861>;5<;0>963=3e85g>{t::=1<73e<5;9h68;4}r002wx>>750;0x975>2?i01?=l:458yv44i3:1>v3=3`85g>;5;j0>46s|22`94?4|5;9i6;m4=31g>0052z?17f<1k279?i4:5:p66c=838p1?=::5;897222?i0q~<{t:=:1<73e<5;>868;4}r075?6=:r798<49c:?106<2>2wx>9<50;0x97252?i01?:;:448yv43;3:1>v3=4285g>;5<<0>:6s|25694?4|5;>?6;m4=366>0354z?103<0>279j?4:4:?06=<2<2798n4:5:p611=838p1?:9:5;8972c2?i0q~<;8;296~;5<10=o63=52862>{t:=31<73e<5;>i6884}r07e?6=:r798l49c:?10a<2>2wx>9l50;0x972e2?i01?:l:448yv43k3:1>v3=4b85g>;596s|25g94?4|5;>n6;m4=377>0052z?10c<1k2798o4:7:p606=838p1?;?:7a8972f2<<0q~<:1;296~;5=80=o63=54862>{t:<81<73e<5;>j6894}r067?6=:r799>49c:?10<<2>2wx>8:50;0x97332?i01?:m:478yv42=3:1>v3=5485g>;596s|24494?2|5;?=6:84=34f>00<58nh68;4=363>03;7>5ez?112<0>279444:6:?12a<2=279;<4:4:?12=<2<279944:6:?2`a<2<2798h4:4:?115<2=279844:5:?104<2<279494:5:?1=1<2<2795;4:5:p60>=838p1?;8:5;8973d2?i0q~<:9;296~;5=00=o63=5b861>{t:3e<5;?i6884}r06f?6=:r799o49c:?11f<2>2wx>8j50;gx973c2><01?66:478970c2<<01?9?:46897062v3=5e87=>;5>;0=o6s|24d94?4|5;?m6;m4=34:>0352z?125<1k279:<4:6:p637=838p1?8>:7a897052:0=o63=68862>{t:?>1<73e<5;<26894}r051?6=:r79:849c:?12<<202wx>;850;0x97012?i01?8?:478yv41?3:1>v3=6685g>;5>90>;6s|27:94?4|5;<36;m4=34b>0052z?12<<1k279:=4:6:p63g=838p1?8n:7a897052<<0q~<9b;29b~;5>k0<:63=72861>;5>?0>863=6`861>;5=00>963=5`860>;6lj0>:63=4g860>;5=<0>963=3g860>;5<90>:63=83860>;6n:0>:63=92860>;51>0>:6s|27a94?4|5;3e52z?12a<1k279;84:5:p63c=838p1?8j:7a897152o0=o63=73862>{t:>:1<73e<5;=?68;4}r045?6=:r79;<49c:?131<2?2wx>:<50;0x97152?i01?9<:448yv40;3:1>v3=7285g>;5?=0>:6s|26694?4|5;=?6;m4=356>0053z?132<1k279:84:4:?17=<2<2wx>:650;0x971?2><01?98:448yv4013:1>v3=78842>;5?>0>96s|26c94?2|5;=26974=35;>1?<58h;6984=35a>3e52z?13f<0>279mn4:6:p62b=838p1?9k:64897gd2;5?o0=o6s|29294?5|5;2;6:84=21a>02<5:9j68:4}r0;5?6=:r794=4;9:?1<0<1k2wx>5<50;0x97>52?i01?6;:448yv4?;3:1>v3=8285g>;50<0>:6s|29694?4|5;2?6;m4=3:6>0352z?1<3<0>27:i54;5:p6=1=838p1?68:648962c2<>0q~<78;296~;50>0?563=8885g>{t:1k1<73e<5;2h6884}r0;`?6=:r794i486:?012<2<2wx>5k50;0x97>c2=301?7>:7a8yv4?n3:1>v3=8g85g>;5180>96s|28294?4|5;3;6;m4=3;2>007>53z?1=f<2<2794k4:4:?1=<<1k2wx>4=50;0x97?42?i01?79:448yv4><3:1>v3=9585g>;51>0>96s|28794?4|5;3>6;m4=3;4>0152z?1=3<1k279554:6:p6<1=838p1?78:7a897?>2<<0q~<68;296~;5110=o63=98861>{t:0k1<720<5:4m50;0x97?d2?i01?7j:478yv4>l3:1>v3=9e85g>;51l0>:6s|28d94?5|5;3m6:84=30f>02<5:l<50;0x97?a2=?01?o6:7a8yv4f;3:1>v3=a285g>;5i10>:6s|2`694?4|5;k?6;m4=3c;>0352z?1e0<1k279m54:7:p6d0=838p1?o9:7a897g?2<20q~0=o63=a986=>{t:h21<73e<5;k26884}r0be?6=;r79m<4:4:?1e<<2=279n<49c:p6dd=838p1?om:7a897d62{t:hn1<73e<5;km68;4}r0ba?6=:r79mh49c:?1ec<2>2wx>lh50;0x97ga2?i01?l?:448yv4e83:1>v3=b185g>;5j80>:6s|2c094?4|5;h96:84=212>025fz?1f6<0>278?84:4:?1f=<2>279ji4:5:?1b`<2<279o;4:5:?1g2<2<279hn4:5:?1`a<2<278=l4:6:?1af<2<279nk4:4:?1b2<2<279h?4:6:?1a3<2=2wx>o:50;0x97d42=?01?l::7a8yv4e>3:1>v3=b7842>;5j<0>96s|2c594?4|5;h=6974=3`;>3e56z?1f<<0>278=84:4:?05`<2>278=<4:6:?1b3<2<279h=4:4:p6gg=838p1?lm:7a897dc2{t:ko1<7=t=3`a>02<5;i86;m4=22`>0352z?1fc<1k279o>4:5:p6f6=838p1?m?:7a897e42<<0q~{t:j81<73e<5;i86864}r0`0?6=:r79o9486:?1=5<2<2wx>n;50;0x97e32=301?mn:7a8yv4d>3:1>v3=c785g>;5kh0>96s|2b594?4|5;i<6;m4=3a:>0352z?1g=<1k279o44:6:p6f?=838p1?m6:7a897ef2<<0q~{t:ji1<71?<5;io6;m4}r0`a?6=:r79oh486:?113<312wx>nh50;0x97eb2=301?j>:7a8yv4c83:1>v3=d185g>;5l?0>:6s|2e094?4|5;n96;m4=3f;>0352z?1`6<1k279h:4:5:p6a2=838p1?j;:7a897b02<<0q~{t:m<1<73e<5;n:68;4}r0g3?6=:r79h:49c:?1`=<2>2wx>i650;0x97b?2?i01?j6:478yv4c13:1>v3=d885g>;5l80>:6s|2ec94?5|5;nj6:84=0d7>03<5;3>68:4}r0gf?6=:r79hl4;9:?1`c<1k2wx>im50;0x97bd2?i01?ji:478yv4cl3:1>v3=de85g>;5ll0>:6s|2eg94?4|5;nn6;m4=3fe>0052z?1`d<3=279i:49c:p6`7=838p1?k>:7a897c22{t:l91<73e<5;o<68;4}r0f0?6=:r79i949c:?1a2<2?2wx>h;50;0x97c22?i01?k9:448yv4b>3:1>v3=e785g>;5m>0>:6s|2d:94?4|5;o36:84=3f`>0053z?1a=<3=278<84;9:?1ac<1k2wx>ho50;0x97cf2?i01?ki:448yv4bj3:1>v3=ec85g>;5ml0>96s|2da94?4|5;oh6;m4=3gf>0052z?1aa<1k279ik4:7:p6`c=838p1?kj:7a897ca2{t:o;1<71?<5;l86;m4}r0e6?6=:r79j?49c:?1b6<2>2wx>k:50;1x97`72=?01><9:57897`f2?i0q~{t:o<1<73e<5;l26894}r0e3?6=:r79j:49c:?1b<<202wx>k650;0x97`?2?i01?hn:448yv4a13:1>v3=f885g>;5nh0>96s|2g`94?4|5;li6:84=3;g>0252z?1bg<31278<<49c:p6cb=838p1?hk:7a896662{t:ol1<73e<5::;6884}r134?6=:r78<=49c:?044<2>2wx?=<50;0x96652><01?hk:448yv57;3:1>v3<0387=>;48=0=o6s|31794?3|5;h86974=226>20<5::969;4=3aa>13<5;h>6884}r132?6=>r78<;486:?05f<2<279ii4:4:?1b<<2=279h94:4:?1a7<2<2wx?=950;0x96612=?01>>j:7a8yv5703:1>v3<0985g>;48k0>96s|31;94?4|5::26;m4=22a>0052z?04d<1k278>m:7a8966d2<<0q~=?c;296~;48j0=o63<0e862>{t;9n1<73e<5::n68;4}r13b?6=1r78>i:5;896752?i0q~=>1;296~;4980=o63<13862>{t;891<7mt=230>20<5:8?68;4=23b>03<5:;m68:4=203>03<5:;968;4=3ga>00<5;l>68:4=3f1>03<5;n=68;4=22:>02<5;o868:4}r120?6=:r78=>4;9:?05<<1k2wx?<;50;0x96722?i01>3:1>v3<1785g>;4900>;6s|30594?4|5:;<6;m4=23;>0352z?05=<1k278=44:5:p74g=838p1>?n:7a896702b;296~;49k0=o63<20861>{t;8i1<73e<5:8:6884}r12`?6=:r78=i49c:?05=<2>2wx??9:478yv56n3:1>v3<1g85g>;49?0>:6s|33294?4|5:8;6;m4=23:>0052z?064<1k278=:4:6:p774=838p1><<:7a896432<<0q~==5;291~;5j;0?563=fc871>;5k=0?963=b9861>;4:=0=o6s|33494?4|5:8=6:84=21e>0252z?063<31278>l49c:p77>=838p1><7:7a8964f2{t;;h1<73e<5:8n68;4}r11g?6=:r78>i49c:?06`<2>2wx??h50;0xZ64a3498?78l;|q075<72;q6?>>56b9>764==<1v>=>:181854935<5sW98863<3785g>{t;:<1<73e<5:9=68:4}r103?6=:rT8?:5232:911=z{:936=4n{<3ae?3334;io7;;;<602?3234>8i7;9;<3g=?3234>8<7;;;<675?333499h7;;;<60f?313498478l;|q07<<72;qU?>74=21b>3e52z\07f=:;:n1:n5rs21f>5<5sW98i63<3g85g>{t;=:1<76}:9l=19952240911=:;=;1:n5rs261>5<5sW9?>63<42860>{t;=91<702<5:>86;m4}r170?6=:rT8895235792f=z{:>=6=4={_172>;4<>0=o6s|35:94?4|V:>370=;9;4`?xu40q~=;b;296~;6n90>863<4c85g>{t;=i1<77}Y;=o01>:i:7a8yv53n3:1>v3<2d85g>;486s|34294?4|V:?;70=:1;4`?xu4=80;6?u229a92f=:;<;1995rs271>5<5sW9>>63<52860>{t;<91<75<5sW9>863<54860>{t;02<5=9968:4=3a;>02<5:?>6;m4}r162?6=:rT89;5234592f=z{:?36=4={_16<>;4=00=o6s|34;94?4|5=9=6;m4=27:>02m7>52z\01d=:;5<5s4>9i78l;<16f?333ty89n4?:3y]70e<5:?o6;m4}r16`?6=:r7??k49c:?01a<2<2wx?8k50;0xZ63b349>j78l;|q01c<72;q68>m56b9>70`===1v>8?:181[518278:<491:p734=838p1>8<:7a896062=i0q~=94;296~X4>=16?;;56b9~w6022909w0:7}Y;?<01>88:7a8yv51?3:1>v3;3d85g>;4>>0>86s|37:94?4|V:<370=99;77?xu4>00;69u2316911=:<=9199522gd911=:;?31:n5rs24b>5<5sW9=m63<6c85g>{t;?i1<77}Y;?o01>8i:7a8yv51n3:1>v3>d885g>;4>o0>86s|36294?4|V:=;70=81;77?xu4?80;6?u226d911=:;>;1:n5rs251>5<5sW9<>63<72860>{t;>91<7ot=3g;>1?<5=9>68:4=51f>03<5=9:68:4=561>02<5;nn68;4=20`>00<5;i;68:4=0;4>02<5:=86;m4}r140?6==r78;9486:?747<2<278mn4:4:?03=<2=278554:5:p723=833p1>9::64891542h=:46896g>29j:47896>f2<>019=7:468yv50>3:1>v3<7487=>;4?o0=o6s|36594?4|5:=<6;m4=25g>0352z?03=<1k278;l4:5:p72?=838p1>96:7a8961a2<<0q~=8a;296~;4?h0=o63<7c862>{t;>h1<73e<5:=h68;4}r14g?6=:r78;n49c:?03a<2>2wx?:j50;0x961c2?i01>9j:448yv50m3:1>v3<7d85g>;4?o0>96s|39294?4|5:2;6;m4=25a>0352z?0<4<1k278;54:6:p7=4=838p1>6=:7a8961f2<<0q~=73;296~;40:0=o63<7b862>{t;1>1<73e<5:=268;4}r1;1?6=:r784849c:?03<<2>2wx?5850;0x96>12?i01>96:458yv5??3:1>v3<8685g>;4?00>46s|39:94?4|5:236:84=2;b>0252z?0<=<312785=49c:p7=g=838p1>6n:7a896?02<<0q~=7b;296~;40k0=o63<91863>{t;1i1<73e<5:2m68;4}r1;`?6=:r784i49c:?0<`<2=2wx?5k50;0x96>b2?i01>6i:448yv5?n3:1>v3<8g85g>;4190>:6s|38394?4|5:3:6;m4=2;;>007>52z?0=7<1k2784i4:6:p7<5=838p1>7<:7a896>b2<<0q~=64;296~;41=0=o63<91861>{t;0?1<73e<5:2i68;4}r1:2?6=:r785;49c:?02wx?4950;0x96?02?i01>6l:448yv5>03:1>v3<9985g>;40m0>96s|38;94?4|5:3j6;m4=2;a>00517y>77`5==<16?h:5559>7a1==<16?i65559>7a4==<16?i=5559>7fb==<16?nk5559>7f>==<16?n75559>7f5==<16?n:5559>7gc==<16?oh5559>7g?==<16?oo5559>7g2==<16?o;5559>7ae===16?ik5579~w6?c2909w0=6c;6:?85fj37}:;0o1:n5238d913=z{:3m6=4={<1:b?0d349j?7;9;|q0e5<72;q6?l>56b9>7d2==?1v>o>:18185f935<5s49j?78l;<1b7d2=>j16?l;5579~w6g22909w0=n5;4`?85f>3?>7p}7}:;h<1:n523`5910=z{:k<6=4={<1b3?0d349j47;9;|q0e=<72;q6?l656b9>7dg==<1v>o6:18185f135<5s49jo78l;<1b4?323ty8mi4?:3y>7db=>j16?l>5569~w6gb2909w0=ne;4`?85f93?=7p}7}:;hl1:n523`5913=z{:h;6=4={<1a4?0d349jm7;9;|q0f4<72;q6?o?56b9>7d4==<1v>l=:18185e:35<5s49i978l;<1a2?313ty8n84?:3y>7g0=>j16?o95579~w6d?2909w0=m9;4`?85ek3?>7p}7}:;kk1:n523c`913=z{:hj6=4={<1af?0d349io7;9;|q0fa<72;q6?ok56b9>7f7==<1v>lj:18185en35<5s49h?78l;<1`2?323ty8o>4?:3y>7f2=>j16?n;5579~w6e32909w0=l5;4`?85d>3?=7p}7}:;j21:n523b`910=z{:i36=4={<1`=?0d349hm7;9;|q0g<<72;q6?no56b9>7fd==?1v>ml:18185dl35<5s49hj78l;<1g4?313ty8h<4?:3y>7a4=>j16?i;5549~w6b52909w0=k3;4`?85c<3?=7p}7}:;m>1:n523e7913=z{:n=6=4={<1g3?0d349om7;:;|q0`2<72;q6?i656b9>7a?==?1v>j7:18185c135<5s49oh78l;<1gb?313ty8hi4?:3y>7ac=>j16?h?5579~w6bb2909w0=kf;4`?85b83?=7p}7}:;l:1:n523d3910=z{:o96=4={<1f7?0d349n:7;:;|q0a6<72;q6?h:56b9>7`3==?1v>k;:18185b=30;6ku23d5933=:<8=1995241c911=:<9o19852403911=:<9>199523d;910=:;ll199523g1911=:;o<198523`d911=:;k;19952391911=:;1i1985240`911=z{:o36=4={<1f3?2>349ni78l;|q0a<<72;q6?h756b9>7`d==<1v>kn:18185bi35<5s49no78l;<1f`?313ty8ii4?:3y>7`b=>j16?hk5579~w6ca2909w0=jf;4`?85a=3?=7p}7}:;o:1:n523df910=z{:l:6=4={<1e5?0d349m:7;9;|q0b7<72;q6?k<56b9>7`g==?1v>h<:18185a;35<5s49m978l;<1ff?313ty8j;4?:3y>7c0=>j16?hm5549~w6`0290nw0=i7;55?82603?>70:?f;77?826;3?>70:?0;77?85al3?>70=j9;75?85a<3??70=m0;77?85f:3?=70=87;75?85?>3??70=65;77?826l3?>7p}7}:;o=184523gd92f=z{:l26=4={<1e=?0d34>;97;9;|q0bd<72;q6?ko56b9>7ce==<1v>hm:18185aj35<5s49mh78l;<1ea?323ty8jh4?:3y>7cc=>j16?kh5579~w1672909w0:?0;4`?85aj3?=7p};0083>7}:<9;1:n52414910=z{=:96=4={<636?0d34>;:7;9;|q746<72;q68==56b9>7ce==?1v9>;:181827<35<5s4>;:78l;<1ee?313ty?<:4?:3y>051=>j16?kl5549~w16?290jw0:?8;55?826:3??70:?7;76?85a93??70=i5;76?85e:3??70=n3;76?850?3?>70=77;77?85>>3??7p};0883>7}:<921845241f92f=z{=:j6=4={<63e?0d34>:?7;9;|q74g<72;q68=l56b9>05e==<1v9>l:181827k31985rs52e>5<5s4>;j78l;<620?313ty?==4?:3y>046=>j168<;5549~w1762909w0:>1;4`?826=3?<7p};1383>7}:<881:n5241a912=z{=;86=4={<627?0d34>;h7;:;|q751<72;q68<:56b9>05d==<1v9?::181826=35<4s4>:5799;<613?3334>9?7;;;|q75d<72;q68<75449>04c=>j1v9?m:181826j3d;75?xu39j0;6?u240a92f=:<8o19;5rs53g>5<5s4>:h78l;<62a?323ty?=k4?:3y>04`=??168>o5559~w147290>w0:=0;55?82793?>70=n0;75?85?93??70=61;77?xu3:80;69u240;90<=:<;;1;;52436910=:<8i1995rs501>5<5s4>9<7:6;<610?0d3ty?>>4?:3y>075=>j168?:5579~w142290iw0:=5;55?827m3?=70:>0;75?827=3?>70=ia;76?85a83?>70=6f;76?85f93?>70=72;77?85?=3??70=64;77?xu3:?0;6?u243790<=:<;21:n5rs504>5<5s4>9;78l;<6144?:3y>07?=??168?65549~w14f2909w0:>f;6:?826037}:<;h1;;52355911=z{=8h6=4={<61f?2>34>9h78l;|q76c<72;q68?k5559>065=>j1v9=?:181824835<5s4>8>78l;<607?3?3ty??94?:3y>063=>j168>85579~w1502909w0:<8;4`?824j3?>7p};3983>7}:<:31:n5242`912=z{=926=4={<60e?0d34>8o7;9;|q77d<72;q68>l56b9>06e==<1v9:?:181824n3??70:;4;4`?xu3<80;6?u245392f=:<=>19;5rs561>5<5s4>?>78l;<670?303ty?8>4?:3y>015=>j1689:5599~ykda83:1=vF6a:mfc7=83;pD4o4}o`e6?6=9rB2m6sabg194?7|@0k0qcli4;295~N>i2wenk;50;3xL51zJ:e>{ijo=1<7?tH8c8ykda03:1=vF6a:mfc?=83;pD4o4}o`ee?6=9rB2m6sabg`94?7|@0k0qclic;295~N>i2wenkj50;3xL51zJ:e>{ijol1<7?tH8c8yke783:1=vF6a:mg57=83;pD4o4}oa36?6=9rB2m6sac1194?7|@0k0qcm?4;295~N>i2weo=;50;3xL51zJ:e>{ik9=1<7?tH8c8yke703:1=vF6a:mg5?=83;pD4o4}oa3e?6=9rB2m6sac1`94?7|@0k0qcm?c;295~N>i2weo=j50;3xL51zJ:e>{ik9l1<7?tH8c8yke683:1=vF6a:mg47=83;pD4o4}oa26?6=9rB2m6sac0194?7|@0k0qcm>4;295~N>i2weo<;50;3xL51zJ:e>{ik8=1<7?tH8c8yke603:1=vF6a:mg4?=83;pD4o4}oa2e?6=9rB2m6sac0`94?7|@0k0qcm>c;295~N>i2weo51zJ:e>{ik8l1<7?tH8c8yke583:1=vF6a:mg77=83;pD4o4}oa16?6=9rB2m6sac3194?7|@0k0qcm=4;295~N>i2weo?;50;3xL51zJ:e>{ik;=1<7?tH8c8yke503:1=vF6a:mg7?=83;pD4o4}oa1e?6=9rB2m6sac3`94?7|@0k0qcm=c;295~N>i2weo?j50;3xL51zJ:e>{ik;l1<7?tH8c8yke483:1=vF6a:mg67=83;pD4o4}oa06?6=9rB2m6sac2194?7|@0k0qcm<4;295~N>i2weo>;50;3xL51zJ:e>{ik:=1<7?tH8c8yke403:1=vF6a:mg6?=83;pD4o4}oa0e?6=9rB2m6sac2`94?7|@0k0qcmi2weo>j50;3xL51zJ:e>{ik:l1<7?tH8c8yke383:1=vF6a:mg17=83;pD4o4}oa76?6=9rB2m6sac5194?7|@0k0qcm;4;295~N>i2weo9;50;3xL51zJ:e>{ik==1<7?tH8c8yke303:1=vF6a:mg1?=83;pD4o4}oa7e?6=9rB2m6sac5`94?7|@0k0qcm;c;295~N>i2weo9j50;3xL52zJ:e>{ik=l1<7?tH8c8yke283:1=vF6a:mg07=83;pD4o4}oa66?6=9rB2m6sac4194?4|@0k0qcm:4;296~N>i2weo8;50;3xL:7>51zJ:e>{ik<=1<7i2weo8j50;0xLi7>51zJ:e>{iki2weo;;50;0xL51zJ:e>{ik?=1<7?tH8c8yke103:1>vF6a:mg3?=83;pD4o4}oa5e?6=9rB2m6sac7`94?4|@0k0qcm9c;295~N>i2weo;j50;3xL52zJ:e>{ik?l1<7?tH8c8yke083:1=vF6a:mg27=838pD4o4}oa46?6=9rB2m6sac6194?7|@0k0qcm84;296~N>i2weo:;50;3xL51zJ:e>{ik>=1<7i2weo:j50;0xL52zJ:e>{ik>l1<7vF6a:mg=7=838pD4o4}oa;6?6=9rB2m6sac9194?4|@0k0qcm74;296~N>i2weo5;50;3xL51zJ:e>{ik1=1<7vF6a:mg=?=838pD4o4}oa;e?6=:rB2m6sac9`94?4|@0k0qcm7c;296~N>i2weo5j50;0xL52zJ:e>{ik1l1<783:1>vF6a:mg<7=838pD4o4}oa:6?6=:rB2m6sac8194?4|@0k0qcm64;296~N>i2weo4;50;0xL51zJ:e>{ik0=1<7?tH8c8yke>03:1=vF6a:mgi2weo4j50;3xL51zJ:e>{ik0l1<7?tH8c8ykef83:1=vF6a:mgd7=83;pD4o4}oab6?6=9rB2m6sac`194?7|@0k0qcmn4;295~N>i2weol;50;3xL51zJ:e>{ikh=1<7?tH8c8ykef03:1=vF6a:mgd?=83;pD4o4}oabe?6=9rB2m6sac``94?7|@0k0qcmnc;295~N>i2weolj50;3xL51zJ:e>{ikhl1<7?tH8c8ykee83:1=vF6a:mgg7=83;pD4o4}oaa6?6=9rB2m6sacc194?7|@0k0qcmm4;295~N>i2weoo;50;3xL51zJ:e>{ikk=1<7?tH8c8ykee03:1=vF6a:mgg?=83;pD4o4}oaae?6=9rB2m6sacc`94?4|@0k0qcmmc;295~N>i2weooj50;3xL51zJ:e>{ikkl1<7i2weon;50;0xL51zJ:e>{ikj=1<7?tH8c8yked03:1>vF6a:mgf?=838pD4o4}oa`e?6=:rB2m6sacb`94?4|@0k0qcmlc;296~N>i2weonj50;3xL51zJ:e>{ikjl1<7?tH8c8ykec83:1=vF6a:mga7=83;pD4o4}oag6?6=9rB2m6sace194?7|@0k0qcmk4;295~N>i2weoi;50;3xL51zJ:e>{ikm=1<7?tH8c8ykec03:1=vF6a:mga?=83;pD4o4}oage?6=9rB2m6sace`94?7|@0k0qcmkc;295~N>i2weoij50;3xL51zJ:e>{ikml1<7?tH8c8ykeb83:1=vF6a:mg`7=83;pD4o4}oaf6?6=9rB2m6sacd194?7|@0k0qcmj4;295~N>i2weoh;50;3xL51zJ:e>{ikl=1<7?tH8c8ykeb03:1=vF6a:mg`?=83;pD4o4}oafe?6=9rB2m6sacd`94?7|@0k0qcmjc;295~N>i2weohj50;3xL51zJ:e>{ikll1<7?tH8c8ykea83:1=vF6a:mgc7=83;pD4o4}oae6?6=9rB2m6sacg194?7|@0k0qcmi4;295~N>i2weok;50;3xL51zJ:e>{iko=1<7?tH8c8ykea03:1=vF6a:mgc?=83;pD4o4}oaee?6=9rB2m6sacg`94?7|@0k0qcmic;295~N>i2weokj50;3xL51zJ:e>{ikol1<7?tH8c8ykb783:1=vF6a:m`57=83;pD4o4}of36?6=9rB2m6sad1194?7|@0k0qcj?4;295~N>i2weh=;50;3xL51zJ:e>{il9=1<7?tH8c8ykb703:1=vF6a:m`5?=83;pD4o4}of3e?6=9rB2m6sad1`94?7|@0k0qcj?c;295~N>i2weh=j50;3xL51zJ:e>{il9l1<7?tH8c8ykb683:1=vF6a:m`47=83;pD4o4}of26?6=9rB2m6sad0194?7|@0k0qcj>4;295~N>i2weh<;50;3xL51zJ:e>{il8=1<7?tH8c8ykb603:1=vF6a:m`4?=83;pD4o4}of2e?6=9rB2m6sad0`94?7|@0k0qcj>c;295~N>i2weh51zJ:e>{il8l1<7?tH8c8ykb583:1=vF6a:m`77=83;pD4o4}of16?6=9rB2m6sad3194?7|@0k0qcj=4;295~N>i2weh?;50;3xL51zJ:e>{il;=1<7?tH8c8ykb503:1=vF6a:m`7?=838pD4o4}of1e?6=9rB2m6sad3`94?7|@0k0qcj=c;295~N>i2weh?j50;3xL52zJ:e>{il;l1<7?tH8c8ykb483:1>vF6a:m`67=83;pD4o4}of06?6=:rB2m6sad2194?7|@0k0qcj<4;296~N>i2weh>;50;3xL52zJ:e>{il:=1<7vF6a:m`6?=83;pD4o4}of0e?6=9rB2m6sad2`94?7|@0k0qcji2weh>j50;0xL52zJ:e>{il:l1<7i2weh9;50;3xL51zJ:e>{il==1<7?tH8c8ykb303:1=vF6a:m`1?=83;pD4o4}of7e?6=9rB2m6sad5`94?7|@0k0qcj;c;295~N>i2weh9j50;3xL51zJ:e>{il=l1<7?tH8c8ykb283:1=vF6a:m`07=83;pD4o4}of66?6=9rB2m6sad4194?7|@0k0qcj:4;295~N>i2weh8;50;3xL:7>51zJ:e>{il<=1<7?tH8c8ykb203:1=vF6a:m`0?=83;pD4o4}of6e?6=9rB2m6sad4`94?7|@0k0qcj:c;295~N>i2weh8j50;3xLi7>51zJ:e>{ili2weh;;50;3xL51zJ:e>{il?=1<7?tH8c8ykb103:1=vF6a:m`3?=83;pD4o4}of5e?6=9rB2m6sad7`94?7|@0k0qcj9c;295~N>i2weh;j50;3xL51zJ:e>{il?l1<7?tH8c8ykb083:1=vF6a:m`27=83;pD4o4}of46?6=9rB2m6sad6194?7|@0k0qcj84;295~N>i2weh:;50;3xL51zJ:e>{il>=1<7?tH8c8ykb003:1=vF6a:m`2?=83;pD4o4}of4e?6=9rB2m6sad6`94?7|@0k0qcj8c;295~N>i2weh:j50;3xL51zJ:e>{il>l1<7?tH8c8ykb?83:1=vF6a:m`=7=83;pD4o4}of;6?6=9rB2m6sad9194?7|@0k0qcj74;295~N>i2weh5;50;3xL51zJ:e>{il1=1<7?tH8c8ykb?03:1=vF6a:m`=?=83;pD4o4}of;e?6=9rB2m6sad9`94?7|@0k0qcj7c;295~N>i2weh5j50;3xL51zJ:e>{il1l1<7?tH8c8ykb>83:1=vF6a:m`<7=83;pD4o4}of:6?6=9rB2m6sad8194?7|@0k0qcj64;295~N>i2weh4;50;3xL51zJ:e>{il0=1<7?tH8c8ykb>03:1=vF6a:m`i2weh4j50;3xL51zJ:e>{il0l1<7?tH8c8ykbf83:1=vF6a:m`d7=83;pD4o4}ofb6?6=9rB2m6sad`194?7|@0k0qcjn4;295~N>i2wehl;50;3xL51zJ:e>{ilh=1<7?tH8c8ykbf03:1=vF6a:m`d?=83;pD4o4}ofbe?6=9rB2m6sad``94?7|@0k0qcjnc;295~N>i2wehlj50;3xL51zJ:e>{ilhl1<7?tH8c8ykbe83:1=vF6a:m`g7=83;pD4o4}ofa6?6=9rB2m6sadc194?7|@0k0qcjm4;295~N>i2weho;50;3xL51zJ:e>{ilk=1<7?tH8c8ykbe03:1=vF6a:m`g?=83;pD4o4}ofae?6=9rB2m6sadc`94?7|@0k0qcjmc;295~N>i2wehoj50;3xL51zJ:e>{ilkl1<7?tH8c8ykbd83:1=vF6a:m`f7=83;pD4o4}of`6?6=9rB2m6sadb194?7|@0k0qcjl4;295~N>i2wehn;50;3xL51zJ:e>{ilj=1<7?tH8c8ykbd03:1=vF6a:m`f?=83;pD4o4}of`e?6=9rB2m6sadb`94?7|@0k0qcjlc;295~N>i2wehnj50;3xL51zJ:e>{iljl1<7?tH8c8ykbc83:1=vF6a:m`a7=83;pD4o4}ofg6?6=9rB2m6sade194?7|@0k0qcjk4;295~N>i2wehi;50;3xL51zJ:e>{ilm=1<7?tH8c8ykbc03:1=vF6a:m`a?=83;pD4o4}ofge?6=9rB2m6sade`94?7|@0k0qcjkc;295~N>i2wehij50;3xL51zJ:e>{ilml1<7?tH8c8ykbb83:1=vF6a:m``7=83;pD4o4}off6?6=9rB2m6sadd194?7|@0k0qcjj4;295~N>i2wehh;50;3xL51zJ:e>{ill=1<7?tH8c8ykbb03:1=vF6a:m``?=83;pD4o4}offe?6=9rB2m6sadd`94?7|@0k0qcjjc;295~N>i2wehhj50;3xL51zJ:e>{illl1<7?tH8c8ykba83:1=vF6a:m`c7=83;pD4o4}ofe6?6=9rB2m6sadg194?7|@0k0qcji4;295~N>i2wehk;50;3xL51zJ:e>{ilo=1<7?tH8c8ykba03:1=vF6a:m`c?=83;pD4o4}ofee?6=9rB2m6sadg`94?7|@0k0qcjic;295~N>i2wehkj50;3xL51zJ:e>{ilol1<7?tH8c8ykc783:1=vF6a:ma57=83;pD4o4}og36?6=9rB2m6sae1194?7|@0k0qck?4;295~N>i2wei=;50;3xL51zJ:e>{im9=1<7?tH8c8ykc703:1=vF6a:ma5?=83;pD4o4}og3e?6=9rB2m6sae1`94?7|@0k0qck?c;295~N>i2wei=j50;3xL51zJ:e>{im9l1<7?tH8c8ykc683:1=vF6a:ma47=83;pD4o4}og26?6=9rB2m6sae0194?7|@0k0qck>4;295~N>i2wei<;50;3xL51zJ:e>{im8=1<7?tH8c8ykc603:1=vF6a:ma4?=83;pD4o4}og2e?6=9rB2m6sae0`94?7|@0k0qck>c;295~N>i2wei51zJ:e>{im8l1<7?tH8c8ykc583:1=vF6a:ma77=83;pD4o4}og16?6=9rB2m6sae3194?7|@0k0qck=4;295~N>i2wei?;50;3xL51zJ:e>{im;=1<7?tH8c8ykc503:1=vF6a:ma7?=83;pD4o4}og1e?6=9rB2m6sae3`94?7|@0k0qck=c;295~N>i2wei?j50;3xL51zJ:e>{im;l1<7?tH8c8ykc483:1=vF6a:ma67=83;pD4o4}og06?6=9rB2m6sae2194?7|@0k0qck<4;295~N>i2wei>;50;3xL51zJ:e>{im:=1<7?tH8c8ykc403:1=vF6a:ma6?=83;pD4o4}og0e?6=9rB2m6sae2`94?7|@0k0qcki2wei>j50;3xL51zJ:e>{im:l1<7?tH8c8ykc383:1=vF6a:ma17=83;pD4o4}og76?6=9rB2m6sae5194?7|@0k0qck;4;295~N>i2wei9;50;3xL51zJ:e>{im==1<7?tH8c8ykc303:1=vF6a:ma1?=83;pD4o4}og7e?6=9rB2m6sae5`94?7|@0k0qck;c;295~N>i2wei9j50;3xL51zJ:e>{im=l1<7?tH8c8ykc283:1=vF6a:ma07=83;pD4o4}og66?6=9rB2m6sae4194?7|@0k0qck:4;295~N>i2wei8;50;3xL:7>51zJ:e>{im<=1<7?tH8c8ykc203:1=vF6a:ma0?=83;pD4o4}og6e?6=9rB2m6sae4`94?7|@0k0qck:c;295~N>i2wei8j50;3xLi7>51zJ:e>{imi2wei;;50;3xL51zJ:e>{im?=1<7?tH8c8ykc103:1=vF6a:ma3?=83;pD4o4}og5e?6=9rB2m6sae7`94?7|@0k0qck9c;295~N>i2wei;j50;3xL51zJ:e>{im?l1<7?tH8c8ykc083:1=vF6a:ma27=83;pD4o4}og46?6=9rB2m6sae6194?7|@0k0qck84;295~N>i2wei:;50;3xL51zJ:e>{im>=1<7?tH8c8ykc003:1=vF6a:ma2?=83;pD4o4}og4e?6=9rB2m6sae6`94?7|@0k0qck8c;295~N>i2wei:j50;3xL51zJ:e>{im>l1<7?tH8c8ykc?83:1=vF6a:ma=7=83;pD4o4}og;6?6=9rB2m6sae9194?7|@0k0qck74;295~N>i2wei5;50;3xL51zJ:e>{im1=1<7?tH8c8ykc?03:1=vF6a:ma=?=83;pD4o4}og;e?6=9rB2m6sae9`94?7|@0k0qck7c;295~N>i2wei5j50;3xL51zJ:e>{im1l1<7?tH8c8ykc>83:1=vF6a:ma<7=83;pD4o4}og:6?6=9rB2m6sae8194?7|@0k0qck64;295~N>i2wei4;50;3xL51zJ:e>{im0=1<7?tH8c8ykc>03:1=vF6a:mai2wei4j50;3xL51zJ:e>{im0l1<7?tH8c8ykcf83:1=vF6a:mad7=83;pD4o4}ogb6?6=9rB2m6sae`194?7|@0k0qckn4;295~N>i2weil;50;3xL51zJ:e>{imh=1<7?tH8c8ykcf03:1=vF6a:mad?=83;pD4o4}ogbe?6=9rB2m6sae``94?7|@0k0qcknc;295~N>i2weilj50;3xL51zJ:e>{imhl1<7?tH8c8ykce83:1=vF6a:mag7=83;pD4o4}oga6?6=9rB2m6saec194?7|@0k0qckm4;295~N>i2weio;50;3xL51zJ:e>{imk=1<7?tH8c8ykce03:1=vF6a:mag?=83;pD4o4}ogae?6=9rB2m6saec`94?7|@0k0qckmc;295~N>i2weioj50;3xL51zJ:e>{imkl1<7?tH8c8ykcd83:1=vF6a:maf7=83;pD4o4}og`6?6=9rB2m6saeb194?7|@0k0qckl4;295~N>i2wein;50;3xL51zJ:e>{imj=1<7?tH8c8ykcd03:1=vF6a:maf?=83;pD4o4}og`e?6=9rB2m6saeb`94?7|@0k0qcklc;295~N>i2weinj50;3xL51zJ:e>{imjl1<7?tH8c8ykcc83:1=vF6a:maa7=83;pD4o4}ogg6?6=9rB2m6saee194?7|@0k0qckk4;295~N>i2weii;50;3xL51zJ:e>{imm=1<7?tH8c8ykcc03:1=vF6a:maa?=83;pD4o4}ogge?6=9rB2m6saee`94?7|@0k0qckkc;295~N>i2weiij50;3xL51zJ:e>{imml1<7?tH8c8ykcb83:1=vF6a:ma`7=83;pD4o4}ogf6?6=9rB2m6saed194?7|@0k0qckj4;295~N>i2weih;50;3xL51zJ:e>{iml=1<7?tH8c8ykcb03:1=vF6a:ma`?=83;pD4o4}ogfe?6=9rB2m6saed`94?7|@0k0qckjc;295~N>i2weihj50;3xL51zJ:e>{imll1<7?tH8c8ykca83:1=vF6a:mac7=83;pD4o4}oge6?6=9rB2m6saeg194?7|@0k0qcki4;295~N>i2weik;50;3xL51zJ:e>{imo=1<7?tH8c8ykca03:1=vF6a:mac?=83;pD4o4}ogee?6=9rB2m6saeg`94?7|@0k0qckic;295~N>i2weikj50;3xL51zJ:e>{zutJKOv?=0d8`47>?;?nvLMLt0|BCT~{GH \ No newline at end of file +$51`4=79;1:>7AZTQWW>WG;980;2<:4228JJUSS2HUM_O2=3;3=b>5=AGZ^X7]X<282:1=FFM8?7L@K359BJA233HDO995NNE47?DHC001JSK]M<02==>GXNZH7=<06;@]EWG:6:730MRH\B=30:<=FWOYI0<:19:C\BVD;9<427LQISC>22;?89B[CUE482556OPFR@?5<8?3HUM_O2>>89B[CUE4;:556OPFR@?648>3HUM_O2=2?`8EZ@TJ5886<06;@]EWG:5;720MRH\B=0=<>GXNZH7?364A^DPF92902KTJ^L35?:8EZ@TJ5<546OPFR@?3;>3^KAQCbGXNZHT=;QFBTDg?DYA[KU:;RGMUGf8EZ@TJV;3SDLZFe9B[CUEW83TEO[Ic:C\BVDX9VCIYKj4A^DPFZ47W@H^Ji5N_GQA[77XAK_Mh6OPFR@\67YNJ\Lo7LQISC]17ZOE]Oi0MRH\B^0\MGSAk2KTJ^LP3^KAQCeGXNZHT9RGMUGa8EZ@TJVXAK_Mo6OPFR@\=ZOE]O>0NLM[8:@VWZOINF;0O95L17O:?F71EVCIYK84C32NAKdB03Mkm1>17:Fbpd:6681N:6KPICWE0>@DDB30JNBD_H@VB7=AL=1MHNK>;F18CKB63@80E=<4I008M74>0ELM\4:KAQC?JHO@IJ@l5CPL][KW4X9;1E<>5A0008J41;N68KGSA=2EIYKK<;NP17>IU;81[o6^!21305574WE>0\L\[a:RJJZDR[@NSn6^FN^@VWKGJM81Z96\N<1<5?WG;99437_O31083:3=UI5;:285]A=3=1>TF4;4>7_O33?78VD:36<1YM1;15:PB83823[K7;3;4R@>;:0=UI53596\NMBQ:?WGJW[ojht74R@]3[JDRNh1YMR??_N@VBd=UIV;:SBLZF89QEZ7XGK_M56\N_3]LFP@>3[KT?RAMUG;8VDY3WFH^J45]A^7\KGSA12XJS;Q@BTD:?WGX?VEIYK74R@];[JDRN01YMR7POCWE1>THEJY=7_k|umv5?VIRZJO>7^Y31?78WR:56>1X[1=51?78WR:46=1_U]Kl;TQFVZPN[@HGI>5YCB;8RLCPW]S[I;5XasrPA4b4Xeo\Idlhz_oydaa119[`hYJageyZh||inl5?]i;87;87U}{a:amp*Gh}}EN=?5lnu-BkprHMVg~t=>?0018gkr(IfCHQbuy234576;2iex"O`uuMF[hs89:;>45lnu-JTI4u981hby!FPM0q[kis89::=?5lnu-JTI4uWge<=>>1e9`jq)K\`gn~1>1e:amp*JSadoy0<>1e:amp*JSadoy03j4cov,HQojm{682i5lnu-OPlkbz5>5h6mat.NWmhcu4<4o7n`{/MVji`t;>7n0ocz LUknaw:06m1hby!CThofv9>9l2iex"B[ilgq8<8d3jd#AZfmdp\440Pnnv345669<1hby!CThofvZ6XWhdo<=>?179`jq)K\`gn~R>P_`lg456798<0ocz LUknawY7WVkeh=>?0335?fhs'E^bah|P0^]bja67899h7n`{/MVji`tX9m1hby!CThofvZ779?1hby!CThofvZ77WVkeh=>?0058gkr(D]cfiQ>0^]bja6789;:;6mat.NWmhcuW8:TSl`k01236a=df}%GXdcjr^3253=df}%GXdcjr^32[Zgil9:;<<94cov,HQojm{U:=RQnne234576?2iex"B[ilgq[47XWhdo<=>?2e9`jq)K\`gn~R?=179`jq)K\`gn~R?=_^cm`56788=0ocz LUknawY6:VUjbi>?01323>ei|&F_e`k}_00\[dhc89:;>i5lnu-OPlkbzV;8=;5lnu-OPlkbzV;8SRoad1234417:amp*JSadoyS<=P_`lg4567:8?0ocz LUknawY6WVkeh=>?0048gkr(D]cfiQ>_^cm`56788;=7n`{/MVji`tX9VUjbi>?010`?fhs'E^bah|P2078gkr(D]cfiQ=_^cm`56788<0ocz LUknawY5WVkeh=>?0035?fhs'E^bah|P2^]bja67898h7n`{/MVji`tX;8?0ocz LUknawY4WVkeh=>?0048gkr(D]cfiQ<_^cm`56788;=7n`{/MVji`tX;VUjbi>?010`?fhs'E^bah|P4078gkr(D]cfiQ;_^cm`56788<0ocz LUknawY3WVkeh=>?0035?fhs'E^bah|P4^]bja67898h7n`{/MVji`tX=8?0ocz LUknawY2WVkeh=>?0048gkr(D]cfiQ:_^cm`56788;=7n`{/MVji`tX=VUjbi>?010`?fhs'E^bah|P6078gkr(D]cfiQ9_^cm`56788<0ocz LUknawY1WVkeh=>?0035?fhs'E^bah|P6^]bja67898h7n`{/MVji`tX?8?0ocz LUknawY0WVkeh=>?0048gkr(D]cfiQ8_^cm`56788;=7n`{/MVji`tX?VUjbi>?010`?fhs'E^bah|P8078gkr(D]cfiQ7_^cm`56788<0ocz LUknawY?WVkeh=>?0035?fhs'E^bah|P8^]bja67898h7n`{/MVji`tX18?0ocz LUknawY>WVkeh=>?0048gkr(D]cfiQ6_^cm`56788;=7n`{/MVji`tX1VUjbi>?0101<>ei|&F_e`k}_Lcg`ZYflm:;<=QBxnp\V`urd}6:<3<7;blw+IRnelxTAljk_^cg`5678VGscQ]erwop9766;20ocz LUknawYJimnTSljk0123[H~hzVXnxb{<00=6==df}%GXdcjr^Ob`aYXimn;<=>PMymq[Wct}e~7=>0=7:amp*JSadoyS@okd^]b`a6789UFtb|PRdqvhq:66;=0ocz LUknawYJimnTSljk0123[H~hzVXnxb{<3<13>ei|&F_e`k}_Lcg`ZYflm:;<=QBxnp\V`urd}682?94cov,HQojm{UFmijP_`fg4567WDrd~R\jstnw8185?2iex"B[ilgq[HgclVUjhi>?01]N|jtXZly~`y2:>358gkr(D]cfiQBaef\[dbc89:;S@v`r^Pfwpjs4?49;6mat.NWmhcuWDkohRQnde2345YJpfxT^h}zlu>4:71?_LzlvZTb{|f050=7:amp*JSadoyS@okd^]b`a6789UFtb|PRdqvhq:>6;;0ocz LUknawYJimnTSljk0123[Zgil9:;?0002?fhs'E^bah|PM`fg[Zgcl9:;?0^]bja6788;9=6mat.NWmhcuWDkohRQnde2345YXign;<=<=2:amp*JSadoyS@okd^]b`a6789UTmcj?010264=df}%GXdcjr^Ob`aYXimn;<=>P_`lg4564:;1hby!CThofvZKflmUTmij?012\[dhc89:8=??4cov,HQojm{UFmijP_`fg4567WVkeh=>?4308gkr(D]cfiQBaef\[dbc89:;SRoad12304463jd#AZfmdp\IdbcWVkoh=>?0^]bja678<897n`{/MVji`tXEhnoSRokd1234ZYffm:;<8?=1:amp*JSadoyS@okd^]b`a6789UTmcj?01416>ei|&F_e`k}_Lcg`ZYflm:;<=QPaof34506:81hby!CThofvZKflmUTmij?012\[dhc89:<>?5lnu-OPlkbzVGjhiQPaef3456XWhdo<=>81308gkr(D]cfiQBaef\[dbc89:;SRoad12337463jd#AZfmdp\IdbcWVkoh=>?0^]bja6781897n`{/MVji`tXEhnoSRokd1234ZYffm:;<5?=1:amp*JSadoyS@okd^]b`a6789UTmcj?01;16>ei|&F_e`k}_Lcg`ZYflm:;<=QPaof345?6:;1hby!CThofvZKflmUTmij?012\[dhc89:2>?<4cov,HQojm{UFmijP_`fg4567WVkeh=>?9202?fhs'E^bah|PM`fg[Zgcl9:;?0^]bja6799;9=6mat.NWmhcuWDkohRQnde2345YXign;<1hby!CThofvZYflm:;<=2>2?34?fhs'E^bah|P_`fg45674895=;5lnu-OPlkbzVUjhi>?01>2:403?9;blw+IRnelxTSljk01238686>2iex"B[ilgq[Zgcl9:;<1:1179`jq)K\`gn~RQnde2345:268<0ocz LUknawYXimn;<=>36?35?fhs'E^bah|P_`fg45674>4::6mat.NWmhcuWVkoh=>?0=:=53=df}%GXdcjr^]b`a6789622<<4cov,HQojm{Ujbi>?0130?fhs'E^bah|Paof34566981hby!_OSQ\BVKXNOn:=95lnu-SKWUXNZGTJKj>-Hl21>ei|&ZD^^QISL]EBa7*Ag;:96mat.RLVVYA[DUMJi?"Io021>ei|&ZD^^QISL]EBa7*Ag9:96mat.RLVVYA[DUMJi?"Io625>ei|&ZD^^QISL]EBa46<2iex"^@RR]EWHYANm8&Ec?:;blw+UIU[VLXARHId3/Jj4723jd#]A]S^DPIZ@Al;'Bb??:;blw+UIU[VLXARHId3/Jj6723jd#]A]S^DPIZ@Al;'Bb9?:;blw+UIU[VLXARHId3/Jj0763jd#]A]S^DPIZIr|:;97n`{/QMQWZ@TEVE~x>?>2:amp*VHZZUM_@Q@uu1156=df}%[C_]Pclr\at678:k0ocz RddQat763jd#_kiRds\kw6789;97n`{/SgeV`wXg{:;<=?>2:amp*Tbn[ozSb|?012157=df}%Yik\jq^mq4567;880ocz RddQatYhz9:;<9?=;blw+WcaZl{Tc>?01726>ei|&Xnj_k~_np345619;1hby!]egPfuZiu89:;;<<4cov,V``UmxUd~=>?09f8gkr(Zll^~jkat068gkr(Zll^~jkat^mq45679<1hby!]egWqc`hsWfx;<=>>149`jq)Umo_ykh`{_np345659<1hby!]egWqc`hsWfx;<=><149`jq)Umo_ykh`{_np345639<1hby!]egWqc`hsWfx;<=>:149`jq)Umo_ykh`{_np345619<1hby!]egWqc`hsWfx;<=>8149`jq)Umo_ykh`{_np3456?k2iex"Zfmdp?4;eei|&^bah|32?a8gkr(\`gn~1=1b:amp*Rnelx_O<84cov,Plkbz]ITo`~Pep2345703jd#YgbesV@[fkwWl{;<=>>169`jq)SadoyXNQlmq]fu5678;;<7n`{/UknawRDWjg{Sh?012052=df}%_e`k}TB]`iuYby9:;<9l4cov,PlkbzV::86mat.Vji`tX8VUjbi>?0136?fhs']cfiQ?_^cm`56788;>7n`{/UknawY7WVkeh=>?0336?fhs']cfiQ?_^cm`5678:h0ocz ThofvZ76<2iex"Zfmdp\5ZYffm:;<=?:;blw+Qojm{U:SRoad12344723jd#Ygbes]2[Zgil9:;?0136?fhs']cfiQ=_^cm`56788;>7n`{/UknawY5WVkeh=>?03`8gkr(\`gn~R=>4:amp*RnelxT?RQnne2345723jd#Ygbes]0[Zgil9:;<?_LzlvZTb{|f0<0=6:amp*RnelxTAljk_^cg`5678VGscQ]erwop949:?1hby![ilgq[HgclVUjhi>?01]N|jtXZly~`y2<>328gkr(\`gn~RCnde]\eab789:TSl`k012364=df}%_e`k}_Lcg`ZYflm:;<=QPaof34566:91hby![ilgq[HgclVUjhi>?01]\ekb789;9=6mat.Vji`tXEhnoSRokd1234ZYffm:;<5:amp*RnelxTSljk01238486=2iex"Zfmdp\[dbc89:;0?0>5:amp*RnelxTSljk0123868e3jd#cL]_HMA56=df}%eN_QFOC]nq}6789;?7n`{/o@Q[LIEWds<=>?1068gkr(fKXTEBLPmtz345659=1hby!aBS]JKGYj}q:;<==>4:amp*hEZVCDNRczx12341733jd#cL]_HMA[hs89:;9<:4cov,jGTXAFHTaxv?0125f>ei|&dYI^azt018gkr(f[OXcxzPmtz34566<2iex"`]ERmvpZkrp9:;<et'@EH_964cr-JKFU202ix#DALS7:8gv)NGJY<46m|/HM@W=>55ls.MGGV5?3jy$CIM\499`w*TFEJY27n} R@O@W4?3jy$^LCLS4;8gv)UIDIX:45ls.PBIFU012ix#_OBCR:;?fu(ZFGH_45ls.PLIFU612ix#_ABCR0:?fu(ZFGH_>74cr-QKHET<01h"\@MBQ6=>et'[EFO^86;bq,VJKD[>i0o~!aOwgqhd79=1h"`@vdpoe|6Xign;<=>>5:ap+kIqm{fju=Qnne23457d3jy$bBxjrmcz541>4:dpf*Tbims;~RQ`r12344733oyi#_kndx2q[Ziu89:;><:4fr`,V`gcq9xTSb|?0120f>`tj&Xnmiw>r018bvd(Zlkou<|P_np34566<2lxn"\jae{2vZYhz9:;<4fr`,RUGXWfx;<=>>119ewg)QXHUTc>?01024>`tj&\[MRQ`r12346773oyi#[^N_^mq4567<8:0j~l VQC\[jt789:>==5isc-UTDYXg{:;<=8>0:dpf*PWIVUd~=>?06c8bvd(fM^JOF?=;gqa+kBSIJATSb|?01227>`tj&dOXLMD_^mq45679890j~l nEVBGNYXg{:;<=<7;gqa+kPWI01mo!aVQC2=>`tj&d]\L<6;hmai*GKM9;:7damm.COA5Yj}q:;<=?=;hmai*GKM9Ufyu>?01326>ohjd%J@H>Pmtz345659;1bcoc AMG3[hs89:;?<<4in`n+DJB8Vg~t=>?05;8mjdj'KOY^l5foco,F@TU9k1bcoc BDPQvcc>1`9jkgk(L]KHGo5foco,@QGDC8i0eblb/EVBGNtak2cdn`!KT@AHvw733`eia"J[ABIqvZhh|9:;=<;4in`n+ARFKBxySca{01225g=ngkg$Hb{{OD30?liee&NdyyAJ_`lg45679=1bcoc DnwwK@Yffm:;<=?>4:klfh)Cg|~DIRoad12347g?0130?liee&OXS=QPaof345669:1bcoc ER]3[Zgil9:;>9:klfh)B[V8:>6g`bl-FWZ4XWhdo<=>?129jkgk(MZU9SRoad12344?5foco,AVY3WVkeh=>?0007?liee&OXS@okd^]b`a6789UFtb|PRdqvhq:66;>0eblb/DQ\IdbcWVkoh=>?0^O{kwYUmzgx1<1259jkgk(MZUFmijP_`fg4567WDrd~R\jstnw8685<2cdn`!JS^Ob`aYXimn;<=>PMymq[Wct}e~783?j;hmai*CTWDkohRQnde2345YXign;<=>>f:klfh)B[VGjhiQPaef3456XWhdo<=>?10g8mjdj'LYTAljk_^cg`5678VUjbi>?003e?liee&OXS@okd^]b`a6789UTmcj?01325`=ngkg$I^QBaef\[dbc89:;SRoad12364`5foco,AVYVW8Ud~=>?0030?liee&OXS\Q>_np345659:1bcoc ER]R[4Yhz9:;<>?<;hmai*CTWVkoh=>?0=3=56=ngkg$I^QPaef3456;:7;87damm.GP[Zgcl9:;<1=1129jkgk(MZUTmij?012?0;`h5foco,AVYhz9:;?4g9jkgk(MZUd~=>?04d8mjdj'LYTc>?014e?liee&OXSb|?0124b>ohjd%N_Ra}0123?01027>ohjd%NXLMD_`lg4567;890eblb/DVBGNYffm:;<=:>3:klfh)B\HI@Sl`k0123145ohjd%N~?o4in`n+LIFK];97damm.KLEFRXign;<=>>3:klfh)NGHI_Sl`k0123545?3018mjdj'@EJOYQnne234526;2cdn`!FO@AW[dhc89:;9<=4in`n+LIFK]Ujbi>?01427>ohjd%BCLM[_`lg4567?880eblb/HMBGQYj}q:;<=?<;hmai*OHIJ^Taxv?012256=ngkg$EBOLT^ov|5678;;87damm.KLEFRXe|r;<=><129jkgk(AFKHXRczx12341743`eia"G@ABV\ip~789:>=>5foco,MJGD\Vg~t=>?0730?liee&CDMNZPmtz34560j2cdn`!FOCGQV45?0036?liee&CDNH\]_lw{456799;>7damm.KLF@TUWds<=>?1036?liee&CDNH\]_lw{45679;;>7damm.KLF@TUWds<=>?1236?liee&CDNH\]_lw{45679=;?7damm.KLF@TUWds<=>?2068mjdj'@EII_\Pmtz345649=1bcoc IN@FVWYj}q:;<=:>4:klfh)NGKOY^Rczx12340733`eia"G@BDPQ[hs89:;:<:4in`n+LIEM[XTaxv?012451=ngkg$EBLJRS]nq}67892:86g`bl-JKGCUZVg~t=>?08`8mjdj'@EYI\|>1:klfh)NGZUM_@QIFe320>ohjd%BC^QISL]EBa7*Ag;>7damm.KLWZ@TEVLMh<#Fn036?liee&CD_RH\M^DE`4+Nf;;>7damm.KLWZ@TEVLMh<#Fn236?liee&CD_RH\M^DE`4+Nf=;:7damm.KLWZ@TEVLMh??;;hmai*OH[VLXARHId3/Jj436:klfh)NGZUM_@QIFe0.Mk759<1bcoc INQ\BVKXNOn9!D`=149jkgk(AFYTJ^CPFGf1)Lh49<1bcoc INQ\BVKXNOn9!D`;149jkgk(AFYTJ^CPFGf1)Lh29<1bcoc INQ\BVKXNOn9!D`9149jkgk(AFYTJ^CPFGf1)Lh09<1bcoc INQ\BVKXNOn9!D`7149jkgk(AFYTJ^CPFGf1)Lh>981bcoc INQ\BVKXNOn8=95foco,MJUXNZGTJKj<-Hl21>ohjd%BC^QISL]EBa5*Ag;:96g`bl-JKVYA[DUMJi="Io021>ohjd%BC^QISL]EBa5*Ag9:96g`bl-JKVYA[DUMJi="Io621>ohjd%BC^QISL]EBa5*Ag?:96g`bl-JKVYA[DUMJi="Io421>ohjd%BC^QISL]EBa5*Ag=:96g`bl-JKVYA[DUMJi="Io:21>ohjd%BC^QISL]EBa5*Ag337damm.PFW<=ngkg$^H]>a:klfh)UMZxmm6g`bl-QAVtu9;1bcoc RDQqvZhh|9:;=<=4in`n+WCTz{Uecy>?003b?liee&\[Mhn;hmai*PWI{x:>6g`bl-UTDtuWge<=>>129jkgk(^YKy~R``t12354dohjd%eM^azt^llp56798>0eblb/oCPkprXff~;<=?>159jkgk(fHYdyyQaou234446<2cdn`!aARmvpZhh|9:;=>?;;hmai*hF[fSca{01220g=ngkg$bIgaLD31?liee&dOecBJ_np34566;2cdn`!aDhlOAZiu89:;=n5foco,jAir|FOo7damm.lGkprHM8n0eblb/oFlqqIB:m1bcoc nEmvpJC412cdn`!aLEQ25>ohjd%e@I]Pnnv34576:2cdn`!aLEQ\jjr789;:=?5foco,jIBTWge<=>>2008mjdj'gFO_R``t12356773`eia"`CDR]lv56798;0eblb/oNGWZiu89::=>2038mjdj'gFO_Ra}0122747>6038mjdj'gFO_Ra}01223<=ngkg$bXJ\109jkgk(f\NXSca{012257=ngkg$bXJ\_omw45669880eblb/oWGWZhh|9:;=??=;hmai*hRLZUecy>?001:?liee&d]AL?=;hmai*hQEHUTmcj?01227>ohjd%eZ@OP_`lg456798;0eblb/oTNEZYhz9:;<<<4in`n+kPJIVUd~=>?0031?liee&d]ALQPos234546:2cdn`!aVLC\[jt789:8=?5foco,jSKFWVey<=>?4008mjdj'g\FMRQ`r12340753`eia"`YM@]\kw6789<:>6g`bl-mRHGXWfx;<=>8109jkgk(f_GJSl`k012357=ngkg$b[CN_`lg45679880eblb/oTNEZgil9:;?01126>ohjd%eZ@OPaof345639;1bcoc nWOB[dhc89:;9<<4in`n+kPJIVkeh=>?0731?liee&d]ALQnne23451>3`ei"OCE1;8mjdt'HFN=?1008mjdt'HFN=RQ`r12354dohjz%H`ho}1^]bja6789;?7dams.Aoadt6Whdo<=>?1c9jkgu(AFKHXh4in`p+LIE[VXnmiw>0:klfv)NGKYT^hoky033?lie{&CDN^Q]e`fz6461bco} IN@P[WcflpUjbi>?013;?lie{&CDN^Q]e`fz[dhc89:;=<64in`p+LIE[VXnmiwPaof34565911bco} IN@P[WcflpUjbi>?0112<>ohjz%BCO]PRdcg}Zgil9:;<9?7;hmaw*OHJZUYiljv_`lg4567=01bco} INN354=ngky$EBB?_lw{45679;1bco} INN3[hs89:;=<<4in`p+LIK8Vg~t=>?0331?lie{&CD@=Qbuy234556:2cdn~!FOM2\ip~789:?=?5focq,MJJ7Wds<=>?589jkgu(AFF:=<5focq,MJJ6Wge<=>>139jkgu(AFF:Sca{01225d=ngky$EB\JQ008mjdt'@EYI\Qbuy2345743`ei"G@RDS\ip~789::=95focq,MJTBYVg~t=>?00227>ohjz%BC_K^_lw{4567:890ebl|/HMQATYj}q:;<==>3:klfv)NG[OZS`{w0123045?6018mjdt'@EYI\Qbuy234516;2cdn~!FOSGR[hs89:;4<=4in`p+LIUMXUfyu>?01;b?lie{&CD^Z>>2:klfv)NG[];S`{w012356=ngky$EB\X0^ov|56788;87dams.KLVR6Xe|r;<=>=129jkgu(AFX\?1018mjdt'@EY[=Qaou234476;2cdn~!FOSU3[kis89::><=4in`p+LIU_9Uecy>?00127>ohjz%BC_Y?_omw4566<890ebl|/HMQS5Yig}:;<<;>3:klfv)NG[];Sca{01222455focq,MJTP9Vkeh=>?0030?lie{&CD^Z?Paof345659:1bco} INPT5Zgil9:;<>?<;hmaw*OHZ^;Tmcj?012756=ngky$EB\X1^cm`5678<;87dams.KLVR7Xign;<=>9c:klfv)NG[ojht?:;hmaw*OHZlkouRQnne2345713`ei"G@Rdcg}ZYffm:;<=?>6:klfv)NG[ojhtQPaof345659=1bco} INPfeaXWfx;<=>>5:klfv)NG[ojhtQPos234576=2cdn~!FOSgb`|YXg{:;<=<>5:klfv)NG[ojhtQPos234556=2cdn~!FOSgb`|YXg{:;<=:>5:klfv)NG[ojhtQPos234536=2cdn~!FOSgb`|YXg{:;<=86;hmaw*OH]9;:7dams.KLQ5Yj}q:;<=?=;hmaw*OH]9Ufyu>?01326>ohjz%BCX>Pmtz345659;1bco} INW3[hs89:;?<<4in`p+LIR8Vg~t=>?0531?lie{&CDY=Qbuy23453>3`ei"G@U032?lie{&CDY3`ei"Aacd32?lie{&EeohQPos2345753`ei"Aacd]\kw6789;:>6g`br-LjfcXWfx;<=>=139jkgu(GginSRa}01237442:klfv)HfjoTSb|?012557=ngky$Ccmj_^mq4567?8:0ebl|/QQ\BVKXNOn:=>5focq,TVYA[DUMJi?"Io37?lie{&ZXSK]B_GDg5(Oi98>0ebl|/QQ\BVKXNOn:!D`=119jkgu(XZUM_@QIFe027>ohjz%[_RH\M^DE`7+Nf8>0ebl|/QQ\BVKXNOn9!D`>149jkgu(XZUM_@QIFe0.Mk779<1bco} PR]EWHYANm8&Ec?>149jkgu(XZUM_@QIFe0.Mk759<1bco} PR]EWHYANm8&Ec?<159jkgu(XZUM_@QIFe0.Mk46<2cdn~!_S^DPIZ@Al;'Bb>?;;hmaw*VTWOYFSKHk2,Km042=95focq,TVYA[DUMJi<"Io420>ohjz%[_RH\M^DE`7+Nf>;?7dams.RP[CUJWOLo> Ga8068mjdt'YYTJ^CPFGf1)Lh>991bco} PR]EWHYH}}::=6g`br-SWZ@TEVE~x=?>1:klfv)W[VLXARAzt1026>ohjz%[_Rmbp^gr4567m2cdn~!aBDPQ[CUE9?1bco} nCGQVZ@TJVUd~=>?0058mjdt'gHN^_QISC]\kw6789;:;6g`br-mF@TUWOYISRa}0123641a:lB@jssDL;:i6`NDnwwH@7XGK_M;6`NS^DPFf=iIZUM_OQFBTD4?kGTW@EIh6`NS^KLFZIE]OO<7cO`uuMF<>hFg|~DI3gHN^_QFOCg8jGCUZVCDNRGMUG58jGAXAFH<7cL]_HMAg>hEZVCDNRAMUG68jFGT12dHM^Q@BTDb?kBSIJATJ^Li;oFWEFMXNZHTCO[Ia:lGPDELW@EIj6`KT@AH[LIEW@H^J;5aDhlOAg=iL`dGIRAMUG48jAoiGLh0bIgaOD]LFP@03gNdyyAJc:lGkprHMVEIYK;4nHRO6d=iAYF9SDLZF99mHAUXNZHo7cBKS^DPFZOE]O20bAJ\_HMAa>hKLZUBCOQ@BTDF7>hHM11eCHQ@BTD4?kTFEE]No6`]ALNTAZIE]O=0b_OBUVG`?kTFE\]NSBLZF59mVDU>3gXJ_RAMUG68jWCTj2dYI^QFOCWEAf=iZLYTbbz?013g?kTB[Vddx=>?1048jWIJKZh0b_ABCR]LFP@13gXDAZKm;oPLIRCXGK_M46`ZDR]EWGbhPMVLXNRGMUG;8vdk(JHI_~45}al-QEHCI981ym`!]ALGM[hs89:;=?5}al-QEHCIWds<=>?1018vdk(ZHGNBRczx1234466;2xja"\NMDL\ip~789::=<=4r`o,VDKBFVg~t=>?00027>tfe&XJAH@Pmtz34566;890~lc R@OFJZkrp9:;<<:>3:pbi*TFELDTaxv?0122145>7008vdk(ZHGNBRczx12347753{kf#_OBEO]nq}67899:>6|nm.PBI@HXe|r;<=>;139qeh)UIDOES`{w01231442:pbi*TFELDTaxv?012;57=uid%YM@KA_lw{45671j1ym`!]ALPfea6<2xja"\NMSgb`|Yj}q:;<=?:;scn+WGJZlkouRczx12344713{kf#_OBRdcg}Zkrp9:;<<>>6:pbi*TFE[ojhtQbuy2345769?1ym`!]ALPfeaXe|r;<=>>2048vdk(ZHGYiljv_lw{45679:;=7ob/SCNV`gcqVg~t=>?00622>tfe&XJA_kndx]nq}6789;>=85}al-QEHTbimsTaxv?012150=uid%YM@\jae{\ip~789:8=85}al-QEHTbimsTaxv?012750=uid%YM@\jae{\ip~789:>=85}al-QEHTbimsTaxv?012550=uid%YM@\jae{\ip~789:<=85}al-QEHTbimsTaxv?012;50=uid%YM@\jae{\ip~789:2h6|nm.PBIZTbimsn7ob/SCN[Wcflp;n7ob/SCN[Wcflp827ob/SCPAI763{kf#_O\EM]nq}6789;97ob/SCPAIYj}q:;<=?>3:pbi*TF[LFTaxv?0122445>2018vdk(ZHYN@Rczx1234456;2xja"\NSDN\ip~789::8<=4r`o,VDUBDVg~t=>?00727>tfe&XJ_HBPmtz34566>890~lc R@QFHZkrp9:;<<9>3:pbi*TF[LFTaxv?0122<456|nm.PBW@JXe|r;<=>=129qeh)UIZOGS`{w012365743{kf#_O\EM]nq}67898:=?5}al-QEVCKWds<=>?3008vdk(ZHYN@Rczx12341753{kf#_O\EM]nq}6789?:>6|nm.PBW@JXe|r;<=>9139qeh)UIZOGS`{w01233440:pbi*TFW9Ufyu>?0132?wgj'[KT?>;scn+WGX8Vg~t=>?0533?wgj'[KT=Rczx123447_lw{456798;0~lc R@]2[hs89:;>?3038vdk(ZHU:S`{w0123046=109qeh)UIV8Taxv?012054=uid%YMR1:pbi*TFW:Ufyu>?01025>tfe&XJS>Qbuy23455692xja"\N_2]nq}6789>:<6|nm.PB[1Yj}q:;<=?>;scn+WGX?0032?wgj'[KT8Rczx12347763{kf#_OP4^ov|5678:;:7ob/SC\0Zkrp9:;<9??;scn+WGX=Vg~t=>?0038vdk(ZHU>S`{w0123547?4028vdk(ZHU=S`{w012354=uid%YMR8Pmtz34566981ym`!]A^4\ip~789:9=<5}al-QEZ0Xe|r;<=><109qeh)UIV1:pbi*TFW>Ufyu>?01125>tfe&XJS:Qbuy23452682xja"\N_9]nq}6789;:7ob/SC\;scn+WGX0Vg~t=>?0332?wgj'[KT4Rczx12346763{kf#_OP8^ov|5678=;:7ob/SC\;scn+WGX0Vg~t=>?0733?wgj'[KT5Rczx123447Wds<=>?3038vdk(ZHU2S`{w01230c=uid%Y_RH\M^DE`4753{kf#_]PFRO\BCb6%@d:?6|nm.PP[CUJWOLo= Ga1068vdk(ZZUM_@QIFe3.Mk779=1ym`!]S^DPIZ@Al8'Bb4:pbi*TTWOYFSKHk1,Km57733{kf#_]PFRO\BCb6%@d:?<:4r`o,VVYA[DUMJi?"Io3756=uid%Y_RH\M^DE`4+Nf;;87ob/SQ\BVKXNOn:!D`<129qeh)U[VLXARHId0/Jj1743{kf#_]PFRO\BCb6%@d>=>5}al-QWZ@TEVLMh<#Fn730?wgj'[YTJ^CPFGf2)Lh09:1ym`!]S^DPIZ@Al8'Bb5?<;scn+WUXNZGTJKj>-Hl:b>tfe&XXSK]B_GDg644159qeh)U[VLXARHId3/Jj466<2xja"\\_GQN[C@c:$Ce=tfe&XXSK]B_GDg6(Oi9<;87ob/SQ\BVKXNOn9!D`=129qeh)U[VLXARHId3/Jj6743{kf#_]PFRO\BCb5%@d?=>5}al-QWZ@TEVLMh?#Fn430?wgj'[YTJ^CPFGf1)Lh19:1ym`!]S^DPIZ@Al;'Bb:?<;scn+WUXNZGTJKj=-Hl;56=uid%Y_RH\M^DE`7+Nf0l0~lc RR]EWHYANm9:>6|nm.PP[CUJWOLo? Ga129qeh)U[VLXARHId2/Jj4733{kf#_]PFRO\BCb4%@d:<<:4r`o,VVYA[DUMJi="Io3251=uid%Y_RH\M^DE`6+Nf88:?6|nm.PP[CUJWOLo? Ga2018vdk(ZZUM_@QIFe1.Mk56;2xja"\\_GQN[C@c;$Ce8<=4r`o,VVYA[DUMJi="Io727>tfe&XXSK]B_GDg7(Oi>890~lc RR]EWHYANm9&Ec9>3:pbi*TTWOYFSKHk3,Km<450:pbi*TTWOYFSB{{83`8vdk(ZllOcck>3:pbi*TbnMeeiRQ`r123442?01020>tfe&XnjIaae^]lv5678:;?7ob/Sge@jhbWVey<=>?4`9qeh)UmoXJ_l5}al-QacTbyl1ym`!]egPfuVik880~lc RddQatYffm:;<=?<;scn+WcaZl{Tmcj?012256=uid%Yik\jq^cm`5678;n0~lc RddVvbci|8?0~lc RddVvbci|Vkeh=>?0048vdk(Zll^~jkat^cm`56788;=7ob/SgeQwabf}Ujbi>?01025>tfe&XnkX|heovP}ked3{kf#Rczx1234==uid%eOL]>0:pbi*hDIZUecy>?0032?wgj'gIJ_R``t12354?<109qeh)iD^OTmcj?01273>tfe&dDI55}al-mK@7?3{kf#cAJ299qeh)iZHY27ob/oPBW4?3{kf#c\NS4`8vdk(f[EFO^?m;scn+kTHE^O:56|nm.lVS@7682xja"`ZWD]bja6789;:7ob/oWTAZgil9:;<;scn+kSPMVkeh=>?0332?wgj'g_\IRoad12346763{kf#c[XE^cm`5678=20tn7:01zoyEFw98o97MNw9c8E>7<6sZ><6l;5718271d7j<0i<4hf;3<0(l?59b9~W10=i<0<<7?<4c2a1?d7i91o5o4?:082V202h?1;=4>35`3f04<48>qX8:4n5;53>453j9h>6o>62:&:e?033-=:69=m;c;a>5<3j38n?7=?7zJ:<>">?33i7W=n:2y2>7<42t!89;4?::m0a?6=3f98i7>5;h11a?6=3f9=87>5;h16a?6=3f9>o7>5;n63>5<5<5<>i4;90;66a<3383>>o4<10;66a<5383>>o4>?0;66a<6183>>i4<9:188k64e2900e>:n:188m65>2900c>;n:188k6252900c>:l:188k6052900c>:;:188k6212900e>87:188k6522900c:650;&47?103g=96=54o6494?"0;3=<7c9=:098k=7=83.7=3=i1<7*83;54?k152>10c:l50;&47?103g=96554o6c94?"0;3=<7c9=:898k2?=83.d=?1<7*83;54?k152k10e<750;&47?7?3g=96=54i0594?"0;3;37c9=:098m40=83.7=3=10ek4?:%50>4>81465fe;29 25=911e;?46;:kg>5<#?:0:46`82;c8?le=83.g=5$6195==i?;0o76g=1;29 25=911e;?4j;:k14?6=,>91=55a738e?>o6n3:1(:=5199m37<6821b=h4?:%50>4>81=<54i0f94?"0;3;37c9=:008?l7d290/;>4>8:l46?7432c:n7>5$6195==i?;0:865f1`83>!142820b:<51498m42=83.40<3`3=6=4+728:1>h0:3:07d7;:18'36<>=2d<>7?4;h;0>5<#?:0296`82;08?g?a290:6=4?{%;4>04<@0o0D464o4394?=zjh:1<7?50;2x <1=101C5h5G999l2c<722wino4?:483>5}#1>0><6F6e:J:<>o3<3:17d:::188m6d=831b854?::m40?6=3thh>7>55;294~">?3?;7E7j;I;;?l232900e9;50;9j7g<722c?47>5;n57>5<36=44o6694?=zjmk1<7;50;2x <1==91C5h5G999j01<722c?97>5;h1a>5<>{ell0;684?:1y'=2<282B2i6F68:k70?6=3`>>6=44i2`94?=n<10;66a84;29?xdb:3:197>50z&:3?373A3n7E77;h67>5<>o303:17b9;:188ygc1290>6=4?{%;4>06<@0o0D464i5694?=n<<0;66gt$85915=O1l1C555f4583>>o3=3:17d=m:188m1>=831d;94?::aa`<72<0;6=u+96864>N>m2B246g;4;29?l222900e>l50;9j0=<722e<87>5;|`e6?6==3:15<2290;w)78:428L5;h6;>5<>1<75rbb594?3=83:p(495519K=`=O111b894?::k71?6=3`9i6=44i5:94?=h?=0;66smcc83>0<729q/5:4:0:J:a>N>02c?87>5;h66>5<>i0<3:17pllf;291?6=8r.2;7;?;I;f?M??3`>?6=44i5794?=n;k0;66g;8;29?j132900qo?99;297?6=8r.2;7:i;I;f?M??3-?n6=5f3c83>>o303:17b9;:188yg71=3:1?7>50z&:3?2a3A3n7E77;%7f>5=n;k0;66g;8;29?j132900qo?91;297?6=8r.2;7:i;I;f?M??3-?n6=5f3c83>>o303:17b9;:188yg72k3:1?7>50z&:3?2a3A3n7E77;%7f>5=n;k0;66g;8;29?j132900qo<66;291?6=8r.2;7;?;I;f?M??3`>?6=44i5794?=n;k0;66g;8;29?j132900qo?i1;297?6=8r.2;7:i;I;f?M??3`9i6=44i5:94?=h?=0;66sm1bf94?3=83:p(495519K=`=O111b894?::k71?6=3`9i6=44i5:94?=h?=0;66sm30f94?3=83:p(495519K=`=O111b894?::k71?6=3`9i6=44i5:94?=h?=0;66sm2gf94?3=83:p(495519K=`=O111/9h4?;h67>5<>o303:17b9;:188yg4fi3:197>50z&:3?373A3n7E77;h67>5<>o303:17b9;:188yg4fl3:1?7>50z&:3?2a3A3n7E77;h1a>5<>{e9o=1<7=50;2x <1=5;n57>5<53;294~">?3>m7E7j;I;;?l5e2900e9650;9l31<722wi=kj50;194?6|,0=18k5G9d9K===n;k0;66g;8;29?j132900qo5;h1a>5<>{e9hn1<7;50;2x <1==91C5h5G999'1`<73`>?6=44i5794?=n;k0;66g;8;29?j132900qo?;8;297?6=8r.2;7:i;I;f?M??3`9i6=44i5:94?=h?=0;66sm12g94?5=83:p(4954g9K=`=O111b?o4?::k7=831d;94?::a66b=8391<7>t$8590c=O1l1C555f3c83>>o303:17b9;:188yg7c<3:1?7>50z&:3?2a3A3n7E77;h1a>5<>{e:;i1<7=50;2x <1=5;n57>5<53;294~">?3>m7E7j;I;;?l5e2900e9650;9l31<722wi>:>50;194?6|,0=18k5G9d9K===n;k0;66g;8;29?j132900qo?kf;297?6=8r.2;7:i;I;f?M??3`9i6=44i5:94?=h?=0;66sm26694?5=83:p(4954g9K=`=O111b?o4?::k7=831d;94?::a6d?=8391<7>t$8590c=O1l1C555f3c83>>o303:17b9;:188yg4d=3:1?7>50z&:3?2a3A3n7E77;h1a>5<>{e<8h1<7=50;2x <1=5;n57>5<::7>53;294~">?3>m7E7j;I;;?l5e2900e9650;9l31<722wi87;297?6=8r.2;7:i;I;f?M??3`9i6=44i5:94?=h?=0;66sm37c94?5=83:p(4954g9K=`=O111b?o4?::k75;n57>5<53;294~">?3>m7E7j;I;;?!3b291b?o4?::k75;n57>5<:97>53;294~">?3>m7E7j;I;;?!3b291b?o4?::k7=831d;94?::a52`=8391<7>t$8590c=O1l1C555f3c83>>o303:17b9;:188ygg129086=4?{%;4>1`<@0o0D464i2`94?=n<10;66a84;29?xdfi3:1?7>50z&:3?2a3A3n7E77;h1a>5<>{e:0;1<7=50;2x <1=5;n57>5<53;294~">?3>m7E7j;I;;?l5e2900e9650;9l31<722wi>:950;194?6|,0=18k5G9d9K===n;k0;66g;8;29?j132900qo<8d;297?6=8r.2;7:i;I;f?M??3`9i6=44i5:94?=h?=0;66sm23c94?5=83:p(4954g9K=`=O111b?o4?::k7=831d;94?::a5ae=8391<7>t$8590c=O1l1C555f3c83>>o303:17b9;:188yg40;3:1?7>50z&:3?2a3A3n7E77;h1a>5<>{e9l>1<7=50;2x <1=5;n57>5<9=7>53;294~">?3>m7E7j;I;;?l5e2900e9650;9l31<722wi>o>50;194?6|,0=18k5G9d9K===#=l0;7d=m:188m1>=831d;94?::a6c4=83?1<7>t$85915=O1l1C555f4583>>o3=3:17d=m:188m1>=831d;94?::a6gd=83?1<7>t$85915=O1l1C555f4583>>o3=3:17d=m:188m1>=831d;94?::a6a7=83?1<7>t$85915=O1l1C555f4583>>o3=3:17d=m:188m1>=831d;94?::a6c?=83?1<7>t$85915=O1l1C555f4583>>o3=3:17d=m:188m1>=831d;94?::a6f4=83?1<7>t$85915=O1l1C555f4583>>o3=3:17d=m:188m1>=831d;94?::a6a`=83?1<7>t$85915=O1l1C555f4583>>o3=3:17d=m:188m1>=831d;94?::a5g6=8391<7>t$8590c=O1l1C555+5d83?l5e2900e9650;9l31<722wi>9j50;194?6|,0=18k5G9d9K===#=l0;7d=m:188m1>=831d;94?::a674=8391<7>t$8590c=O1l1C555+5d83?l5e2900e9650;9l31<722wi=h650;194?6|,0=18k5G9d9K===#=l0;7d=m:188m1>=831d;94?::a57b=8391<7>t$8590c=O1l1C555+5d83?l5e2900e9650;9l31<722wi=>=50;194?6|,0=18k5G9d9K===#=l0;7d=m:188m1>=831d;94?::a61c=8391<7>t$8590c=O1l1C555+5d83?l5e2900e9650;9l31<722wi>8:50;194?6|,0=18k5G9d9K===#=l0;7d=m:188m1>=831d;94?::a634=8391<7>t$8590c=O1l1C555+5d83?l5e2900e9650;9l31<722wi?=850;194?6|,0=18k5G9d9K===#=l0;7d=m:188m1>=831d;94?::a75g=8391<7>t$8590c=O1l1C555+5d83?l5e2900e9650;9l31<722wi?ij50;194?6|,0=18k5G9d9K===#=l0;7d=m:188m1>=831d;94?::a7`b=8391<7>t$8590c=O1l1C555+5d83?l5e2900e9650;9l31<722wi?kk50;194?6|,0=18k5G9d9K===#=l0;7d=m:188m1>=831d;94?::a53`=8391=7=tH8:8 <1=><1b844?::k7e?6=3f3:6=44b`694?5=83:p(4959e9K=`=O111b994?::k61?6=3f5<5sW>270o;:478yv2f2909wS:n;026d83>6<62:qC555+96851>o313:17d:n:188k<7=831im94?:283>5}#1>02h6F6e:J:<>o2<3:17d;::188k3g=831v9750;0xZ1?<5h>1985rs5c94?4|V=k01l:5559~w<7=838pR4?4=`692d=zuk;=h7>53;397~N>02.2;78:;h6:>5<>df<3:1?7>50z&:3??c3A3n7E77;h77>5<>{t<00;6?uQ489>e1<2=2wx8l4?:3y]0d=:i=0>86s|9083>7}Y1816m949a:~fc?=8391=7=tH8:8 <1=><1b844?::k7e?6=3f3:6=44b`694?5=83:p(4959e9K=`=O111b994?::k61?6=3f5<5sW>270o;:478yv2f2909wS:n;022900e9o50;9l=4<722hj87>53;294~">?33o7E7j;I;;?l332900e8;50;9l2d<722wx844?:3y]0<=:i=0>96s|4`83>7}Y{zjo=1<7=51;1xL<><,0=1:85f4883>>o3i3:17b7>:188fd2=8391<7>t$859=a=O1l1C555f5583>>o2=3:17b8n:188yv2>2909wS:6;03j6=4={_6b?8g32<>0q~7>:181[?634k?6;o4}|`e2?6=;3;1?vF68:&:3?023`>26=44i5c94?=h180;66ln4;297?6=8r.2;77k;I;f?M??3`??6=44i4794?=h>h0;66s|4883>7}Y<016m94:5:p0d<72;qU8l52a5860>{t180;6?uQ909>e1<1i2wvn<>=:180>4<4sA337)78:778m1?=831b8l4?::m:5?6=3kk?6=4<:183!?020n0D4k4H8:8m02=831b984?::m5e?6=3ty?57>52z\7=>;f<3?>7p};a;296~X3i27j87;;;|q:5?6=:rT2=63n4;4b?x{e99;1<7=51;1xL<><,0=1:85f4883>>o3i3:17b7>:188fd2=8391<7>t$859=a=O1l1C555f5583>>o2=3:17b8n:188yv2>2909wS:6;03j6=4={_6b?8g32<>0q~7>:181[?634k?6;o4}|`245<72:0:6>uG999'=2<1=2c?57>5;h6b>5<6<729q/5:46d:J:a>N>02c>87>5;h76>5<h1vqohi:180>4<4sA337)78:778m1?=831b8l4?::m:5?6=3kk?6=4<:183!?020n0D4k4H8:8m02=831b984?::m5e?6=3ty?57>52z\7=>;f<3?>7p};a;296~X3i27j87;;;|q:5?6=:rT2=63n4;4b?x{enl0;6>4>:2yK===#1>0=96g;9;29?l2f2900c4?50;9ae1<72:0;6=u+968:`>N>m2B246g:4;29?l322900c;o50;9~w1?=838pR974=`6910=z{=k1<71:l5r}cdg>5<42808wE77;%;4>33>i>93:17oo;:180>5<7s-3<64j4H8g8L<>1<75f5483>>i1i3:17p};9;296~X3127j87;:;|q7e?6=:rT?m63n4;77?xu>93:1>vP61:?b0?0f3twijn4?:282>6}O111/5:495:k7=?6=3`>j6=44o8394?=ei=0;6>4?:1y'=2<>l2B2i6F68:k60?6=3`?>6=44o7c94?=z{=31<71995rs8394?4|V0;01l:56`9~yg`e29086<4<{I;;?!?02??0e9750;9j0d<722e2=7>5;cc7>5<4290;w)78:8f8L5;|q7=?6=:rT?563n4;76?xu3i3:1>vP;a:?b0?333ty2=7>52z\:5>;f<36<62:qC555+96851>o313:17d:n:188k<7=831im94?:283>5}#1>02h6F6e:J:<>o2<3:17d;::188k3g=831v9750;0xZ1?<5h>1985rs5c94?4|V=k01l:5559~w<7=838pR4?4=`692d=zuk8;:7>53;397~N>02.2;78:;h6:>5<>df<3:1?7>50z&:3??c3A3n7E77;h77>5<>{t<00;6?uQ489>e1<2=2wx8l4?:3y]0d=:i=0>86s|9083>7}Y1816m949a:~f76229086<4<{I;;?!?02??0e9750;9j0d<722e2=7>5;cc7>5<4290;w)78:8f8L5;|q7=?6=:rT?563n4;76?xu3i3:1>vP;a:?b0?333ty2=7>52z\:5>;f<334k?68;4}r6b>5<5sW>j70o;:468yv?62909wS7>;3g4?:282>6}O111/5:495:k7=?6=3`>j6=44o8394?=ei=0;6>4?:1y'=2<>l2B2i6F68:k60?6=3`?>6=44o7c94?=z{=31<71995rs8394?4|V0;01l:56`9~yg55k3:1>7>50z&:3?3a3A3n7E77;h70>5<5<5290;w)78:4d8L4?:1y'=2<33A3n7E77;h77>5<>{e<;91<7<50;2x <1==o1C5h5G999j16<722e=m7>5;|`75a<72;0;6=u+9686b>N>m2B246g:3;29?j0f2900qo:>e;297?6=8r.2;7:4H8g8L<>1<75f5483>>i1i3:17pl;1883>7<729q/5:4:f:J:a>N>02c>?7>5;n4b>5<:m7>53;294~">?3>0D4k4H8:8m02=831b984?::m5e?6=3th??>4?:383>5}#1>0>j6F6e:J:<>o2;3:17b8n:188yg25<3:1>7>50z&:3?3a3A3n7E77;h70>5<5<5290;w)78:4d8L50;094?6|,0=19k5G9d9K===n=:0;66a9a;29?xd4180;6>4?:1y'=2<33A3n7E77;h77>5<>{e:l31<7<50;2x <1==o1C5h5G999j16<722e=m7>5;|`1ad<72:0;6=u+9687?M?b3A337d;;:188m03=831d:l4?::a6de=8391<7>t$8592a=O1l1C555f5583>>o2=3:17b8n:188yg56n3:1>7>50z&:3?3a3A3n7E77;h70>5<5<5290;w)78:4d8Lt$8590>N>m2B246g:4;29?l322900c;o50;9~f4df29096=4?{%;4>0`<@0o0D464i4194?=h>h0;66sm1c`94?4=83:p(4955g9K=`=O111b9>4?::m5e?6=3th:nn4?:283>5}#1>0=h6F6e:J:<>o2<3:17d;::188k3g=831vn5<7s-3<68h4H8g8L<>>{e9j:1<7<50;2x <1==o1C5h5G999j16<722e=m7>5;|`2g4<72:0;6=u+9685`>N>m2B246g:4;29?l322900c;o50;9~f4e329086=4?{%;4>1=O1l1C555f5583>>o2=3:17b8n:188yg7dn3:1>7>50z&:3?3a3A3n7E77;h70>5<5<4290;w)78:7f8L5;|`2f1<72;0;6=u+9686b>N>m2B246g:3;29?j0f2900qo?m6;296?6=8r.2;7;i;I;f?M??3`?86=44o7c94?=zj8h<6=4<:183!?02?n0D4k4H8:8m02=831b984?::m5e?6=3th95}#1>0?7E7j;I;;?l332900e8;50;9l2d<722wi>=o50;194?6|,0=186F6e:J:<>o2<3:17d;::188k3g=831vn?>7:180>5<7s-3<695G9d9K===n==0;66g:5;29?j0f2900qo?i9;297?6=8r.2;7:4H8g8L<>1<75f5483>>i1i3:17pl>fb83>6<729q/5:4;;I;f?M??3`??6=44i4794?=h>h0;66sm1gd94?5=83:p(4954:J:a>N>02c>87>5;h76>5<5<4290;w)78:59K=`=O111b994?::k61?6=3f:181>5<7s-3<68h4H8g8L<>>{e:><1<7<50;2x <1==o1C5h5G999j16<722e=m7>5;|`2a2<72;0;6=u+9686b>N>m2B246g:3;29?j0f2900qo<>6;296?6=8r.2;7;i;I;f?M??3`?86=44o7c94?=zj;;<6=4<:183!?02=1C5h5G999j11<722c>97>5;n4b>5<53;294~">?3>0D4k4H8:8m02=831b984?::m5e?6=3th:ho4?:283>5}#1>0?7E7j;I;;?l332900e8;50;9l2d<722wi>;k50;194?6|,0=186F6e:J:<>o2<3:17d;::188k3g=831vn<9<:180>5<7s-3<695G9d9K===n==0;66g:5;29?j0f2900qo?81;297?6=8r.2;7:4H8g8L<>1<75f5483>>i1i3:17pl>5d83>7<729q/5:4:f:J:a>N>02c>?7>5;n4b>5<j7>52;294~">?3?m7E7j;I;;?l342900c;o50;9~f40729086=4?{%;4>1=O1l1C555f5583>>o2=3:17b8n:188yg71;3:1>7>50z&:3?3a3A3n7E77;h70>5<5<4290;w)78:59K=`=O111b994?::k61?6=3f5<7s-3<695G9d9K===n==0;66g:5;29?j0f2900qo?9b;296?6=8r.2;7;i;I;f?M??3`?86=44o7c94?=zj897>5;n4b>5<53;294~">?3>0D4k4H8:8m02=831b984?::m5e?6=3th:==4?:283>5}#1>0?7E7j;I;;?l332900e8;50;9l2d<722wi==m50;194?6|,0=186F6e:J:<>o2<3:17d;::188k3g=831vn<>7:180>5<7s-3<695G9d9K===n==0;66g:5;29?j0f2900qo??4;297?6=8r.2;7:4H8g8L<>1<75f5483>>i1i3:17plmf;296?6=8r.2;7;i;I;f?M??3`?86=44o7c94?=zjj:1<7<50;2x <1==o1C5h5G999j16<722e=m7>5;|``5?6=;3:15;|`g0?6=:3:1o2<3:17d;::188k3g=831vni650;094?6|,0=19k5G9d9K===n=:0;66a9a;29?xdc13:1?7>50z&:3?2<@0o0D464i4694?=n=<0;66a9a;29?xdck3:1>7>50z&:3?3a3A3n7E77;h70>5<N>02c>87>5;h76>5<4?::m5e?6=3thn=7>53;294~">?3>0D4k4H8:8m02=831b984?::m5e?6=3thn87>52;294~">?3?m7E7j;I;;?l342900c;o50;9~f`3=8391<7>t$8590>N>m2B246g:4;29?l322900c;o50;9~f`>=8381<7>t$8591c=O1l1C555f5283>>i1i3:17plj9;297?6=8r.2;7:4H8g8L<>1<75f5483>>i1i3:17pljc;296?6=8r.2;7;i;I;f?M??3`?86=44o7c94?=zjln1<7=50;2x <1=<2B2i6F68:k60?6=3`?>6=44o7c94?=zjo:1<7<50;2x <1==o1C5h5G999j16<722e=m7>5;|`e5?6=;3:15;|`e0?6=:3:1o2<3:17d;::188k3g=831vnn;50;094?6|,0=19k5G9d9K===n=:0;66a9a;29?xdd>3:1?7>50z&:3?2<@0o0D464i4694?=n=<0;66a9a;29?xdd13:1>7>50z&:3?3a3A3n7E77;h70>5<N>02c>87>5;h76>5<4?::m5e?6=3thhi7>53;294~">?3>0D4k4H8:8m02=831b984?::m5e?6=3tho=7>52;294~">?3?m7E7j;I;;?l342900c;o50;9~fa4=8391<7>t$8590>N>m2B246g:4;29?l322900c;o50;9~fde=8381<7>t$8591c=O1l1C555f5283>>i1i3:17pln8;296?6=8r.2;7;i;I;f?M??3`?86=44o7c94?=zjh31<7=50;2x <1=<2B2i6F68:k60?6=3`?>6=44o7c94?=zj88h6=4<:183!?02=1C5h5G999j11<722c>97>5;n4b>5<52;294~">?3?m7E7j;I;;?l342900c;o50;9~f45d29086=4?{%;4>1=O1l1C555f5583>>o2=3:17b8n:188yg56i3:1>7>50z&:3?3a3A3n7E77;h70>5<5<4290;w)78:59K=`=O111b994?::k61?6=3fj:180>5<7s-3<695G9d9K===n==0;66g:5;29?j0f2900qo<82;296?6=8r.2;7;i;I;f?M??3`?86=44o7c94?=zj8kn6=4=:183!?02N>m2B246g:3;29?j0f2900qo?ke;296?6=8r.2;7;i;I;f?M??3`?86=44o7c94?=zj8h96=4=:183!?02t$8590>N>m2B246g:4;29?l322900c;o50;9~f76b29096=4?{%;4>0`<@0o0D464i4194?=h>h0;66sm21d94?4=83:p(4955g9K=`=O111b9>4?::m5e?6=3th9==4?:283>5}#1>0?7E7j;I;;?l332900e8;50;9l2d<722wi??950;094?6|,0=19k5G9d9K===n=:0;66a9a;29?xd4:10;6?4?:1y'=2<2n2B2i6F68:k67?6=3f5;|`76g<72;0;6=u+9686b>N>m2B246g:3;29?j0f2900qo:=c;297?6=8r.2;78k;I;f?M??3`??6=44i4794?=h>h0;66sm42694?5=83:p(4956e9K=`=O111b994?::k61?6=3f5<7s-3<6;j4H8g8L<>1<75f5483>>i1i3:17pl=8083>7<729q/5:4:f:J:a>N>02c>?7>5;n4b>5<7>52;294~">?3?m7E7j;I;;?l342900c;o50;9~f7>429086=4?{%;4>3b<@0o0D464i4694?=n=<0;66a9a;29?xd51:0;6?4?:1y'=2<2n2B2i6F68:k67?6=3f5<7s-3<6;j4H8g8L<>1<75f5483>>i1i3:17pl=8783>7<729q/5:4:f:J:a>N>02c>?7>5;n4b>5<52;294~">?3?m7E7j;I;;?l342900c;o50;9~f7>?29086=4?{%;4>3b<@0o0D464i4694?=n=<0;66a9a;29?xd60=0;644?:1y'=2<>3A3n7E77;h77>5<>o2?3:17d;7:188m0?=831b9l4?::k6f?6=3f:7>5;h74>5<>o2i3:17d;m:188k3g=831vn<69:180>5<7s-3<695G9d9K===n==0;66g:5;29?j0f2900qo?65;296?6=8r.2;7;i;I;f?M??3`?86=44o7c94?=zj83=6=4=:183!?02t$8591c=O1l1C555f5283>>i1i3:17pl>9983>0<729q/5:49;I;f?M??3`??6=44i4794?=n=?0;66g:7;29?j0f2900qo=k9;297?6=8r.2;7:4H8g8L<>1<75f5483>>i1i3:17pl7<729q/5:4:f:J:a>N>02c>?7>5;n4b>5<53;294~">?3>0D4k4H8:8m02=831b984?::m5e?6=3th8hn4?:283>5}#1>0=h6F6e:J:<>o2<3:17d;::188k3g=831vn>mk:180>5<7s-3<695G9d9K===n==0;66g:5;29?j0f2900qo=le;296?6=8r.2;7;i;I;f?M??3`?86=44o7c94?=zj:im6=4<:183!?02=1C5h5G999j11<722c>97>5;n4b>5<53;294~">?3o2<3:17d;::188k3g=831vn>m6:181>5<7s-3<68h4H8g8L<>>{e;jk1<7=50;2x <1=<2B2i6F68:k60?6=3`?>6=44o7c94?=zj:ii6=4<:183!?02?n0D4k4H8:8m02=831b984?::m5e?6=3th8o>4?:283>5}#1>0?7E7j;I;;?l332900e8;50;9l2d<722wi?n:50;094?6|,0=19k5G9d9K===n=:0;66a9a;29?xd4k<0;6>4?:1y'=2<33A3n7E77;h77>5<>{e;j<1<7=50;2x <1=>m1C5h5G999j11<722c>97>5;n4b>5<53;294~">?3>0D4k4H8:8m02=831b984?::m5e?6=3th8nk4?:383>5}#1>0>j6F6e:J:<>o2;3:17b8n:188yg5d83:1?7>50z&:3?2<@0o0D464i4694?=n=<0;66a9a;29?xd4k80;6>4?:1y'=2<1l2B2i6F68:k60?6=3`?>6=44o7c94?=zj:h26=4<:183!?02=1C5h5G999j11<722c>97>5;n4b>5<52;294~">?3?m7E7j;I;;?l342900c;o50;9~f6de29086=4?{%;4>1=O1l1C555f5583>>o2=3:17b8n:188yg5ek3:1?7>50z&:3?0c3A3n7E77;h77>5<>{e;k>1<7=50;2x <1=<2B2i6F68:k60?6=3`?>6=44o7c94?=zj:h>6=4=:183!?02t$8590>N>m2B246g:4;29?l322900c;o50;9~f6d029086=4?{%;4>3b<@0o0D464i4694?=n=<0;66a9a;29?xd4io0;6>4?:1y'=2<33A3n7E77;h77>5<>{e;k:1<7<50;2x <1==o1C5h5G999j16<722e=m7>5;|`0f4<72:0;6=u+9687?M?b3A337d;;:188m03=831d:l4?::a7g4=8391<7>t$8592a=O1l1C555f5583>>o2=3:17b8n:188yg5fi3:1?7>50z&:3?2<@0o0D464i4694?=n=<0;66a9a;29?xd4ik0;6?4?:1y'=2<2n2B2i6F68:k67?6=3f5;|`0ea<72:0;6=u+9685`>N>m2B246g:4;29?l322900c;o50;9~f14129096=4?{%;4>0`<@0o0D464i4194?=h>h0;66sm43594?4=83:p(4955g9K=`=O111b9>4?::m5e?6=3th?>54?:383>5}#1>0>j6F6e:J:<>o2;3:17b8n:188yg2513:197>50z&:3?0<@0o0D464i4694?=n=<0;66g:6;29?l302900c;o50;9~f15029096=4?{%;4>0`<@0o0D464i4194?=h>h0;66sm42:94?4=83:p(4955g9K=`=O111b9>4?::m5e?6=3th??44?:383>5}#1>0>j6F6e:J:<>o2;3:17b8n:188yg24i3:197>50z&:3?0<@0o0D464i4694?=n=<0;66g:6;29?l302900c;o50;9~f7`329086=4?{%;4>1=O1l1C555f5583>>o2=3:17b8n:188yg4a=3:1>7>50z&:3?3a3A3n7E77;h70>5<5<5290;w)78:4d8Lk950;194?6|,0=186F6e:J:<>o2<3:17d;::188k3g=831vn?h7:180>5<7s-3<6;j4H8g8L<>1<75f5483>>i1i3:17pl=be83>6<729q/5:4;;I;f?M??3`??6=44i4794?=h>h0;66sm2cg94?4=83:p(4955g9K=`=O111b9>4?::m5e?6=3th9nk4?:383>5}#1>0>j6F6e:J:<>o2;3:17b8n:188yg4d83:1?7>50z&:3?2<@0o0D464i4694?=n=<0;66a9a;29?xd5k80;6>4?:1y'=2<1l2B2i6F68:k60?6=3`?>6=44o7c94?=zj;n86=4<:183!?02=1C5h5G999j11<722c>97>5;n4b>5<52;294~">?3?m7E7j;I;;?l342900c;o50;9~f7b229086=4?{%;4>1=O1l1C555f5583>>o2=3:17b8n:188yg4c>3:1?7>50z&:3?0c3A3n7E77;h77>5<>{e;;91<7=50;2x <1=<2B2i6F68:k60?6=3`?>6=44o7c94?=zj:8?6=4=:183!?02t$8590>N>m2B246g:4;29?l322900c;o50;9~f7d529096=4?{%;4>0`<@0o0D464i4194?=h>h0;66sm2c194?4=83:p(4955g9K=`=O111b9>4?::m5e?6=3th9n94?:583>5}#1>0>7E7j;I;;?l332900e8;50;9j13<722e=m7>5;|`2<4<72:0;6=u+9685`>N>m2B246g:4;29?l322900c;o50;9~f4>529086=4?{%;4>1=O1l1C555f5583>>o2=3:17b8n:188yg7203:1>7>50z&:3?3a3A3n7E77;h70>5<5<5290;w)78:4d8L5<>o2?3:17b8n:188yg74=3:1>7>50z&:3?3a3A3n7E77;h70>5<5<5290;w)78:4d8L950;194?6|,0=186F6e:J:<>o2<3:17d;::188k3g=831vn<=7:180>5<7s-3<695G9d9K===n==0;66g:5;29?j0f2900qo?<9;297?6=8r.2;78k;I;f?M??3`??6=44i4794?=h>h0;66sm13d94?5=83:p(4954:J:a>N>02c>87>5;h76>5<5<5290;w)78:4d8L?50;194?6|,0=186F6e:J:<>o2<3:17d;::188k3g=831vn<==:180>5<7s-3<6;j4H8g8L<>1<75f5483>>i1i3:17pl=6583>6<729q/5:4;;I;f?M??3`??6=44i4794?=h>h0;66sm27794?5=83:p(4954:J:a>N>02c>87>5;h76>5<5<5290;w)78:4d8L;950;094?6|,0=19k5G9d9K===n=:0;66a9a;29?xd5>10;6?4?:1y'=2<2n2B2i6F68:k67?6=3f5;|`12d<72:0;6=u+9685`>N>m2B246g:4;29?l322900c;o50;9~f70e290?6=4?{%;4>0=O1l1C555f5583>>o2=3:17d;9:188k3g=831vn?8l:180>5<7s-3<6;j4H8g8L<>1<75f5483>>i1i3:17pl=5783>7<729q/5:4:f:J:a>N>02c>?7>5;n4b>5<m7>52;294~">?3?m7E7j;I;;?l342900c;o50;9~f73e29096=4?{%;4>0`<@0o0D464i4194?=h>h0;66sm24a94?4=83:p(4955g9K=`=O111b9>4?::m5e?6=3th99i4?:383>5}#1>0>j6F6e:J:<>o2;3:17b8n:188yg42m3:1>7>50z&:3?3a3A3n7E77;h70>5<5<5290;w)78:4d8L;>50;794?6|,0=1:6F6e:J:<>o2<3:17d;::188m00=831b9:4?::m5e?6=3th9:<4?:283>5}#1>0?7E7j;I;;?l332900e8;50;9l2d<722wi>8950;694?6|,0=1:h5G9d9K===n==0;66g:5;29?l312900c;o50;9~f73?29086=4?{%;4>1=O1l1C555f5583>>o2=3:17b8n:188yg4213:1?7>50z&:3?0c3A3n7E77;h77>5<>{e:<:1<7=50;2x <1=<2B2i6F68:k60?6=3`?>6=44o7c94?=zj;?:6=4=:183!?02t$8590>N>m2B246g:4;29?l322900c;o50;9~f73429086=4?{%;4>3b<@0o0D464i4694?=n=<0;66a9a;29?xd48j0;6?4?:1y'=2<2n2B2i6F68:k67?6=3ft$8590>N>m2B246g:4;29?l322900c;o50;9~f67429096=4?{%;4>0`<@0o0D464i4194?=h>h0;66sm30694?4=83:p(4955g9K=`=O111b9>4?::m5e?6=3th8=84?:283>5}#1>0?7E7j;I;;?l332900e8;50;9l2d<722wi?<850;094?6|,0=19k5G9d9K===n=:0;66a9a;29?xd49>0;6>4?:1y'=2<33A3n7E77;h77>5<>{e;821<7=50;2x <1=<2B2i6F68:k60?6=3`?>6=44o7c94?=zj::o6=4<:183!?02=1C5h5G999j11<722c>97>5;n4b>5<53;294~">?3o2<3:17d;::188k3g=831vn>??:187>5<7s-3<6;k4H8g8L<>1<75f5483>>o2>3:17b8n:188yg5703:1?7>50z&:3?2<@0o0D464i4694?=n=<0;66a9a;29?xd4800;6>4?:1y'=2<1l2B2i6F68:k60?6=3`?>6=44o7c94?=zj=:;6=4=:183!?02t$8590>N>m2B246g:4;29?l322900c;o50;9~f16229096=4?{%;4>0`<@0o0D464i4194?=h>h0;66sm41494?5=83:p(4956e9K=`=O111b994?::k61?6=3f7:181>5<7s-3<68h4H8g8L<>>{e<931<7=50;2x <1=<2B2i6F68:k60?6=3`?>6=44o7c94?=zj=:j6=4<:183!?02=1C5h5G999j11<722c>97>5;n4b>5<;n7>54;294~">?3?0D4k4H8:8m02=831b984?::k62?6=3f1<7>t$8591>N>m2B246g:4;29?l322900e8850;9l2d<722wi8==50;194?6|,0=1:i5G9d9K===n==0;66g:5;29?j0f2900qo=jf;296?6=8r.2;7;i;I;f?M??3`?86=44o7c94?=zj:l=6=4=:183!?02t$8590>N>m2B246g:4;29?l322900c;o50;9~f6`?29096=4?{%;4>0`<@0o0D464i4194?=h>h0;66sm3g;94?4=83:p(4955g9K=`=O111b9>4?::m5e?6=3th8jl4?:383>5}#1>0>j6F6e:J:<>o2;3:17b8n:188yg5aj3:1?7>50z&:3?2<@0o0D464i4694?=n=<0;66a9a;29?xd4nj0;6>4?:1y'=2<33A3n7E77;h77>5<>{e;on1<7=50;2x <1=>m1C5h5G999j11<722c>97>5;n4b>5<53;294~">?3o2<3:17d;::188k3g=831vn>h=:180>5<7s-3<695G9d9K===n==0;66g:5;29?j0f2900qo=i3;297?6=8r.2;78k;I;f?M??3`??6=44i4794?=h>h0;66sm3g694?5=83:p(4954:J:a>N>02c>87>5;h76>5<5<4290;w)78:7f8L5;|`0`c<72:0;6=u+9687?M?b3A337d;;:188m03=831d:l4?::a7`3=8381<7>t$8591c=O1l1C555f5283>>i1i3:17pl6<729q/5:4;;I;f?M??3`??6=44i4794?=h>h0;66sm3d594?4=83:p(4955g9K=`=O111b9>4?::m5e?6=3th8i54?:383>5}#1>0>j6F6e:J:<>o2;3:17b8n:188yg5b13:1>7>50z&:3?3a3A3n7E77;h70>5<5<5290;w)78:4d8Lo2<3:17d;::188k3g=831vn>kl:180>5<7s-3<695G9d9K===n==0;66g:5;29?j0f2900qo=j0;291?6=8r.2;784H8g8L<>1<75f5483>>o2>3:17d;8:188k3g=831vn>k>:180>5<7s-3<6;j4H8g8L<>1<75f5483>>i1i3:17pl6<729q/5:49d:J:a>N>02c>87>5;h76>5<5<4290;w)78:59K=`=O111b994?::k61?6=3f1<7>t$8591>N>m2B246g:4;29?l322900e8850;9l2d<722wi>5<7s-3<68h4H8g8L<>>{e:8o1<7<50;2x <1==o1C5h5G999j16<722e=m7>5;|`15c<72=0;6=u+9686?M?b3A337d;;:188m03=831b9;4?::m5e?6=3th9>=4?:283>5}#1>0=h6F6e:J:<>o2<3:17d;::188k3g=831vn?<>:187>5<7s-3<685G9d9K===n==0;66g:5;29?l312900c;o50;9~f4b129086=4?{%;4>3b<@0o0D464i4694?=n=<0;66a9a;29?xd6l>0;6?4?:1y'=2<2n2B2i6F68:k67?6=3f5;|`2`<<72:0;6=u+9685`>N>m2B246g:4;29?l322900c;o50;9~f42f29086=4?{%;4>1=O1l1C555f5583>>o2=3:17b8n:188yg73j3:1>7>50z&:3?3a3A3n7E77;h70>5<5<5290;w)78:4d8L5;|`215<72<0;6=u+9685?M?b3A337d;;:188m03=831b9;4?::k63?6=3ft$8592a=O1l1C555f5583>>o2=3:17b8n:188yg7393:1>7>50z&:3?3a3A3n7E77;h70>5<5<5290;w)78:4d8L5;|`203<72<0;6=u+9685?M?b3A337d;;:188m03=831b9;4?::k63?6=3ft$8590>N>m2B246g:4;29?l322900c;o50;9~f41f29096=4?{%;4>0`<@0o0D464i4194?=h>h0;66sm16`94?5=83:p(4954:J:a>N>02c>87>5;h76>5<5<4290;w)78:7f8L5;|`23a<72:0;6=u+9687?M?b3A337d;;:188m03=831d:l4?::a52c=8391<7>t$8592a=O1l1C555f5583>>o2=3:17b8n:188yg7a;3:1>7>50z&:3?3a3A3n7E77;h70>5<5<5290;w)78:4d8Lo2<3:17d;::188k3g=831vn5<7s-3<6;j4H8g8L<>1<75f5483>>i1i3:17pl=3g83>7<729q/5:4:f:J:a>N>02c>?7>5;n4b>5<52;294~">?3?m7E7j;I;;?l342900c;o50;9~f72129096=4?{%;4>0`<@0o0D464i4194?=h>h0;66sm25594?5=83:p(4954:J:a>N>02c>87>5;h76>5<5<5290;w)78:4d8L9750;094?6|,0=19k5G9d9K===n=:0;66a9a;29?xd54?:1y'=2<1l2B2i6F68:k60?6=3`?>6=44o7c94?=zj;>i6=4<:183!?02=1C5h5G999j11<722c>97>5;n4b>5<53;294~">?3>0D4k4H8:8m02=831b984?::m5e?6=3th98=4?:283>5}#1>0?7E7j;I;;?l332900e8;50;9l2d<722wi>9?50;694?6|,0=196F6e:J:<>o2<3:17d;::188m00=831d:l4?::a614=83>1<7>t$8592`=O1l1C555f5583>>o2=3:17d;9:188k3g=831vn?:<:180>5<7s-3<695G9d9K===n==0;66g:5;29?j0f2900qo<;4;297?6=8r.2;78k;I;f?M??3`??6=44i4794?=h>h0;66sm22494?4=83:p(4955g9K=`=O111b9>4?::m5e?6=3th9?:4?:283>5}#1>0=h6F6e:J:<>o2<3:17d;::188k3g=831vn?=7:181>5<7s-3<68h4H8g8L<>>{e::31<7=50;2x <1=>m1C5h5G999j11<722c>97>5;n4b>5<53;294~">?3>0D4k4H8:8m02=831b984?::m5e?6=3th9?o4?:283>5}#1>0?7E7j;I;;?l332900e8;50;9l2d<722wi>>m50;194?6|,0=1:i5G9d9K===n==0;66g:5;29?j0f2900qo?6a;296?6=8r.2;7;i;I;f?M??3`?86=44o7c94?=zj83i6=4<:183!?02=1C5h5G999j11<722c>97>5;n4b>5<52;294~">?3?m7E7j;I;;?l342900c;o50;9~f4?c29096=4?{%;4>0`<@0o0D464i4194?=h>h0;66sm18g94?5=83:p(4954:J:a>N>02c>87>5;h76>5<5<4290;w)78:59K=`=O111b994?::k61?6=3ft$8590>N>m2B246g:4;29?l322900c;o50;9~f6?329096=4?{%;4>0`<@0o0D464i4194?=h>h0;66sm38d94?5=83:p(4954:J:a>N>02c>87>5;h76>5<5<5290;w)78:4d8Lo9:181>5<7s-3<68h4H8g8L<>>{e;h=1<7<50;2x <1==o1C5h5G999j16<722e=m7>5;|`0e=<72;0;6=u+9686b>N>m2B246g:3;29?j0f2900qo=65;297?6=8r.2;7:4H8g8L<>1<75f5483>>i1i3:17pl<9783>1<729q/5:4:;I;f?M??3`??6=44i4794?=n=?0;66a9a;29?xd41>0;6>4?:1y'=2<33A3n7E77;h77>5<>{e;021<7:50;2x <1==2B2i6F68:k60?6=3`?>6=44i4494?=h>h0;66sm38;94?5=83:p(4956e9K=`=O111b994?::k61?6=3ft$8590>N>m2B246g:4;29?l322900c;o50;9~f6?d29086=4?{%;4>3b<@0o0D464i4694?=n=<0;66a9a;29?xd41m0;6>4?:1y'=2<33A3n7E77;h77>5<>{e;0o1<7=50;2x <1=>m1C5h5G999j11<722c>97>5;n4b>5<53;294~">?3>0D4k4H8:8m02=831b984?::m5e?6=3th8m<4?:283>5}#1>0=h6F6e:J:<>o2<3:17d;::188k3g=831vn>8k:180>5<7s-3<6;j4H8g8L<>1<75f5483>>i1i3:17pl<7783>6<729q/5:4;;I;f?M??3`??6=44i4794?=h>h0;66sm36594?4=83:p(4955g9K=`=O111b9>4?::m5e?6=3th8;54?:383>5}#1>0>j6F6e:J:<>o2;3:17b8n:188yg5013:1>7>50z&:3?3a3A3n7E77;h70>5<5<5290;w)78:4d8L8j:180>5<7s-3<6;j4H8g8L<>1<75f5483>>i1i3:17pl<6g83>0<729q/5:49;I;f?M??3`??6=44i4794?=n=?0;66g:7;29?j0f2900qo=80;297?6=8r.2;7:4H8g8L<>1<75f5483>>i1i3:17pl<7083>6<729q/5:49d:J:a>N>02c>87>5;h76>5<5<4290;w)78:59K=`=O111b994?::k61?6=3ft$8590>N>m2B246g:4;29?l322900c;o50;9~f61229086=4?{%;4>3b<@0o0D464i4694?=n=<0;66a9a;29?xd4090;6?4?:1y'=2<2n2B2i6F68:k67?6=3f67:181>5<7s-3<68h4H8g8L<>>{e;131<7<50;2x <1==o1C5h5G999j16<722e=m7>5;|`0N>m2B246g:3;29?j0f2900qo=7b;296?6=8r.2;7;i;I;f?M??3`?86=44o7c94?=zj:2h6=4=:183!?02t$8590>N>m2B246g:4;29?l322900c;o50;9~f6>b29086=4?{%;4>3b<@0o0D464i4694?=n=<0;66a9a;29?xd4080;6>4?:1y'=2<33A3n7E77;h77>5<>{e;181<7=50;2x <1=>m1C5h5G999j11<722c>97>5;n4b>5<53;294~">?3>0D4k4H8:8m02=831b984?::m5e?6=3th8494?:283>5}#1>0=h6F6e:J:<>o2<3:17d;::188k3g=831vn>6::180>5<7s-3<6;j4H8g8L<>1<75f5483>>i1i3:17pl<8783>1<729q/5:4:;I;f?M??3`??6=44i4794?=n=?0;66a9a;29?xd5:=0;6?4?:1y'=2<2n2B2i6F68:k67?6=3f5<7s-3<68h4H8g8L<>>{e:;=1<7<50;2x <1==o1C5h5G999j16<722e=m7>5;|`16=<72;0;6=u+9686b>N>m2B246g:3;29?j0f2900qo<=9;293?6=8r.2;764H8g8L<>1<75f5483>>o2>3:17d;8:188m0>=831b944?::m5e?6=3th95l4?:383>5}#1>0>j6F6e:J:<>o2;3:17b8n:188yg4>j3:1>7>50z&:3?3a3A3n7E77;h70>5<5<5290;w)78:4d8L4j50;094?6|,0=19k5G9d9K===n=:0;66a9a;29?xd51l0;6?4?:1y'=2<2n2B2i6F68:k67?6=3f3:1:7>5;h74>5<>{e:h:1<7=50;2x <1=>m1C5h5G999j11<722c>97>5;n4b>5<7>55;294~">?3<0D4k4H8:8m02=831b984?::k62?6=3`?<6=44o7c94?=zj;k86=4<:183!?02=1C5h5G999j11<722c>97>5;n4b>5<52;294~">?3?m7E7j;I;;?l342900c;o50;9~f7g229096=4?{%;4>0`<@0o0D464i4194?=h>h0;66sm2`494?5=83:p(4954:J:a>N>02c>87>5;h76>5<5<4290;w)78:59K=`=O111b994?::k61?6=3f5;|`754<72;0;6=u+9686b>N>m2B246g:3;29?j0f2900qo:>2;296?6=8r.2;7;i;I;f?M??3`?86=44o7c94?=zj=;86=4<:183!?02=1C5h5G999j11<722c>97>5;n4b>5<:87>53;294~">?3h?50;194?6|,0=186F6e:J:<>o2<3:17d;::188k3g=831vn?k=:180>5<7s-3<6;j4H8g8L<>1<75f5483>>i1i3:17pl=e283>7<729q/5:4:f:J:a>N>02c>?7>5;n4b>5<52;294~">?3?m7E7j;I;;?l342900c;o50;9~f7c229086=4?{%;4>1=O1l1C555f5583>>o2=3:17b8n:188yg4b>3:187>50z&:3?3<@0o0D464i4694?=n=<0;66g:6;29?j0f2900qo<89;296?6=8r.2;7;i;I;f?M??3`?86=44o7c94?=zj;=j6=4=:183!?02t$8592a=O1l1C555f5583>>o2=3:17b8n:188yg40k3:1?7>50z&:3?2<@0o0D464i4694?=n=<0;66a9a;29?xd6mh0;6>4?:1y'=2<1l2B2i6F68:k60?6=3`?>6=44o7c94?=zj8oi6=4<:183!?02=1C5h5G999j11<722c>97>5;n4b>5<52;294~">?3?m7E7j;I;;?l342900c;o50;9~f4g329096=4?{%;4>0`<@0o0D464i4194?=h>h0;66sm1`794?4=83:p(4955g9K=`=O111b9>4?::m5e?6=3th:m;4?:383>5}#1>0>j6F6e:J:<>o2;3:17b8n:188yg7f?3:1>7>50z&:3?3a3A3n7E77;h70>5<5<1290;w)78:69K=`=O111b994?::k61?6=3`?=6=44i4594?=n=10;66a9a;29?xd6i00;6>4?:1y'=2<1l2B2i6F68:k60?6=3`?>6=44o7c94?=zj;h=6=4=:183!?02t$8591c=O1l1C555f5283>>i1i3:17pl=b983>7<729q/5:4:f:J:a>N>02c>?7>5;n4b>5<52;294~">?3?m7E7j;I;;?l342900c;o50;9~f7df290>6=4?{%;4>3=O1l1C555f5583>>o2=3:17d;9:188m01=831d:l4?::a7a4=8381<7>t$8591c=O1l1C555f5283>>i1i3:17pl7<729q/5:4:f:J:a>N>02c>?7>5;n4b>5<54;294~">?3?0D4k4H8:8m02=831b984?::k62?6=3ft$8590>N>m2B246g:4;29?l322900c;o50;9~f6b029086=4?{%;4>3b<@0o0D464i4694?=n=<0;66a9a;29?xd5mj0;6?4?:1y'=2<2n2B2i6F68:k67?6=3f5<7s-3<68h4H8g8L<>>{e:ll1<7<50;2x <1==o1C5h5G999j16<722e=m7>5;|`1b5<72<0;6=u+9685?M?b3A337d;;:188m03=831b9;4?::k63?6=3ft$8591c=O1l1C555f5283>>i1i3:17pl=8c83>7<729q/5:4:f:J:a>N>02c>?7>5;n4b>5<52;294~">?3?m7E7j;I;;?l342900c;o50;9~f7>c29086=4?{%;4>3b<@0o0D464i4694?=n=<0;66a9a;29?xd50l0;694?:1y'=2<23A3n7E77;h77>5<>i1i3:17pl=8g83>6<729q/5:4;;I;f?M??3`??6=44i4794?=h>h0;66sm28294?5=83:p(4956e9K=`=O111b994?::k61?6=3f5<7s-3<68h4H8g8L<>>{e:::1<7<50;2x <1==o1C5h5G999j16<722e=m7>5;|`174<72;0;6=u+9686b>N>m2B246g:3;29?j0f2900qo<<2;296?6=8r.2;7;i;I;f?M??3`?86=44o7c94?=zj;986=4::183!?02?1C5h5G999j11<722c>97>5;h75>5<>{e::>1<7=50;2x <1=>m1C5h5G999j11<722c>97>5;n4b>5<53;294~">?3>0D4k4H8:8m02=831b984?::m5e?6=3th:4n4?:483>5}#1>0=7E7j;I;;?l332900e8;50;9j13<722c>;7>5;n4b>5<53;294~">?3>0D4k4H8:8m02=831b984?::m5e?6=3th:4h4?:283>5}#1>0=h6F6e:J:<>o2<3:17d;::188k3g=831vn<6i:181>5<7s-3<68h4H8g8L<>>{e90:1<7=50;2x <1=>m1C5h5G999j11<722c>97>5;n4b>5<53;294~">?3>0D4k4H8:8m02=831b984?::m5e?6=3th:5?4?:283>5}#1>0?7E7j;I;;?l332900e8;50;9l2d<722wi=4=50;194?6|,0=186F6e:J:<>o2<3:17d;::188k3g=831vn<66:180>5<7s-3<6;j4H8g8L<>1<75f5483>>i1i3:17pl>8`83>6<729q/5:4;;I;f?M??3`??6=44i4794?=h>h0;66sm19`94?5=83:p(4956e9K=`=O111b994?::k61?6=3f5<7s-3<68h4H8g8L<>>{e<::1<7<50;2x <1==o1C5h5G999j16<722e=m7>5;|`774<72=0;6=u+9685a>N>m2B246g:4;29?l322900e8850;9l2d<722wi8><50;194?6|,0=186F6e:J:<>o2<3:17d;::188k3g=831vn?m8:181>5<7s-3<68h4H8g8L<>>{e:j31<7=50;2x <1=>m1C5h5G999j11<722c>97>5;n4b>5<53;294~">?3>0D4k4H8:8m02=831b984?::m5e?6=3th9oo4?:383>5}#1>0>j6F6e:J:<>o2;3:17b8n:188yg4dk3:1>7>50z&:3?3a3A3n7E77;h70>5<5<4290;w)78:59K=`=O111b994?::k61?6=3f5;|`1gc<72:0;6=u+9685`>N>m2B246g:4;29?l322900c;o50;9~f7b729086=4?{%;4>1=O1l1C555f5583>>o2=3:17b8n:188yg4d03:1?7>50z&:3?0c3A3n7E77;h77>5<>{e:ol1<7<50;2x <1==o1C5h5G999j16<722e=m7>5;|`045<72;0;6=u+9686b>N>m2B246g:3;29?j0f2900qo=?1;296?6=8r.2;7;i;I;f?M??3`?86=44o7c94?=zj::96=4<:183!?02=1C5h5G999j11<722c>97>5;n4b>5<53;294~">?3o2<3:17d;::188k3g=831vn>>::180>5<7s-3<6;j4H8g8L<>1<75f5483>>i1i3:17pl=d983>6<729q/5:4;;I;f?M??3`??6=44i4794?=h>h0;66sm2e;94?4=83:p(4955g9K=`=O111b9>4?::m5e?6=3th9hl4?:383>5}#1>0>j6F6e:J:<>o2;3:17b8n:188yg4cj3:1>7>50z&:3?3a3A3n7E77;h70>5<5<4290;w)78:59K=`=O111b994?::k61?6=3f1<7>t$8591>N>m2B246g:4;29?l322900e8850;9l2d<722wi=n850;094?6|,0=19k5G9d9K===n=:0;66a9a;29?xd6k>0;6?4?:1y'=2<2n2B2i6F68:k67?6=3f5<7s-3<6;j4H8g8L<>1<75f5483>>i1i3:17pl>c`83>6<729q/5:49d:J:a>N>02c>87>5;h76>5<5<4290;w)78:59K=`=O111b994?::k61?6=3f1<7>t$8591>N>m2B246g:4;29?l322900e8850;9l2d<722wi==850;694?6|,0=196F6e:J:<>o2<3:17d;::188m00=831d:l4?::a55c=83>1<7>t$8591>N>m2B246g:4;29?l322900e8850;9l2d<722wi=o2<3:17d;::188m00=831b9:4?::m5e?6=3th:5}#1>0<7E7j;I;;?l332900e8;50;9j13<722c>;7>5;h7;>5<5<>290;w)78:89K=`=O111b994?::k61?6=3`?=6=44i4594?=n=10;66g:9;29?l3f2900e8l50;9l2d<722wi=<=50;194?6|,0=186F6e:J:<>o2<3:17d;::188k3g=831vn5<7s-3<645G9d9K===n==0;66g:5;29?l312900e8950;9j1=<722c>57>5;h7b>5<>{e9821<7:50;2x <1==2B2i6F68:k60?6=3`?>6=44i4494?=h>h0;66sm10;94?5=83:p(4954:J:a>N>02c>87>5;h76>5<5<5290;w)78:4f8L5<5290;w)78:4f8L5}#1>0>h6F6e:J:<>o2;3:17b8n:188yg5393:1>7>50z&:3?3c3A3n7E77;h70>5<5<5290;w)78:4f8L7>50z&:3?3c3A3n7E77;h70>5<4?::m5e?6=3th88o4?:383>5}#1>0>h6F6e:J:<>o2;3:17b8n:188yg5113:1>7>50z&:3?3c3A3n7E77;h70>5<4?::m5e?6=3th9j7>52;294~">?3?o7E7j;I;;?l342900c;o50;9~f7c=8381<7>t$8591a=O1l1C555f5283>>i1i3:17pl=d;296?6=8r.2;7;k;I;f?M??3`?86=44o7c94?=zj;h1<7<50;2x <1==m1C5h5G999j16<722e=m7>5;|`1e?6=:3:17>50z&:3?3c3A3n7E77;h70>5<4?::m5e?6=3th9:7>52;294~">?3?o7E7j;I;;?l342900c;o50;9~f73=8381<7>t$8591a=O1l1C555f5283>>i1i3:17pl=4;296?6=8r.2;7;k;I;f?M??3`?86=44o7c94?=zj;91<7<50;2x <1==m1C5h5G999j16<722e=m7>5;|`16?6=:3:1650;094?6|,0=19i5G9d9K===n=:0;66a9a;29?xd4?3:1>7>50z&:3?3c3A3n7E77;h70>5<4?::m5e?6=3th897>52;294~">?3?o7E7j;I;;?l342900c;o50;9~f62=8381<7>t$8591a=O1l1C555f5283>>i1i3:17pl<3;296?6=8r.2;7;k;I;f?M??3`?86=44o7c94?=zj:81<7<50;2x <1==m1C5h5G999j16<722e=m7>5;|`05?6=:3:10;694?:1y'=2<1:2B2i6F68:k67?6=3`>i6=44o7c94?=h>90;66sm3g83>7<729q/5:49b:J:a>N>02c>?7>5;n4b>5<52;294~">?33d<@0o0D464i4194?=h>h0;66sm34f94?4=83:p(4956c9K=`=O111b9>4?::m5e?6=3th?=7>52;294~">?33d<@0o0D464i4194?=h>h0;66sm34394?4=83:p(4956c9K=`=O111b9>4?::m5e?6=3th8?i4?:383>5}#1>0=n6F6e:J:<>o2;3:17b8n:188yg54j3:1>7>50z&:3?0e3A3n7E77;h70>5<5<4290;w)78:7a8L5;|`071<72;0;6=u+9685f>N>m2B246g:3;29?j0f2900qo=:3;296?6=8r.2;78m;I;f?M??3`?86=44o7c94?=zj:<:6=4<:183!?02?i0D4k4H8:8m05=831b8o4?::m5e?6=3th88k4?:383>5}#1>0=n6F6e:J:<>o2;3:17b8n:188yg55i3:1>7>50z&:3?0e3A3n7E77;h70>5<5<5290;w)78:7`8Lt$8592f=O1l1C555f5283>>o3j3:17b8n:188yg53=3:1>7>50z&:3?0e3A3n7E77;h70>5<5<5290;w)78:7`8L850;094?6|,0=1:o5G9d9K===n=:0;66a9a;29?xd?>3:1>7>50z&:3?0e3A3n7E77;h70>5<4?::m5e?6=3th3j7>52;294~">?3t$8592g=O1l1C555f5283>>i1i3:17pl7d;296?6=8r.2;78m;I;f?M??3`?86=44o7c94?=zj1i1<7<50;2x <1=>k1C5h5G999j16<722e=m7>5;|`;f?6=:3:17>50z&:3?0e3A3n7E77;h70>5<4?::m5e?6=3th3;7>52;294~">?3t$8592g=O1l1C555f5283>>i1i3:17p}n:181[g<5;819>5rsc83>7}Yj279?7;<;|q`>5<5sWi01?:5529~wa<72;qUh63=5;70?xub2909wSk4=34916=z{o0;6?uQf:?13?343ty:<7>52z\24>;503?87p}>1;296~X6927957;<;|q26?6=:rT:>63=a;70?xu6;3:1>vP>3:?1f?343ty:87>52z\20>;5k3?87p}>5;296~X6=279h7;<;|q22?6=:rT::63=e;70?xu6?3:1>vP>7:?1b?343ty:57>52z\2=>;483?87p}>a;296~X6i278=7;<;|q2f?6=:rT:n63<2;70?xu6k3:1>vP>c:?07?343ty:h7>52z\2`>;4<3?87p}>e;296~X6m27897;<;|q2b?6=:rT:j63<6;70?xu583:1>vP=0:?03?343ty9=7>52z\15>;403?87p}=2;297~;60=0>:63;5:3;63;5;3463;5<3563;60l0>863=5;4b?xu5>3:18v3>8586e>;4k90>863>8d861>;5>3n63;5?3863;60j0>863=8;4b?xu513:18v3>84861>;4ko0>863>8b861>;513:63;60j0>:63=a;4b?xu5j3:19v3>84863>;4lk0>863>8b863>;5j370?n3;70?87?i3??70>3?870?6b;76?87f=3?870?78;77?87?l3??7022?2h1v>>50;5x94>222<901<7?:468966=>h1v>?50;0x96ga2?56`9~w64=838p1>l;:478964=>h1v>=50;0x96d>2=56`9~w62=838p1>lj:478962=>h1v>;50;0x96e42;56`9~w60=838p1>m7:478960=>h1v>950;1x94>32<>01>mk:478961=>h1v>650;1x94>32j;:46896>=>h1v>750;3g851i3>370370?md;6;?87fk3??702;76?827:3?>70=jf;70?85a?3?>70=j6;76?85><3?870=6b;77?850>3??70=8a;70?85?13?8704853c9>5c7=;k16=k953c9>5cg=;k16=kj53c9>656=;k16>>j53c9>5a2=;k16>?m53c9>67d=;k16>:>53c9>5a`=;k16>::53c9>5`3=;k16>?<53c9>5`>=;k16>9k53c9>602=;k16>;<53c9>5ac==:16?i49a:p7`<72;qU?h523g85e>{t<90;6?uQ419>04<1i2wx8?4?:3y]07=:<:0>?6s|4283>0}:jm0>?63=67867>;5=l0>?63=33867>;3;3;u21bf97g=:;8n1?o522gf97g=::hk1?o522`f97g=::oi1?o521c:97g=:9kn1?o521b097g=::l=1?o5241d97g=:9hk1?o521`f97g=::h31?o522b797g=:<8h1?o5240497g=:<8l1?o5240597g=:;?k1?o5237`97g=:;>o1?o5238097g=:<8?1?o522c297g=::o81?o522c`97g=::m;1?o522g;97g=::j81?o522ed97g=:;9<1?o5231c97g=:;mn1?o523df97g=:;oo1?o521c0916=:6}:9j>198521e2911=:91<1:l5rs7394?5|58hm68=4=3`7>3g<58i=68=4}r40>57;;;<0f5?3234;3n78n;<0`e?33349;87;;;<0g520y>=c<2927in7::;13<5m<18852d`871>;cm3>>70k=:5789`0=<<16il4;5:?fa?2234l969;4=b1900=:k>0?963lb;66?8ea2=?01?79:57894ec2=?01>?k:57897`c2=?01?on:57894d?2=?01370=i:418917==:1v:;50;0xZ23<51>1:l5rs6494?4|V><015;56`9~w2>=838pR:64=9492d=z{>31<7h1v:o50;0xZ2g<5121:l5rs6`94?4|V>h015756`9~w2e=838pR:m4=9c92d=z{>n1<7h1v:k50;0xZ2c<51i1:l5rs6d94?4|V>l015j56`9~w=6=838pR5>4=9g92d=z{1;1<7h1v5<50;gx96?62<>019>m:47896`>2<901>k?:46894g62?k01>7i:47896g42<901>o;:4189611267:41896>c2<>01>j<:41896b32<<01942908w0=61;4b?87ei3?870?l7;70?xu?<3:1>v3;?<3?87p}77;296~;4j;0=m6377;70?xu?03:1>v3;?03?87p}79;296~;4jj0=m6379;70?xu?i3:1>v3;?i3?87p}7b;296~;4k?0=m637b;70?xu?k3:1>v3;?k3?87p}7d;296~;4l90=m637d;70?xu?m3:1>v3;?m3?87p}7f;296~;4lj0=m637f;70?xu>83:1>v3;32867>;6i00=m6s|a783>=}:i?0<863<32867>;50;0>?63=64861>;4;80?n63<6087f>;4{ti>0;6?u2a787<>;f13h1vlj50;:x9gd=?=16jl4;9:?241<2=27h<7;<;<332?3134;;m7;7;<327?3234;:47;9;|qag?6=9?q6no4l4=b`97g=:ko08n63l2;1a?8b12:h01io53c9>``<4j27n>7=m;6d<5lk1?o52ed80f>;a:39i70o9:2`8974f2:h01<9i:2`894102:h01<=<:2`8944c2:h01lo53c9>fa<1i2wxnh4?:3y>fg<3027h=78n;|qab?6=:r7ij78n;023g<5j;1985rsc094?1|5j81;952f`87e>;68=0>863>07861>;68h0>;63>1386f>;6910>86s|ad83>1}:k:0<863i6;6b?876j3?>70?>7;76?xud<3:1>v3l3;6;?8e12?k0q~m::1818e22?k01n85549~wd`=83>p1n95759>b2<3i27:=i4:5:?25g<2<2wxo54?:3y>g2<3027hm78n;|q`=?6=:r7h578n;0322<5o218l5210f911=z{ji1<77}:km0=m63le;76?xue93:1;v3lf;57?8`>2=k01<62<>01<=9:41894502<>01<h1vi?50;0x9a7=>h16h?4:5:p`6<72;q6o?4;8:?g1?0f3tyo87>52z?g0?0f34n>68;4}r`0>5<1s4n=6::4=g`90d=:99<1995211c913=:98819l5210:910=z{m=1<77}:l10=m63k9;76?xue<3:1:v3ka;57?8`d2=k01<>7:468946f2`a<2=2wxn84?:4y>``<0<27mh7:n;<33e?3334;:>7;7;<323?3e3tyoj7>52z?ga?2?34o:6;o4}rg3>5<5s4o;6;o4=d3910=z{k<1<78t=d0931=:nl0?m63>0b860>;68l0>963>13863>;69>0>56s|e283>7}:m;0?463j5;4b?xub<3:1>v3j4;4b?8c22>01kh54`9>55c===16=<<5579>541==>1vh950;0x9`0=<116i449a:pa=<72;q6i549a:?f=?323tyi47>55z?fe?1334;;<7:n;<324?3334;:>7;;;<323?3?3tynn7>52z?fe?2?34oo6;o4}rg`>5<5s4oh6;o4=df910=z{k31<7:t=dg931=:99;18l52100910=:98=19;5rsdd94?4|5lo18552f085e>{tn90;6?u2f185e>;a93?>7p}ma;291~;a:3=?70??2;6b?876=3??70?>b;75?876?3??7p}i3;296~;a:3>370h::7c8yv`32909w0h;:7c89c3==<1v<><:1818`e2=301<>;:7c8yv77=3:1?v3ic;6:?87703?>70??6;4b?xu68>0;6?u2fe87=>;6810=m6s|11;94?2|5oo1845211a910=:99o19;5211c92d=z{8:i6=4={1?<58:h6;o4}r33`?6=;r7:<=4;9:?255<2=27:>:5;894772?k0q~?>1;290~;68;0?563>14861>;69k0>;63>1285e>{t9881<73g<58;868:4}r320?6=:r7m:7:6;<321?0f3ty:=;4?:2y>b2<3127:=i4:6:?25<<1i2wx=<950;0x94702?k01v3>1985e>;6900>96s|10c94?4|5o21845210`92d=z{8;h6=4={1?<58;o6;o4}r32a?6=:r7m:77>;0252z?e3??634ij68:4}r314?6=:r7m477>;0252z?e=??634n968:4}r316?6=:r7mm77>;0252z?ef??634n268:4}r310?6=:r7mo77>;0252z?e`??634o:68:4}r312?6=:r7mi77>;0252z?eb??634o268:4}r3144?:3y>557=1816j<4:4:p57g=838p1<>=:8389c3===1v<`=<2;27oo7;<;05<5l>19>52e9867>;bk3?870h?:4189c2==:16o84:3:?`=?3434io68=4=e3916=:9;i1:l5rs00g>5<1s4;9h79;;<30g?3334;897;<;<306?3334;<57;;;<34e?343ty:>h4?:3y>57b=<116=><56`9~w44a2909w0?=f;4b?87493??7p}>3183>7}:9::1:l52123910=z{89:6=4={<305?0f34;8>7;:;|q276<72=5759>56d==:16=>65559>57`===16=:j5559~w4532909w0?<3;6;?874133483>7}:9:?1:l52125910=z{89=6=4={<302?0f34;847;:;|q272<72;q6=>956`9>56?===1v<=7:181874035<4s4k268:4=01`>3g<582968:4}r30a?6=:r7:?h484:?752<302wx=>h50;0x945b2=201<:8:7c8yv7383:1>v3>4185e>;6<<0>86s|15394?4|58>:6;o4=066>037>52z?207<1i27:8;4:5:p515=838p1<:<:7c894212<<0q~?;4;296~;6<=0=m63>47863>{t9=?1<73g<58><68:4}r372?6=:r7:8;49a:?202<2=2wx=9650;0x942?2>>019?i:5:8yv7313:1>v3>4987<>;6=80=m6s|15c94?4|58>j6;o4=06e>0252z?20g<1i27:8k4:5:p51e=838p1<:l:7c89437251862>{t9=o1<73g<58?;6894}r37b?6=:r7:8k49a:?214<2<2wx=8>50;0x94372?k01<;>:478yv72>3:1=9u2bc870>;a:3>?70kj:5689`g=<=16i;4;4:?f6?2334nn69:4=ec901=:l?0?863l2;67?8ea2=>01nl5459>g2<3<27h?7:;;<362?1334;>i7;<;<357?3434;=;7;<;<35f?3434;9o7;:;|q212<72;q6=885499>50d=>h1v<;7:181872035<5s4;>m78n;<36f?303ty:9?4?:6y>50e=?=16=;j5489>527==<16=8h5529>50>==:16=9m5529>514==:1v<;k:181872k3>370?90;4b?xu6=l0;6?u214g92d=:9?:1995rs07e>5<5s4;>j78n;<354?323ty:9>4?:9y>537=?=16=;j54`9>527===16=875529>51g==<16=9j5529>516===16=9=5529~w4052909w0?91;6;?871<36283>7}:9?91:l52176910=z{8??6=47{<351?1334;=i7:n;<347?3334;>m7;<;<37e?3334;?i7;<;<374?3234;?87;<;|q223<72;q6=;;5499>53>=>h1v<88:181871?352142911=:9=;19>52154911=z{853e==<1v<9?:180871m3>270?83;76?870937383>7}:9?l1845216192d=z{8=?6=4={<35`??634;=87;;;|q230<72;q6=;k5909>53>===1v<99:181871n33:70?9c;77?xu6?>0;68u2165931=:i10>?63>78861>;6?j0>863<3c867>{t9>21<71><58=n6;o4}r34=?6=:r7:;449a:?23`<2<2wx=:o50;0x941f2?k01<9m:478yv70j3:1>v3>7c85e>;6?j0>96s|16a94?4|58=h6;o4=05g>0352z?23a<1i27:;h4:5:p52`=839p1<9i:668963>2<901<6>:478yv7?83:1>v3>7g87<>;60;0=m6s|19394?4|582:6;o4=0:1>0352z?2<1<1i27:4;4:4:p5=2=838p1<6::7c894>1291861>{t9121<73g<583868:4}r3;=?6=:r7:4l49a:?2c2?k01<7=:468yv7?l3:1>v3>8d85e>;6180>86s|19g94?4|582m6;o4=0;2>0352z?2=5<1i27:4o4:4:p5<6=838p1<7>:7c894?5292861>{t9081<73g<582268;4}r3:7?6=:r7:4449a:?22<>0q~?65;296~;61<0=m63>99861>{t90<1<73g<58336884}r3:3?6=:r7:5:49a:?2==<2?2wx=4750;0x94?f2?k01<7j:478yv7>i3:1>v3>9c85e>;61o0>86s|18`94?4|583h6;o4=0;e>0352z?2=a<1i27:m<4:5:p50q~?6e;296~;61o0=m63>a1861>{t90l1<73g<58k:68:4}r3b6?6=:r7:m>49a:?2e=<2=2wx=l=50;0x94g32?k01v3>a485e>;6i10>:6s|1`794?4|58k=6;o4=0c;>0152z?2e2<1i27:m54:8:p5d1=838p12ae87<>{t9hh1<712<58kh6;o4}r3b`?6==r7:mi484:?2=f<2;27:5h4:4:?2e1<2;27:4i4:5:p5d`=838p1b6860>{t9k;1<7=t=0`3>6d<5=8:6>l4=0`1>3g52z?2f5<3027:n949a:p5g3=838p1c`860>{t9k31<712<58hh6;o4}r3ae?6=:r7:nl49a:?2ff<2<2wx=ol50;0x94de2?k01v3>be840>;6k00>86s|1cg94?4|58ho69:4=0a2>3g52z?2fc<1i27:o<4:4:p5f6=838p1d3867>{t9j91<71><58i?6;o4}r3`1?6=;r7:o?4;4:?2ga<3<27:on49a:p5f0=838p120=m63>c`861>{t9j21<73g<58ih68;4}r3`=?6=:r7:o449a:?2gg<2<2wx=no50;0x94ef2?k01v3>cc85e>;6kj0>86s|1bf94?4|58io6::4=21e>0552z?2ga<3027:h=49a:p5f`=838p1d2861>{t9m>1<722<5:8<68=4}r3g1?6=:r7:h94;8:?2`<<1i2wx=i850;0x94b12?k01v3>d685e>;6l10>96s|1e:94?4|58n36;o4=0f:>0353z?2`g<1i2799;4:3:?16`<2;2wx=im50;0x94bd2>>01db80f>;51808n63=8580f>;5?m08n63=7680f>;5?:08n63=6g80f>;5e580f>;6ll0=m6s|1ed94?4|58nm6::4=0fa>0253z?2`c<3027:hn4;8:?2a4<1i2wx=h<50;1x94c42?k01?;n:41897572<90q~?j4;296~;6m=0<863>e2861>{t9l?1<722<58o868:4}r3f2?6=;r7:i84;8:?2a1<3027:i:49a:p5`>=838p162<90q~?j9;296~;6m10?463>ec85e>{t9lk1<73g<58oi68;4}r3fg?6=1r7:j<484:?146<31279<54:5:?15<<2<279=o4:3:?2b1<2;279>94:3:?1=g<2;279m?4:5:p5c4=838p1:5:894`12?k0q~?i3;296~;6n:0=m63>f4860>{t9o>1<73g<58l>68;4}r3e1?6=:r7:j849a:?2b3<2=2wx=hj50;:x94`02>>01?><:5c8976?2<>01??6:478977f2<901?<9:41897?f2<901?o=:448yv7a03:1>v3>f687<>;6n00=m6s|1dg94?1|58lj6::4=327>1g<5;:j68:4=33f>05<5;8368=4=3;`>05<5;k96894}r3ef?6=:r7:jl4;8:?2bf<1i2wx=hh50;5x94`c2>>01?>::5c8976d2<>01??k:41897402<901?7k:41897g32<90q~?ie;296~;6nm0?463>fg85e>{t9o:1<79t=323>22<5;:=69o4=33:>00<5;;h68=4=30:>03<5;3n68=4=3c6>0552z?145<30279;:5;8976f27:7c8yv4713:1?v3=0487=>;58j0>963=0`85e>{t:9h1<71?<5;:h6;o4}r03`?6==r7:j44:4:?2bf<2<27:jk4:4:?147<2<279==49a:p65c=838p1?>j:7c897772<>0q~{t:8;1<7<7<58l268;4}r026?6=:r79<9461:?2bf<2=2wx><=50;0x976220;01v3=078:5>;58;0>96s|20794?5|5;;<6;o4=32e>05<58l=68:4}r022?6=:r79=;49a:?152<2=2wx><650;1x976b2<901?<>:7c894`42<90q~<>9;296~;5900=m63=21860>{t:8k1<73g<5;;m68;4}r02f?6=:r79=o49a:?15c<2<2wx>v3=1e85e>;5:80>86s|20g94?4|5;;n6;o4=302>0052z?15c<1i279>=4:5:p676=838p1?;5;80>?6s|23194?4|5;896964=30:>3g52z?161<1i279>44:4:p673=838p1?<::7c8974>2<<0q~<=6;296~;5:?0=m63=2886<>{t:;=1<73g<5;826894}r01549a:?16<<212wx>?o50;1x974e2=201?{t:;i1<7=t=30`>22<5;h;6964=3c1>0254z?10=<2;2798l4:4:?17<<2<279?949a:p67c=838p1?0q~<=f;296~;5:o0=m63=32861>{t:::1<73g<5;986884}r005?6=:r79?<49a:?176<2?2wx>><50;0x97552?k01?=;:478yv44;3:1>v3=3285e>;5;=0>86s|22794?4|5;8h6964=31`>3g52z?173<1i279?44:5:p661=838p1?=8:7c8975f2<>0q~<<8;296~;5;10=m63=3`861>{t::31<73g<5;9i68;4}r00e?6=:r79?l49a:?17f<2=2wx>>l50;0x975e2?k01?=l:468yv44l3:18v3=3e840>;5m00>?63<1g867>;5<:0>86s|22g94?4|5;9o6964=367>3g52z?17c<1i2798l4:5:p616=838p1?:?:7c897252{t:=81<73g<5;>868;4}r077?6=:r798>49a:?101<2<2wx>9;50;0x97222?k01?:m:478yv43>3:1>v3=4785e>;5<;0>:6s|25594?4|5;><6;o4=362>0352z?10=<1i2798n4:5:p61?=838p1?:6:7c897262<<0q~<;a;296~;5{t:=h1<73g<5;>968:4}r07g?6=:r798n49a:?104<2<2wx>9j50;6x972c2>>01?8::46894b12<>01?=8:468yv43m3:1iv3=4d840>;5?o0>963=65860>;5>10>?63=5g867>;5=90>963>d6867>;5<<0>?63=46860>;5<90>863=39867>;5?k0>863=8c867>;50m0>86s|25d94?4|5;>n6964=370>3g<7>52z?115<1i2799>4:4:p607=838p1?;>:7c897352{t:<>1<7kt=377>22<5;=m68:4=347>03<5;<<68=4=37;>02<5;?968:4=0f:>02<5;9m68=4=364>03<5;>i68:4=31a>02<5;=j68=4=0gb>02<5;2m68:4}r061?6=:r79994;8:?11<<1i2wx>8850;0x97312?k01?8?:468yv42?3:1>v3=5685e>;5=10>96s|24:94?4|5;?36;o4=37:>02m7>52z?11d<1i279:=4:5:p60d=838p1?;m:7c897072<<0q~<:c;296~;5=j0=m63=61863>{t:3g<5;?<68:4}r06a?6=:r799h49a:?112<2>2wx>8h50;0x973a2?k01?8>:478yv4183:1>v3=6185e>;5=>0>96s|27394?4|5;<:6;o4=37:>037>5fz?127<0<279:l4:4:?11a<2;279:<4:4:?115<2<2799<4:3:?2`3<2=2798;4:3:?10f<2<279?;4:3:?172<2=279;44:3:?2ad<2=2794l4:3:?1<`<2=2wx>;=50;0x97052=201?8l:7c8yv41<3:1>v3=6585e>;5>j0>86s|27794?4|5;<>6;o4=34:>0252z?123<1i279:44:5:p631=838p1?88:7c8970e2<>0q~<98;296~;5>10=m63=6c862>{t:?31<73g<5;;l50;0x970e2?k01?8l:478yv41l3:1?v3=6d85e>;5=j0>?63=2g867>{t:?l1<722<5;:?50;6x97172=201?8i:5:894gf2=?01?9=:7c8yv40;3:1>v3=72840>;5i:0>96s|26694?4|5;=?6::4=3c0>0253z?131<30279;>4;8:?133<1i2wx>:950;0x97102>>01>=>:418yv4003:1>v3=7687<>;5?j0=m6s|26;94?4|5;=26;o4=35a>0352z?13d<1i279;n4:5:p62d=838p1?9m:7c8971d2<>0q~<8d;296~;5?m0<863<42867>{t:>o1<71><5;=m6;o4}r0;4?6=:r794<49a:?1<6<2<2wx>5?50;0x97>52?k01?6<:478yv4?<3:1>v3=85840>;4?6s|29794?4|5;2?6964=3:;>3g52z?1<3<1i279454:4:p6=1=838p1?68:7c897>?2?63=87867>;5190=m6s|29c94?4|5;2j6;o4=3:g>0352z?1b2<<0q~<7d;296~;50m0=m63=8g861>{t:1o1<73g<5;3;68;4}r0;b?6=:r794k49a:?1=5<2<2wx>4?50;0x97?62>>01>8>:418yv4>:3:1>v3=9087<>;51<0=m6s|28194?4|5;386;o4=3;6>0252z?1=1<1i279584:5:p6<0=839p1?79:66897422<901>8<:418yv4>?3:1>v3=9787<>;5110=m6s|28;94?4|5;3=69:4=3c3>3g52z?1=d<1i2795k4:5:p60q~<6c;296~;51j0=m63=9g862>{t:0n1<73g<5;3m6894}r0:a?6=:r795h49a:?1=c<202wx>4h50;0x97?a2?k01?o?:478yv4f93:1?v3=99867>;5i90>863=a985e>{t:h81<73g<5;k368:4}r0b7?6=:r79m>49a:?1e2<2<2wx>l:50;0x97g32?k01?o9:468yv4f=3:1>v3=a485e>;5i?0>96s|2`494?4|5;k=6;o4=3c4>0352z?1e2<1i279m54:5:p6d?=838p1?o6:668964?2<90q~;5io0>963=f5860>;5n<0>?63=be860>;5jl0>?63=d2860>;5l=0>?63<10861>;5m:0>?63=b7867>;5ml0>?63=c8861>;5lm0>86s|2``94?4|5;kj69:4=3c`>3g52z?1ea<0<279mn4:4:p6dc=838p1?ok:5:897ga2?k0q~;49<0>963<09861>;5mm0>?63=c6867>{t:k;1<73g<5;h?68:4}r0a6?6=:r79n>49a:?1f1<2>2wx>o;50;1x97d52<901?ln:7c896642<>0q~{t:k=1<73g<5;hj68;4}r0a2wx>o750;0x97d>2?k01?ln:458yv4ej3:1>v3=bc840>;50>0>?6s|2ca94?4|5;hi6964=3a2>3g52z?1fa<1i279o<4:4:p6gc=838p1?lj:7c897e72<>0q~{t:j:1<73g<5;i:68;4}r0`6?6=:r79o?484:?1fa<2=2wx>n=50;0x97e52=201?m;:7c8yv4d=3:1>v3=c4840>;56964=3a;>3g52z?1g2<1i279oi4:5:p6f?=838p1?m6:7c897ea2<>0q~{t:jh1<73g<5;in68;4}r0`g?6=:r79on49a:?1`5<2=2wx>nj50;0x97ec2?k01?m7:468yv4dm3:1>v3=cd85e>;5ko0>96s|2bd94?4|5;im6;o4=3f3>0252z?1`5<1i279o54:5:p6a7=839p1?j>:66894ce2<>01?6l:418yv4c:3:1>v3=d087<>;5l?0=m6s|2e194?4|5;n86;o4=3f5>0252z?1`1<1i279h84:5:p6a3=838p1?j::7c897b12{t:m21<73g<5;nh68:4}r0g=?6=:r79h449a:?1`f<2=2wx>io50;0x97bf2?k01?jj:468yv4cj3:1>v3=dc85e>;5ll0>:6s|2ea94?4|5;nh6;o4=3fg>0352z?1`a<1i279hh4:5:p6a`=838p1?ji:66897b42;5m?0=m6s|2d394?4|5;o:6;o4=3g5>037>52z?1a7<1i279i84:4:p6`5=838p1?k<:7c897c22{t:l?1<73g<5;o=68:4}r0f3?6=:r79i:484:?1f6<2;2wx>h650;0x97c02=201?kn:7c8yv4b13:1>v3=e885e>;5mh0>96s|2d`94?5|5;o<69:4=23g>12<5;l:6;o4}r0fg?6=:r79in49a:?1b5<2=2wx>hj50;0x97cc2?k01?h?:448yv4bm3:1>v3=ed85e>;5n90>;6s|2dd94?4|5;om6;o4=3d2>0352z?1b5<1i279j<4:4:p6c4=838p1?h=:66897?32<90q~{t:o>1<73g<5;l368:4}r0e1?6=:r79j849a:?1b2<2<2wx>k850;0x97`12?k01?h8:478yv4a?3:1>v3=f685e>;5n10>96s|2g;94?4|5;l26::4=3d7>0352z?1b<<30279jo49a:p6ce=83?p1?on:5:897`d2>>01?h6:56897e52=>01?ol:478yv4al3:1:v3=fe840>;49:0>?63=e5867>;5n90>863=cc867>;5l00>?6s|2gg94?4|5;lo69:4=226>3g52z?1bc<1i278>?:7c896652{t;981<73g<5::868;4}r137?6=:r78<>49a:?041<2=2wx?=:50;0x96632?k01>>::468yv57>3:15v3<07840>;49h0>?63<15867>;49<0>863<09860>;5m;0>863=cb867>;5no0>?63=dc867>{t;9=1<71><5::26;o4}r13>01>?m:46896762<>01>?9:41896702<>01>>6:46897c522<>01?mk:46896672<901?jn:418yv57j3:1>v3<0`87<>;4990=m6s|31a94?4|5::h6;o4=234>0352z?04a<1i278==4:6:p75c=838p1>>j:7c8966a2<>0q~=?f;296~;48o0=m63<11860>{t;8;1<73g<5::n68:4}r126?6=:r78=?49a:?05=<2<2wx?<=50;0x96742?k01>?7:478yv56<3:1>v3<1585e>;48o0>96s|30794?4|5:;>6;o4=22g>0252z?053<1i278?8:7c8967728;296~;4910=m63<0d861>{t;831<73g<5:;i68;4}r12g?6==r79m44;8:?1b7<3<279no4;4:?1ec<2<278=o49a:p74b=838p1>?k:66896512<90q~=>e;296~;49m0?463<2085e>{t;8l1<73g<5:8:68:4}r114?6=:r78>=49a:?064<2=2wx??<50;0x96442?k01><::468yv55;3:1>v3<2585e>;4:<0>96s|33494?4|V:8=70==a;4b?xu4:>0;6?u233592d=:;;31995rs20;>5<5s499478n;<11=?323ty8>l4?:3y>77?=>h16??o5529~w64e2909wS==b:?06a<1i2wx??j50;0x964d2?k01>vP<2d9>77`==:1v>70==f;4b?xu4;90;6?uQ322896562?k0q~=<2;296~X4;;16?>:56`9~w6532909w0=<3;4b?854<3?87p}<3483>7}Y;:?01>=9:7c8yv54?3:1>vP<369>76>==:1v>=7:18087b93?870<;9;70?854037}Y;:k01>=m:7c8yv54k3:1>vP<3b9>76b=>h1v>=j:181[54m278?k49a:p716=838pR>:?;<175?343ty88<4?:3y>5`1==:16?9?56`9~w6252909wS=;2:?006<1i2wx?9:50;0xZ623349?978n;|q000<72;q6??;56`9>713==:1v>:9:181[53>2788:49a:p711=838p1?6<:7c896202<90q~=;8;296~X4<116?975529~w62>2909w0ol:418962>2?k0q~=;a;296~X47}Y;=i01>:k:7c8yv53m3:1>vP<4d9>71`=>h1v>:i:181825k3{t;<81<77}:<:?1:l52341916=z{:??6=4={_160>;4=<0=m6s|34794?4|5=996;o4=276>05:7>52z\013=:;<=1:=5rs27;>5<5s49>578n;<163?2e3ty89l4?:3y]70g<5:?i6;o4}r16f?6=:r7??>49a:?01g<2;2wx?8m50;0xZ63d349>h78n;|q01a<72;q68>:56`9>70b==:1v>;j:181[52m2789k4:3:p70`=83>p1?hm:418915>2<901?h9:418963a2?k0q~=90;296~X4>916?;?56`9~w6052909wS=92:?026<1i2wx?;:50;0xZ603349=978n;|q020<72;q6=i=56`9>733==:1v>89:181[51>278::4:3:p731=838p1?99:41896002?k0q~=98;296~X4>116?;75529~w60>290jw00}:;?k1;9523g:916=:;h819>5237g911=:;1o1995rs24a>5<>s49=n79;;<61=?3334>8m7;;;<632?33349n47;<;<1:b?33349<87;;;<1;4?3434>9i7;<;|q02f<72;q6?;l5499>723=>h1v>8k:181851l3l0;6?u237g92d=:;>:1995rs24e>5<5s49=j78n;<141?323ty8;=4?:3y>726=>h16?:?5549~w6162909w0=81;4b?850:3??7p}<7383>7}:;>81:l52361910=z{:=86=4={<147?0f349<87;:;|q031<72;q6?::56`9>723===1v>99:181850>30;6?u236592d=:;?o1985rs25;>5<5s49<478n;<144?323ty8;44?:3y>72?=>h16?:<5549~w61f2909w0=8a;4b?851n3??7p}<7c83>7}:;>h1:l5237d910=z{:=h6=4={<14g?0f349=j7;9;|q03a<72;q6?:j56`9>73`==>1v>9j:181850m3=?70=60;70?xu4?o0;6?u236g90==:;1<1:l5rs2:3>5<5s493<78n;<1;`?323ty84<4?:3y>7=7=>h16?585579~w6>52909w0=72;4b?85?=3??7p}<8283>7}:;191:l52396911=z{:2?6=4={<1;0?0f349397;:;|q0<0<72;q6?5;56`9>7=0==<1v>68:18185??35<5s493578n;<1;0?323ty84l4?:3y>7=g=>h16?585559~w6>e2909w0=7b;4b?85?93??7p}<8b83>7}:;1i1:l52393910=z{:2o6=4={<1;`?0f3493>7;:;|q0<`<72;q6?5k56`9>7=5===1v>6i:18185>8322<5=8868=4=2f:>02<5:nj68=4=2ag>02<5:in68=4=2a;>02<5:i268=4=2a0>02<5:i?68=4=2`f>02<5:hm68=4=2`:>02<5:hj68=4=2`7>02<5:h>68=4=2ce>02<5:h;68=4=2cb>02<5:ki68=4=2f1>05<5:n?68;4}r1:7?6=:r785?4;8:?0e4<1i2wx?4:50;0x96?32?k01>7::478yv5>=3:1>v3<9485e>;4100>96s|38494?4|5:3=6;o4=2;b>0352z?0=2<1i2785n4:5:p7<>=838p1>77:7c896g62{t;0k1<73g<5:3i68;4}r1:f?6=:r785o49a:?0=f<2<2wx?4m50;0x96?d2?k01>7k:468yv5>l3:1>v3<9e85e>;41l0>96s|38g94?4|5:3n6;o4=2c3>0252z?0=c<1i2785l4:4:p7d6=838p1>o?:7c896g62<>0q~=n2;296~;4i;0=m63<97860>{t;h91<73g<5:3=6884}r1b0?6=:r78m949a:?0=2<2=2wx?l;50;0x96g22?k01>7k:478yv5f>3:1>v3;4i90>96s|3`594?4|5:k<6;o4=2;;>0252z?0e=<1i278554:6:p7d?=838p1>on:7c896gc2<>0q~=na;296~;4ik0=m63{t;hh1<73g<5:ko68;4}r1ba?6=:r78mk49a:?0f7<2<2wx?lh50;0x96d72?k01>l>:478yv5e83:1>v3;4j;0>96s|3c194?4|5:h?6;o4=2`4>0252z?0f0<1i278n;4:5:p7g3=838p1>l9:7c896d02{t;k31<73g<5:hi68;4}r1ae?6=:r78no49a:?0ff<2=2wx?oj50;0x96db2?k01>m>:468yv5em3:1>v3;4k90>96s|3cd94?4|5:i;6;o4=2a2>037>52z?0g6<1i278o;4:4:p7f5=838p1>m;:7c896e22{t;j=1<73g<5:ii68:4}r1`mm:478yv5dk3:1>v3;4l90>86s|3bf94?4|5:in6;o4=2ae>0352z?0gc<1i278h=4:5:p7a7=838p1>j=:7c896b22<>0q~=k2;296~;4l:0=m63{t;m91<73g<5:n<68;4}r1g0?6=:r78h849a:?0`3<2=2wx?i;50;0x96b12?k01>j8:468yv5c03:1>v3;4lj0>86s|3e;94?4|5:nj6;o4=2fa>0352z?0`g<1i278hn4:5:p7ab=83lp1>jk:668916c2<9019>?:41891632<>019>8:41896`f2<901>ji:46896c22<901>k6:41896cd2<>01>o::41896g02<901>96:41896>52<>019?>:418yv5cm3:1>v3;4m=0=m6s|3ed94?4|5:nm6;o4=2g2>0252z?0a5<1i278i?4:5:p7`7=838p1>k>:7c896c32<>0q~=j2;296~;4m;0=m63{t;l91<73g<5:o?68;4}r1f1?6=:r78i849a:?0ag<2=2wx?h850;0x96c12?k01>k<:468yv5b?3:1>v3;4mj0>96s|3d:94?4|5:o36;o4=2g3>0352z?0a<<1i278i=4:6:p7`g=838p1>kn:7c896c72<=0q~=jb;296~;4mk0=m63{t;li1<73g<5:o968:4}r1f`?6=mr78ii484:?74`<2<27?<84:3:?74<<2<278j;4:3:?0b6<2<278hk4:5:?0ad<2;278m;4:3:?0==<2=278:i4:5:?03f<2;2784o4:3:?756<2<2wx?hk50;0x96cc2=201>h::7c8yv5bn3:1>v3;4nk0>96s|3g294?4|5:l;6;o4=2d1>0252z?0b4<1i278j84:4:p7c4=838p1>h=:7c896`42{t;o>1<73g<5:l>68;4}r1e2?6=:r78j;49a:?0b4<2=2wx?k950;0x96`02?k01>hl:468yv5a03:1>v3;4nj0>96s|3g;94?4|5:l26;o4=2d1>0352z?0bd<1i278j94:5:p7cd=838p1>hm:7c896`c2{t;on1<73g<5:l:68:4}r1ea?6=ir78jh484:?74=<2;278ji4:4:?0a2<2;278io4:4:?0e=<2;278544:4:?02a<2<278;i4:3:?0<:7c8yv2783:1>v3;0185e>;3800>96s|41394?4|5=::6;o4=521>02;>7>52z?747<1i27?<>4:5:p052=838p19>;:7c8916f2<>0q~:?5;296~;38<0=m63;0`861>{t<9<1<73g<5=:i68:4}r633?6=:r7?<:49a:?74g<2>2wx8=650;0x916?2?k019>=:448yv2713:1>v3;0885e>;38:0>86s|41c94?4|5=:j6;o4=522>02;n7>52z?74g<1i27?<<4:5:p05e=838p19>k:7c8916b2;3900>?6s|40294?4|5=:m69:4=537>3g:=7>52z?754<1i27?=>4:5:p044=838p19?=:7c8917323;296~;39:0=m63;15860>{t<8?1<722<5=9;68=4}r622?6==r7?=;484:?0b2<2<2785;4:5:?032<2;2784:4:3:p041=83>p19>i:5:891702>>019?n:46891752<90q~:>8;296~;39?0?463;1`85e>{t<831<73g<5=;j68;4}r62f?6=jr7?=o484:?741<2=27?<;4:5:?0bg<2<278j=4:4:?0a3<2<278584:4:?0=2<2<278;54:3:?03g<2;2784l4:3:p04e=838p19?m:5:8917b2?k0q~:>d;296~;39m0=m63;1d861>{t<8l1<722<5=;n68:4}r614?6=:r7?=84;8:?74`<1i2wx8??50;0x91462>>01>=k:418yv25:3:1>v3;2087<>;3::0=m6s|43794?4|5=8?68=4=50:>3g9:7>52z?763<1i27?>44:5:p071=838p19<8:7c8914>2<<0q~:=8;296~;3:10=m63;28863>{t<;k1<73g<5=8h68;4}r61`?6=:r7?>h49a:?774<2<2wx8?k50;0x914a2?k019=>:448yv25n3:1>v3;3185e>;3;;0>96s|42294?4|5=9:6;o4=511>028:7>52z?770<2;27??l49a:p061=838p19=8:7c8915f2{t<:31<73g<5=9j6894}|la`1<728qC555rncf6>5<6sA337p`md783>4}O111vboj8:182M??3tdih54?:0yK===zfkn26=4>{I;;?xhelh0;65<6sA337p`mdd83>4}O111vboji:182M??3tdii=4?:0yK===zfko:6=4>{I;;?xhem;0;65<6sA337p`me783>4}O111vbok8:182M??3tdii54?:0yK===zfko26=4>{I;;?xhemh0;65<6sA337p`med83>4}O111vboki:182M??3tdij=4?:0yK===zfkl:6=4>{I;;?xhen;0;65<6sA337p`mf783>4}O111vboh8:182M??3tdij54?:0yK===zfkl26=4>{I;;?xhenh0;65<6sA337p`mfd83>4}O111vbohi:182M??3tdh<=4?:0yK===zfj::6=4>{I;;?xhd8;0;65<6sA337p`l0783>4}O111vbn>8:182M??3tdh<54?:0yK===zfj:26=4>{I;;?xhd8h0;65<6sA337p`l0d83>4}O111vbn>i:182M??3tdh==4?:0yK===zfj;:6=4>{I;;?xhd9;0;65<6sA337p`l1783>4}O111vbn?8:182M??3tdh=54?:0yK===zfj;26=4>{I;;?xhd9h0;65<6sA337p`l1d83>4}O111vbn?i:182M??3tdh>=4?:0yK===zfj8:6=4>{I;;?xhd:;0;65<6sA337p`l2783>4}O111vbn<8:182M??3tdh>54?:0yK===zfj826=4>{I;;?xhd:h0;65<6sA337p`l2d83>4}O111vbn5<6sA337p`l3783>7}O111vbn=8:181M??3tdh?54?:0yK===zfj926=4>{I;;?xhd;h0;6?uG999~jf5e290:wE77;|l`7f<728qC555rnb1g>5<5sA337p`l3d83>4}O111vbn=i:182M??3tdh8=4?:3yK===zfj>:6=4>{I;;?xhd<;0;65<5sA337p`l4783>4}O111vbn:8:182M??3tdh854?:3yK===zfj>26=4>{I;;?xhd5<6sA337p`l4d83>7}O111vbn:i:182M??3tdh9=4?:0yK===zfj?:6=4={I;;?xhd=;0;65<6sA337p`l5783>4}O111vbn;8:181M??3tdh954?:0yK===zfj?26=4>{I;;?xhd=h0;6?uG999~jf3e290:wE77;|l`1f<728qC555rnb7g>5<5sA337p`l5d83>7}O111vbn;i:181M??3tdh:=4?:3yK===zfj<:6=4={I;;?xhd>;0;6?uG999~jf042909wE77;|l`21<72;qC555rnb46>5<6sA337p`l6783>7}O111vbn88:181M??3tdh:54?:0yK===zfj<26=4>{I;;?xhd>h0;6?uG999~jf0e2909wE77;|l`2f<72;qC555rnb4g>5<5sA337p`l6d83>7}O111vbn8i:181M??3tdh;=4?:3yK===zfj=:6=4={I;;?xhd?;0;6?uG999~jf142909wE77;|l`31<72;qC555rnb56>5<5sA337p`l7783>7}O111vbn98:181M??3tdh;54?:3yK===zfj=26=4>{I;;?xhd?h0;65<6sA337p`l7d83>4}O111vbn9i:182M??3tdh4=4?:0yK===zfj2:6=4>{I;;?xhd0;0;64290:wE77;|l`<1<728qC555rnb:6>5<6sA337p`l8783>4}O111vbn68:182M??3tdh454?:0yK===zfj226=4>{I;;?xhd0h0;6e290:wE77;|l`5<6sA337p`l8d83>4}O111vbn6i:182M??3tdh5=4?:0yK===zfj3:6=4>{I;;?xhd1;0;65<6sA337p`l9783>4}O111vbn78:182M??3tdh554?:0yK===zfj326=4>{I;;?xhd1h0;65<6sA337p`l9d83>7}O111vbn7i:182M??3tdhm=4?:0yK===zfjk:6=4>{I;;?xhdi;0;6?uG999~jfg4290:wE77;|l`e1<728qC555rnbc6>5<5sA337p`la783>4}O111vbno8:182M??3tdhm54?:3yK===zfjk26=4>{I;;?xhdih0;65<5sA337p`lad83>7}O111vbnoi:181M??3tdhn=4?:0yK===zfjh:6=4>{I;;?xhdj;0;65<6sA337p`lb783>4}O111vbnl8:182M??3tdhn54?:0yK===zfjh26=4>{I;;?xhdjh0;65<6sA337p`lbd83>4}O111vbnli:182M??3tdho=4?:0yK===zfji:6=4>{I;;?xhdk;0;65<6sA337p`lc783>4}O111vbnm8:182M??3tdho54?:0yK===zfji26=4>{I;;?xhdkh0;65<6sA337p`lcd83>4}O111vbnmi:182M??3tdhh=4?:0yK===zfjn:6=4>{I;;?xhdl;0;65<6sA337p`ld783>4}O111vbnj8:182M??3tdhh54?:0yK===zfjn26=4>{I;;?xhdlh0;65<6sA337p`ldd83>4}O111vbnji:182M??3tdhi=4?:0yK===zfjo:6=4>{I;;?xhdm;0;65<6sA337p`le783>4}O111vbnk8:182M??3tdhi54?:0yK===zfjo26=4>{I;;?xhdmh0;65<6sA337p`led83>4}O111vbnki:182M??3tdhj=4?:0yK===zfjl:6=4>{I;;?xhdn;0;65<6sA337p`lf783>4}O111vbnh8:182M??3tdhj54?:0yK===zfjl26=4>{I;;?xhdnh0;65<6sA337p`lfd83>4}O111vbnhi:182M??3tdo<=4?:0yK===zfm::6=4>{I;;?xhc8;0;65<6sA337p`k0783>7}O111vbi>8:182M??3tdo<54?:0yK===zfm:26=4>{I;;?xhc8h0;65<5sA337p`k0d83>4}O111vbi>i:181M??3tdo==4?:0yK===zfm;:6=4={I;;?xhc9;0;65<5sA337p`k1783>4}O111vbi?8:182M??3tdo=54?:0yK===zfm;26=4={I;;?xhc9h0;6?uG999~ja7e2909wE77;|lg5f<72;qC555rne3g>5<6sA337p`k1d83>4}O111vbi?i:182M??3tdo>=4?:0yK===zfm8:6=4>{I;;?xhc:;0;65<6sA337p`k2783>4}O111vbi<8:182M??3tdo>54?:0yK===zfm826=4>{I;;?xhc:h0;65<6sA337p`k2d83>4}O111vbi{I;;?xhc;;0;65<6sA337p`k3783>4}O111vbi=8:182M??3tdo?54?:0yK===zfm926=4>{I;;?xhc;h0;65<6sA337p`k3d83>4}O111vbi=i:182M??3tdo8=4?:0yK===zfm>:6=4>{I;;?xhc<;0;65<6sA337p`k4783>4}O111vbi:8:182M??3tdo854?:0yK===zfm>26=4>{I;;?xhc5<6sA337p`k4d83>4}O111vbi:i:182M??3tdo9=4?:0yK===zfm?:6=4>{I;;?xhc=;0;65<6sA337p`k5783>4}O111vbi;8:182M??3tdo954?:0yK===zfm?26=4>{I;;?xhc=h0;65<6sA337p`k5d83>4}O111vbi;i:182M??3tdo:=4?:0yK===zfm<:6=4>{I;;?xhc>;0;65<6sA337p`k6783>4}O111vbi88:182M??3tdo:54?:0yK===zfm<26=4>{I;;?xhc>h0;65<6sA337p`k6d83>4}O111vbi8i:182M??3tdo;=4?:0yK===zfm=:6=4>{I;;?xhc?;0;65<6sA337p`k7783>4}O111vbi98:182M??3tdo;54?:0yK===zfm=26=4>{I;;?xhc?h0;65<6sA337p`k7d83>4}O111vbi9i:182M??3tdo4=4?:0yK===zfm2:6=4>{I;;?xhc0;0;64290:wE77;|lg<1<728qC555rne:6>5<6sA337p`k8783>4}O111vbi68:182M??3tdo454?:0yK===zfm226=4>{I;;?xhc0h0;6e290:wE77;|lg5<6sA337p`k8d83>4}O111vbi6i:182M??3tdo5=4?:0yK===zfm3:6=4>{I;;?xhc1;0;65<6sA337p`k9783>4}O111vbi78:182M??3tdo554?:0yK===zfm326=4>{I;;?xhc1h0;65<6sA337p`k9d83>4}O111vbi7i:182M??3tdom=4?:0yK===zfmk:6=4>{I;;?xhci;0;65<6sA337p`ka783>4}O111vbio8:182M??3tdom54?:0yK===zfmk26=4>{I;;?xhcih0;65<6sA337p`kad83>4}O111vbioi:182M??3tdon=4?:0yK===zfmh:6=4>{I;;?xhcj;0;65<6sA337p`kb783>4}O111vbil8:182M??3tdon54?:0yK===zfmh26=4>{I;;?xhcjh0;65<6sA337p`kbd83>4}O111vbili:182M??3tdoo=4?:0yK===zfmi:6=4>{I;;?xhck;0;65<6sA337p`kc783>4}O111vbim8:182M??3tdoo54?:0yK===zfmi26=4>{I;;?xhckh0;65<6sA337p`kcd83>4}O111vbimi:182M??3tdoh=4?:0yK===zfmn:6=4>{I;;?xhcl;0;65<6sA337p`kd783>4}O111vbij8:182M??3tdoh54?:0yK===zfmn26=4>{I;;?xhclh0;65<6sA337p`kdd83>4}O111vbiji:182M??3tdoi=4?:0yK===zfmo:6=4>{I;;?xhcm;0;65<6sA337p`ke783>4}O111vbik8:182M??3tdoi54?:0yK===zfmo26=4>{I;;?xhcmh0;65<6sA337p`ked83>4}O111vbiki:182M??3tdoj=4?:0yK===zfml:6=4>{I;;?xhcn;0;65<6sA337p`kf783>4}O111vbih8:182M??3tdoj54?:0yK===zfml26=4>{I;;?xhcnh0;65<6sA337p`kfd83>4}O111vbihi:182M??3tdn<=4?:0yK===zfl::6=4>{I;;?xhb8;0;65<6sA337p`j0783>4}O111vbh>8:182M??3tdn<54?:0yK===zfl:26=4>{I;;?xhb8h0;65<6sA337p`j0d83>4}O111vbh>i:182M??3tdn==4?:0yK===zfl;:6=4>{I;;?xhb9;0;65<6sA337p`j1783>4}O111vbh?8:182M??3tdn=54?:0yK===zfl;26=4>{I;;?xhb9h0;65<6sA337p`j1d83>4}O111vbh?i:182M??3tdn>=4?:0yK===zfl8:6=4>{I;;?xhb:;0;65<6sA337p`j2783>4}O111vbh<8:182M??3tdn>54?:0yK===zfl826=4>{I;;?xhb:h0;65<6sA337p`j2d83>4}O111vbh{I;;?xhb;;0;65<6sA337p`j3783>4}O111vbh=8:182M??3tdn?54?:0yK===zfl926=4>{I;;?xhb;h0;65<6sA337p`j3d83>4}O111vbh=i:182M??3tdn8=4?:0yK===zfl>:6=4>{I;;?xhb<;0;65<6sA337p`j4783>4}O111vbh:8:182M??3tdn854?:0yK===zfl>26=4>{I;;?xhb5<6sA337p`j4d83>4}O111vbh:i:182M??3tdn9=4?:0yK===zfl?:6=4>{I;;?xhb=;0;65<6sA337p`j5783>4}O111vbh;8:182M??3tdn954?:0yK===zfl?26=4>{I;;?xhb=h0;65<6sA337p`j5d83>4}O111vbh;i:182M??3tdn:=4?:0yK===zfl<:6=4>{I;;?xhb>;0;65<6sA337p`j6783>4}O111vbh88:182M??3tdn:54?:0yK===zfl<26=4>{I;;?xhb>h0;65<6sA337p`j6d83>4}O111vbh8i:182M??3tdn;=4?:0yK===zfl=:6=4>{I;;?xhb?;0;65<6sA337p`j7783>4}O111vbh98:182M??3tdn;54?:0yK===zfl=26=4>{I;;?xhb?h0;65<6sA337p`j7d83>4}O111vbh9i:182M??3tdn4=4?:0yK===zfl2:6=4>{I;;?xhb0;0;64290:wE77;|lf<1<728qC555rnd:6>5<6sA337p`j8783>4}O111vbh68:182M??3tdn454?:0yK===zfl226=4>{I;;?xhb0h0;6e290:wE77;|lf5<6sA337p`j8d83>4}O111vbh6i:182M??3tdn5=4?:0yK===zfl3:6=4>{I;;?xhb1;0;65<6sA337p`j9783>4}O111vbh78:182M??3tdn554?:0yK===zfl326=4>{I;;?xhb1h0;65<6sA337p`j9d83>4}O111vbh7i:182M??3tdnm=4?:0yK===zflk:6=4>{I;;?xhbi;0;65<6sA337p`ja783>4}O111vbho8:182M??3tdnm54?:0yK===zflk26=4>{I;;?xhbih0;65<6sA337p`jad83>4}O111vbhoi:182M??3tdnn=4?:0yK===zflh:6=4>{I;;?xhbj;0;65<6sA337p`jb783>4}O111vbhl8:182M??3tdnn54?:0yK===zflh26=4>{I;;?xhbjh0;65<6sA337p`jbd83>4}O111vbhli:182M??3tdno=4?:0yK===zfli:6=4>{I;;?xhbk;0;65<6sA337p`jc783>4}O111vbhm8:182M??3tdno54?:0yK===zfli26=4>{I;;?xhbkh0;65<6sA337p`jcd83>4}O111vbhmi:182M??3tdnh=4?:0yK===zfln:6=4>{I;;?xhbl;0;65<6sA337p`jd783>4}O111vbhj8:182M??3tdnh54?:0yK===zfln26=4>{I;;?xhblh0;6;4$0L7044<,[o}e~g`n;"2*73>(-20*R?F42]0<> X9G>?S9?4000857>3HUM_O2>0?;8EZ@TJ5;:245N_GQA844912KTJ^L312<:?DYA[K6:8374A^DPF972601JSK]M<04==>GXNZH7=:06;@]EWG:60730MRH\B=3::==FWOYI0<06;@]EWG:58730MRH\B=02:<=FWOYI0?<1b:C\BVD;::0:245N_GQA875902KTJ^L32?:8EZ@TJ59546OPFR@?0;>720MRH\B=5=<>GXNZH74364A^DPF9?9l2KTJ^LP11]JFP@c3HUM_OQ>1^KAQCbGXNZHT=9QFBTDg?DYA[KU:9RGMUGf8EZ@TJV;=SDLZFe9B[CUEW8=TEO[Id:C\BVDX91UBNXHk;@]EWGY61VCIYKm4A^DPFZ7XAK_Mh6OPFR@\65YNJ\Lo7LQISC]15ZOE]On0MRH\B^01[LDRNm1JSK]M_31\MGSAk2KTJ^LP2^KAQCeGXNZHT8RGMUGa8EZ@TJV?TEO[Ic:C\BVDX>VCIYKm4A^DPFZ1XAK_Mo6OPFR@\BEO`8G76JMGUDNXH9;B06I@He3J8>AH@POCWE7>E?E11H4@QFBTD1?FC43JF@56MCK3Z\MJD03JF@SK]M7:AOOZOHJ:1H@_74CNONMQRBL>1H^HO[EE18GQJ63Mo0H_MPSTA@AVUA]E=0Hlzn<1<4?Agsi5;5=6K7;DZSEKBBL8;0IU^NNEGG[GECWOCGI<:4EYRBJACCWJEE_Y\NIOVP54=BPYKEHHJPIRG\BLJB>2OTEO[I4:D@HN?;F18CKB63@80E=<4I008M74>0ELM\4:KAQC4OIA]Y_MYK<;HLUa>OIWGMOSL@K_CAG6>OHi2CDN^Q]e`fz2>OHJ\LN:6G@CNOS0>OHKZ<0EBZVPD58HLDUI[S=7AANDDF0?IID?2FDKDMNL`9OTHY_G[8T=n5BakmqR`ttafdh7@gaosTfvvohf;1E<>5A0008J44IE]OO87B@J2:MQ7>IU::1D^>=4OTV2?Ue??12]O7>V68:1[=<=4P000?U74;2Z:8>5_1418T4043Y;829S5<4<;Q017>V5;:1[>9=4P370?U40;2Z94>5_2808T65;<;Q157>V4?;1[8>5_4118T1743Y>9?6^;329S035V20:1[94<4P718T3643Y<:?6^9329S2155_7718T2143Y=3?6^8939S<6=W0990\5?<;Q:17>V?=:1[4;=4P950?U>>:2Z2?6^6029S=45VFL=1[M_Z<7:RFVLIC(+IGG?VPIN@!$43)>?=9<=>?0!OK~%NNOA*><#>?0123456'-:?0\H\FOE"!GIMXNZH),?;!012345678)GCv-FFGI"64+6789:;<=>/%268T@TNGM*)OAEPIN@!$2(?;:<;<=>? LJy$MO@@)?;"=>?012345&":<1[I_G@D;AOO7^XAFH1=8 96609IM|=@@MC68>*1d9SAWOHL3IGGRH\B;06>HN}2ACLD7;?%308T@TNGM0H@FQFOC84*=54>3GCv7FFGI864 gW23[K7<384R@>24;>16:PB8479=2XJ0<0:;SC?6;3TF4<4>7_O36?78VD:06<1YM1615:PB8<823[KFO^74R@O\V`gcq01YMR>POCWEe>TFW8:TCO[Ia:PB[47XGK_M56\N_0]LFP@>3[KT>RAMUG;8VDY4WFH^J45]A^6\KGSA12XJS8Q@BTD:?WGX>VEIYK74R@]4[JDRN01YMR6POCWE=>TFW0UDNXHl;SGSHDEBWFAJU85]OLAP7>TT\?1Yi~{ct29PAQ3<[^6:2l5\W=3=[LDRN<1X[1<1a:QT878XAK_M;6]X<282:0=T_595m6]X<2<\MGSA92^37YK]MHLBH==SADOX\HM8;ULN[KCS;2^YE55[RHQWEQC33]X^In5[S^AOO7^XAFHj7Y]PCMI\BVDf3]YTOAEPIN@a?QUX\@GN_]KL6:VP[QHJ<2^R\H=4UBD5?PHR[LNj7X]JR^COMDUd3\YN^RXFSH@OA6=QKJ30ZDKX_U[SA3=Pi{zXI<=4W`psW@YSADOX\HMPUBD2`>^ND@DS!UJM 1,2$VRRJ):%=-O\CHK0?]IU<2RD^?84XRVOMG1^ceVGjfb|Yesqjkk773QnfS@gaosTfvvohf?1Sc1>1129[wq2?01327>ei|&KdyyAJ_lw{4567:01hby!FPM0q54=df}%B\A<}_omw45669;1hby!FPM0q[kis89::=i5lnu-OPlkbz5:5i6mat.NWmhcu48:5i6mat.NWmhcu48;5i6mat.NWmhcu4885i6mat.NWmhcu4895h6mat.NWmhcu484o7n`{/MVji`t;:7n0ocz LUknaw:46m1hby!CThofv929l2iex"B[ilgq808c3jd#AZfmdp?2;b4:a=df}%GXdcjr=:=`>ei|&F_e`k}<8<`?fhs'E^bah|P0048gkr(D]cfiQ?_1]mkq6789;<7n`{/MVji`tX8V:Tbbz?012250=df}%GXdcjr^2\[dhc89:;=;5lnu-OPlkbzV:TSl`k0123540?2e9`jq)K\`gn~R?>179`jq)K\`gn~R?>_^cm`56788=0ocz LUknawY69VUjbi>?01323>ei|&F_e`k}_03\[dhc89:;>i5lnu-OPlkbzV;9=;5lnu-OPlkbzV;9SRoad1234417:amp*JSadoyS<?0058gkr(D]cfiQ>3^]bja6789;:;6mat.NWmhcuW89TSl`k0123643<;4cov,HQojm{U9SRoad123440RQnne23454d3jd#AZfmdp\743SRoad12344713jd#AZfmdp\1ZYffm:;<=?_LzlvZTb{|f0<<1299`jq)K\`gn~RCnde]\eab789:TAua}_Sgpqir;9:49;6mat.NWmhcuWDkohRQnde2345YJpfxT^h}zlu>2:71?_LzlvZTb{|f0?0=7:amp*JSadoyS@okd^]b`a6789UFtb|PRdqvhq:46;=0ocz LUknawYJimnTSljk0123[H~hzVXnxb{<5<13>ei|&F_e`k}_Lcg`ZYflm:;<=QBxnp\V`urd}6>2?94cov,HQojm{UFmijP_`fg4567WDrd~R\jstnw8385?2iex"B[ilgq[HgclVUjhi>?01]N|jtXZly~`y28>358gkr(D]cfiQBaef\[dbc89:;S@v`r^Pfwpjs4149;6mat.NWmhcuWDkohRQnde2345YJpfxT^h}zlu>::77?_^cm`5678;80ocz LUknawYJimnTSljk0123[Zgil9:;<<<>;blw+IRnelxTAljk_^cg`5678VUjbi>?0001?fhs'E^bah|PM`fg[Zgcl9:;?01]\ekb78989>6mat.NWmhcuWDkohRQnde2345YXign;<=<>209`jq)K\`gn~RCnde]\eab789:TSl`k012067=df}%GXdcjr^Ob`aYXimn;<=>P_`lg45649;;0ocz LUknawYJimnTSljk0123[Zgil9:;8?<4cov,HQojm{UFmijP_`fg4567WVkeh=>?4002?fhs'E^bah|PM`fg[Zgcl9:;?0^]bja678<;9=6mat.NWmhcuWDkohRQnde2345YXign;<=8=2:amp*JSadoyS@okd^]b`a6789UTmcj?014264=df}%GXdcjr^Ob`aYXimn;<=>P_`lg4560:;1hby!CThofvZKflmUTmij?012\[dhc89:<=?<4cov,HQojm{UFmijP_`fg4567WVkeh=>?7302?fhs'E^bah|PM`fg[Zgcl9:;?0^]bja6781;9=6mat.NWmhcuWDkohRQnde2345YXign;<=7=2:amp*JSadoyS@okd^]b`a6789UTmcj?01;267=df}%GXdcjr^Ob`aYXimn;<=>P_`lg456>:;80ocz LUknawYJimnTSljk0123[Zgil9:;5><>;blw+IRnelxTAljk_^cg`5678VUjbi>?1101?fhs'E^bah|PM`fg[Zgcl9:;?01]\ekb788;9>6mat.NWmhcuWDkohRQnde2345YXign;<169`jq)K\`gn~RQnde2345:687;<7n`{/MVji`tXWhno<=>?<03=52=df}%GXdcjr^]b`a67896:>3?8;blw+IRnelxTSljk012384599?1hby!CThofvZYflm:;<=2>>048gkr(D]cfiQPaef3456;:7;=7n`{/MVji`tXWhno<=>?<2<22>ei|&F_e`k}_^cg`56785>5=;5lnu-OPlkbzVUjhi>?01>6:402iex"B[ilgq[Zgcl9:;<161179`jq)K\`gn~RQnde2345:>6880ocz LUknawYffm:;<=?<;blw+IRnelxTmcj?012254=df}%[C_]PFRO\BCb69=1hby!_OSQ\BVKXNOn:!D`>5:amp*VHZZUM_@QIFe3.Mk76=2iex"^@RR]EWHYANm;&Ec<>5:amp*VHZZUM_@QIFe3.Mk56=2iex"^@RR]EWHYANm;&Ec:>1:amp*VHZZUM_@QIFe020>ei|&ZD^^QISL]EBa4*Ag;>7n`{/QMQWZ@TEVLMh?#Fn036?fhs'YEY_RH\M^DE`7+Nf;;>7n`{/QMQWZ@TEVLMh?#Fn236?fhs'YEY_RH\M^DE`7+Nf=;>7n`{/QMQWZ@TEVLMh?#Fn432?fhs'YEY_RH\M^Mvp6753jd#]A]S^DPIZIr|:;:>6mat.RLVVYA[DUDyy==129`jq)WG[YTo`~Pep2346g6mat.PfbWcvWfx;<=>=139`jq)UmoXn}Ra}01237442:amp*Tbn[ozSb|?012557=df}%Yik\jq^mq4567?880ocz RddQatYhz9:;<5j4cov,V``Rznoex<:4cov,V``RznoexRa}012350=df}%Yik[}gdlw[jt789::=85lnu-QacSuoldSb|?012150=df}%Yik[}gdlw[jt789:8=85lnu-QacSuoldSb|?012750=df}%Yik[}gdlw[jt789:>=85lnu-QacSuoldSb|?012550=df}%Yik[}gdlw[jt789:<=85lnu-QacSuoldSb|?012;g>ei|&^bah|30?a8gkr(\`gn~1?1c:amp*Rnelx7>3m4cov,Plkbz595n6mat.Vji`tSK8<0ocz ThofvQEXkdzTi|>?0134?fhs']cfiZL_bos[`w789::=:5lnu-Wmhcu\JUha}Qjq12347703jd#YgbesV@[fkwWl{;<=><169`jq)SadoyXNQlmq]fu5678=h0ocz ThofvZ66<2iex"Zfmdp\4ZYffm:;<=?:;blw+Qojm{U;SRoad12344723jd#Ygbes]3[Zgil9:;ei|&^bah|P1^]bja6789;>7n`{/UknawY6WVkeh=>?0036?fhs']cfiQ>_^cm`5678;h0ocz ThofvZ46<2iex"Zfmdp\6ZYffm:;<=?:;blw+Qojm{U9SRoad12344723jd#Ygbes]1[Zgil9:;?0136?fhs']cfiQ<_^cm`56788;>7n`{/UknawY4WVkeh=>?0305?fhs']cfiQBaef\[dbc89:;S@v`r^Pfwpjs4849:6mat.Vji`tXEhnoSRokd1234ZKg{UYi~{ct=0=63=df}%_e`k}_Lcg`ZYflm:;<=QBxnp\V`urd}682?>4cov,PlkbzVGjhiQPaef3456XWhdo<=>?209`jq)SadoyS@okd^]b`a6789UTmcj?012265=df}%_e`k}_Lcg`ZYflm:;<=QPaof3457592iex"Zfmdp\IdbcWVkoh=>?0^]bja6788;:96mat.Vji`tXWhno<=>?<0<21>ei|&^bah|P_`fg45674;4:96mat.Vji`tXWhno<=>?<2?0537?fhs'gHYSDAM_lw{4567=8>0ocz nCP\MJDXe|r;<=>9b:amp*hUMZe~x<=4cov,jWCTg|~Taxv?01220>ei|&dYI^azt^ov|56788;?7n`{/oPFWjssWds<=>?269`w*OFKZ20o~!FABQ2<>et'@KH_?64cr-JEFU4?2ix#DALS99`w*OHKZ;27n} INAP55?189`w*OHKZ;956m|/HM@W45>3jy$EBM\15;8gv)NGJY:945ls.KLGV7102ix#DALS3:8gv)NGJY846m|/HM@W1>et'@EH_564cr-JKFU>?2ix#BJLS99`w*ICKZ;37n} OEAP6==d{&EOO^=7;bq,KAET<11h"\NMBQ:?fu(ZHGH_<74cr-QEHET:01h"\NMBQ0=>et'[KFO^:6;bq,VDKD[<30o~!]ALAP2<=d{&XJAN]89:ap+WGJKZ237n} RNO@W<=d{&XDAN]>9:ap+WIJKZ827n} RNO@W6?56m|/SMNGV0>3jy$^BCLS6a8gv)iGoy`lw?159`w*hH~lxgmt>Paof34566=2ix#cAyesnb}5Yffm:;<=?l;bq,jJpbzekr=<94cr-mKscudhs:SNbdEo]JJS7a3jy$bBxjrmcz5ZH702lxn"O\rg`8bvd(IZxmSC>l;gqa+DUunVD;=<>4fr`,EVtaWge<=>>109ewg)F[{lTbbz?0132<>`tj&HJOY74fr`,FDES901mo!MABV1f>`tj&Xnmiw?r018bvd(Zlkou=|P_np34566<2lxn"\jae{3vZYhz9:;<>4:dpf*Tbims:~RQ`r12344733oyi#_kndx3q[Ziu89:;><:4fr`,V`gcq8xTSb|?01203>`tj&\[M<>4fr`,RUGXWhdo<=>?109ewg)QXHUTmcj?0122b>`tj&\[MRQ`r123446??;gqa+SVFWVey<=>?4028bvd(^YKTSb|?012655=a{k%]\LQPos23450682lxn"X_A^]lv5678>k0j~l nEVBGN753oyi#cJ[ABI\[jt789::?6h|b.lGPDELWVey<=>?1018bvd(fM^JOFQPos23454?3oyi#cX_A89ewg)i^YK:56h|b.lUTD4>3`eia"OCE132?liee&KGI=Qbuy2345753`eia"OCE1]nq}6789;:>6g`bl-BH@6Xe|r;<=>=139jkgk(IEO;S`{w01237445foco,F@TUz{Uecy>?0037?liee&HN^_|}_omw45669>1bcoc BFd8mjdj'KMTbbz?01324>ohjd%IKR``t12354g?0036?liee&N_MNE}r^llp56798h0eblb/EmvpJC6;2cdn`!KotvLAZgil9:;<<:4in`n+Air|FOTmcj?012251=ngkg$Hb{{OD]bja67898j7damm.GP858f3`eia"K\<03`eia"K\_131?liee&OXS=QPaof34566;2cdn`!JS^2\[dhc89:;=<=4in`n+@UX8VUjbi>?01027>ohjd%N_R>P_`lg4567;890eblb/DQ\4ZYffm:;<=:6;hmai*CTW8;97damm.GP[4YXign;<=>>3:klfh)B[V;TSl`k01235<=ngkg$I^Q=139jkgk(MZU9SRoad123445?189jkgk(MZU?=?5foco,AVY3WVkeh=>?0018mjdj'LYT8RQnne234575<2cdn`!JS^Ob`aYXimn;<=>PMymq[Wct}e~7=3<;;hmai*CTWDkohRQnde2345YJpfxT^h}zlu>1:7295foco,AVYJimnTSljk0123[H~hzVXnxb{<5<2a>ohjd%N_RCnde]\eab789:TSl`k01235c=ngkg$I^QBaef\[dbc89:;SRoad123447b3`eia"K\_Lcg`ZYflm:;<=QPaof34576n2cdn`!JS^Ob`aYXimn;<=>P_`lg456698o0eblb/DQ\IdbcWVkoh=>?0^]bja678;;m7damm.GP[HgclVUjhi>?01]\ekb7898:=?5foco,AVYVW8Ud~=>?0018mjdj'LYT]R?Pos234576;2cdn`!JS^S\5Ziu89:;><=4in`n+@UXYV;Tc>?01127>ohjd%N_RQnde2345:66890eblb/DQ\[dbc89:;0?0>3:klfh)B[VUjhi>?01>0:450:klfh)B[Vkeh=>?13g8mjdj'LYTc>?01d8mjdj'LYTc>?013e?liee&OXSb|?0121b>ohjd%N_Ra}01237c=ngkg$I^Q`r12341`5foco,AQGDCVkeh=>?0030?liee&O_MNEPaof345659:1bcoc EUC@OZgil9:;<>?<;hmai*CSIJATmcj?012756=ngkg$IYOLK^cm`5678<;87damm.GWEFMXign;<=>97:klfh)Bz11bcoc Es0b?liee&CDMNZ>2:klfh)NGHI_Sl`k012356=ngkg$EBOLT^cm`56788;87damm.KLEFRXign;<=>=129jkgk(AFKHXRoad12346743`eia"G@ABV\ekb789:?=>5foco,MJGD\Vkeh=>?0430?liee&CDMNZPaof345619:1bcoc INC@PZgil9:;<:?=;hmai*OHIJ^Taxv?01227>ohjd%BCLM[_lw{45679890eblb/HMBGQYj}q:;<=<>3:klfh)NGHI_S`{w0123745:?6g`bl-JKDESWds<=>?5018mjdj'@EJOYQbuy234506;2cdn`!FO@AW[hs89:;;o5foco,MJDBZ[;87damm.KLF@TUWds<=>?159jkgk(AFHN^_Qbuy234576=2cdn`!FOCGQVZkrp9:;<<>>5:klfh)NGKOY^Rczx1234476=2cdn`!FOCGQVZkrp9:;<<<>5:klfh)NGKOY^Rczx1234456=2cdn`!FOCGQVZkrp9:;<<:>4:klfh)NGKOY^Rczx12347733`eia"G@BDPQ[hs89:;?<:4in`n+LIEM[XTaxv?012751=ngkg$EBLJRS]nq}6789?:86g`bl-JKGCUZVg~t=>?0737?liee&CDNH\]_lw{4567?8>0eblb/HMAAWTXe|r;<=>7159jkgk(AFHN^_Qbuy2345?e3`eia"G@RDSq54=ngkg$EB]PFRO\BCb69=1bcoc INQ\BVKXNOn:!D`>5:klfh)NGZUM_@QIFe3.Mk76=2cdn`!FOR]EWHYANm;&Ec<>5:klfh)NGZUM_@QIFe3.Mk56=2cdn`!FOR]EWHYANm;&Ec:>1:klfh)NGZUM_@QIFe020>ohjd%BC^QISL]EBa4*Ag;>7damm.KLWZ@TEVLMh?#Fn035?liee&CD_RH\M^DE`7+Nf8:::6g`bl-JKVYA[DUMJi<"Io3253=ngkg$EB]PFRO\BCb5%@d:><;4in`n+LITWOYFSKHk2,Km643149jkgk(AFYTJ^CPFGf0)Lh59<1bcoc INQ\BVKXNOn8!D`<149jkgk(AFYTJ^CPFGf0)Lh39<1bcoc INQ\BVKXNOn8!D`:149jkgk(AFYTJ^CPFGf0)Lh19<1bcoc INQ\BVKXNOn8!D`8149jkgk(AFYTJ^CPFGf0)Lh?9<1bcoc INQ\BVKXNOn8!D`68:klfh)UMZ30eblb/SGP5d=ngkg$^H]}f`9jkgk(ZLYy~<<4in`n+WCTz{Uecy>?0030?liee&XN_|Pnnv34576i2cdn`!YP@pee>ohjd%]\L|}139jkgk(^YKy~R``t123545?00120>ohjd%eM^azt^llp5679=30eblb/oCPvg=ngkg$bIgaLD31?liee&dOecBJ_np34566;2cdn`!aDhlOAZiu89:;=n5foco,jAir|FOo7damm.lGkprHM8n0eblb/oFlqqIB:01bcoc nMFP54=ngkg$bAJ\_omw45669;1bcoc nMFP[kis89::=<<4in`n+kJC[Vddx=>?1331?liee&dGH^Qaou23445682cdn`!aLEQ\kw6788;:7damm.lO@VYhz9:;=;hmai*hKLZUd~=>?1332?liee&dGH^Q`r12356763`eia"`CDR]lv5679=;:7damm.lO@VYhz9:;=8?>;hmai*hKLZUd~=>?1732?liee&dGH^Q`r12352?2:klfh)i]MYTbbz?0130=>ohjd%eZ@O>2:klfh)i^DKTSl`k012356=ngkg$b[CN_^cm`56788;:7damm.lUIDYXg{:;<=?=;hmai*hQEHUTc>?01326>ohjd%eZ@OP_np345659;1bcoc nWOB[Ziu89:;?<<4in`n+kPJIVUd~=>?0531?liee&d]ALQPos234536:2cdn`!aVLC\[jt789:==?5foco,jSKFWVey<=>?7038mjdj'g\FMRoad1234442:klfh)i^DKTmcj?012057=ngkg$b[CN_`lg4567<880eblb/oTNEZgil9:;<8?=;hmai*hQEHUjbi>?01426>ohjd%eZ@OPaof3456012cdn~!NLD2:?lie{&KGI;hmaw*GKM8UTc>?0031?lie{&KGI?00`8mjdt'@EJOY|i;hmaw*OHJZUYiljv119jkgu(AFHXS_kndx324>ohjz%BCO]PRdcg}7773`ei"G@BR]Qadb~;8=0ebl|/HMAWZTbimsTmcj?0122<>ohjz%BCO]PRdcg}Zgil9:;<8:klfv)NGKYT^hoky^cm`5678<30ebl|/HMO447?01026>ohjz%BCA>Pmtz345649;1bco} INN3[hs89:;8<<4in`p+LIK8Vg~t=>?04;8mjdt'@EG=?1008mjdt'@EG=R``t12354g?013356=ngky$EB\JQ^ov|5678;;87dams.KLV@WXe|r;<=><129jkgu(AFXN]Rczx12341743`ei"G@RDS\ip~789:>=>5focq,MJTBYVg~t=>?0730?lie{&CD^H_Pmtz345609:1bco} INPFUZkrp9:;<5?<;hmaw*OHZL[Taxv?012:e>ohjz%BC_Y?139jkgu(AFX\?2018mjdt'@EY[=Qbuy234556;2cdn~!FOSU3[hs89:;8<<4in`p+LIU_9Uecy>?0030?lie{&CD^Z>Pnnv345769:1bco} INPT4Zhh|9:;=??<;hmaw*OHZ^:Tbbz?013056=ngky$EB\X0^llp5679=;87dams.KLVR6Xff~;<=?:129jkgu(AFX\?01327>ohjz%BC_Y>_`lg4567:890ebl|/HMQS4Yffm:;<==>3:klfv)NG[]:Sl`k0123045?6b9jkgu(AFXnmiw>5:klfv)NG[ojhtQPaof34566>2cdn~!FOSgb`|YXign;<=>>179jkgu(AFXnmiwP_`lg4567:8>0ebl|/HMQadb~WVey<=>?149jkgu(AFXnmiwP_np345669<1bco} INPfeaXWfx;<=>=149jkgu(AFXnmiwP_np345649<1bco} INPfeaXWfx;<=>;149jkgu(AFXnmiwP_np345629<1bco} INPfeaXWfx;<=>99:klfv)NG\::=6g`br-JKP6Xe|r;<=>>2:klfv)NG\:Taxv?012257=ngky$EB[?_lw{4567:880ebl|/HMV4Zkrp9:;<>?=;hmaw*OH]9Ufyu>?01626>ohjz%BCX>Pmtz3456212cdn~!FOT325>ohjz%BCX?Pnnv34576:2cdn~!FOT3\jjr789;:m6g`br-Okdb612cdn~!@nbg25>ohjz%DbnkP_np34566:2cdn~!@nbg\[jt789::=?5focq,KkebWVey<=>?2008mjdt'FdhiRQ`r12346753`ei"Aacd]\kw6789>:>6g`br-LjfcXWfx;<=>:139jkgu(GginSRa}0123244;;7dams.RP[CUJWOLo=<=4in`p+UUXNZGTJKj>-Hl20>ohjz%[_RH\M^DE`4+Nf8;?7dams.RP[CUJWOLo= Ga2028mjdt'YYTJ^CPFGf156=ngky$\^QISL]EBa4*Ag;?7dams.RP[CUJWOLo> Ga1078mjdt'YYTJ^CPFGf1)Lh688?0ebl|/QQ\BVKXNOn9!D`>1078mjdt'YYTJ^CPFGf1)Lh6:8?0ebl|/QQ\BVKXNOn9!D`>3068mjdt'YYTJ^CPFGf1)Lh59=1bco} PR]EWHYANm8&Ec=>4:klfv)W[VLXARHId3/Jj1733`ei"^\_GQN[C@c:$Ce9<:4in`p+UUXNZGTJKj=-Hl551=ngky$\^QISL]EBa4*Ag=:86g`br-SWZ@TEVLMh?#Fn937?lie{&ZXSK]B_GDg6(Oi18:0ebl|/QQ\BVKXG|~;=<5focq,TVYA[DUDyy>>109jkgu(XZUM_@Q@uu2157=ngky$\^Qlmq]fu5678l1bco} nCGQVZ@TJ8<0ebl|/o@FVWYA[KUTc>?0134?lie{&dII_\PFR@\[jt789::=:5focq,jGCUZVLXNRQ`r12347703`ei"`MESP\BVDXWfx;<=>3gKOcxzCE1c8jDBh}}FN<hF[VCDNi5aAR]JKGYHJ\LN=;5aAR]JKGYiIZUBCOazt^2\52=iIfCHm4n@mvpJCXGK_M56`MESP\BVDb3gHN^_QISC]LFP@>3gHN^_QFOCg8jGCUZVCDNRGMUG58jGAXAFHh7cLH_HMA[LDRN>1eN_QFOCa8jGTXAFHTCO[I4:l@EV??1e9mV@UXff~;<=?>6:lQKHETj2dYC@M\_N@VB3=iZFG\Io5aRNOTAZIE]O20bXJ\_GQA`>hRLZUM_OQFBTD;?kSC[VCDNh5aUEQ\MJDXGK_MI55aVLC\MJDb3g\FMRG@B^MAQCC?3g\[MRH\Be9mRUGXNZHTCO[I8:lUTDYNGKn0b[^N_HMA[LDRN>1e[HQISCa8jRCXNZHTEO[I8:ld`gsndm30~lc B@AWv<=uid%YM@KA109qeh)UIDOES`{w012357=uid%YM@KA_lw{45679890~lc R@OFJZkrp9:;<<>>3:pbi*TFELDTaxv?0122545>3018vdk(ZHGNBRczx1234426;2xja"\NMDL\ip~789::9<=4r`o,VDKBFVg~t=>?00427>tfe&XJAH@Pmtz34566?880~lc R@OFJZkrp9:;?01126>tfe&XJAH@Pmtz345639;1ym`!]ALGM[hs89:;9<<4r`o,VDKBFVg~t=>?0731?wgj'[KFICQbuy234516:2xja"\NMDL\ip~789:3=?5}al-QEHCIWds<=>?9b9qeh)UIDXnmiw>4:pbi*TFE[ojhtQbuy2345723{kf#_OBRdcg}Zkrp9:;<2xja"\NMSgb`|Yj}q:;<=?>179qeh)UIDXnmiwPmtz34566:8<0~lc R@OQadb~Wds<=>?1235?wgj'[KF^hoky^ov|56788>::6|nm.PBIWcflpUfyu>?013650=uid%YM@\jae{\ip~789:9=85}al-QEHTbimsTaxv?012050=uid%YM@\jae{\ip~789:?=85}al-QEHTbimsTaxv?012650=uid%YM@\jae{\ip~789:==85}al-QEHTbimsTaxv?012450=uid%YM@\jae{\ip~789:3=85}al-QEHTbimsTaxv?012:`>tfe&XJAR\jae{f?wgj'[KFS_kndx3f?wgj'[KFS_kndx0:?wgj'[KXIA?>;scn+WGTMEUfyu>?0131?wgj'[KXIAQbuy234576;2xja"\NSDN\ip~789::<<=4r`o,VDUBDVg~t=>?00327>tfe&XJ_HBPmtz34566:890~lc R@QFHZkrp9:;<<=>3:pbi*TF[LFTaxv?0122045>6018vdk(ZHYN@Rczx1234416;2xja"\NSDN\ip~789::4<=4r`o,VDUBDVg~t=>?00;26>tfe&XJ_HBPmtz345659:1ym`!]ARGO[hs89:;>=?<;scn+WGTMEUfyu>?010257=uid%YM^KC_lw{4567;880~lc R@QFHZkrp9:;<9?=;scn+WGTMEUfyu>?01726>tfe&XJ_HBPmtz345619;1ym`!]ARGO[hs89:;;<<4r`o,VDUBDVg~t=>?0931?wgj'[KXIAQbuy2345?682xja"\N_1]nq}6789;:7ob/SC\4Zkrp9:;<;scn+WGX8Vg~t=>?0332?wgj'[KT?1038vdk(ZHU:S`{w0123647_lw{4567;8;0~lc R@]2[hs89:;8<>4r`o,VDY5Wds<=>?109qeh)UIV8Taxv?012254=uid%YMR;119qeh)UIV9Taxv?01225>tfe&XJS>Qbuy23457692xja"\N_2]nq}67898:=6|nm.PB[6Yj}q:;<==>1:pbi*TFW:Ufyu>?01624>tfe&XJS9Qbuy2345763{kf#_OP4^ov|56788;:7ob/SC\0Zkrp9:;;scn+WGX?0232?wgj'[KT8Rczx12341773{kf#_OP5^ov|56788;0~lc R@]6[hs89:;=?2038vdk(ZHU>S`{w0123747>109qeh)UIV>1:pbi*TFW>Ufyu>?01325>tfe&XJS:Qbuy23454692xja"\N_6]nq}67899:=6|nm.PB[2Yj}q:;<=:>0:pbi*TFW1Ufyu>?0132?wgj'[KT4Rczx12344763{kf#_OP8^ov|5678;;:7ob/SC\?>;scn+WGX0Vg~t=>?0532?wgj'[KT4Rczx12340763{kf#_OP8^ov|5678?;;7ob/SC\=Zkrp9:;<Wds<=>?1038vdk(ZHU2S`{w0123647-Hl27>tfe&XXSK]B_GDg5(Oi98>0~lc RR]EWHYANm;&Ec??159qeh)U[VLXARHId0/Jj476<2xja"\\_GQN[C@c9$Ce=??;;scn+WUXNZGTJKj>-Hl27425}al-QWZ@TEVLMh<#Fn330?wgj'[YTJ^CPFGf2)Lh49:1ym`!]S^DPIZ@Al8'Bb9?<;scn+WUXNZGTJKj>-Hl656=uid%Y_RH\M^DE`4+Nf?;87ob/SQ\BVKXNOn:!D`8129qeh)U[VLXARHId0/Jj=743{kf#_]PFRO\BCb6%@d2j6|nm.PP[CUJWOLo><<4r`o,VVYA[DUMJi<"Io30?wgj'[YTJ^CPFGf1)Lh69=1ym`!]S^DPIZ@Al;'Bb<>>4:pbi*TTWOYFSKHk2,Km54733{kf#_]PFRO\BCb5%@d:><:4r`o,VVYA[DUMJi<"Io3051=uid%Y_RH\M^DE`7+Nf8>:86|nm.PP[CUJWOLo> Ga1430?wgj'[YTJ^CPFGf1)Lh59:1ym`!]S^DPIZ@Al;'Bb>?<;scn+WUXNZGTJKj=-Hl756=uid%Y_RH\M^DE`7+Nf<;87ob/SQ\BVKXNOn9!D`9129qeh)U[VLXARHId3/Jj2743{kf#_]PFRO\BCb5%@d3=>5}al-QWZ@TEVLMh?#Fn8d8vdk(ZZUM_@QIFe126>tfe&XXSK]B_GDg7(Oi9:1ym`!]S^DPIZ@Al:'Bb#Fn0027>tfe&XXSK]B_GDg7(Oi:890~lc RR]EWHYANm9&Ec=>3:pbi*TTWOYFSKHk3,Km045?0237?wgj'[omHb`j_^mq45675}al-QacTbyVkeh=>?03f8vdk(Zll^~jkat078vdk(Zll^~jkat^cm`56788<0~lc RddVvbci|Vkeh=>?0035?wgj'[omYijnu]bja67898:=6|nm.PfcPt`mg~Xucml;scn+Zkrp9:;<55}al-mGDU682xja"`LAR]mkq6788;:7ob/oABWZhh|9:;=<74r`o,jIQB98:0~lc nMUF[dhc89:;=<5}al-mHRCXign;<=>>109qeh)iD^OTmcj?012154=uid%e@ZKPaof34564981ym`!aLVG\ekb789:?;6|nm.lLA==uid%eCH?7;scn+kIB:11ym`!aR@Q:?wgj'gXJ_<74r`o,jWGT:01ym`!aR@Q0=>tfe&dYM^:6;scn+kTF[tfe&d^[H?>0:pbi*hR_LUjbi>?0132?wgj'g_\IRoad12344763{kf#c[XE^cm`5678;;:7ob/oWTAZgil9:;<>?>;scn+kSPMVkeh=>?05:8|f?289rg=<5wc87201~k|x;;< ??8:zjhlh}g;37ubax^cvpjY7Wqni#n}{.y```xFGx8i9=6NOx00;>C<328qX984>3c8:2?74=92d:?449;%307?75i2wX994>3c8:2?74=g6m2Y>87:?9;19562e8k;14l:7;e315<62808n9u\54827g<>>3;88o>m1;:b4a=#9;=14>5Y12496~s?=3;0y5850:'a6<3lj1/9k4?;%7g>1bb3-?n6:74b00;>5<3j398m7=>5zJ260=#9;;1=?64Z5697~1=0331q&=i5;29?j2?2900c>jk:188m6ed2900c9><:188m6`c2900c>hm:188k1g=831d?k=50;9j7a3=831d?hh50;9l7ad=831d?i750;9j1g<722c==7>5;h1gb?6=3f9hi7>5;n1g5?6=3`9n;7>5;n1e5?6=3`>;97>5;n1eb?6=3f9nh7>5;h6`>5<!ce2o:0bho50:9lac<72-oi6k>4ndc95>=hnh0;6)km:g28j`g=:21dj44?:%ga>c6!ce2o:0bho54:9lb2<72-oi6k>4ndc91>=hn?0;6)km:g28j`g=>21dj84?:%ga>c6!ce2o:0bho58:9lb6<72-oi6k>4ndc9=>=hn;0;6)km:g28j`g=i21dih4?:%ga>c6!ce28l0bho50:9j5`<72-oi6=n9m0;6)km:0d8j`g=:21b=n4?:%ga>4`!ce28l0bho54:9j5<<72-oi6=n910;6)km:0d8j`g=>21b=:4?:%ga>4`!ce28l0bho58:9j50<72-oi6=n9=0;6)km:0d8j`g=i21b=>4?:%ga>4`!ce28l0bho5c:9j54<72-oi6=n:10;6)km:0d8j`g=m21b>:4?:%ga>4`!ce28l0bho51198m73=83.nn7?i;ogb>47<3`8?6=4+ec82b>hbi3;976g=3;29 `d=9o1eil4>3:9j67<72-oi65=0d83>!ce28;97ckn:398f44b290:6=4?{%315?0>3A;9h6F>249'563=9:i0(5>54ef8k3>=83.:?8464:9~f44a290:6=4?{%315?75>2B:>i5G1378 45220:0(5>54ef8k<3=83.:?8464:9~f435290>6?49{%315?033A;9h6F>249'<5<3lm1Q=?>51z71>xo3m3:17d;<:188m13=831b9:4?::mf`?6=3koo6=49:183!74=3<=7W?=0;3x17?1<7*>34841>=no3n3:1(<=::5d8?l77l3:1(<=::02g?>o68k0;6)?<5;33f>=h1=0;6)?<5;;7?>{e9:81<7?50;2x 452289h7b7;:18'563=1=10q~kk:181[cc34oo64:4}r74>5<5sW?<70kk:678yv342909wS;<;46e3ty?i7>52z\7a>;bl3>n7p};5;296~X3=27nh7:i;|q5=?6=:r7nh7??d:?277<><2wvn<;6:186>7<1s-;9=78;;I31`>N6:<1/4=4;de9Y576=9r?96pg;e;29?l342900e9;50;9j12<722enh7>5;cgg>5<1290;w)?<5;45?_7583;p9?4ri6794?"6;<0<965f4d83>!74=3>n76g;f;29 4522=l07d??d;29 45228:o76g>0c83>!74=3;;n65`9583>!74=33?76sm12094?7=83:p(<=::01`?j?3290/=>;59598yvcc2909wSkk;<2?0q~;<:181[3434oo6<>m;|q7a?6=:rT?i63jd;6f?xu3=3:1>vP;5:?f`?2a3ty=57>52z?f`?77l27:??464:~f40c290>6?49{%315?033A;9h6F>249'<5<3lm1Q=?>51z71>xo3m3:17d;<:188m13=831b9:4?::mf`?6=3koo6=49:183!74=3<=7W?=0;3x17?1<7*>34841>=no3n3:1(<=::5d8?l77l3:1(<=::02g?>o68k0;6)?<5;33f>=h1=0;6)?<5;;7?>{e9:81<7?50;2x 452289h7b7;:18'563=1=10q~kk:181[cc34oo64:4}r74>5<5sW?<70kk:678yv342909wS;<;46e3ty?i7>52z\7a>;bl3>n7p};5;296~X3=27nh7:i;|q5=?6=:r7nh7??d:?277<><2wvn<9>:186>7<1s-;9=78;;I31`>N6:<1/4=4;de9Y576=9r?96pg;e;29?l342900e9;50;9j12<722enh7>5;cgg>5<1290;w)?<5;45?_7583;p9?4ri6794?"6;<0<965f4d83>!74=3>n76g;f;29 4522=l07d??d;29 45228:o76g>0c83>!74=3;;n65`9583>!74=33?76sm12094?7=83:p(<=::01`?j?3290/=>;59598yvcc2909wSkk;<2?0q~;<:181[3434oo6<>m;|q7a?6=:rT?i63jd;6f?xu3=3:1>vP;5:?f`?2a3ty=57>52z?f`?77l27:??464:~f412290>6?49{%315?033A;9h6F>249'<5<3lm1Q=?>51z71>xo3m3:17d;<:188m13=831b9:4?::mf`?6=3koo6=49:183!74=3<=7W?=0;3x17?1<7*>34841>=no3n3:1(<=::5d8?l77l3:1(<=::02g?>o68k0;6)?<5;33f>=h1=0;6)?<5;;7?>{e9:81<7?50;2x 452289h7b7;:18'563=1=10q~kk:181[cc34oo64:4}r74>5<5sW?<70kk:678yv342909wS;<;46e3ty?i7>52z\7a>;bl3>n7p};5;296~X3=27nh7:i;|q5=?6=:r7nh7??d:?277<><2wvn<96:186>7<1s-;9=78;;I31`>N6:<1/4=4;de9Y576=9r?96pg;e;29?l342900e9;50;9j12<722enh7>5;cgg>5<1290;w)?<5;45?_7583;p9?4ri6794?"6;<0<965f4d83>!74=3>n76g;f;29 4522=l07d??d;29 45228:o76g>0c83>!74=3;;n65`9583>!74=33?76sm12094?7=83:p(<=::01`?j?3290/=>;59598yvcc2909wSkk;<2?0q~;<:181[3434oo6<>m;|q7a?6=:rT?i63jd;6f?xu3=3:1>vP;5:?f`?2a3ty=57>52z?f`?77l27:??464:~f41c290>6?49{%315?033A;9h6F>249'<5<3lm1Q=?>51z71>xo3m3:17d;<:188m13=831b9:4?::mf`?6=3koo6=49:183!74=3<=7W?=0;3x17?1<7*>34841>=no3n3:1(<=::5d8?l77l3:1(<=::02g?>o68k0;6)?<5;33f>=h1=0;6)?<5;;7?>{e9:81<7?50;2x 452289h7b7;:18'563=1=10q~kk:181[cc34oo64:4}r74>5<5sW?<70kk:678yv342909wS;<;46e3ty?i7>52z\7a>;bl3>n7p};5;296~X3=27nh7:i;|q5=?6=:r7nh7??d:?277<><2wvn<6>:186>7<1s-;9=78;;I31`>N6:<1/4=4;de9Y576=9r?96pg;e;29?l342900e9;50;9j12<722enh7>5;cgg>5<1290;w)?<5;45?_7583;p9?4ri6794?"6;<0<965f4d83>!74=3>n76g;f;29 4522=l07d??d;29 45228:o76g>0c83>!74=3;;n65`9583>!74=33?76sm12094?7=83:p(<=::01`?j?3290/=>;59598yvcc2909wSkk;<2?0q~;<:181[3434oo6<>m;|q7a?6=:rT?i63jd;6f?xu3=3:1>vP;5:?f`?2a3ty=57>52z?f`?77l27:??464:~f4>2290>6?49{%315?033A;9h6F>249'<5<3lm1Q=?>51z71>xo3m3:17d;<:188m13=831b9:4?::mf`?6=3koo6=49:183!74=3<=7W?=0;3x17?1<7*>34841>=no3n3:1(<=::5d8?l77l3:1(<=::02g?>o68k0;6)?<5;33f>=h1=0;6)?<5;;7?>{e9:81<7?50;2x 452289h7b7;:18'563=1=10q~kk:181[cc34oo64:4}r74>5<5sW?<70kk:678yv342909wS;<;46e3ty?i7>52z\7a>;bl3>n7p};5;296~X3=27nh7:i;|q5=?6=:r7nh7??d:?277<><2wvn<66:186>7<1s-;9=78;;I31`>N6:<1/4=4;de9Y576=9r?96pg;e;29?l342900e9;50;9j12<722enh7>5;cgg>5<1290;w)?<5;45?_7583;p9?4ri6794?"6;<0<965f4d83>!74=3>n76g;f;29 4522=l07d??d;29 45228:o76g>0c83>!74=3;;n65`9583>!74=33?76sm12094?7=83:p(<=::01`?j?3290/=>;59598yvcc2909wSkk;<2?0q~;<:181[3434oo6<>m;|q7a?6=:rT?i63jd;6f?xu3=3:1>vP;5:?f`?2a3ty=57>52z?f`?77l27:??464:~f43f290>6?49{%315?033A;9h6F>249'<5<3lm1Q=?>51z71>xo3m3:17d;<:188m13=831b9:4?::mf`?6=3koo6=49:183!74=3<=7W?=0;3x17?1<7*>34841>=no3n3:1(<=::5d8?l77l3:1(<=::02g?>o68k0;6)?<5;33f>=h1=0;6)?<5;;7?>{e9:81<7?50;2x 452289h7b7;:18'563=1=10q~kk:181[cc34oo64:4}r74>5<5sW?<70kk:678yv342909wS;<;46e3ty?i7>52z\7a>;bl3>n7p};5;296~X3=27nh7:i;|q5=?6=:r7nh7??d:?277<><2wvn<;j:186>7<1s-;9=78;;I31`>N6:<1/4=4;de9Y576=9r?96pg;e;29?l342900e9;50;9j12<722enh7>5;cgg>5<1290;w)?<5;45?_7583;p9?4ri6794?"6;<0<965f4d83>!74=3>n76g;f;29 4522=l07d??d;29 45228:o76g>0c83>!74=3;;n65`9583>!74=33?76sm12094?7=83:p(<=::01`?j?3290/=>;59598yvcc2909wSkk;<2?0q~;<:181[3434oo6<>m;|q7a?6=:rT?i63jd;6f?xu3=3:1>vP;5:?f`?2a3ty=57>52z?f`?77l27:??464:~f405290>6?49{%315?033A;9h6F>249'<5<3lm1Q=?>51z71>xo3m3:17d;<:188m13=831b9:4?::mf`?6=3koo6=49:183!74=3<=7W?=0;3x17?1<7*>34841>=no3n3:1(<=::5d8?l77l3:1(<=::02g?>o68k0;6)?<5;33f>=h1=0;6)?<5;;7?>{e9:81<7?50;2x 452289h7b7;:18'563=1=10q~kk:181[cc34oo64:4}r74>5<5sW?<70kk:678yv342909wS;<;46e3ty?i7>52z\7a>;bl3>n7p};5;296~X3=27nh7:i;|q5=?6=:r7nh7??d:?277<><2wvn<89:186>7<1s-;9=78;;I31`>N6:<1/4=4;de9Y576=9r?96pg;e;29?l342900e9;50;9j12<722enh7>5;cgg>5<1290;w)?<5;45?_7583;p9?4ri6794?"6;<0<965f4d83>!74=3>n76g;f;29 4522=l07d??d;29 45228:o76g>0c83>!74=3;;n65`9583>!74=33?76sm12094?7=83:p(<=::01`?j?3290/=>;59598yvcc2909wSkk;<2?0q~;<:181[3434oo6<>m;|q7a?6=:rT?i63jd;6f?xu3=3:1>vP;5:?f`?2a3ty=57>52z?f`?77l27:??464:~f76729086?4;{%315?043A;9h6F>249'32<13-2;69jk;h66>5<>dbl3:197<56z&264<1=2.3<7:kd:mf`?6=3`oh6=44i4594?=n=:0;66g;5;29?g02290=6=4?{%301?013`=>6=4+127930=3487a>=no68m0;6)?<5;33`>=n99h1<7*>34824g=1<7*>348:0>=zj89;6=4>:183!74=33;7b7::18'563=1=10q~;8:181[3034<>6:;4}r66>5<5sW>>708::5d8yv342909wS;<;<46>46e3tyno7>52z\fg>;1=3;;h6s|ee83>7}Ymm16:8464:p570=838p1;;54d9>566=1<1vqo?50;394?6|,88?695+13392<=#9:?1=>m4$9290ab348:0>=z{ln1<7{zj8lh6=4<:387!7593<87E?=d:J260=#?>0=7)6?:5fg?l222900e8950;9laa<722hnh7>55;092~"6:80=96*70;6g`>ibl3:17dkl:188m01=831b9>4?::k71?6=3k<>6=49:183!74=3<=7d9::18'563=?<10e9k50;&270<3m21b8k4?:%301?2a32c:<21vn<=?:182>5<7s-;8977?;n;6>5<#9:?15954}r74>5<5sW?<708::678yv222909wS::;<46>1`{t9;<1<701/=>;512a8 =6=<21vhj50;0xZ`b<5ln1ii5rs4594?4|V<=01hj5569~w13=838pR9;4=df900=z{;0;6>u2ee8fg>;bl3?870?5699'572==2wvn7<3s-;9=78<;I31`>N6:<1/;:49;%:3>1bc3`>>6=44i4594?=hmm0;66ljd;291?4=>r.:><495:&;4?2cl2enh7>5;hg`>5<>o3=3:17o8::185>5<7s-;89789;h56>5<#9:?1;854i5g94?"6;<0?i65f4g83>!74=3>m76g>0e83>!74=3;;h65f11`94?"6;<0:5<6290;w)?<5;;3?j?2290/=>;59598yv302909wS;8;<46>23>6=4={_66?8022=l0q~;<:181[3434<>6<>m;|qfg?6=:rTno6395;33`>{tmm0;6?uQee9>20<><2wx=?850;0x933=>5949~yg7=83;1<7>t$007>1=#9;;1:45+127956e<,1:18ij4o7:94?"6;<02865rsdf94?4|Vln01hj5ee9~w01=838pR894=df912=z{=?1<7aa196srb0d0>5<42;0?w)?=1;40?M75l2B:>85+7685?!>72=no7d:::188m01=831dii4?::`f`?6==381:v*>20851>"?83>oh6ajd;29?lcd2900e8950;9j16<722c?97>5;c46>5<1290;w)?<5;45?l12290/=>;57498m1c=83.:?84;e:9j0c<72-;897:i;:k24a<72-;897??d:9j55d=83.:?84>0c98k<2=83.:?8464:9~f457290:6=4?{%301??73f3>6=4+1279=1=?0q~:::181[2234<>69h4}r70>5<5sW?8708::02a?xubk3:1>vPjc:?51?77l2wxii4?:3y]aa=:><0286s|13494?4|5??18h521229=0=zuk;1<7?50;2x 4432=1/=??5689'563=9:i0(5>54ef8k3>=83.:?8464:9~w`b=838pRhj4=df9aa=z{<=1<71v9;50;0xZ13<5ln1885rs383>6}:mm0no63jd;70?87=>11/=?:55:~f653290>6?49{%315?033A;9h6F>249'<5<3lm1Q=?>51z73>xo3m3:17d;<:188m13=831b9:4?::mf`?6=3koo6=49:183!74=3<=7W?=0;3x15?1<7*>34841>=no3n3:1(<=::5d8?l77l3:1(<=::02g?>o68k0;6)?<5;33f>=h1=0;6)?<5;;7?>{e9:81<7?50;2x 452289h7b7;:18'563=1=10q~kk:181[cc34oo64:4}r74>5<5sW?<70kk:678yv342909wS;<;46e3ty?i7>52z\7a>;bl3>n7p};5;296~X3=27nh7:i;|q5=?6=:r7nh7??d:?277<><2wvn?6i:180>7<3s-;9=78<;I31`>N6:<1/4=4;de9Y576=9r?;6pg;5;29?l302900chj50;9aaa<72<096;u+133920=#090?hi5U13295~372tenh7>5;hg`>5<>o3=3:17o8::185>5<7s-;89789;[314?7|=90ve:;50;&270<0=21b8h4?:%301?2b32c?j7>5$016>1`<3`;;h7>5$016>46c32c:>50;394?6|,89>64>4o8794?"6;<02865rs4594?4|V<=01;;5749~w13=838pR9;4=7790c=z{<91<76<>k;|qf`?6=:rTnh6395;;7?xu6:?0;6?u26487a>;6;90296srb083>4<729q/=?:54:&264<112.:?84>3b9'<5<3lm1d:54?:%301??332wxii4?:3y]aa=:mm0nh6s|5683>7}Y=>16ii4:7:p00<72;qU8852ee871>{t:3:1?v3jd;g`?8cc2<901<498:&261<23twi>;650;796?0|,88:6;:4H00g?M75=2.3<7:kd:X265<6s<;1qd:j:188m05=831b884?::k63?6=3foo6=44bdf94?0=83:p(<=::748^44728q>=7sf7483>!74=3=>76g;e;29 4522=o07d:i:18'563=k:18'563=99n07d??b;29 45228:i76a64;29 45220>07pl>3383>4<729q/=>;512a8k<2=83.:?8464:9~w`b=838pRhj4=df9=1=z{<=1<75<5sW>n70kk:5g8yv222909wS::;1`46c34;8>77;;|a7gd=83?1>78t$002>32<@88o7E?=5:&;4?2cl2P:>=4>{439yl2b2900e8=50;9j00<722c>;7>5;ngg>5<o3m3:1(<=::5g8?l2a290/=>;54g98m46c290/=>;511f8?l77j3:1(<=::02a?>i><3:1(<=::868?xd6;;0;6<4?:1y'563=9:i0c4:50;&270<><21vhj50;0xZ`b<5ln1595rs4594?4|V<=01hj5749~w05=838pR8=4=df955dn6=4={_6f?8cc2=o0q~:::181[2234oo69h4}r4:>5<5s4oo6<>k;<306??33twi?4l50;796?0|,88:6;:4H00g?M75=2.<;784$9290ab?7>5;h66>5<>dbl3:1:7>50z&270<1>2P:>=4>{439yl12290/=>;57498m1c=83.:?84;e:9j0c<72-;897:i;:k24a<72-;897??d:9j55d=83.:?84>0c98k<2=83.:?8464:9~f455290:6=4?{%301?74k2e287>5$016><2<3tynh7>52z\f`>;bl33?7p}:7;296~X2?27nh79:;|q67?6=:rT>?63jd;33f>{taa<3m2wx884?:3y]00=:mm0?j6s|6883>7}:mm0:55;092~"6:80=86F>2e9K573<,1:18ij4Z003>4}293wb8h4?::k67?6=3`>>6=44i4594?=hmm0;66ljd;292?6=8r.:?8496:X265<6s<;1qd9::18'563=?<10e9k50;&270<3m21b8k4?:%301?2a32c:<21vn<==:182>5<7s-;897?64:4;|qf`?6=:rTnh63jd;;7?xu2?3:1>vP:7:?f`?123ty>?7>52z\67>;bl3;;n6s|4d83>7}Y{t>00;6?u2ee824a=:9:81595r}c17f?6=;3818v*>20857>N6:m1C=?;4$9290ab;7>5;ngg>5<54ef8^44728q>=7s`ee83>>obk3:17d;8:188m05=831b884?::`51?6=>3:134852>\6:90:w8?5}h56>5<#9:?1;854i5g94?"6;<0?i65f4g83>!74=3>m76g>0e83>!74=3;;h65f11`94?"6;<0:5<6290;w)?<5;;3?j?2290/=>;59598yv302909wS;8;<46>23>6=4={_66?8022=l0q~;<:181[3434<>6<>m;|qfg?6=:rTno6395;33`>{tmm0;6?uQee9>20<><2wx=?850;0x933=>5949~yg7=83;1<7>t$007>1=#9;;1:45+127956e<,1:18ij4o7:94?"6;<02865rsdf94?4|Vln01hj5ee9~w01=838pR894=df912=z{=?1<7aa196srb3;6>5<42;0?w)?=1;40?M75l2B:>85+8187`a=]9;:1=v;?:|k71?6=3`?<6=44odf94?=emm0;684=:7y'577=><1/4=4;de9Y576=9r?;6pajd;29?lcd2900e8950;9j16<722c?97>5;c46>5<1290;w)?<5;45?_7583;p9=4ri6794?"6;<0<965f4d83>!74=3>n76g;f;29 4522=l07d??d;29 45228:o76g>0c83>!74=3;;n65`9583>!74=33?76sm12294?7=83:p(<=::828k<3=83.:?8464:9~w01=838pR894=77930=z{=?1<75<5sWoh708::02g?xubl3:1>vPjd:?51??33ty:>;4?:3y>20<3m27:?=465:~f4<7280;6=u+13690>"6:80=56*>34827f=#090?hi5`6983>!74=33?76s|ee83>7}Ymm16ii4jd:p12<72;qU9:52ee863>{t<<0;6?uQ449>aa<3=2wx>7>53z?f`?cd34oo68=4=085<>"6:=0>7psm28:94?5=:3>p(<<>:718L44c3A;996*70;6g`>\6:90:w8>5}h66>5<>dbl3:197<56z&264<1=2.3<7:kd:X265<6s<:1qbkk:188m`e=831b9:4?::k67?6=3`>>6=44b7794?0=83:p(<=::748^44728q><7sf7483>!74=3=>76g;e;29 4522=o07d:i:18'563=k:18'563=99n07d??b;29 45228:i76a64;29 45220>07pl>3183>4<729q/=>;5919l=0<72-;8977;;:p12<72;qU9:5264841>{t<<0;6?uQ449>20<3n2wx9>4?:3y]16=:><0:<252z?51?2b34;8<77:;|a5?6=93:12587?!7593<27)?<5;30g>"?83>oh6a98;29 45220>07p}jd;296~Xbl27nh7kk;|q63?6=:rT>;63jd;74?xu3=3:1>vP;5:?f`?223ty96=4<{`e<5ln19>521;4;?!75<3?0qpl=9c83>6<52=q/=??5629K57b<@88>7)6?:5fg?_7583;p9=4ri5794?=n=>0;66ajd;29?gcc290>6?49{%315?023-2;69jk;[314?7|=90vchj50;9jaf<722c>;7>5;h70>5<3<729q/=>;5679Y576=9r?;6pg85;29 4522>?07d:j:18'563=m:18'563=99h07b7;:18'563=1=10qo?<0;295?6=8r.:?8460:m:1?6=,89>64:4;|q63?6=:rT>;6395;56?xu3=3:1>vP;5:?51?2a3ty>?7>52z\67>;1=3;;n6s|eb83>7}Ymj16:84>0e9~w`b=838pRhj4=779=1=z{88=6=4={<46>1c<589;64;4}|`2>5<6290;w)?=4;68 4462?30(<=::01`?!>72=no7b87:18'563=1=10q~kk:181[cc34oo6hj4}r74>5<5sW?<70kk:458yv222909wS::;13?63>:7:8 4432<1vqo<6e;297?4=<493:J26a=O9;?0(5>54ef8^44728q><7sf4483>>o2?3:17bkk:188f`b=83?1>78t$002>33<,1:18ij4Z003>4}283wdii4?::kfg?6=3`?<6=44i4194?=n<<0;66l95;292?6=8r.:?8496:X265<6s<:1qd9::18'563=?<10e9k50;&270<3m21b8k4?:%301?2a32c:<21vn<=?:182>5<7s-;8977?;n;6>5<#9:?15954}r74>5<5sW?<708::678yv222909wS::;<46>1`{t9;<1<701/=>;512a8 =6=<21vhj50;0xZ`b<5ln1ii5rs4594?4|V<=01hj5569~w13=838pR9;4=df900=z{;0;6>u2ee8fg>;bl3?870?5699'572==2wvn>7n:180>7<3s-;9=78<;I31`>N6:<1/4=4;de9Y576=9r?:6pg;5;29?l302900chj50;9aaa<72<096;u+133920=#090?hi5U13295~362tenh7>5;hg`>5<>o3=3:17o8::185>5<7s-;89789;[314?7|=80ve:;50;&270<0=21b8h4?:%301?2b32c?j7>5$016>1`<3`;;h7>5$016>46c32c:>50;394?6|,89>64>4o8794?"6;<02865rs4594?4|V<=01;;5749~w13=838pR9;4=7790c=z{<91<76<>k;|qf`?6=:rTnh6395;;7?xu6:?0;6?u26487a>;6;90296srb083>4<729q/=?:54:&264<112.:?84>3b9'<5<3lm1d:54?:%301??332wxii4?:3y]aa=:mm0nh6s|5683>7}Y=>16ii4:7:p00<72;qU8852ee871>{t:3:1?v3jd;g`?8cc2<901<498:&261<23twi>8=50;796?0|,88:6;:4H00g?M75=2.3<7:kd:X265<6s<;1qd:j:188m05=831b884?::k63?6=3foo6=44bdf94?0=83:p(<=::748^44728q>=7sf7483>!74=3=>76g;e;29 4522=o07d:i:18'563=k:18'563=99n07d??b;29 45228:i76a64;29 45220>07pl>3383>4<729q/=>;512a8k<2=83.:?8464:9~w`b=838pRhj4=df9=1=z{<=1<75<5sW>n70kk:5g8yv222909wS::;1`46c34;8>77;;|a60>=83?1>78t$002>32<@88o7E?=5:&;4?2cl2P:>=4>{439yl2b2900e8=50;9j00<722c>;7>5;ngg>5<o3m3:1(<=::5g8?l2a290/=>;54g98m46c290/=>;511f8?l77j3:1(<=::02a?>i><3:1(<=::868?xd6;;0;6<4?:1y'563=9:i0c4:50;&270<><21vhj50;0xZ`b<5ln1595rs4594?4|V<=01hj5749~w05=838pR8=4=df955dn6=4={_6f?8cc2=o0q~:::181[2234oo69h4}r4:>5<5s4oo6<>k;<306??33twi>8j50;796?0|,88:6;:4H00g?M75=2.3<7:kd:X265<6s<;1qd:j:188m05=831b884?::k63?6=3foo6=44bdf94?0=83:p(<=::748^44728q>=7sf7483>!74=3=>76g;e;29 4522=o07d:i:18'563=k:18'563=99n07d??b;29 45228:i76a64;29 45220>07pl>3383>4<729q/=>;512a8k<2=83.:?8464:9~w`b=838pRhj4=df9=1=z{<=1<75<5sW>n70kk:5g8yv222909wS::;1`46c34;8>77;;|a7=3=83?1>78t$002>32<@88o7E?=5:&;4?2cl2P:>=4>{439yl2b2900e8=50;9j00<722c>;7>5;ngg>5<o3m3:1(<=::5g8?l2a290/=>;54g98m46c290/=>;511f8?l77j3:1(<=::02a?>i><3:1(<=::868?xd6;;0;6<4?:1y'563=9:i0c4:50;&270<><21vhj50;0xZ`b<5ln1595rs4594?4|V<=01hj5749~w05=838pR8=4=df955dn6=4={_6f?8cc2=o0q~:::181[2234oo69h4}r4:>5<5s4oo6<>k;<306??33twi8lh50;796?0|,88:6;:4H00g?M75=2.3<7:kd:X265<6s<;1qd:j:188m05=831b884?::k63?6=3foo6=44bdf94?0=83:p(<=::748^44728q>=7sf7483>!74=3=>76g;e;29 4522=o07d:i:18'563=k:18'563=99n07d??b;29 45228:i76a64;29 45220>07pl>3383>4<729q/=>;512a8k<2=83.:?8464:9~w`b=838pRhj4=df9=1=z{<=1<75<5sW>n70kk:5g8yv222909wS::;1`46c34;8>77;;|a613=83?1>78t$002>32<@88o7E?=5:&43?0<,1:18ij4Z003>4}293wb8h4?::k67?6=3`>>6=44i4594?=hmm0;66ljd;292?6=8r.:?8496:X265<6s<;1qd9::18'563=?<10e9k50;&270<3m21b8k4?:%301?2a32c:<21vn<==:182>5<7s-;897?64:4;|qf`?6=:rTnh63jd;;7?xu2?3:1>vP:7:?f`?123ty>?7>52z\67>;bl3;;n6s|4d83>7}Y{t>00;6?u2ee824a=:9:81595r}c0720850>N6:m1C=?;4$6592>"?83>oh6T>218207=u`>n6=44i4194?=n<<0;66g:7;29?jcc2900nhj50;494?6|,89>6;84Z003>4}293wb;84?:%301?1232c?i7>5$016>1c<3`>m6=4+12790c=5$016>46e32e287>5$016><2<3th:??4?:083>5}#9:?1=>m4o8694?"6;<02865rsdf94?4|Vln01hj5959~w01=838pR894=df930=z{<91<75<5sW>>70kk:5d8yv0>2909w0kk:02g?874:33?7psm1ed94?5=:3>p(<<>:718L44c3A;996*70;6g`>o3=3:17d;8:188k`b=831iii4?:481>3}#9;;1:85+8187`a=hmm0;66gjc;29?l302900e8=50;9j00<722h=97>56;294~"6;<0=:6g85;29 4522>?07d:j:18'563=m:18'563=99h07b7;:18'563=1=10qo?<0;295?6=8r.:?8460:m:1?6=,89>64:4;|q63?6=:rT>;6395;56?xu3=3:1>vP;5:?51?2a3ty>?7>52z\67>;1=3;;n6s|eb83>7}Ymj16:84>0e9~w`b=838pRhj4=779=1=z{88=6=4={<46>1c<589;64;4}|`2>5<6290;w)?=4;68 4462?30(<=::01`?!>72=no7b87:18'563=1=10q~kk:181[cc34oo6hj4}r74>5<5sW?<70kk:458yv222909wS::;13?63>:7:8 4432<1vqo?k5;297?4=<493:J26a=O9;?0(5>54ef8m13=831b9:4?::mf`?6=3koo6=4::385!7593<>7)6?:5fg?jcc2900ehm50;9j12<722c>?7>5;h66>5<5$016>1`<3`;;h7>5$016>46c32c:>50;394?6|,89>64>4o8794?"6;<02865rs4594?4|V<=01;;5749~w13=838pR9;4=7790c=z{<91<76<>k;|qf`?6=:rTnh6395;;7?xu6:?0;6?u26487a>;6;90296srb083>4<729q/=?:54:&264<112.:?84>3b9'<5<3lm1d:54?:%301??332wxii4?:3y]aa=:mm0nh6s|5683>7}Y=>16ii4:7:p00<72;qU8852ee871>{t:3:1?v3jd;g`?8cc2<901<498:&261<23twi=hj50;196?2|,88:6;=4H00g?M75=2.3<7:kd:k71?6=3`?<6=44odf94?=emm0;684=:7y'577=><1/4=4;de9laa<722cno7>5;h74>5<>d1=3:1:7>50z&270<1>2c<97>5$016>23<3`>n6=4+12790`=3487b>=n99n1<7*>34824a=51;294~"6;<02<6a65;29 45220>07p}:7;296~X2?27=979:;|q71?6=:rT?96395;6e?xu2;3:1>vP:3:?51?77j2wxin4?:3y]af=:><0::182>5<7s-;987:4$002>3?<,89>6<=l;%:3>1bc3f<36=4+1279=1=5<5sW>>70kk:578yv4=839p1hj5eb9>aa<2;27:6;64$007>0=zuk8on7>53;090~"6:80=?6F>2e9K573<,1:18ij4Z003>7}283?96pg;5;29?l302900chj50;9aaa<72<096;u+133920=#090?hi5U13296~372<81qbkk:188m`e=831b9:4?::k67?6=3`>>6=44b7794?0=83:p(<=::748^4472;q><7;=:|k41?6=,89>6:;4;h6f>5<#9:?18h54i5d94?"6;<0?j65f11f94?"6;<0:5<#9:?1==l4;n;7>5<#9:?15954}c304?6=93:1348:4>i>=3:1(<=::868?xu2?3:1>vP:7:?51?123ty?97>52z\71>;1=3>m7p}:3;296~X2;27=97??b:paf<72;qUin5264824a=z{ln1<745d3-2;69jk;n4;>5<#9:?15954}rgg>5<5sWoo70kk:df8yv302909wS;8;01>6=4={_66?8cc2=?0q~<50;1x9`b=mj16ii4:3:?2>3><,88?685r}c05b?6=;3818v*>20857>N6:m1C=?;4$9290ab;7>5;ngg>5<54ef8^44728q><7s`ee83>>obk3:17d;8:188m05=831b884?::`51?6=>3:134852>\6:90:w8>5}h56>5<#9:?1;854i5g94?"6;<0?i65f4g83>!74=3>m76g>0e83>!74=3;;h65f11`94?"6;<0:5<6290;w)?<5;;3?j?2290/=>;59598yv302909wS;8;<46>23>6=4={_66?8022=l0q~;<:181[3434<>6<>m;|qfg?6=:rTno6395;33`>{tmm0;6?uQee9>20<><2wx=?850;0x933=>5949~yg7=83;1<7>t$007>1=#9;;1:45+127956e<,1:18ij4o7:94?"6;<02865rsdf94?4|Vln01hj5ee9~w01=838pR894=df912=z{=?1<7aa196srb3ab>5<42;0?w)?=1;40?M75l2B:>85+8187`a=]9;:1>v;?:409yl222900e8950;9laa<722hnh7>55;092~"6:80=96*70;6g`>\6:909w8>5538~k`b=831bin4?::k63?6=3`?86=44i5794?=e><0;6;4?:1y'563=>?1Q=?>52z73>04=u`=>6=4+127930=3487a>=no68m0;6)?<5;33`>=n99h1<7*>34824g=1<7*>348:0>=zj89;6=4>:183!74=33;7b7::18'563=1=10q~;8:181[3034<>6:;4}r66>5<5sW>>708::5d8yv342909wS;<;<46>46e3tyno7>52z\fg>;1=3;;h6s|ee83>7}Ymm16:8464:p570=838p1;;54d9>566=1<1vqo?50;394?6|,88?695+13392<=#9:?1=>m4$9290ab348:0>=z{ln1<7{zj;i26=4<:387!7593<87E?=d:J260=#090?hi5U13295~372tc?97>5;h74>5<0<52?q/=??5649'<5<3lm1Q=?>51z73>xibl3:17dkl:188m01=831b9>4?::k71?6=3k<>6=49:183!74=3<=7W?=0;3x15?1<7*>34841>=no3n3:1(<=::5d8?l77l3:1(<=::02g?>o68k0;6)?<5;33f>=h1=0;6)?<5;;7?>{e9::1<7?50;2x 45220:0c4;50;&270<><21v8950;0xZ01<5??1;85rs5794?4|V=?01;;54g9~w05=838pR8=4=77955d=2wvn<4?:083>5}#9;>186*>2085=>"6;<0:?n5+8187`a=h>10;6)?<5;;7?>{tmm0;6?uQee9>aa;6s|4483>7}Y<<16ii4;5:p6?6=;r7nh7kl;05<580=46*>2586?x{e;9o1<7=52;6x 4462?90D<"?83>oh6T>218206=u`>>6=44i4594?=hmm0;66ljd;291?4=>r.:><495:&;4?2cl2P:>=4>{429yjcc2900ehm50;9j12<722c>?7>5;h66>5<o3m3:1(<=::5g8?l2a290/=>;54g98m46c290/=>;511f8?l77j3:1(<=::02a?>i><3:1(<=::868?xd6;90;6<4?:1y'563=191d584?:%301??332wx9:4?:3y]12=:><0<96s|4483>7}Y<<16:84;f:p16<72;qU9>5264824g=z{li1<764:4}r312?6=:r7=97:j;<304??23twi=7>51;294~"6:=0?7)?=1;4:?!74=3;8o6*70;6g`>i103:1(<=::868?xubl3:1>vPjd:?f`?cc3ty>;7>52z\63>;bl3?<7p};5;296~X3=27nh7::;|q1>5<4s4oo6hm4=df916=:93<37)?=4;78yxd5?h0;6>4=:5y'577=>:1C=?j4H006?!>72=no7W?=0;3x15>ibl3:17okk:186>7<1s-;9=78:;%:3>1bc3S;9<7?t518~k`b=831bin4?::k63?6=3`?86=44i5794?=e><0;6;4?:1y'563=>?1Q=?>51z73>xo0=3:1(<=::678?l2b290/=>;54d98m1`=83.:?84;f:9j55b=83.:?84>0e98m46e290/=>;511`8?j?3290/=>;59598yg7483:1=7>50z&270<>82e297>5$016><2<3ty>;7>52z\63>;1=3=>7p};5;296~X3=27=97:i;|q67?6=:rT>?6395;33f>{tmj0;6?uQeb9>20<68m1vhj50;0xZ`b<5??1595rs005>5<5s4<>69k4=013><3:183!75<3>0(<<>:7;8 452289h7)6?:5fg?j0?290/=>;59598yvcc2909wSkk;`b;62?20(<<;:49~yg56:3:1?7<54z&264<1;2B:>i5G1378 =6=5;h74>5<>d1=3:1:7>50z&270<1>2P:>=4>{429yl12290/=>;57498m1c=83.:?84;e:9j0c<72-;897:i;:k24a<72-;897??d:9j55d=83.:?84>0c98k<2=83.:?8464:9~f457290:6=4?{%301??73f3>6=4+1279=1=?0q~:::181[2234<>69h4}r70>5<5sW?8708::02a?xubk3:1>vPjc:?51?77l2wxii4?:3y]aa=:><0286s|13494?4|5??18h521229=0=zuk;1<7?50;2x 4432=1/=??5689'563=9:i0(5>54ef8k3>=83.:?8464:9~w`b=838pRhj4=df9aa=z{<=1<71v9;50;0xZ13<5ln1885rs383>6}:mm0no63jd;70?87=>11/=?:55:~f7>429086?4;{%315?043A;9h6F>249'<5<3lm1Q=?>51z73>xo3=3:17d;8:188k`b=831iii4?:481>3}#9;;1:85+8187`a=]9;:1=v;?:|mf`?6=3`oh6=44i4594?=n=:0;66g;5;29?g02290=6=4?{%301?013S;9<7?t518~m23=83.:?8485:9j0`<72-;897:j;:k7b?6=,89>69h4;h33`?6=,89>6<>k;:k24g<72-;897??b:9l=1<72-;8977;;:a566=83;1<7>t$016><6348:0>=z{<=1<746c3tynh7>52z\f`>;1=33?7p}>2783>7}:><0?i63>318:1>{zj80;6<4?:1y'572=<2.:><499:&270<6;j1/4=4;de9l2=<72-;8977;;:paa<72;qUii52ee8f`>{t=>0;6?uQ569>aa<2?2wx884?:3y]00=:mm0?96s|2;297~;bl3oh70kk:41894<102.:>94:;|a74d=8391>7:t$002>35<@88o7E?=5:&;4?2cl2P:>=4>{429yl222900e8950;9laa<722hnh7>55;092~"6:80=96*70;6g`>\6:90:w8>5}ngg>5<>o2;3:17d:::188f33=83<1<7>t$016>3069k4;h6e>5<#9:?18k54i02g>5<#9:?1==j4;h33f?6=,89>6<>m;:m:0?6=,89>64:4;|`275<7280;6=u+1279=5=h1<0;6)?<5;;7?>{t=>0;6?uQ569>20<0=2wx884?:3y]00=:><0?j6s|5283>7}Y=:16:84>0c9~w`e=838pRhm4=77955b0q~?=6;296~;1=3>n70?<0;;6?x{e93:1=7>50z&261<33-;9=786;%301?74k2.3<7:kd:m564:4;|qf`?6=:rTnh63jd;gg?xu2?3:1>vP:7:?f`?303ty?97>52z\71>;bl3>>7p}=:1808cc2li01hj5529>5?0?3-;987;4}|`002<72:0969u+133926=O9;n0D<<:;%:3>1bc3S;9<7?t508~m13=831b9:4?::mf`?6=3koo6=4::385!7593<>7)6?:5fg?_7583;p9<4rodf94?=nmj0;66g:7;29?l342900e9;50;9a20<72?0;6=u+127923=]9;:1=v;>:|k41?6=,89>6:;4;h6f>5<#9:?18h54i5d94?"6;<0?j65f11f94?"6;<0:5<#9:?1==l4;n;7>5<#9:?15954}c304?6=93:1348:4>i>=3:1(<=::868?xu2?3:1>vP:7:?51?123ty?97>52z\71>;1=3>m7p}:3;296~X2;27=97??b:paf<72;qUin5264824a=z{ln1<745d3-2;69jk;n4;>5<#9:?15954}rgg>5<5sWoo70kk:df8yv302909wS;8;01>6=4={_66?8cc2=?0q~<50;1x9`b=mj16ii4:3:?2>3><,88?685r}c157?6=;3818v*>20857>N6:m1C=?;4$9290ab;7>5;ngg>5<54ef8^44728q>=7s`ee83>>obk3:17d;8:188m05=831b884?::`51?6=>3:134852>\6:90:w8?5}h56>5<#9:?1;854i5g94?"6;<0?i65f4g83>!74=3>m76g>0e83>!74=3;;h65f11`94?"6;<0:5<6290;w)?<5;;3?j?2290/=>;59598yv302909wS;8;<46>23>6=4={_66?8022=l0q~;<:181[3434<>6<>m;|qfg?6=:rTno6395;33`>{tmm0;6?uQee9>20<><2wx=?850;0x933=>5949~yg7=83;1<7>t$007>1=#9;;1:45+127956e<,1:18ij4o7:94?"6;<02865rsdf94?4|Vln01hj5ee9~w01=838pR894=df912=z{=?1<7aa196srb5`a>5<42;0?w)?=1;40?M75l2B:>85+8187`a=]9;:1=v;>:|k71?6=3`?<6=44odf94?=emm0;684=:7y'577=><1/4=4;de9Y576=9r?:6pajd;29?lcd2900e8950;9j16<722c?97>5;c46>5<1290;w)?<5;45?_7583;p9<4ri6794?"6;<0<965f4d83>!74=3>n76g;f;29 4522=l07d??d;29 45228:o76g>0c83>!74=3;;n65`9583>!74=33?76sm12294?7=83:p(<=::828k<3=83.:?8464:9~w01=838pR894=77930=z{=?1<75<5sWoh708::02g?xubl3:1>vPjd:?51??33ty:>;4?:3y>20<3m27:?=465:~f4<7280;6=u+13690>"6:80=56*>34827f=#090?hi5`6983>!74=33?76s|ee83>7}Ymm16ii4jd:p12<72;qU9:52ee863>{t<<0;6?uQ449>aa<3=2wx>7>53z?f`?cd34oo68=4=085<>"6:=0>7psm4c494?5=:3>p(<<>:718L44c3A;996*70;6g`>\6:90:w8?5}h66>5<>dbl3:197<56z&264<1=2.3<7:kd:X265<6s<;1qbkk:188m`e=831b9:4?::k67?6=3`>>6=44b7794?0=83:p(<=::748^44728q>=7sf7483>!74=3=>76g;e;29 4522=o07d:i:18'563=k:18'563=99n07d??b;29 45228:i76a64;29 45220>07pl>3183>4<729q/=>;5919l=0<72-;8977;;:p12<72;qU9:5264841>{t<<0;6?uQ449>20<3n2wx9>4?:3y]16=:><0:<252z?51?2b34;8<77:;|a5?6=93:12587?!7593<27)?<5;30g>"?83>oh6a98;29 45220>07p}jd;296~Xbl27nh7kk;|q63?6=:rT>;63jd;74?xu3=3:1>vP;5:?f`?223ty96=4<{`e<5ln19>521;4;?!75<3?0qpl;bg83>6<52=q/=??5629K57b<@88>7)6?:5fg?_7583;p9<4ri5794?=n=>0;66ajd;29?gcc290>6?49{%315?023-2;69jk;[314?7|=80vchj50;9jaf<722c>;7>5;h70>5<3<729q/=>;5679Y576=9r?:6pg85;29 4522>?07d:j:18'563=m:18'563=99h07b7;:18'563=1=10qo?<0;295?6=8r.:?8460:m:1?6=,89>64:4;|q63?6=:rT>;6395;56?xu3=3:1>vP;5:?51?2a3ty>?7>52z\67>;1=3;;n6s|eb83>7}Ymj16:84>0e9~w`b=838pRhj4=779=1=z{88=6=4={<46>1c<589;64;4}|`2>5<6290;w)?=4;68 4462?30(<=::01`?!>72=no7b87:18'563=1=10q~kk:181[cc34oo6hj4}r74>5<5sW?<70kk:458yv222909wS::;13?63>:7:8 4432<1vqo:m7;297?4=<493:J26a=O9;?0(5>54ef8^44728q>=7sf4483>>o2?3:17bkk:188f`b=83?1>78t$002>33<,1:18ij4Z003>4}293wdii4?::kfg?6=3`?<6=44i4194?=n<<0;66l95;292?6=8r.:?8496:X265<6s<;1qd9::18'563=?<10e9k50;&270<3m21b8k4?:%301?2a32c:<21vn<=?:182>5<7s-;8977?;n;6>5<#9:?15954}r74>5<5sW?<708::678yv222909wS::;<46>1`{t9;<1<701/=>;512a8 =6=<21vhj50;0xZ`b<5ln1ii5rs4594?4|V<=01hj5569~w13=838pR9;4=df900=z{;0;6>u2ee8fg>;bl3?870?5699'572==2wvn9>n:180>7<3s-;9=78<;I31`>N6:<1/4=4;de9Y576=9r?:6pg;5;29?l302900chj50;9aaa<72<096;u+133920=#090?hi5U13295~362tenh7>5;hg`>5<>o3=3:17o8::185>5<7s-;89789;[314?7|=80ve:;50;&270<0=21b8h4?:%301?2b32c?j7>5$016>1`<3`;;h7>5$016>46c32c:>50;394?6|,89>64>4o8794?"6;<02865rs4594?4|V<=01;;5749~w13=838pR9;4=7790c=z{<91<76<>k;|qf`?6=:rTnh6395;;7?xu6:?0;6?u26487a>;6;90296srb083>4<729q/=?:54:&264<112.:?84>3b9'<5<3lm1d:54?:%301??332wxii4?:3y]aa=:mm0nh6s|5683>7}Y=>16ii4:7:p00<72;qU8852ee871>{t:3:1?v3jd;g`?8cc2<901<498:&261<23twi8=l50;196?2|,88:6;=4H00g?M75=2.<;784$9290ab;7>5;ngg>5<54ef8^44728q>=7s`ee83>>obk3:17d;8:188m05=831b884?::`51?6=>3:134852>\6:90:w8?5}h56>5<#9:?1;854i5g94?"6;<0?i65f4g83>!74=3>m76g>0e83>!74=3;;h65f11`94?"6;<0:5<6290;w)?<5;;3?j?2290/=>;59598yv302909wS;8;<46>23>6=4={_66?8022=l0q~;<:181[3434<>6<>m;|qfg?6=:rTno6395;33`>{tmm0;6?uQee9>20<><2wx=?850;0x933=>5949~yg7=83;1<7>t$007>1=#9;;1:45+127956e<,1:18ij4o7:94?"6;<02865rsdf94?4|Vln01hj5ee9~w01=838pR894=df912=z{=?1<7aa196srb53f>5<42;0?w)?=1;40?M75l2B:>85+7685?!>72=no7W?=0;3x14>ibl3:17okk:186>7<1s-;9=78:;%:3>1bc3S;9<7?t508~k`b=831bin4?::k63?6=3`?86=44i5794?=e><0;6;4?:1y'563=>?1Q=?>51z72>xo0=3:1(<=::678?l2b290/=>;54d98m1`=83.:?84;f:9j55b=83.:?84>0e98m46e290/=>;511`8?j?3290/=>;59598yg7483:1=7>50z&270<>82e297>5$016><2<3ty>;7>52z\63>;1=3=>7p};5;296~X3=27=97:i;|q67?6=:rT>?6395;33f>{tmj0;6?uQeb9>20<68m1vhj50;0xZ`b<5??1595rs005>5<5s4<>69k4=013><3:183!75<3>0(<<>:7;8 452289h7)6?:5fg?j0?290/=>;59598yvcc2909wSkk;`b;62?20(<<;:49~yg24:3:1?7<54z&264<1;2B:>i5G1378 21=>2.3<7:kd:X265<6s<;1qd:::188m01=831dii4?::`f`?6==381:v*>20851>"?83>oh6T>218207=ufoo6=44ida94?=n=>0;66g:3;29?l222900n;;50;494?6|,89>6;84Z003>4}293wb;84?:%301?1232c?i7>5$016>1c<3`>m6=4+12790c=5$016>46e32e287>5$016><2<3th:?=4?:083>5}#9:?15=5`9483>!74=33?76s|5683>7}Y=>16:8485:p00<72;qU88526487b>{t=:0;6?uQ529>20<68k1vhm50;0xZ`e<5??1==j4}rgg>5<5sWoo708::868yv75>3:1>v395;6f?874833>7psm1;295?6=8r.:>94;;%315?0>3-;897?5$016><2<3tynh7>52z\f`>;bl3oo7p}:7;296~X2?27nh7;8;|q71?6=:rT?963jd;66?xu52908w0kk:da89`b==:16=787;%310?31}#9;;1:>5G13f8L4423-=<6;5+8187`a=]9;:1=v;>:|k71?6=3`?<6=44odf94?=emm0;684=:7y'577=><1/4=4;de9Y576=9r?:6pajd;29?lcd2900e8950;9j16<722c?97>5;c46>5<1290;w)?<5;45?_7583;p9<4ri6794?"6;<0<965f4d83>!74=3>n76g;f;29 4522=l07d??d;29 45228:o76g>0c83>!74=3;;n65`9583>!74=33?76sm12294?7=83:p(<=::828k<3=83.:?8464:9~w01=838pR894=77930=z{=?1<75<5sWoh708::02g?xubl3:1>vPjd:?51??33ty:>;4?:3y>20<3m27:?=465:~f4<7280;6=u+13690>"6:80=56*>34827f=#090?hi5`6983>!74=33?76s|ee83>7}Ymm16ii4jd:p12<72;qU9:52ee863>{t<<0;6?uQ449>aa<3=2wx>7>53z?f`?cd34oo68=4=085<>"6:=0>7psm21g94?5=:3>p(<<>:718L44c3A;996*70;6g`>\6:90:w8<5}h66>5<>dbl3:197<56z&264<1=2.3<7:kd:X265<6s<81qbkk:188m`e=831b9:4?::k67?6=3`>>6=44b7794?0=83:p(<=::748^44728q>>7sf7483>!74=3=>76g;e;29 4522=o07d:i:18'563=k:18'563=99n07d??b;29 45228:i76a64;29 45220>07pl>3183>4<729q/=>;5919l=0<72-;8977;;:p12<72;qU9:5264841>{t<<0;6?uQ449>20<3n2wx9>4?:3y]16=:><0:<252z?51?2b34;8<77:;|a5?6=93:12587?!7593<27)?<5;30g>"?83>oh6a98;29 45220>07p}jd;296~Xbl27nh7kk;|q63?6=:rT>;63jd;74?xu3=3:1>vP;5:?f`?223ty96=4<{`e<5ln19>521;4;?!75<3?0qpl=1783>6<52=q/=??5629K57b<@88>7)6?:5fg?_7583;p9?4ri5794?=n=>0;66ajd;29?gcc290>6?49{%315?023-2;69jk;[314?7|=;0vchj50;9jaf<722c>;7>5;h70>5<3<729q/=>;5679Y576=9r?96pg85;29 4522>?07d:j:18'563=m:18'563=99h07b7;:18'563=1=10qo?<0;295?6=8r.:?8460:m:1?6=,89>64:4;|q63?6=:rT>;6395;56?xu3=3:1>vP;5:?51?2a3ty>?7>52z\67>;1=3;;n6s|eb83>7}Ymj16:84>0e9~w`b=838pRhj4=779=1=z{88=6=4={<46>1c<589;64;4}|`2>5<6290;w)?=4;68 4462?30(<=::01`?!>72=no7b87:18'563=1=10q~kk:181[cc34oo6hj4}r74>5<5sW?<70kk:458yv222909wS::;13?63>:7:8 4432<1vqo?<493:J26a=O9;?0(5>54ef8^44728q>>7sf4483>>o2?3:17bkk:188f`b=83?1>78t$002>33<,1:18ij4Z003>4}2:3wdii4?::kfg?6=3`?<6=44i4194?=n<<0;66l95;292?6=8r.:?8496:X265<6s<81qd9::18'563=?<10e9k50;&270<3m21b8k4?:%301?2a32c:<21vn<=?:182>5<7s-;8977?;n;6>5<#9:?15954}r74>5<5sW?<708::678yv222909wS::;<46>1`{t9;<1<701/=>;512a8 =6=<21vhj50;0xZ`b<5ln1ii5rs4594?4|V<=01hj5569~w13=838pR9;4=df900=z{;0;6>u2ee8fg>;bl3?870?5699'572==2wvn<:>:180>7<3s-;9=78<;I31`>N6:<1/4=4;de9Y576=9r?96pg;5;29?l302900chj50;9aaa<72<096;u+133920=#090?hi5U13295~352tenh7>5;hg`>5<>o3=3:17o8::185>5<7s-;89789;[314?7|=;0ve:;50;&270<0=21b8h4?:%301?2b32c?j7>5$016>1`<3`;;h7>5$016>46c32c:>50;394?6|,89>64>4o8794?"6;<02865rs4594?4|V<=01;;5749~w13=838pR9;4=7790c=z{<91<76<>k;|qf`?6=:rTnh6395;;7?xu6:?0;6?u26487a>;6;90296srb083>4<729q/=?:54:&264<112.:?84>3b9'<5<3lm1d:54?:%301??332wxii4?:3y]aa=:mm0nh6s|5683>7}Y=>16ii4:7:p00<72;qU8852ee871>{t:3:1?v3jd;g`?8cc2<901<498:&261<23twi??h50;196?2|,88:6;=4H00g?M75=2.3<7:kd:X265<6s<:1qd:::188m01=831dii4?::`f`?6==381:v*>20851>"?83>oh6T>218206=ufoo6=44ida94?=n=>0;66g:3;29?l222900n;;50;494?6|,89>6;84Z003>4}283wb;84?:%301?1232c?i7>5$016>1c<3`>m6=4+12790c=5$016>46e32e287>5$016><2<3th:?=4?:083>5}#9:?15=5`9483>!74=33?76s|5683>7}Y=>16:8485:p00<72;qU88526487b>{t=:0;6?uQ529>20<68k1vhm50;0xZ`e<5??1==j4}rgg>5<5sWoo708::868yv75>3:1>v395;6f?874833>7psm1;295?6=8r.:>94;;%315?0>3-;897?5$016><2<3tynh7>52z\f`>;bl3oo7p}:7;296~X2?27nh7;8;|q71?6=:rT?963jd;66?xu52908w0kk:da89`b==:16=787;%310?3?4?:281>1}#9;;1:>5G13f8L4423-2;69jk;[314?7|=90ve9;50;9j12<722enh7>5;cgg>5<22;0=w)?=1;46?!>72=no7W?=0;3x15>o2?3:17d;<:188m13=831i:84?:783>5}#9:?1:;5U13295~372tc<97>5$016>23<3`>n6=4+12790`=3487b>=n99n1<7*>34824a=51;294~"6;<02<6a65;29 45220>07p}:7;296~X2?27=979:;|q71?6=:rT?96395;6e?xu2;3:1>vP:3:?51?77j2wxin4?:3y]af=:><0::182>5<7s-;987:4$002>3?<,89>6<=l;%:3>1bc3f<36=4+1279=1=5<5sW>>70kk:578yv4=839p1hj5eb9>aa<2;27:6;64$007>0=zuk9:97>53;090~"6:80=?6F>2e9K573<,1:18ij4Z003>4}283wb884?::k63?6=3foo6=44bdf94?3=:3:778 =6=56;294~"6;<0=:6T>218206=u`=>6=4+127930=3487a>=no68m0;6)?<5;33`>=n99h1<7*>34824g=1<7*>348:0>=zj89;6=4>:183!74=33;7b7::18'563=1=10q~;8:181[3034<>6:;4}r66>5<5sW>>708::5d8yv342909wS;<;<46>46e3tyno7>52z\fg>;1=3;;h6s|ee83>7}Ymm16:8464:p570=838p1;;54d9>566=1<1vqo?50;394?6|,88?695+13392<=#9:?1=>m4$9290ab348:0>=z{ln1<7{zj:;h6=4<:387!7593<87E?=d:J260=#090?hi5U13295~372tc?97>5;h74>5<0<52?q/=??5649'<5<3lm1Q=?>51z73>xibl3:17dkl:188m01=831b9>4?::k71?6=3k<>6=49:183!74=3<=7W?=0;3x15?1<7*>34841>=no3n3:1(<=::5d8?l77l3:1(<=::02g?>o68k0;6)?<5;33f>=h1=0;6)?<5;;7?>{e9::1<7?50;2x 45220:0c4;50;&270<><21v8950;0xZ01<5??1;85rs5794?4|V=?01;;54g9~w05=838pR8=4=77955d=2wvn<4?:083>5}#9;>186*>2085=>"6;<0:?n5+8187`a=h>10;6)?<5;;7?>{tmm0;6?uQee9>aa;6s|4483>7}Y<<16ii4;5:p6?6=;r7nh7kl;05<580=46*>2586?x{e:j21<7=52;6x 4462?90D<"?83>oh6T>218204=u`>>6=44i4594?=hmm0;66ljd;291?4=>r.:><495:&;4?2cl2P:>=4>{409yjcc2900ehm50;9j12<722c>?7>5;h66>5<o3m3:1(<=::5g8?l2a290/=>;54g98m46c290/=>;511f8?l77j3:1(<=::02a?>i><3:1(<=::868?xd6;90;6<4?:1y'563=191d584?:%301??332wx9:4?:3y]12=:><0<96s|4483>7}Y<<16:84;f:p16<72;qU9>5264824g=z{li1<764:4}r312?6=:r7=97:j;<304??23twi=7>51;294~"6:=0?7)?=1;4:?!74=3;8o6*70;6g`>i103:1(<=::868?xubl3:1>vPjd:?f`?cc3ty>;7>52z\63>;bl3?<7p};5;296~X3=27nh7::;|q1>5<4s4oo6hm4=df916=:93<37)?=4;78yxd48m0;6>4=:5y'577=>:1C=?j4H006?!>72=no7W?=0;3x15>ibl3:17okk:186>7<1s-;9=78:;%:3>1bc3S;9<7?t518~k`b=831bin4?::k63?6=3`?86=44i5794?=e><0;6;4?:1y'563=>?1Q=?>51z73>xo0=3:1(<=::678?l2b290/=>;54d98m1`=83.:?84;f:9j55b=83.:?84>0e98m46e290/=>;511`8?j?3290/=>;59598yg7483:1=7>50z&270<>82e297>5$016><2<3ty>;7>52z\63>;1=3=>7p};5;296~X3=27=97:i;|q67?6=:rT>?6395;33f>{tmj0;6?uQeb9>20<68m1vhj50;0xZ`b<5??1595rs005>5<5s4<>69k4=013><3:183!75<3>0(<<>:7;8 452289h7)6?:5fg?j0?290/=>;59598yvcc2909wSkk;`b;62?20(<<;:49~yg40?3:1?7<54z&264<1;2B:>i5G1378 =6=5;h74>5<>d1=3:1:7>50z&270<1>2P:>=4>{429yl12290/=>;57498m1c=83.:?84;e:9j0c<72-;897:i;:k24a<72-;897??d:9j55d=83.:?84>0c98k<2=83.:?8464:9~f457290:6=4?{%301??73f3>6=4+1279=1=?0q~:::181[2234<>69h4}r70>5<5sW?8708::02a?xubk3:1>vPjc:?51?77l2wxii4?:3y]aa=:><0286s|13494?4|5??18h521229=0=zuk;1<7?50;2x 4432=1/=??5689'563=9:i0(5>54ef8k3>=83.:?8464:9~w`b=838pRhj4=df9aa=z{<=1<71v9;50;0xZ13<5ln1885rs383>6}:mm0no63jd;70?87=>11/=?:55:~f67629086?4;{%315?043A;9h6F>249'<5<3lm1Q=?>51z73>xo3=3:17d;8:188k`b=831iii4?:481>3}#9;;1:85+8187`a=]9;:1=v;?:|mf`?6=3`oh6=44i4594?=n=:0;66g;5;29?g02290=6=4?{%301?013S;9<7?t518~m23=83.:?8485:9j0`<72-;897:j;:k7b?6=,89>69h4;h33`?6=,89>6<>k;:k24g<72-;897??b:9l=1<72-;8977;;:a566=83;1<7>t$016><6348:0>=z{<=1<746c3tynh7>52z\f`>;1=33?7p}>2783>7}:><0?i63>318:1>{zj80;6<4?:1y'572=<2.:><499:&270<6;j1/4=4;de9l2=<72-;8977;;:paa<72;qUii52ee8f`>{t=>0;6?uQ569>aa<2?2wx884?:3y]00=:mm0?96s|2;297~;bl3oh70kk:41894<102.:>94:;|a6=4=8391>7:t$002>35<@88o7E?=5:&;4?2cl2P:>=4>{429yl222900e8950;9laa<722hnh7>55;092~"6:80=96*70;6g`>\6:90:w8>5}ngg>5<>o2;3:17d:::188f33=83<1<7>t$016>3069k4;h6e>5<#9:?18k54i02g>5<#9:?1==j4;h33f?6=,89>6<>m;:m:0?6=,89>64:4;|`275<7280;6=u+1279=5=h1<0;6)?<5;;7?>{t=>0;6?uQ569>20<0=2wx884?:3y]00=:><0?j6s|5283>7}Y=:16:84>0c9~w`e=838pRhm4=77955b0q~?=6;296~;1=3>n70?<0;;6?x{e93:1=7>50z&261<33-;9=786;%301?74k2.3<7:kd:m564:4;|qf`?6=:rTnh63jd;gg?xu2?3:1>vP:7:?f`?303ty?97>52z\71>;bl3>>7p}=:1808cc2li01hj5529>5?0?3-;987;4}|`7g4<72:0969u+133926=O9;n0D<<:;%:3>1bc3S;9<7?t508~m13=831b9:4?::mf`?6=3koo6=4::385!7593<>7)6?:5fg?_7583;p9<4rodf94?=nmj0;66g:7;29?l342900e9;50;9a20<72?0;6=u+127923=]9;:1=v;>:|k41?6=,89>6:;4;h6f>5<#9:?18h54i5d94?"6;<0?j65f11f94?"6;<0:5<#9:?1==l4;n;7>5<#9:?15954}c304?6=93:1348:4>i>=3:1(<=::868?xu2?3:1>vP:7:?51?123ty?97>52z\71>;1=3>m7p}:3;296~X2;27=97??b:paf<72;qUin5264824a=z{ln1<745d3-2;69jk;n4;>5<#9:?15954}rgg>5<5sWoo70kk:df8yv302909wS;8;01>6=4={_66?8cc2=?0q~<50;1x9`b=mj16ii4:3:?2>3><,88?685r}c17a?6=;3818v*>20857>N6:m1C=?;4$6592>"?83>oh6T>218207=u`>>6=44i4594?=hmm0;66ljd;291?4=>r.:><495:&;4?2cl2P:>=4>{439yjcc2900ehm50;9j12<722c>?7>5;h66>5<o3m3:1(<=::5g8?l2a290/=>;54g98m46c290/=>;511f8?l77j3:1(<=::02a?>i><3:1(<=::868?xd6;90;6<4?:1y'563=191d584?:%301??332wx9:4?:3y]12=:><0<96s|4483>7}Y<<16:84;f:p16<72;qU9>5264824g=z{li1<764:4}r312?6=:r7=97:j;<304??23twi=7>51;294~"6:=0?7)?=1;4:?!74=3;8o6*70;6g`>i103:1(<=::868?xubl3:1>vPjd:?f`?cc3ty>;7>52z\63>;bl3?<7p};5;296~X3=27nh7::;|q1>5<4s4oo6hm4=df916=:93<37)?=4;78yxd4190;684=:7y'577=>=1C=?j4H006?!>72=no7W?=0;3x14>o3=3:17d;8:188k`b=831iii4?:783>5}#9:?1:;5U13295~362tc<97>5$016>23<3`>n6=4+12790`=3487b>=n99n1<7*>34824a=7>51;294~"6;<0:?n5`9583>!74=33?76s|ee83>7}Ymm16ii464:p12<72;qU9:52ee841>{t=:0;6?uQ529>aa<68k1v9k50;0xZ1c<5ln18h5rs5794?4|V=?01hj54g9~w3?=838p1hj511f8945520>0qpl<5883>0<52?q/=??5659K57b<@88>7)6?:5fg?_7583;p9<4ri5g94?=n=:0;66g;5;29?l302900chj50;9aaa<72?0;6=u+127923=]9;:1=v;>:|k41?6=,89>6:;4;h6f>5<#9:?18h54i5d94?"6;<0?j65f11f94?"6;<0:5<#9:?1==l4;n;7>5<#9:?15954}c306?6=93:134827f=h1=0;6)?<5;;7?>{tmm0;6?uQee9>aa<><2wx9:4?:3y]12=:mm0<96s|5283>7}Y=:16ii4>0c9~w1c=838pR9k4=df90`=z{=?1<7o0;684=:7y'577=>=1C=?j4H006?!>72=no7W?=0;3x14>o3=3:17d;8:188k`b=831iii4?:783>5}#9:?1:;5U13295~362tc<97>5$016>23<3`>n6=4+12790`=3487b>=n99n1<7*>34824a=7>51;294~"6;<0:?n5`9583>!74=33?76s|ee83>7}Ymm16ii464:p12<72;qU9:52ee841>{t=:0;6?uQ529>aa<68k1v9k50;0xZ1c<5ln18h5rs5794?4|V=?01hj54g9~w3?=838p1hj511f8945520>0qpl<9683>0<52?q/=??5659K57b<@88>7)6?:5fg?_7583;p9<4ri5g94?=n=:0;66g;5;29?l302900chj50;9aaa<72?0;6=u+127923=]9;:1=v;>:|k41?6=,89>6:;4;h6f>5<#9:?18h54i5d94?"6;<0?j65f11f94?"6;<0:5<#9:?1==l4;n;7>5<#9:?15954}c306?6=93:134827f=h1=0;6)?<5;;7?>{tmm0;6?uQee9>aa<><2wx9:4?:3y]12=:mm0<96s|5283>7}Y=:16ii4>0c9~w1c=838pR9k4=df90`=z{=?1<790;684=:7y'577=>=1C=?j4H006?!>72=no7W?=0;3x14>o3=3:17d;8:188k`b=831iii4?:783>5}#9:?1:;5U13295~362tc<97>5$016>23<3`>n6=4+12790`=3487b>=n99n1<7*>34824a=7>51;294~"6;<0:?n5`9583>!74=33?76s|ee83>7}Ymm16ii464:p12<72;qU9:52ee841>{t=:0;6?uQ529>aa<68k1v9k50;0xZ1c<5ln18h5rs5794?4|V=?01hj54g9~w3?=838p1hj511f8945520>0qpl<7e83>0<52?q/=??5659K57b<@88>7)6?:5fg?_7583;p9<4ri5g94?=n=:0;66g;5;29?l302900chj50;9aaa<72?0;6=u+127923=]9;:1=v;>:|k41?6=,89>6:;4;h6f>5<#9:?18h54i5d94?"6;<0?j65f11f94?"6;<0:5<#9:?1==l4;n;7>5<#9:?15954}c306?6=93:134827f=h1=0;6)?<5;;7?>{tmm0;6?uQee9>aa<><2wx9:4?:3y]12=:mm0<96s|5283>7}Y=:16ii4>0c9~w1c=838pR9k4=df90`=z{=?1<74=:5y'577=>:1C=?j4H006?!102?1/4=4;de9Y576=9r?:6pg;5;29?l302900chj50;9aaa<72<096;u+133920=#090?hi5U13295~362tenh7>5;hg`>5<>o3=3:17o8::185>5<7s-;89789;[314?7|=80ve:;50;&270<0=21b8h4?:%301?2b32c?j7>5$016>1`<3`;;h7>5$016>46c32c:>50;394?6|,89>64>4o8794?"6;<02865rs4594?4|V<=01;;5749~w13=838pR9;4=7790c=z{<91<76<>k;|qf`?6=:rTnh6395;;7?xu6:?0;6?u26487a>;6;90296srb083>4<729q/=?:54:&264<112.:?84>3b9'<5<3lm1d:54?:%301??332wxii4?:3y]aa=:mm0nh6s|5683>7}Y=>16ii4:7:p00<72;qU8852ee871>{t:3:1?v3jd;g`?8cc2<901<498:&261<23twi>:j50;796?0|,88:6;:4H00g?M75=2.<;784$9290ab?7>5;h66>5<>dbl3:1:7>50z&270<1>2P:>=4>{429yl12290/=>;57498m1c=83.:?84;e:9j0c<72-;897:i;:k24a<72-;897??d:9j55d=83.:?84>0c98k<2=83.:?8464:9~f455290:6=4?{%301?74k2e287>5$016><2<3tynh7>52z\f`>;bl33?7p}:7;296~X2?27nh79:;|q67?6=:rT>?63jd;33f>{taa<3m2wx884?:3y]00=:mm0?j6s|6883>7}:mm0:53;090~"6:80=?6F>2e9K573<,>=1:6*70;6g`>\6:90:w8>5}h66>5<>dbl3:197<56z&264<1=2.3<7:kd:X265<6s<:1qbkk:188m`e=831b9:4?::k67?6=3`>>6=44b7794?0=83:p(<=::748^44728q><7sf7483>!74=3=>76g;e;29 4522=o07d:i:18'563=k:18'563=99n07d??b;29 45228:i76a64;29 45220>07pl>3183>4<729q/=>;5919l=0<72-;8977;;:p12<72;qU9:5264841>{t<<0;6?uQ449>20<3n2wx9>4?:3y]16=:><0:<252z?51?2b34;8<77:;|a5?6=93:12587?!7593<27)?<5;30g>"?83>oh6a98;29 45220>07p}jd;296~Xbl27nh7kk;|q63?6=:rT>;63jd;74?xu3=3:1>vP;5:?f`?223ty96=4<{`e<5ln19>521;4;?!75<3?0qpl=c183>6<52=q/=??5629K57b<@88>7)98:79'<5<3lm1Q=?>51z73>xo3=3:17d;8:188k`b=831iii4?:481>3}#9;;1:85+8187`a=]9;:1=v;?:|mf`?6=3`oh6=44i4594?=n=:0;66g;5;29?g02290=6=4?{%301?013S;9<7?t518~m23=83.:?8485:9j0`<72-;897:j;:k7b?6=,89>69h4;h33`?6=,89>6<>k;:k24g<72-;897??b:9l=1<72-;8977;;:a566=83;1<7>t$016><6348:0>=z{<=1<746c3tynh7>52z\f`>;1=33?7p}>2783>7}:><0?i63>318:1>{zj80;6<4?:1y'572=<2.:><499:&270<6;j1/4=4;de9l2=<72-;8977;;:paa<72;qUii52ee8f`>{t=>0;6?uQ569>aa<2?2wx884?:3y]00=:mm0?96s|2;297~;bl3oh70kk:41894<102.:>94:;|a6=0=8391>7:t$002>35<@88o7E?=5:&43?0<,1:18ij4Z003>4}283wb884?::k63?6=3foo6=44bdf94?3=:3:778 =6=56;294~"6;<0=:6T>218206=u`=>6=4+127930=3487a>=no68m0;6)?<5;33`>=n99h1<7*>34824g=1<7*>348:0>=zj89;6=4>:183!74=33;7b7::18'563=1=10q~;8:181[3034<>6:;4}r66>5<5sW>>708::5d8yv342909wS;<;<46>46e3tyno7>52z\fg>;1=3;;h6s|ee83>7}Ymm16:8464:p570=838p1;;54d9>566=1<1vqo?50;394?6|,88?695+13392<=#9:?1=>m4$9290ab348:0>=z{ln1<7{zj8i?6=4<:387!7593<87E?=d:J260=#?>0=7)6?:5fg?_7583;p9?4ri5794?=n=>0;66ajd;29?gcc290>6?49{%315?023-2;69jk;[314?7|=;0vchj50;9jaf<722c>;7>5;h70>5<3<729q/=>;5679Y576=9r?96pg85;29 4522>?07d:j:18'563=m:18'563=99h07b7;:18'563=1=10qo?<0;295?6=8r.:?8460:m:1?6=,89>64:4;|q63?6=:rT>;6395;56?xu3=3:1>vP;5:?51?2a3ty>?7>52z\67>;1=3;;n6s|eb83>7}Ymj16:84>0e9~w`b=838pRhj4=779=1=z{88=6=4={<46>1c<589;64;4}|`2>5<6290;w)?=4;68 4462?30(<=::01`?!>72=no7b87:18'563=1=10q~kk:181[cc34oo6hj4}r74>5<5sW?<70kk:458yv222909wS::;13?63>:7:8 4432<1vqo?la;297?4=<493:J26a=O9;?0(:956:&;4?2cl2P:>=4>{409yl222900e8950;9laa<722hnh7>55;092~"6:80=96*70;6g`>\6:90:w8<5}ngg>5<>o2;3:17d:::188f33=83<1<7>t$016>3069k4;h6e>5<#9:?18k54i02g>5<#9:?1==j4;h33f?6=,89>6<>m;:m:0?6=,89>64:4;|`275<7280;6=u+1279=5=h1<0;6)?<5;;7?>{t=>0;6?uQ569>20<0=2wx884?:3y]00=:><0?j6s|5283>7}Y=:16:84>0c9~w`e=838pRhm4=77955b0q~?=6;296~;1=3>n70?<0;;6?x{e93:1=7>50z&261<33-;9=786;%301?74k2.3<7:kd:m564:4;|qf`?6=:rTnh63jd;gg?xu2?3:1>vP:7:?f`?303ty?97>52z\71>;bl3>>7p}=:1808cc2li01hj5529>5?0?3-;987;4}|`1af<72:0969u+133926=O9;n0D<<:;%54>3=#090?hi5U13295~372tc?97>5;h74>5<0<52?q/=??5649'<5<3lm1Q=?>51z73>xibl3:17dkl:188m01=831b9>4?::k71?6=3k<>6=49:183!74=3<=7W?=0;3x15?1<7*>34841>=no3n3:1(<=::5d8?l77l3:1(<=::02g?>o68k0;6)?<5;33f>=h1=0;6)?<5;;7?>{e9::1<7?50;2x 45220:0c4;50;&270<><21v8950;0xZ01<5??1;85rs5794?4|V=?01;;54g9~w05=838pR8=4=77955d=2wvn<4?:083>5}#9;>186*>2085=>"6;<0:?n5+8187`a=h>10;6)?<5;;7?>{tmm0;6?uQee9>aa;6s|4483>7}Y<<16ii4;5:p6?6=;r7nh7kl;05<580=46*>2586?x{e:o81<7=52;6x 4462?90D<"0?3<0(5>54ef8^4472;q><7;=:|k71?6=3`?<6=44odf94?=emm0;684=:7y'577=><1/4=4;de9Y576=:r?;68<5}ngg>5<>o2;3:17d:::188f33=83<1<7>t$016>30xo0=3:1(<=::678?l2b290/=>;54d98m1`=83.:?84;f:9j55b=83.:?84>0e98m46e290/=>;511`8?j?3290/=>;59598yg7483:1=7>50z&270<>82e297>5$016><2<3ty>;7>52z\63>;1=3=>7p};5;296~X3=27=97:i;|q67?6=:rT>?6395;33f>{tmj0;6?uQeb9>20<68m1vhj50;0xZ`b<5??1595rs005>5<5s4<>69k4=013><3:183!75<3>0(<<>:7;8 452289h7)6?:5fg?j0?290/=>;59598yvcc2909wSkk;`b;62?20(<<;:49~yg5783:1?7<54z&264<1;2B:>i5G1378 21=>2.3<7:kd:X265<5s<:19?4ri5794?=n=>0;66ajd;29?gcc290>6?49{%315?023-2;69jk;[314?4|=90>>7s`ee83>>obk3:17d;8:188m05=831b884?::`51?6=>3:134852>\6:909w8>5538~m23=83.:?8485:9j0`<72-;897:j;:k7b?6=,89>69h4;h33`?6=,89>6<>k;:k24g<72-;897??b:9l=1<72-;8977;;:a566=83;1<7>t$016><6348:0>=z{<=1<746c3tynh7>52z\f`>;1=33?7p}>2783>7}:><0?i63>318:1>{zj80;6<4?:1y'572=<2.:><499:&270<6;j1/4=4;de9l2=<72-;8977;;:paa<72;qUii52ee8f`>{t=>0;6?uQ569>aa<2?2wx884?:3y]00=:mm0?96s|2;297~;bl3oh70kk:41894<102.:>94:;|a7d2=8391>7:t$002>35<@88o7E?=5:&43?0<,1:18ij4Z003>4}293wb884?::k63?6=3foo6=44bdf94?3=:3:778 =6=56;294~"6;<0=:6T>218207=u`=>6=4+127930=3487a>=no68m0;6)?<5;33`>=n99h1<7*>34824g=1<7*>348:0>=zj89;6=4>:183!74=33;7b7::18'563=1=10q~;8:181[3034<>6:;4}r66>5<5sW>>708::5d8yv342909wS;<;<46>46e3tyno7>52z\fg>;1=3;;h6s|ee83>7}Ymm16:8464:p570=838p1;;54d9>566=1<1vqo?50;394?6|,88?695+13392<=#9:?1=>m4$9290ab348:0>=z{ln1<7{zj:k36=4<:387!7593<87E?=d:J260=#?>0=7)6?:5fg?_7583;p9<4ri5794?=n=>0;66ajd;29?gcc290>6?49{%315?023-2;69jk;[314?7|=80vchj50;9jaf<722c>;7>5;h70>5<3<729q/=>;5679Y576=9r?:6pg85;29 4522>?07d:j:18'563=m:18'563=99h07b7;:18'563=1=10qo?<0;295?6=8r.:?8460:m:1?6=,89>64:4;|q63?6=:rT>;6395;56?xu3=3:1>vP;5:?51?2a3ty>?7>52z\67>;1=3;;n6s|eb83>7}Ymj16:84>0e9~w`b=838pRhj4=779=1=z{88=6=4={<46>1c<589;64;4}|`2>5<6290;w)?=4;68 4462?30(<=::01`?!>72=no7b87:18'563=1=10q~kk:181[cc34oo6hj4}r74>5<5sW?<70kk:458yv222909wS::;13?63>:7:8 4432<1vqo:8d;297?4=<493:J26a=O9;?0(:956:&;4?2cl2P:>=4>{439yl222900e8950;9laa<722hnh7>55;092~"6:80=96*70;6g`>\6:90:w8?5}ngg>5<>o2;3:17d:::188f33=83<1<7>t$016>3069k4;h6e>5<#9:?18k54i02g>5<#9:?1==j4;h33f?6=,89>6<>m;:m:0?6=,89>64:4;|`275<7280;6=u+1279=5=h1<0;6)?<5;;7?>{t=>0;6?uQ569>20<0=2wx884?:3y]00=:><0?j6s|5283>7}Y=:16:84>0c9~w`e=838pRhm4=77955b0q~?=6;296~;1=3>n70?<0;;6?x{e93:1=7>50z&261<33-;9=786;%301?74k2.3<7:kd:m564:4;|qf`?6=:rTnh63jd;gg?xu2?3:1>vP:7:?f`?303ty?97>52z\71>;bl3>>7p}=:1808cc2li01hj5529>5?0?3-;987;4}|`73=#090?hi5U13295~362tc?97>5;h74>5<0<52?q/=??5649'<5<3lm1Q=?>51z72>xibl3:17dkl:188m01=831b9>4?::k71?6=3k<>6=49:183!74=3<=7W?=0;3x14?1<7*>34841>=no3n3:1(<=::5d8?l77l3:1(<=::02g?>o68k0;6)?<5;33f>=h1=0;6)?<5;;7?>{e9::1<7?50;2x 45220:0c4;50;&270<><21v8950;0xZ01<5??1;85rs5794?4|V=?01;;54g9~w05=838pR8=4=77955d=2wvn<4?:083>5}#9;>186*>2085=>"6;<0:?n5+8187`a=h>10;6)?<5;;7?>{tmm0;6?uQee9>aa;6s|4483>7}Y<<16ii4;5:p6?6=;r7nh7kl;05<580=46*>2586?x{e<0o1<7=52;6x 4462?90D<"0?3<0(5>54ef8^44728q>=7sf4483>>o2?3:17bkk:188f`b=83?1>78t$002>33<,1:18ij4Z003>4}293wdii4?::kfg?6=3`?<6=44i4194?=n<<0;66l95;292?6=8r.:?8496:X265<6s<;1qd9::18'563=?<10e9k50;&270<3m21b8k4?:%301?2a32c:<21vn<=?:182>5<7s-;8977?;n;6>5<#9:?15954}r74>5<5sW?<708::678yv222909wS::;<46>1`{t9;<1<701/=>;512a8 =6=<21vhj50;0xZ`b<5ln1ii5rs4594?4|V<=01hj5569~w13=838pR9;4=df900=z{;0;6>u2ee8fg>;bl3?870?5699'572==2wvn>j?:180>5<7s-;9=7:=;I31`>N6:<1/=>;510;8 =6=5$016><2<@8;j76sm21494?5=939pD<<:;%315?>33`?26=44i4c94?=h99i1<75m12c94?5=83:p(<<>:00`?M75l2B:>85+127957d<,1:18ij4i7`94?"6;<0<965f6b83>!74=3=>76a7d;29 45220>07p}:9;296~X2127:?l49c:p1d<72;qU9l5212c92g=z{8:h6=4={_33g>;6;h03h6srb326>5<42808wE?=5:&26457>5;h7b>5<5<4290;w)?=1;31g>N6:m1C=?;4$016>44e3-2;69jk;h4a>5<#9:?1;854i7a94?"6;<0<965`8e83>!74=33?76s|5883>7}Y=016=>o56b9~w0g=838pR8o4=01b>3d52z\24f=:9:k14i5r}c030?6=;3;1?vF>249'577=0=1b944?::k6e?6=3f;;o7>5;c30e?6=;3:120826f=O9;n0D<<:;%301?75j2.3<7:kd:k5f?6=,89>6:;4;h4`>5<#9:?1;854o9f94?"6;<02865rs4;94?4|V<301<=n:7a8yv3f2909wS;n;<30e?0e3ty:uG1378 44621>0e8750;9j1d<722e:6:;4;n:g>5<#9:?15954}r7:>5<5sW?270?vP:a:?27d<1j2wx==m50;0xZ46d34;8m76k;|a5=`=8391=7=tH006?!75932?7d;6:188m0g=831d==m50;9a56g=8391<7>t$002>44d3A;9h6F>249'563=9;h0(5>54ef8m3d=83.:?8485:9j2f<72-;8979:;:m;`?6=,89>64:4;|q6=?6=:rT>563>3`85g>{t=h0;6?uQ5`9>56g=>k1v<>l:181[77k27:?l47d:~f4>b29086<4<{I311>"6:80386g:9;29?l3f2900c<>l:188f45f29086=4?{%315?75k2B:>i5G1378 452288i7)6?:5fg?l0e290/=>;57498m3e=83.:?8485:9lo58e9~yg7?l3:1?7?53zJ260=#9;;1495f5883>>o2i3:17b??c;29?g74i3:1?7>50z&264<6:j1C=?j4H006?!74=3;9n6*70;6g`>o1j3:1(<=::678?l0d290/=>;57498k=b=83.:?8464:9~w0?=838pR874=01b>3e0b83>7}Y99i01<=n:9f8yxd6100;6>4>:2yK573<,88:65:4i4;94?=n=h0;66a>0b83>>d6;h0;6>4?:1y'577=9;i0D<"6;<0:>o5+8187`a=n>k0;6)?<5;56?>o1k3:1(<=::678?j>c290/=>;59598yv3>2909wS;6;<30e?0d3ty>m7>52z\6e>;6;h0=n6s|11a94?4|V8:h70?5<34841>=n>j0;6)?<5;56?>i?l3:1(<=::868?xu213:1>vP:9:?27d<1k2wx9l4?:3y]1d=:9:k1:o5rs02`>5<5sW;;o63>3`8;`>{zj83<6=4<:080M75=2.:><474:k6=?6=3`?j6=44o02`>5<2e9K573<,89>6<1bc3`34841>=h0m0;6)?<5;;7?>{t=00;6?uQ589>56g=>j1v8o50;0xZ0g<589j6;l4}r33g?6=:rT:53;397~N6:<1/=??5859j1<<722c>m7>5;n33g?6=3k;8m7>53;294~"6:80:>n5G13f8L4423-;897?=b:&;4?2cl2c=n7>5$016>23<3`348:0>=z{<31<7=b6}O9;?0(<<>:968m0?=831b9l4?::m24f<722h:?l4?:283>5}#9;;1=?m4H00g?M75=2.:?84>2c9'<5<3lm1b:o4?:%301?1232c=o7>5$016>23<3f2o6=4+1279=1=l;<30e?>c3twi=4:50;195?5|@88>7)?=1;:7?l3>2900e8o50;9l55e=831i=>o50;194?6|,88:6<N6:<1/=>;513`8 =6=5$016><2<3ty>57>52z\6=>;6;h0=o6s|5`83>7}Y=h16=>o56c9~w46d2909wS??c:?27d4<4sA;996*>208;0>o213:17d;n:188k46d2900n<=n:180>5<7s-;9=7?=c:J26a=O9;?0(<=::00a?!>72=no7d8m:18'563=?<10e;m50;&270<0=21d4i4?:%301??332wx944?:3y]1<=:9:k1:n5rs4c94?4|VvP>0b9>56g=0m1vqo?62;297?7=;rB:>85+1339<1=n=00;66g:a;29?j77k3:17o?<4>2b9K57b<@88>7)?<5;31f>"?83>oh6g9b;29 4522>?07d8l:18'563=?<10c5j50;&270<><21v8750;0xZ0?<589j6;m4}r7b>5<5sW?j70?9083>6<62:qC=?;4$002>=2>i68j0;66l>3`83>6<729q/=??513a8L44c3A;996*>34826g=#090?hi5f6c83>!74=3=>76g9c;29 4522>?07b6k:18'563=1=10q~;6:181[3>34;8m78l;|q6e?6=:rT>m63>3`85f>{t99i1<733`?26=44i4c94?=h99i1<75m12c94?5=83:p(<<>:00`?M75l2B:>85+127957d<,1:18ij4i7`94?"6;<0<965f6b83>!74=3=>76a7d;29 45220>07p}:9;296~X2127:?l49c:p1d<72;qU9l5212c92g=z{8:h6=4={_33g>;6;h03h6srb3c0>5<42808wE?=5:&26457>5;h7b>5<5<4290;w)?=1;31g>N6:m1C=?;4$016>44e3-2;69jk;h4a>5<#9:?1;854i7a94?"6;<0<965`8e83>!74=33?76s|5883>7}Y=016=>o56b9~w0g=838pR8o4=01b>3d52z\24f=:9:k14i5r}c0b6?6=;3;1?vF>249'577=0=1b944?::k6e?6=3f;;o7>5;c30e?6=;3:120826f=O9;n0D<<:;%301?75j2.3<7:kd:k5f?6=,89>6:;4;h4`>5<#9:?1;854o9f94?"6;<02865rs4;94?4|V<301<=n:7a8yv3f2909wS;n;<30e?0e3ty:uG1378 44621>0e8750;9j1d<722e:6:;4;n:g>5<#9:?15954}r7:>5<5sW?270?vP:a:?27d<1j2wx==m50;0xZ46d34;8m76k;|a7fg=8381<7>t$002>2><@88o7E?=5:&270<392.3<7:kd:k5e?6=,89>6:;4;n:g>5<#9:?1595G1268?xd4n10;6?4?:1y'577=?11C=?j4H006?!74=3>:7)6?:5fg?l0f290/=>;57498k=b=83.:?8464:J271=53;294~"6:80i7E?=d:J260=#9:?1m6*70;6g`>o1j3:1(<=::678?l0d290/=>;57498k=b=83.:?8464:9~f1e429096=4?{%315?1?3A;9h6F>249'563=<81/4=4;de9j2d<72-;8979:;:m;`?6=,89>64:4H017?>{e20D<"6;<0?=6*70;6g`>o1i3:1(<=::678?j>c290/=>;5959K562<3th?nh4?:283>5}#9;;1n6F>2e9K573<,89>6l5+8187`a=n>k0;6)?<5;56?>o1k3:1(<=::678?j>c290/=>;59598yg2e13:1>7>50z&264<002B:>i5G1378 4522=;0(5>54ef8m3g=83.:?8485:9l=zj=hj6=4<:183!7593h0D<"6;<0j7)6?:5fg?l0e290/=>;57498m3e=83.:?8485:9lt$002>2><@88o7E?=5:&270<392.3<7:kd:k5e?6=,89>6:;4;n:g>5<#9:?1595G1268?xd3k=0;6?4?:1y'577=?11C=?j4H006?!74=3>:7)6?:5fg?l0f290/=>;57498k=b=83.:?8464:J271=o97>52;294~"6:80<46F>2e9K573<,89>69?4$9290ab34841>=h0m0;6)?<5;;7?M74<21vn9=?:181>5<7s-;9=797;I31`>N6:<1/=>;5409'<5<3lm1b:l4?:%301?1232e3h7>5$016><2<@89?76sm42394?5=83:p(<<>:c9K57b<@88>7)?<5;c8 =6=5$016><2<3th84:4?:383>5}#9;;1;55G13f8L4423-;897:>;%:3>1bc3`348:0>N6;=10qo=78;297?6=8r.:><4m;I31`>N6:<1/=>;5a:&;4?2cl2c=n7>5$016>23<3`348:0>=zj:>j6=4<:183!7593397E?=d:J260=#9:?15<5+8187`a=n>k0;6)?<5;56?>o1k3:1(<=::678?j>c290/=>;59598yg5el3:1>7>50z&264<002B:>i5G1378 4522=;0(5>54ef8m3g=83.:?8485:9l=zj:hn6=4=:183!7593=37E?=d:J260=#9:?18<5+8187`a=n>h0;6)?<5;56?>i?l3:1(<=::868L45332wi?oh50;194?6|,88:64<4H00g?M75=2.:?8461:&;4?2cl2c=n7>5$016>23<3`348:0>=zj:326=4=:183!7593=37E?=d:J260=#9:?18<5+8187`a=n>h0;6)?<5;56?>i?l3:1(<=::868L45332wi?;<50;094?6|,88:6:64H00g?M75=2.:?84;1:&;4?2cl2c=m7>5$016>23<3f2o6=4+1279=1=O9:>07pl<4e83>6<729q/=??5b:J26a=O9;?0(<=::`9'<5<3lm1b:o4?:%301?1232c=o7>5$016>23<3f2o6=4+1279=1=97>52;294~"6:80<46F>2e9K573<,89>69?4$9290ab34841>=h0m0;6)?<5;;7?M74<21vn?;9:181>5<7s-;9=797;I31`>N6:<1/=>;5409'<5<3lm1b:l4?:%301?1232e3h7>5$016><2<@89?76sm24594?5=83:p(<<>:808L44c3A;996*>348:5>"?83>oh6g9b;29 4522>?07d8l:18'563=?<10c5j50;&270<><21vn?;n:181>5<7s-;9=797;I31`>N6:<1/=>;5409'<5<3lm1b:l4?:%301?1232e3h7>5$016><2<@89?76sm24`94?4=83:p(<<>:6:8L44c3A;996*>34875>"?83>oh6g9a;29 4522>?07b6k:18'563=1=1C=>:4;|`11f<72:0;6=u+1339=7=O9;n0D<<:;%301??63-2;69jk;h4a>5<#9:?1;854i7a94?"6;<0<965`8e83>!74=33?76sm24d94?5=83:p(<<>:c9K57b<@88>7)?<5;c8 =6=5$016><2<3th9:l4?:383>5}#9;;1;55G13f8L4423-;897:>;%:3>1bc3`348:0>N6;=10qo<9b;297?6=8r.:><462:J26a=O9;?0(<=::838 =6=5$016><2<3th98k4?:383>5}#9;;1;55G13f8L4423-;897:>;%:3>1bc3`348:0>N6;=10qo<:1;296?6=8r.:><488:J26a=O9;?0(<=::538 =6=5<4290;w)?=1;;1?M75l2B:>85+1279=4=#090?hi5f6c83>!74=3=>76g9c;29 4522>?07b6k:18'563=1=10qo<4m;I31`>N6:<1/=>;5a:&;4?2cl2c=n7>5$016>23<3`348:0>=zj;k36=4<:183!7593h0D<"6;<0j7)6?:5fg?l0e290/=>;57498m3e=83.:?8485:9lt$002>g=O9;n0D<<:;%301?g<,1:18ij4i7`94?"6;<0<965f6b83>!74=3=>76a7d;29 45220>07pl=9683>6<729q/=??5b:J26a=O9;?0(<=::`9'<5<3lm1b:o4?:%301?1232c=o7>5$016>23<3f2o6=4+1279=1=53;294~"6:80i7E?=d:J260=#9:?1m6*70;6g`>o1j3:1(<=::678?l0d290/=>;57498k=b=83.:?8464:9~f7?c29086=4?{%315?d<@88o7E?=5:&2705<#9:?1;854i7a94?"6;<0<965`8e83>!74=33?76sm2`294?5=83:p(<<>:c9K57b<@88>7)?<5;c8 =6=5$016><2<3th8?;4?:383>5}#9;;1;55G13f8L4423-;897:>;%:3>1bc3`348:0>N6;=10qo<8c;296?6=8r.:><488:J26a=O9;?0(<=::538 =6=5<5290;w)?=1;5;?M75l2B:>85+127904=#090?hi5f6`83>!74=3=>76a7d;29 45220>0D<=;;:a6=3=8381<7>t$002>2><@88o7E?=5:&270<392.3<7:kd:k5e?6=,89>6:;4;n:g>5<#9:?1595G1268?xd5?o0;6?4?:1y'577=?11C=?j4H006?!74=3>:7)6?:5fg?l0f290/=>;57498k=b=83.:?8464:J271=52;294~"6:80<46F>2e9K573<,89>69?4$9290ab34841>=h0m0;6)?<5;;7?M74<21vn?l::180>5<7s-;9=7l4H00g?M75=2.:?84n;%:3>1bc3`34841>=h0m0;6)?<5;;7?>{e:1;1<7=50;2x 4462k1C=?j4H006?!74=3k0(5>54ef8m3d=83.:?8485:9j2f<72-;8979:;:m;`?6=,89>64:4;|`133<72:0;6=u+1339f>N6:m1C=?;4$016>d=#090?hi5f6c83>!74=3=>76g9c;29 4522>?07b6k:18'563=1=10qo=?c;297?6=8r.:><4m;I31`>N6:<1/=>;5a:&;4?2cl2c=n7>5$016>23<3`348:0>=zj;:j6=4<:183!7593h0D<"6;<0j7)6?:5fg?l0e290/=>;57498m3e=83.:?8485:9l=8391<7>t$002>g=O9;n0D<<:;%301?g<,1:18ij4i7`94?"6;<0<965f6b83>!74=3=>76a7d;29 45220>07pl>f483>7<729q/=??5799K57b<@88>7)?<5;62?!>72=no7d8n:18'563=?<10c5j50;&270<><2B:?954}c3e2?6=:3:12084<>N6:m1C=?;4$016>17<,1:18ij4i7c94?"6;<0<965`8e83>!74=33?7E?<4:9~f4`029086=4?{%315?d<@88o7E?=5:&2705<#9:?1;854i7a94?"6;<0<965`8e83>!74=33?76sm1gc94?4=83:p(<<>:6:8L44c3A;996*>34875>"?83>oh6g9a;29 4522>?07b6k:18'563=1=1C=>:4;|`2bg<72:0;6=u+1339f>N6:m1C=?;4$016>d=#090?hi5f6c83>!74=3=>76g9c;29 4522>?07b6k:18'563=1=10qo?ie;296?6=8r.:><488:J26a=O9;?0(<=::538 =6=5<4290;w)?=1;`8L44c3A;996*>348b?!>72=no7d8m:18'563=?<10e;m50;&270<0=21d4i4?:%301??332wi>=<50;094?6|,88:6:64H00g?M75=2.:?84;1:&;4?2cl2c=m7>5$016>23<3f2o6=4+1279=1=O9:>07pl=0283>6<729q/=??5b:J26a=O9;?0(<=::`9'<5<3lm1b:o4?:%301?1232c=o7>5$016>23<3f2o6=4+1279=1=53;294~"6:80i7E?=d:J260=#9:?1m6*70;6g`>o1j3:1(<=::678?l0d290/=>;57498k=b=83.:?8464:9~f4g029086=4?{%315?d<@88o7E?=5:&2705<#9:?1;854i7a94?"6;<0<965`8e83>!74=33?76sm1`194?5=83:p(<<>:c9K57b<@88>7)?<5;c8 =6=5$016><2<3th:5k4?:283>5}#9;;1n6F>2e9K573<,89>6l5+8187`a=n>k0;6)?<5;56?>o1k3:1(<=::678?j>c290/=>;59598yg7>j3:1?7>50z&264249'563=i2.3<7:kd:k5f?6=,89>6:;4;h4`>5<#9:?1;854o9f94?"6;<02865rb075>5<5290;w)?=1;5;?M75l2B:>85+127904=#090?hi5f6`83>!74=3=>76a7d;29 45220>0D<=;;:a501=8381<7>t$002>2><@88o7E?=5:&270<392.3<7:kd:k5e?6=,89>6:;4;n:g>5<#9:?1595G1268?xd6=10;6>4?:1y'577=j2B:>i5G1378 4522h1/4=4;de9j2g<72-;8979:;:k5g?6=,89>6:;4;n:g>5<#9:?15954}c35f?6=:3:12084<>N6:m1C=?;4$016>17<,1:18ij4i7c94?"6;<0<965`8e83>!74=33?7E?<4:9~f40d29086=4?{%315?d<@88o7E?=5:&2705<#9:?1;854i7a94?"6;<0<965`8e83>!74=33?76sm17d94?4=83:p(<<>:6:8L44c3A;996*>34875>"?83>oh6g9a;29 4522>?07b6k:18'563=1=1C=>:4;|`235<72:0;6=u+1339f>N6:m1C=?;4$016>d=#090?hi5f6c83>!74=3=>76g9c;29 4522>?07b6k:18'563=1=10qo?83;296?6=8r.:><488:J26a=O9;?0(<=::538 =6=5<4290;w)?=1;`8L44c3A;996*>348b?!>72=no7d8m:18'563=?<10e;m50;&270<0=21d4i4?:%301??332wi=:950;094?6|,88:6:64H00g?M75=2.:?84;1:&;4?2cl2c=m7>5$016>23<3f2o6=4+1279=1=O9:>07pl>7983>6<729q/=??5b:J26a=O9;?0(<=::`9'<5<3lm1b:o4?:%301?1232c=o7>5$016>23<3f2o6=4+1279=1=52;294~"6:80<46F>2e9K573<,89>69?4$9290ab34841>=h0m0;6)?<5;;7?M74<21vn<9l:180>5<7s-;9=7l4H00g?M75=2.:?84n;%:3>1bc3`34841>=h0m0;6)?<5;;7?>{e9>l1<7<50;2x 4462>20D<"6;<0?=6*70;6g`>o1i3:1(<=::678?j>c290/=>;5959K562<3th:4=4?:283>5}#9;;1n6F>2e9K573<,89>6l5+8187`a=n>k0;6)?<5;56?>o1k3:1(<=::678?j>c290/=>;59598yg7?;3:1>7>50z&264<002B:>i5G1378 4522=;0(5>54ef8m3g=83.:?8485:9l=zj82?6=4<:183!7593h0D<"6;<0j7)6?:5fg?l0e290/=>;57498m3e=83.:?8485:9lt$002>2><@88o7E?=5:&270<392.3<7:kd:k5e?6=,89>6:;4;n:g>5<#9:?1595G1268?xd6010;6>4?:1y'577=j2B:>i5G1378 4522h1/4=4;de9j2g<72-;8979:;:k5g?6=,89>6:;4;n:g>5<#9:?15954}c3;f?6=:3:12084<>N6:m1C=?;4$016>17<,1:18ij4i7c94?"6;<0<965`8e83>!74=33?7E?<4:9~f4>d29086=4?{%315?d<@88o7E?=5:&2705<#9:?1;854i7a94?"6;<0<965`8e83>!74=33?76sm14a94?4=83:p(<<>:6:8L44c3A;996*>34875>"?83>oh6g9a;29 4522>?07b6k:18'563=1=1C=>:4;|`21a<72:0;6=u+1339f>N6:m1C=?;4$016>d=#090?hi5f6c83>!74=3=>76g9c;29 4522>?07b6k:18'563=1=10qo?90;296?6=8r.:><488:J26a=O9;?0(<=::538 =6=5<4290;w)?=1;`8L44c3A;996*>348b?!>72=no7d8m:18'563=?<10e;m50;&270<0=21d4i4?:%301??332wi=;:50;094?6|,88:6:64H00g?M75=2.:?84;1:&;4?2cl2c=m7>5$016>23<3f2o6=4+1279=1=O9:>07pl>6483>6<729q/=??5b:J26a=O9;?0(<=::`9'<5<3lm1b:o4?:%301?1232c=o7>5$016>23<3f2o6=4+1279=1=52;294~"6:80<46F>2e9K573<,89>69?4$9290ab34841>=h0m0;6)?<5;;7?M74<21vn<86:180>5<7s-;9=7l4H00g?M75=2.:?84n;%:3>1bc3`34841>=h0m0;6)?<5;;7?>{e9=91<7<50;2x 4462>20D<"6;<0?=6*70;6g`>o1i3:1(<=::678?j>c290/=>;5959K562<3th:?k4?:383>5}#9;;1;55G13f8L4423-;897:>;%:3>1bc3`348:0>N6;=10qo?;0;297?6=8r.:><4m;I31`>N6:<1/=>;5a:&;4?2cl2c=n7>5$016>23<3`348:0>=zj8i86=4<:183!7593h0D<"6;<0j7)6?:5fg?l0e290/=>;57498m3e=83.:?8485:9lt$002>2><@88o7E?=5:&270<392.3<7:kd:k5e?6=,89>6:;4;n:g>5<#9:?1595G1268?xd6l:0;6>4?:1y'577=j2B:>i5G1378 4522h1/4=4;de9j2g<72-;8979:;:k5g?6=,89>6:;4;n:g>5<#9:?15954}c1a2084<>N6:m1C=?;4$016>17<,1:18ij4i7c94?"6;<0<965`8e83>!74=33?7E?<4:9~f6d>29086=4?{%315?d<@88o7E?=5:&2705<#9:?1;854i7a94?"6;<0<965`8e83>!74=33?76sm4`f94?4=83:p(<<>:6:8L44c3A;996*>34875>"?83>oh6g9a;29 4522>?07b6k:18'563=1=1C=>:4;|`7e`<72:0;6=u+1339f>N6:m1C=?;4$016>d=#090?hi5f6c83>!74=3=>76g9c;29 4522>?07b6k:18'563=1=10qo=>0;296?6=8r.:><488:J26a=O9;?0(<=::538 =6=5<5290;w)?=1;5;?M75l2B:>85+127904=#090?hi5f6`83>!74=3=>76a7d;29 45220>0D<=;;:a502=8381<7>t$002>2><@88o7E?=5:&270<392.3<7:kd:k5e?6=,89>6:;4;n:g>5<#9:?1595G1268?xd5?00;6?4?:1y'577=?11C=?j4H006?!74=3>:7)6?:5fg?l0f290/=>;57498k=b=83.:?8464:J271=52;294~"6:80<46F>2e9K573<,89>69?4$9290ab34841>=h0m0;6)?<5;;7?M74<21vn>?j:180>5<7s-;9=7l4H00g?M75=2.:?84n;%:3>1bc3`34841>=h0m0;6)?<5;;7?>{e:hi1<7<50;2x 4462>20D<"6;<0?=6*70;6g`>o1i3:1(<=::678?j>c290/=>;5959K562<3th9mi4?:383>5}#9;;1;55G13f8L4423-;897:>;%:3>1bc3`348:0>N6;=10qo<4m;I31`>N6:<1/=>;5a:&;4?2cl2c=n7>5$016>23<3`348:0>=zj:i>6=4=:183!7593=37E?=d:J260=#9:?18<5+8187`a=n>h0;6)?<5;56?>i?l3:1(<=::868L45332wi?n850;094?6|,88:6:64H00g?M75=2.:?84;1:&;4?2cl2c=m7>5$016>23<3f2o6=4+1279=1=O9:>07pl6<729q/=??5b:J26a=O9;?0(<=::`9'<5<3lm1b:o4?:%301?1232c=o7>5$016>23<3f2o6=4+1279=1=hn7>52;294~"6:80<46F>2e9K573<,89>69?4$9290ab34841>=h0m0;6)?<5;;7?M74<21vn9ml:180>5<7s-;9=77=;I31`>N6:<1/=>;5909'<5<3lm1b:o4?:%301?1232c=o7>5$016>23<3f2o6=4+1279=1=o87>53;294~"6:802>6F>2e9K573<,89>64?4$9290ab34841>=n>j0;6)?<5;56?>i?l3:1(<=::868?xd5>m0;6?4?:1y'577=?11C=?j4H006?!74=3>:7)6?:5fg?l0f290/=>;57498k=b=83.:?8464:J271=53;294~"6:802>6F>2e9K573<,89>64?4$9290ab34841>=n>j0;6)?<5;56?>i?l3:1(<=::868?xd4:90;6?4?:1y'577=?11C=?j4H006?!74=3>:7)6?:5fg?l0f290/=>;57498k=b=83.:?8464:J271=53;294~"6:802>6F>2e9K573<,89>64?4$9290ab34841>=n>j0;6)?<5;56?>i?l3:1(<=::868?xd4;80;6?4?:1y'577=?11C=?j4H006?!74=3>:7)6?:5fg?l0f290/=>;57498k=b=83.:?8464:J271=7>52;294~"6:80<46F>2e9K573<,89>69?4$9290ab34841>=h0m0;6)?<5;;7?M74<21vn>=<:180>5<7s-;9=77=;I31`>N6:<1/=>;5909'<5<3lm1b:o4?:%301?1232c=o7>5$016>23<3f2o6=4+1279=1=52;294~"6:80<46F>2e9K573<,89>69?4$9290ab34841>=h0m0;6)?<5;;7?M74<21vn><::181>5<7s-;9=797;I31`>N6:<1/=>;5409'<5<3lm1b:l4?:%301?1232e3h7>5$016><2<@89?76sm33494?5=83:p(<<>:808L44c3A;996*>348:5>"?83>oh6g9b;29 4522>?07d8l:18'563=?<10c5j50;&270<><21vn??m:18:>5<7s-;9=7??;I31`>N6:<1/=>;5a:&;4?2cl2c=n7>5$016>23<3`34841>=n>l0;6)?<5;56?>o1n3:1(<=::678?l17290/=>;57498m27=83.:?8485:9j37<72-;8979:;:m;`?6=,89>64:4;|`15f<7200;6=u+133955=O9;n0D<<:;%301?g<,1:18ij4i7`94?"6;<0<965f6b83>!74=3=>76g9d;29 4522>?07d8j:18'563=?<10e;h50;&270<0=21b;=4?:%301?1232c<=7>5$016>23<3`=96=4+127930=348:0>=zj;;o6=4<:183!7593h0D<"6;<0j7)6?:5fg?l0e290/=>;57498m3e=83.:?8485:9lt$002>2><@88o7E?=5:&270<392.3<7:kd:k5e?6=,89>6:;4;n:g>5<#9:?1595G1268?xd5;80;6?4?:1y'577=?11C=?j4H006?!74=3>:7)6?:5fg?l0f290/=>;57498k=b=83.:?8464:J271=7>52;294~"6:80<46F>2e9K573<,89>69?4$9290ab34841>=h0m0;6)?<5;;7?M74<21vn?=<:186>5<7s-;9=7j4H00g?M75=2.:?84n;%:3>1bc3`34841>=n>m0;6)?<5;56?>o1m3:1(<=::678?j>c290/=>;59598yg2013:1?7>50z&264249'563=i2.3<7:kd:k5f?6=,89>6:;4;h4`>5<#9:?1;854o9f94?"6;<02865rb55b>5<5290;w)?=1;5;?M75l2B:>85+127904=#090?hi5f6`83>!74=3=>76a7d;29 45220>0D<=;;:a02d=8391<7>t$002>g=O9;n0D<<:;%301?g<,1:18ij4i7`94?"6;<0<965f6b83>!74=3=>76a7d;29 45220>07pl;7b83>6<729q/=??5939K57b<@88>7)?<5;;2?!>72=no7d8m:18'563=?<10e;m50;&270<0=21d4i4?:%301??332wi8;j50;194?6|,88:6o5G13f8L4423-;897o4$9290ab34841>=n>j0;6)?<5;56?>i?l3:1(<=::868?xd3>l0;6?4?:1y'577=?11C=?j4H006?!74=3>:7)6?:5fg?l0f290/=>;57498k=b=83.:?8464:J271==j7>53;294~"6:80i7E?=d:J260=#9:?1m6*70;6g`>o1j3:1(<=::678?l0d290/=>;57498k=b=83.:?8464:9~f11729086=4?{%315??53A;9h6F>249'563=181/4=4;de9j2g<72-;8979:;:k5g?6=,89>6:;4;n:g>5<#9:?15954}c65208a?M75l2B:>85+1279e>"?83>oh6g9b;29 4522>?07d8l:18'563=?<10c5j50;&270<><21vn986:181>5<7s-;9=797;I31`>N6:<1/=>;5409'<5<3lm1b:l4?:%301?1232e3h7>5$016><2<@89?76sm47c94?5=83:p(<<>:c9K57b<@88>7)?<5;c8 =6=5$016><2<3th?:o4?:283>5}#9;;15?5G13f8L4423-;8977>;%:3>1bc3`34841>=h0m0;6)?<5;;7?>{e54ef8m3d=83.:?8485:9j2f<72-;8979:;:m;`?6=,89>64:4;|`721<72;0;6=u+13393==O9;n0D<<:;%301?263-2;69jk;h4b>5<#9:?1;854o9f94?"6;<0286F>3598yg21=3:1?7>50z&264249'563=i2.3<7:kd:k5f?6=,89>6:;4;h4`>5<#9:?1;854o9f94?"6;<02865rb545>5<4290;w)?=1;;1?M75l2B:>85+1279=4=#090?hi5f6c83>!74=3=>76g9c;29 4522>?07b6k:18'563=1=10qo::e;297?6=8r.:><4m;I31`>N6:<1/=>;5a:&;4?2cl2c=n7>5$016>23<3`348:0>=zj=?m6=4=:183!7593=37E?=d:J260=#9:?18<5+8187`a=n>h0;6)?<5;56?>i?l3:1(<=::868L45332wi8;>50;194?6|,88:6o5G13f8L4423-;897o4$9290ab34841>=n>j0;6)?<5;56?>i?l3:1(<=::868?xd3>80;6>4?:1y'577=1;1C=?j4H006?!74=33:7)6?:5fg?l0e290/=>;57498m3e=83.:?8485:9lt$002>g=O9;n0D<<:;%301?g<,1:18ij4i7`94?"6;<0<965f6b83>!74=3=>76a7d;29 45220>07pl;5`83>7<729q/=??5799K57b<@88>7)?<5;62?!>72=no7d8n:18'563=?<10c5j50;&270<><2B:?954}c66f?6=;3:1208a?M75l2B:>85+1279e>"?83>oh6g9b;29 4522>?07d8l:18'563=?<10c5j50;&270<><21vn9;l:180>5<7s-;9=77=;I31`>N6:<1/=>;5909'<5<3lm1b:o4?:%301?1232c=o7>5$016>23<3f2o6=4+1279=1=>87>53;294~"6:80i7E?=d:J260=#9:?1m6*70;6g`>o1j3:1(<=::678?l0d290/=>;57498k=b=83.:?8464:9~f13229096=4?{%315?1?3A;9h6F>249'563=<81/4=4;de9j2d<72-;8979:;:m;`?6=,89>64:4H017?>{e<<<1<7=50;2x 4462k1C=?j4H006?!74=3k0(5>54ef8m3d=83.:?8485:9j2f<72-;8979:;:m;`?6=,89>64:4;|`712<72:0;6=u+1339=7=O9;n0D<<:;%301??63-2;69jk;h4a>5<#9:?1;854i7a94?"6;<0<965`8e83>!74=33?76sm45d94?5=83:p(<<>:c9K57b<@88>7)?<5;c8 =6=5$016><2<3th?9=4?:383>5}#9;;1;55G13f8L4423-;897:>;%:3>1bc3`348:0>N6;=10qo::1;297?6=8r.:><4m;I31`>N6:<1/=>;5a:&;4?2cl2c=n7>5$016>23<3`348:0>=zj=?96=4<:183!7593397E?=d:J260=#9:?15<5+8187`a=n>k0;6)?<5;56?>o1k3:1(<=::678?j>c290/=>;59598yg23i3:1?7>50z&264249'563=i2.3<7:kd:k5f?6=,89>6:;4;h4`>5<#9:?1;854o9f94?"6;<02865rb56a>5<5290;w)?=1;5;?M75l2B:>85+127904=#090?hi5f6`83>!74=3=>76a7d;29 45220>0D<=;;:a01e=8391<7>t$002>g=O9;n0D<<:;%301?g<,1:18ij4i7`94?"6;<0<965f6b83>!74=3=>76a7d;29 45220>07pl;4e83>6<729q/=??5939K57b<@88>7)?<5;;2?!>72=no7d8m:18'563=?<10e;m50;&270<0=21d4i4?:%301??332wi8n850;094?6|,88:6:64H00g?M75=2.:?84;1:&;4?2cl2c=m7>5$016>23<3f2o6=4+1279=1=O9:>07pl;c683>7<729q/=??5799K57b<@88>7)?<5;62?!>72=no7d8n:18'563=?<10c5j50;&270<><2B:?954}c6`2084<>N6:m1C=?;4$016>17<,1:18ij4i7c94?"6;<0<965`8e83>!74=33?7E?<4:9~f1e>290>6=4?{%315?b<@88o7E?=5:&2705<#9:?1;854i7a94?"6;<0<965f6e83>!74=3=>76g9e;29 4522>?07b6k:18'563=1=10qo:k7;296?6=8r.:><488:J26a=O9;?0(<=::538 =6=5<5290;w)?=1;5;?M75l2B:>85+127904=#090?hi5f6`83>!74=3=>76a7d;29 45220>0D<=;;:a0a?=8381<7>t$002>2><@88o7E?=5:&270<392.3<7:kd:k5e?6=,89>6:;4;n:g>5<#9:?1595G1268?xd3lh0;684?:1y'577=l2B:>i5G1378 4522h1/4=4;de9j2g<72-;8979:;:k5g?6=,89>6:;4;h4g>5<#9:?1;854i7g94?"6;<0<965`8e83>!74=33?76sm38094?5=83:p(<<>:c9K57b<@88>7)?<5;c8 =6=5$016><2<3th85>4?:383>5}#9;;1;55G13f8L4423-;897:>;%:3>1bc3`348:0>N6;=10qo=64;296?6=8r.:><488:J26a=O9;?0(<=::538 =6=5<4290;w)?=1;`8L44c3A;996*>348b?!>72=no7d8m:18'563=?<10e;m50;&270<0=21d4i4?:%301??332wi?4850;194?6|,88:64<4H00g?M75=2.:?8461:&;4?2cl2c=n7>5$016>23<3`348:0>=zj:?i6=4<:183!7593h0D<"6;<0j7)6?:5fg?l0e290/=>;57498m3e=83.:?8485:9lt$002>2><@88o7E?=5:&270<392.3<7:kd:k5e?6=,89>6:;4;n:g>5<#9:?1595G1268?xd4=m0;6?4?:1y'577=?11C=?j4H006?!74=3>:7)6?:5fg?l0f290/=>;57498k=b=83.:?8464:J271=i7>53;294~"6:80i7E?=d:J260=#9:?1m6*70;6g`>o1j3:1(<=::678?l0d290/=>;57498k=b=83.:?8464:9~f63a29086=4?{%315??53A;9h6F>249'563=181/4=4;de9j2g<72-;8979:;:k5g?6=,89>6:;4;n:g>5<#9:?15954}c145?6=;3:1208a?M75l2B:>85+1279e>"?83>oh6g9b;29 4522>?07d8l:18'563=?<10c5j50;&270<><21vn>9=:181>5<7s-;9=797;I31`>N6:<1/=>;5409'<5<3lm1b:l4?:%301?1232e3h7>5$016><2<@89?76sm36194?5=83:p(<<>:c9K57b<@88>7)?<5;c8 =6=5$016><2<3th8;94?:283>5}#9;;15?5G13f8L4423-;8977>;%:3>1bc3`34841>=h0m0;6)?<5;;7?>{e;j;1<7=50;2x 4462k1C=?j4H006?!74=3k0(5>54ef8m3d=83.:?8485:9j2f<72-;8979:;:m;`?6=,89>64:4;|`0g7<72;0;6=u+13393==O9;n0D<<:;%301?263-2;69jk;h4b>5<#9:?1;854o9f94?"6;<0286F>3598yg5d;3:1?7>50z&264249'563=i2.3<7:kd:k5f?6=,89>6:;4;h4`>5<#9:?1;854o9f94?"6;<02865rb273>5<5290;w)?=1;5;?M75l2B:>85+127904=#090?hi5f6`83>!74=3=>76a7d;29 45220>0D<=;;:a707=8381<7>t$002>2><@88o7E?=5:&270<392.3<7:kd:k5e?6=,89>6:;4;n:g>5<#9:?1595G1268?xd4=;0;694?:1y'577=k2B:>i5G1378 4522h1/4=4;de9j2g<72-;8979:;:k5g?6=,89>6:;4;h4g>5<#9:?1;854o9f94?"6;<02865rb33;>5<4290;w)?=1;;1?M75l2B:>85+1279=4=#090?hi5f6c83>!74=3=>76g9c;29 4522>?07b6k:18'563=1=10qo<>9;297?6=8r.:><4m;I31`>N6:<1/=>;5a:&;4?2cl2c=n7>5$016>23<3`348:0>=zj8om6=4=:183!7593=37E?=d:J260=#9:?18<5+8187`a=n>h0;6)?<5;56?>i?l3:1(<=::868L45332wi=k>50;094?6|,88:6:64H00g?M75=2.:?84;1:&;4?2cl2c=m7>5$016>23<3f2o6=4+1279=1=O9:>07pl>f083>7<729q/=??5799K57b<@88>7)?<5;62?!>72=no7d8n:18'563=?<10c5j50;&270<><2B:?954}c3e6?6==3:1208g?M75l2B:>85+1279e>"?83>oh6g9b;29 4522>?07d8l:18'563=?<10e;j50;&270<0=21b:h4?:%301?1232e3h7>5$016><2<3th:on4?:383>5}#9;;1;55G13f8L4423-;897:>;%:3>1bc3`348:0>N6;=10qo?ld;296?6=8r.:><488:J26a=O9;?0(<=::538 =6=5<4290;w)?=1;`8L44c3A;996*>348b?!>72=no7d8m:18'563=?<10e;m50;&270<0=21d4i4?:%301??332wi=nh50;194?6|,88:6o5G13f8L4423-;897o4$9290ab34841>=n>j0;6)?<5;56?>i?l3:1(<=::868?xd6l90;6>4?:1y'577=1;1C=?j4H006?!74=33:7)6?:5fg?l0e290/=>;57498m3e=83.:?8485:9lt$002>g=O9;n0D<<:;%301?g<,1:18ij4i7`94?"6;<0<965f6b83>!74=3=>76a7d;29 45220>07pl>c683>7<729q/=??5799K57b<@88>7)?<5;62?!>72=no7d8n:18'563=?<10c5j50;&270<><2B:?954}c3`208a?M75l2B:>85+1279e>"?83>oh6g9b;29 4522>?07d8l:18'563=?<10c5j50;&270<><21vn5<7s-;9=77=;I31`>N6:<1/=>;5909'<5<3lm1b:o4?:%301?1232c=o7>5$016>23<3f2o6=4+1279=1=7>53;294~"6:80i7E?=d:J260=#9:?1m6*70;6g`>o1j3:1(<=::678?l0d290/=>;57498k=b=83.:?8464:9~f66429086=4?{%315?d<@88o7E?=5:&2705<#9:?1;854i7a94?"6;<0<965`8e83>!74=33?76sm31694?4=83:p(<<>:6:8L44c3A;996*>34875>"?83>oh6g9a;29 4522>?07b6k:18'563=1=1C=>:4;|`040<72;0;6=u+13393==O9;n0D<<:;%301?263-2;69jk;h4b>5<#9:?1;854o9f94?"6;<0286F>3598yg57>3:1>7>50z&264<002B:>i5G1378 4522=;0(5>54ef8m3g=83.:?8485:9l=zj::<6=4<:183!7593h0D<"6;<0j7)6?:5fg?l0e290/=>;57498m3e=83.:?8485:9l=8391<7>t$002><4<@88o7E?=5:&270<>92.3<7:kd:k5f?6=,89>6:;4;h4`>5<#9:?1;854o9f94?"6;<02865rb22:>5<3290;w)?=1;a8L44c3A;996*>348b?!>72=no7d8m:18'563=?<10e;m50;&270<0=21b:i4?:%301?1232e3h7>5$016><2<3th85}#9;;15?5G13f8L4423-;8977>;%:3>1bc3`34841>=h0m0;6)?<5;;7?>{e:o>1<7<50;2x 4462>20D<"6;<0?=6*70;6g`>o1i3:1(<=::678?j>c290/=>;5959K562<3th9j54?:383>5}#9;;1;55G13f8L4423-;897:>;%:3>1bc3`348:0>N6;=10qo<488:J26a=O9;?0(<=::538 =6=5<5290;w)?=1;5;?M75l2B:>85+127904=#090?hi5f6`83>!74=3=>76a7d;29 45220>0D<=;;:a6cd=8381<7>t$002>2><@88o7E?=5:&270<392.3<7:kd:k5e?6=,89>6:;4;n:g>5<#9:?1595G1268?xd5nj0;6?4?:1y'577=?11C=?j4H006?!74=3>:7)6?:5fg?l0f290/=>;57498k=b=83.:?8464:J271=52;294~"6:80<46F>2e9K573<,89>69?4$9290ab34841>=h0m0;6)?<5;;7?M74<21vn?hj:186>5<7s-;9=7j4H00g?M75=2.:?84n;%:3>1bc3`34841>=n>m0;6)?<5;56?>o1m3:1(<=::678?j>c290/=>;59598yg4an3:1?7>50z&264249'563=i2.3<7:kd:k5f?6=,89>6:;4;h4`>5<#9:?1;854o9f94?"6;<02865rb3d6>5<3290;w)?=1;;0?M75l2B:>85+1279=4=#090?hi5f6c83>!74=3=>76g9c;29 4522>?07d8k:18'563=?<10c5j50;&270<><21vn?h9:180>5<7s-;9=7l4H00g?M75=2.:?84n;%:3>1bc3`34841>=h0m0;6)?<5;;7?>{e:o=1<7=50;2x 4462080D<"6;<02=6*70;6g`>o1j3:1(<=::678?l0d290/=>;57498k=b=83.:?8464:9~f7cb29086=4?{%315?d<@88o7E?=5:&2705<#9:?1;854i7a94?"6;<0<965`8e83>!74=33?76sm2dd94?4=83:p(<<>:6:8L44c3A;996*>34875>"?83>oh6g9a;29 4522>?07b6k:18'563=1=1C=>:4;|`1b5<72:0;6=u+1339f>N6:m1C=?;4$016>d=#090?hi5f6c83>!74=3=>76g9c;29 4522>?07b6k:18'563=1=10qo<462:J26a=O9;?0(<=::838 =6=5$016><2<3th8ml4?:383>5}#9;;1;55G13f8L4423-;897:>;%:3>1bc3`348:0>N6;=10qo=nf;297?6=8r.:><462:J26a=O9;?0(<=::838 =6=5$016><2<3th8n=4?:283>5}#9;;1n6F>2e9K573<,89>6l5+8187`a=n>k0;6)?<5;56?>o1k3:1(<=::678?j>c290/=>;59598yg5e93:1>7>50z&264<002B:>i5G1378 4522=;0(5>54ef8m3g=83.:?8485:9l=zj:h96=4=:183!7593=37E?=d:J260=#9:?18<5+8187`a=n>h0;6)?<5;56?>i?l3:1(<=::868L45332wi?o=50;194?6|,88:6o5G13f8L4423-;897o4$9290ab34841>=n>j0;6)?<5;56?>i?l3:1(<=::868?xd4j=0;6?4?:1y'577=?11C=?j4H006?!74=3>:7)6?:5fg?l0f290/=>;57498k=b=83.:?8464:J271=53;294~"6:80i7E?=d:J260=#9:?1m6*70;6g`>o1j3:1(<=::678?l0d290/=>;57498k=b=83.:?8464:9~f6d129086=4?{%315?d<@88o7E?=5:&2705<#9:?1;854i7a94?"6;<0<965`8e83>!74=33?76sm3``94?5=83:p(<<>:c9K57b<@88>7)?<5;c8 =6=5$016><2<3th8mn4?:283>5}#9;;15?5G13f8L4423-;8977>;%:3>1bc3`34841>=h0m0;6)?<5;;7?>{e;hn1<7=50;2x 4462k1C=?j4H006?!74=3k0(5>54ef8m3d=83.:?8485:9j2f<72-;8979:;:m;`?6=,89>64:4;|`0e`<72=0;6=u+1339=6=O9;n0D<<:;%301??63-2;69jk;h4a>5<#9:?1;854i7a94?"6;<0<965f6e83>!74=3=>76a7d;29 45220>07pl6<729q/=??5b:J26a=O9;?0(<=::`9'<5<3lm1b:o4?:%301?1232c=o7>5$016>23<3f2o6=4+1279=1=53;294~"6:802>6F>2e9K573<,89>64?4$9290ab34841>=n>j0;6)?<5;56?>i?l3:1(<=::868?xd3i90;6?4?:1y'577=?11C=?j4H006?!74=3>:7)6?:5fg?l0f290/=>;57498k=b=83.:?8464:J271=j87>53;294~"6:80i7E?=d:J260=#9:?1m6*70;6g`>o1j3:1(<=::678?l0d290/=>;57498k=b=83.:?8464:9~f1g229096=4?{%315?1?3A;9h6F>249'563=<81/4=4;de9j2d<72-;8979:;:m;`?6=,89>64:4H017?>{e"6;<02=6*70;6g`>o1j3:1(<=::678?l0d290/=>;57498k=b=83.:?8464:9~f1g029096=4?{%315?1?3A;9h6F>249'563=<81/4=4;de9j2d<72-;8979:;:m;`?6=,89>64:4H017?>{e20D<"6;<0?=6*70;6g`>o1i3:1(<=::678?j>c290/=>;5959K562<3th?m44?:283>5}#9;;1n6F>2e9K573<,89>6l5+8187`a=n>k0;6)?<5;56?>o1k3:1(<=::678?j>c290/=>;59598yg2fi3:1?7>50z&264249'563=i2.3<7:kd:k5f?6=,89>6:;4;h4`>5<#9:?1;854o9f94?"6;<02865rb5ca>5<3290;w)?=1;a8L44c3A;996*>348b?!>72=no7d8m:18'563=?<10e;m50;&270<0=21b:i4?:%301?1232e3h7>5$016><2<3th?m<4?:283>5}#9;;15?5G13f8L4423-;8977>;%:3>1bc3`34841>=h0m0;6)?<5;;7?>{e54ef8m3d=83.:?8485:9j2f<72-;8979:;:k5`?6=,89>6:;4;n:g>5<#9:?15954}c6b7?6=;3:1208:6>N6:m1C=?;4$016><7<,1:18ij4i7`94?"6;<0<965f6b83>!74=3=>76a7d;29 45220>07pl;8g83>7<729q/=??5799K57b<@88>7)?<5;62?!>72=no7d8n:18'563=?<10c5j50;&270<><2B:?954}c6:2?6=:3:12084<>N6:m1C=?;4$016>17<,1:18ij4i7c94?"6;<0<965`8e83>!74=33?7E?<4:9~f1?029086=4?{%315?d<@88o7E?=5:&2705<#9:?1;854i7a94?"6;<0<965`8e83>!74=33?76sm48:94?4=83:p(<<>:6:8L44c3A;996*>34875>"?83>oh6g9a;29 4522>?07b6k:18'563=1=1C=>:4;|`7=<<72;0;6=u+13393==O9;n0D<<:;%301?263-2;69jk;h4b>5<#9:?1;854o9f94?"6;<0286F>3598yg2>i3:1>7>50z&264<002B:>i5G1378 4522=;0(5>54ef8m3g=83.:?8485:9l=zj=3i6=4<:183!7593h0D<"6;<0j7)6?:5fg?l0e290/=>;57498m3e=83.:?8485:9lt$002>g=O9;n0D<<:;%301?g<,1:18ij4i7`94?"6;<0<965f6b83>!74=3=>76a7d;29 45220>07pl;9e83>6<729q/=??5939K57b<@88>7)?<5;;2?!>72=no7d8m:18'563=?<10e;m50;&270<0=21d4i4?:%301??332wi84>50;194?6|,88:64<4H00g?M75=2.:?8461:&;4?2cl2c=n7>5$016>23<3`348:0>=zj=3:6=4<:183!7593h0D<"6;<0j7)6?:5fg?l0e290/=>;57498m3e=83.:?8485:9lt$002>g=O9;n0D<<:;%301?g<,1:18ij4i7`94?"6;<0<965f6b83>!74=3=>76a7d;29 45220>07pl;9283>6<729q/=??5939K57b<@88>7)?<5;;2?!>72=no7d8m:18'563=?<10e;m50;&270<0=21d4i4?:%301??332wi84:50;194?6|,88:6o5G13f8L4423-;897o4$9290ab34841>=n>j0;6)?<5;56?>i?l3:1(<=::868?xd31<0;6>4?:1y'577=1;1C=?j4H006?!74=33:7)6?:5fg?l0e290/=>;57498m3e=83.:?8485:9lt$002>g=O9;n0D<<:;%301?g<,1:18ij4i7`94?"6;<0<965f6b83>!74=3=>76a7d;29 45220>07pl;8483>7<729q/=??5799K57b<@88>7)?<5;62?!>72=no7d8n:18'563=?<10c5j50;&270<><2B:?954}c6;2?6=;3:1208a?M75l2B:>85+1279e>"?83>oh6g9b;29 4522>?07d8l:18'563=?<10c5j50;&270<><21vn968:181>5<7s-;9=797;I31`>N6:<1/=>;5409'<5<3lm1b:l4?:%301?1232e3h7>5$016><2<@89?76sm49:94?4=83:p(<<>:6:8L44c3A;996*>34875>"?83>oh6g9a;29 4522>?07b6k:18'563=1=1C=>:4;|`7<<<72;0;6=u+13393==O9;n0D<<:;%301?263-2;69jk;h4b>5<#9:?1;854o9f94?"6;<0286F>3598yg2?i3:1>7>50z&264<002B:>i5G1378 4522=;0(5>54ef8m3g=83.:?8485:9l=zj=2i6=4<:183!7593h0D<"6;<0j7)6?:5fg?l0e290/=>;57498m3e=83.:?8485:9lt$002>g=O9;n0D<<:;%301?g<,1:18ij4i7`94?"6;<0<965f6b83>!74=3=>76a7d;29 45220>07pl;8183>0<729q/=??5d:J26a=O9;?0(<=::`9'<5<3lm1b:o4?:%301?1232c=o7>5$016>23<3`34841>=h0m0;6)?<5;;7?>{e<1;1<7=50;2x 4462080D<"6;<02=6*70;6g`>o1j3:1(<=::678?l0d290/=>;57498k=b=83.:?8464:9~f1>529086=4?{%315??53A;9h6F>249'563=181/4=4;de9j2g<72-;8979:;:k5g?6=,89>6:;4;n:g>5<#9:?15954}c6;7?6=;3:1208a?M75l2B:>85+1279e>"?83>oh6g9b;29 4522>?07d8l:18'563=?<10c5j50;&270<><21vn96;:180>5<7s-;9=77=;I31`>N6:<1/=>;5909'<5<3lm1b:o4?:%301?1232c=o7>5$016>23<3f2o6=4+1279=1=54;294~"6:80h7E?=d:J260=#9:?1m6*70;6g`>o1j3:1(<=::678?l0d290/=>;57498m3b=83.:?8485:9l=8381<7>t$002>2><@88o7E?=5:&270<392.3<7:kd:k5e?6=,89>6:;4;n:g>5<#9:?1595G1268?xd5j00;6?4?:1y'577=?11C=?j4H006?!74=3>:7)6?:5fg?l0f290/=>;57498k=b=83.:?8464:J271=52;294~"6:80<46F>2e9K573<,89>69?4$9290ab34841>=h0m0;6)?<5;;7?M74<21vn?lm:181>5<7s-;9=797;I31`>N6:<1/=>;5409'<5<3lm1b:l4?:%301?1232e3h7>5$016><2<@89?76sm2ca94?4=83:p(<<>:6:8L44c3A;996*>34875>"?83>oh6g9a;29 4522>?07b6k:18'563=1=1C=>:4;|`1fa<72=0;6=u+1339g>N6:m1C=?;4$016>d=#090?hi5f6c83>!74=3=>76g9c;29 4522>?07d8k:18'563=?<10c5j50;&270<><21vn?lj:180>5<7s-;9=77=;I31`>N6:<1/=>;5909'<5<3lm1b:o4?:%301?1232c=o7>5$016>23<3f2o6=4+1279=1=54;294~"6:80h7E?=d:J260=#9:?1m6*70;6g`>o1j3:1(<=::678?l0d290/=>;57498m3b=83.:?8485:9lt$002><4<@88o7E?=5:&270<>92.3<7:kd:k5f?6=,89>6:;4;h4`>5<#9:?1;854o9f94?"6;<02865rb351>5<5290;w)?=1;5;?M75l2B:>85+127904=#090?hi5f6`83>!74=3=>76a7d;29 45220>0D<=;;:a625=8391<7>t$002>g=O9;n0D<<:;%301?g<,1:18ij4i7`94?"6;<0<965f6b83>!74=3=>76a7d;29 45220>07pl=7583>6<729q/=??5939K57b<@88>7)?<5;;2?!>72=no7d8m:18'563=?<10e;m50;&270<0=21d4i4?:%301??332wi=h?50;194?6|,88:6o5G13f8L4423-;897o4$9290ab34841>=n>j0;6)?<5;56?>i?l3:1(<=::868?xd6m;0;6?4?:1y'577=?11C=?j4H006?!74=3>:7)6?:5fg?l0f290/=>;57498k=b=83.:?8464:J271=52;294~"6:80<46F>2e9K573<,89>69?4$9290ab34841>=h0m0;6)?<5;;7?M74<21vn5<7s-;9=797;I31`>N6:<1/=>;5409'<5<3lm1b:l4?:%301?1232e3h7>5$016><2<@89?76sm1d794?4=83:p(<<>:6:8L44c3A;996*>34875>"?83>oh6g9a;29 4522>?07b6k:18'563=1=1C=>:4;|`2a3<72:0;6=u+1339f>N6:m1C=?;4$016>d=#090?hi5f6c83>!74=3=>76g9c;29 4522>?07b6k:18'563=1=10qo?j7;291?6=8r.:><4k;I31`>N6:<1/=>;5a:&;4?2cl2c=n7>5$016>23<3`34841>=n>l0;6)?<5;56?>i?l3:1(<=::868?xd6m10;6>4?:1y'577=1;1C=?j4H006?!74=33:7)6?:5fg?l0e290/=>;57498m3e=83.:?8485:9lt$002><4<@88o7E?=5:&270<>92.3<7:kd:k5f?6=,89>6:;4;h4`>5<#9:?1;854o9f94?"6;<02865rb0f;>5<5290;w)?=1;5;?M75l2B:>85+127904=#090?hi5f6`83>!74=3=>76a7d;29 45220>0D<=;;:a5a?=8381<7>t$002>2><@88o7E?=5:&270<392.3<7:kd:k5e?6=,89>6:;4;n:g>5<#9:?1595G1268?xd6lh0;6?4?:1y'577=?11C=?j4H006?!74=3>:7)6?:5fg?l0f290/=>;57498k=b=83.:?8464:J271=52;294~"6:80<46F>2e9K573<,89>69?4$9290ab34841>=h0m0;6)?<5;;7?M74<21vn5<7s-;9=7l4H00g?M75=2.:?84n;%:3>1bc3`34841>=h0m0;6)?<5;;7?>{e9mn1<7;50;2x 4462m1C=?j4H006?!74=3k0(5>54ef8m3d=83.:?8485:9j2f<72-;8979:;:k5`?6=,89>6:;4;h4f>5<#9:?1;854o9f94?"6;<02865rb0ff>5<4290;w)?=1;;1?M75l2B:>85+1279=4=#090?hi5f6c83>!74=3=>76g9c;29 4522>?07b6k:18'563=1=10qo<>0;297?6=8r.:><4m;I31`>N6:<1/=>;5a:&;4?2cl2c=n7>5$016>23<3`348:0>=zj;;:6=4=:183!7593=37E?=d:J260=#9:?18<5+8187`a=n>h0;6)?<5;56?>i?l3:1(<=::868L45332wi><<50;194?6|,88:6o5G13f8L4423-;897o4$9290ab34841>=n>j0;6)?<5;56?>i?l3:1(<=::868?xd59:0;6>4?:1y'577=1;1C=?j4H006?!74=33:7)6?:5fg?l0e290/=>;57498m3e=83.:?8485:9lt$002>g=O9;n0D<<:;%301?g<,1:18ij4i7`94?"6;<0<965f6b83>!74=3=>76a7d;29 45220>07pl=1483>6<729q/=??5939K57b<@88>7)?<5;;2?!>72=no7d8m:18'563=?<10e;m50;&270<0=21d4i4?:%301??332wi>4?50;094?6|,88:6:64H00g?M75=2.:?84;1:&;4?2cl2c=m7>5$016>23<3f2o6=4+1279=1=O9:>07pl=9383>7<729q/=??5799K57b<@88>7)?<5;62?!>72=no7d8n:18'563=?<10c5j50;&270<><2B:?954}c0:7?6=;3:1208a?M75l2B:>85+1279e>"?83>oh6g9b;29 4522>?07d8l:18'563=?<10c5j50;&270<><21vn?7;:180>5<7s-;9=77=;I31`>N6:<1/=>;5909'<5<3lm1b:o4?:%301?1232c=o7>5$016>23<3f2o6=4+1279=1=52;294~"6:80<46F>2e9K573<,89>69?4$9290ab34841>=h0m0;6)?<5;;7?M74<21vn?k<:181>5<7s-;9=797;I31`>N6:<1/=>;5409'<5<3lm1b:l4?:%301?1232e3h7>5$016><2<@89?76sm2d694?4=83:p(<<>:6:8L44c3A;996*>34875>"?83>oh6g9a;29 4522>?07b6k:18'563=1=1C=>:4;|`1a0<72:0;6=u+1339f>N6:m1C=?;4$016>d=#090?hi5f6c83>!74=3=>76g9c;29 4522>?07b6k:18'563=1=10qo<488:J26a=O9;?0(<=::538 =6=5<5290;w)?=1;5;?M75l2B:>85+127904=#090?hi5f6`83>!74=3=>76a7d;29 45220>0D<=;;:a6`>=8391<7>t$002><4<@88o7E?=5:&270<>92.3<7:kd:k5f?6=,89>6:;4;h4`>5<#9:?1;854o9f94?"6;<02865rb3g:>5<4290;w)?=1;`8L44c3A;996*>348b?!>72=no7d8m:18'563=?<10e;m50;&270<0=21d4i4?:%301??332wi>ho50;194?6|,88:6o5G13f8L4423-;897o4$9290ab34841>=n>j0;6)?<5;56?>i?l3:1(<=::868?xd5ll0;6>4?:1y'577=j2B:>i5G1378 4522h1/4=4;de9j2g<72-;8979:;:k5g?6=,89>6:;4;n:g>5<#9:?15954}c0gb?6=<3:1208`?M75l2B:>85+1279e>"?83>oh6g9b;29 4522>?07d8l:18'563=?<10e;j50;&270<0=21d4i4?:%301??332wi>h>50;694?6|,88:64=4H00g?M75=2.:?8461:&;4?2cl2c=n7>5$016>23<3`34841>=h0m0;6)?<5;;7?>{e:l;1<7=50;2x 4462k1C=?j4H006?!74=3k0(5>54ef8m3d=83.:?8485:9j2f<72-;8979:;:m;`?6=,89>64:4;|`1a7<72:0;6=u+1339=7=O9;n0D<<:;%301??63-2;69jk;h4a>5<#9:?1;854i7a94?"6;<0<965`8e83>!74=33?76sm2e694?4=83:p(<<>:6:8L44c3A;996*>34875>"?83>oh6g9a;29 4522>?07b6k:18'563=1=1C=>:4;|`1`0<72:0;6=u+1339=7=O9;n0D<<:;%301??63-2;69jk;h4a>5<#9:?1;854i7a94?"6;<0<965`8e83>!74=33?76sm2e494?4=83:p(<<>:6:8L44c3A;996*>34875>"?83>oh6g9a;29 4522>?07b6k:18'563=1=1C=>:4;|`1`2<72:0;6=u+1339=7=O9;n0D<<:;%301??63-2;69jk;h4a>5<#9:?1;854i7a94?"6;<0<965`8e83>!74=33?76sm2e:94?5=83:p(<<>:c9K57b<@88>7)?<5;c8 =6=5$016><2<3th9h44?:283>5}#9;;1n6F>2e9K573<,89>6l5+8187`a=n>k0;6)?<5;56?>o1k3:1(<=::678?j>c290/=>;59598yg4ci3:1?7>50z&264<>:2B:>i5G1378 45220;0(5>54ef8m3d=83.:?8485:9j2f<72-;8979:;:m;`?6=,89>64:4;|`170<72;0;6=u+13393==O9;n0D<<:;%301?263-2;69jk;h4b>5<#9:?1;854o9f94?"6;<0286F>3598yg44>3:1?7>50z&264249'563=i2.3<7:kd:k5f?6=,89>6:;4;h4`>5<#9:?1;854o9f94?"6;<02865rb314>5<5290;w)?=1;5;?M75l2B:>85+127904=#090?hi5f6`83>!74=3=>76a7d;29 45220>0D<=;;:a66>=8381<7>t$002>2><@88o7E?=5:&270<392.3<7:kd:k5e?6=,89>6:;4;n:g>5<#9:?1595G1268?xd5;00;6>4?:1y'577=j2B:>i5G1378 4522h1/4=4;de9j2g<72-;8979:;:k5g?6=,89>6:;4;n:g>5<#9:?15954}c00e?6=;3:1208a?M75l2B:>85+1279e>"?83>oh6g9b;29 4522>?07d8l:18'563=?<10c5j50;&270<><21vn?=m:180>5<7s-;9=77=;I31`>N6:<1/=>;5909'<5<3lm1b:o4?:%301?1232c=o7>5$016>23<3f2o6=4+1279=1=53;294~"6:80i7E?=d:J260=#9:?1m6*70;6g`>o1j3:1(<=::678?l0d290/=>;57498k=b=83.:?8464:9~f15329096=4?{%315?1?3A;9h6F>249'563=<81/4=4;de9j2d<72-;8979:;:m;`?6=,89>64:4H017?>{e<:l1<7=50;2x 4462k1C=?j4H006?!74=3k0(5>54ef8m3d=83.:?8485:9j2f<72-;8979:;:m;`?6=,89>64:4;|`707<72;0;6=u+13393==O9;n0D<<:;%301?263-2;69jk;h4b>5<#9:?1;854o9f94?"6;<0286F>3598yg23;3:1>7>50z&264<002B:>i5G1378 4522=;0(5>54ef8m3g=83.:?8485:9l=zj=>?6=4=:183!7593=37E?=d:J260=#9:?18<5+8187`a=n>h0;6)?<5;56?>i?l3:1(<=::868L45332wi89;50;094?6|,88:6:64H00g?M75=2.:?84;1:&;4?2cl2c=m7>5$016>23<3f2o6=4+1279=1=O9:>07pl;4783>7<729q/=??5799K57b<@88>7)?<5;62?!>72=no7d8n:18'563=?<10c5j50;&270<><2B:?954}c673?6=:3:12084<>N6:m1C=?;4$016>17<,1:18ij4i7c94?"6;<0<965`8e83>!74=33?7E?<4:9~f12?29096=4?{%315?1?3A;9h6F>249'563=<81/4=4;de9j2d<72-;8979:;:m;`?6=,89>64:4H017?>{e<:?1<7=50;2x 4462k1C=?j4H006?!74=3k0(5>54ef8m3d=83.:?8485:9j2f<72-;8979:;:m;`?6=,89>64:4;|`773<72=0;6=u+1339g>N6:m1C=?;4$016>d=#090?hi5f6c83>!74=3=>76g9c;29 4522>?07d8k:18'563=?<10c5j50;&270<><21vn9=8:180>5<7s-;9=7l4H00g?M75=2.:?84n;%:3>1bc3`34841>=h0m0;6)?<5;;7?>{e<:21<7:50;2x 4462j1C=?j4H006?!74=3k0(5>54ef8m3d=83.:?8485:9j2f<72-;8979:;:k5`?6=,89>6:;4;n:g>5<#9:?15954}c60=?6=;3:1208:6>N6:m1C=?;4$016><7<,1:18ij4i7`94?"6;<0<965f6b83>!74=3=>76a7d;29 45220>07pl;3`83>6<729q/=??5939K57b<@88>7)?<5;;2?!>72=no7d8m:18'563=?<10e;m50;&270<0=21d4i4?:%301??332wi8>l50;194?6|,88:6o5G13f8L4423-;897o4$9290ab34841>=n>j0;6)?<5;56?>i?l3:1(<=::868?xd3;j0;6>4?:1y'577=1;1C=?j4H006?!74=33:7)6?:5fg?l0e290/=>;57498m3e=83.:?8485:9lt$002>g=O9;n0D<<:;%301?g<,1:18ij4i7`94?"6;<0<965f6b83>!74=3=>76a7d;29 45220>07pl;3d83>6<729q/=??5939K57b<@88>7)?<5;;2?!>72=no7d8m:18'563=?<10e;m50;&270<0=21d4i4?:%301??332wi89>50;194?6|,88:6o5G13f8L4423-;897o4$9290ab34841>=n>j0;6)?<5;56?>i?l3:1(<=::868?xd3<80;6>4?:1y'577=1;1C=?j4H006?!74=33:7)6?:5fg?l0e290/=>;57498m3e=83.:?8485:9lt$002><4<@88o7E?=5:&270<>92.3<7:kd:k5f?6=,89>6:;4;h4`>5<#9:?1;854o9f94?"6;<02865rb535>5<4290;w)?=1;`8L44c3A;996*>348b?!>72=no7d8m:18'563=?<10e;m50;&270<0=21d4i4?:%301??332wi8<950;094?6|,88:6:64H00g?M75=2.:?84;1:&;4?2cl2c=m7>5$016>23<3f2o6=4+1279=1=O9:>07pl;1983>7<729q/=??5799K57b<@88>7)?<5;62?!>72=no7d8n:18'563=?<10c5j50;&270<><2B:?954}c62=?6=:3:12084<>N6:m1C=?;4$016>17<,1:18ij4i7c94?"6;<0<965`8e83>!74=33?7E?<4:9~f17f29096=4?{%315?1?3A;9h6F>249'563=<81/4=4;de9j2d<72-;8979:;:m;`?6=,89>64:4H017?>{e<8h1<7<50;2x 4462>20D<"6;<0?=6*70;6g`>o1i3:1(<=::678?j>c290/=>;5959K562<3th?=n4?:383>5}#9;;1;55G13f8L4423-;897:>;%:3>1bc3`348:0>N6;=10qo:>d;296?6=8r.:><488:J26a=O9;?0(<=::538 =6=5<4290;w)?=1;;1?M75l2B:>85+1279=4=#090?hi5f6c83>!74=3=>76g9c;29 4522>?07b6k:18'563=1=10qo:?f;291?6=8r.:><4k;I31`>N6:<1/=>;5a:&;4?2cl2c=n7>5$016>23<3`34841>=n>l0;6)?<5;56?>i?l3:1(<=::868?xd3990;6>4?:1y'577=j2B:>i5G1378 4522h1/4=4;de9j2g<72-;8979:;:k5g?6=,89>6:;4;n:g>5<#9:?15954}c625?6=;3:1208:6>N6:m1C=?;4$016><7<,1:18ij4i7`94?"6;<0<965f6b83>!74=3=>76a7d;29 45220>07pl;1383>6<729q/=??5b:J26a=O9;?0(<=::`9'<5<3lm1b:o4?:%301?1232c=o7>5$016>23<3f2o6=4+1279=1=:?7>53;294~"6:802>6F>2e9K573<,89>64?4$9290ab34841>=n>j0;6)?<5;56?>i?l3:1(<=::868?xd39=0;6>4?:1y'577=j2B:>i5G1378 4522h1/4=4;de9j2g<72-;8979:;:k5g?6=,89>6:;4;n:g>5<#9:?15954}c621?6=;3:1208:6>N6:m1C=?;4$016><7<,1:18ij4i7`94?"6;<0<965f6b83>!74=3=>76a7d;29 45220>07pl;2183>7<729q/=??5799K57b<@88>7)?<5;62?!>72=no7d8n:18'563=?<10c5j50;&270<><2B:?954}c613?6=:3:12084<>N6:m1C=?;4$016>17<,1:18ij4i7c94?"6;<0<965`8e83>!74=33?7E?<4:9~f14?29096=4?{%315?1?3A;9h6F>249'563=<81/4=4;de9j2d<72-;8979:;:m;`?6=,89>64:4H017?>{e<;31<7<50;2x 4462>20D<"6;<0?=6*70;6g`>o1i3:1(<=::678?j>c290/=>;5959K562<3th?>l4?:383>5}#9;;1;55G13f8L4423-;897:>;%:3>1bc3`348:0>N6;=10qo:=b;296?6=8r.:><488:J26a=O9;?0(<=::538 =6=5<5290;w)?=1;5;?M75l2B:>85+127904=#090?hi5f6`83>!74=3=>76a7d;29 45220>0D<=;;:a07b=8391<7>t$002>g=O9;n0D<<:;%301?g<,1:18ij4i7`94?"6;<0<965f6b83>!74=3=>76a7d;29 45220>07pl;2d83>6<729q/=??5939K57b<@88>7)?<5;;2?!>72=no7d8m:18'563=?<10e;m50;&270<0=21d4i4?:%301??332wi8??50;194?6|,88:6o5G13f8L4423-;897o4$9290ab34841>=n>j0;6)?<5;56?>i?l3:1(<=::868?xd3:;0;6>4?:1y'577=1;1C=?j4H006?!74=33:7)6?:5fg?l0e290/=>;57498m3e=83.:?8485:9lt$002>g=O9;n0D<<:;%301?g<,1:18ij4i7`94?"6;<0<965f6b83>!74=3=>76a7d;29 45220>07pl;2583>6<729q/=??5939K57b<@88>7)?<5;;2?!>72=no7d8m:18'563=?<10e;m50;&270<0=21d4i4?:%301??332wi8?;50;194?6|,88:64<4H00g?M75=2.:?8461:&;4?2cl2c=n7>5$016>23<3`348:0>=zj=8=6=4;:183!7593i0D<"6;<0j7)6?:5fg?l0e290/=>;57498m3e=83.:?8485:9j2a<72-;8979:;:m;`?6=,89>64:4;|`1g7<72;0;6=u+13393==O9;n0D<<:;%301?263-2;69jk;h4b>5<#9:?1;854o9f94?"6;<0286F>3598yg4d;3:1>7>50z&264<002B:>i5G1378 4522=;0(5>54ef8m3g=83.:?8485:9l=zj;i?6=4=:183!7593=37E?=d:J260=#9:?18<5+8187`a=n>h0;6)?<5;56?>i?l3:1(<=::868L45332wi>n;50;094?6|,88:6:64H00g?M75=2.:?84;1:&;4?2cl2c=m7>5$016>23<3f2o6=4+1279=1=O9:>07pl=c783>7<729q/=??5799K57b<@88>7)?<5;62?!>72=no7d8n:18'563=?<10c5j50;&270<><2B:?954}c0`3?6=?3:1208e?M75l2B:>85+1279e>"?83>oh6g9b;29 4522>?07d8l:18'563=?<10e;j50;&270<0=21b:h4?:%301?1232c=j7>5$016>23<3`=;6=4+127930=348:0>=zj:936=4=:183!7593=37E?=d:J260=#9:?18<5+8187`a=n>h0;6)?<5;56?>i?l3:1(<=::868L45332wi?>750;094?6|,88:6:64H00g?M75=2.:?84;1:&;4?2cl2c=m7>5$016>23<3f2o6=4+1279=1=O9:>07pl<3`83>7<729q/=??5799K57b<@88>7)?<5;62?!>72=no7d8n:18'563=?<10c5j50;&270<><2B:?954}c10f?6=:3:12084<>N6:m1C=?;4$016>17<,1:18ij4i7c94?"6;<0<965`8e83>!74=33?7E?<4:9~f65d29096=4?{%315?1?3A;9h6F>249'563=<81/4=4;de9j2d<72-;8979:;:m;`?6=,89>64:4H017?>{e;:n1<7850;2x 4462l1C=?j4H006?!74=3k0(5>54ef8m3d=83.:?8485:9j2f<72-;8979:;:k5`?6=,89>6:;4;h4f>5<#9:?1;854i7d94?"6;<0<965`8e83>!74=33?76sm32g94?5=83:p(<<>:808L44c3A;996*>348:5>"?83>oh6g9b;29 4522>?07d8l:18'563=?<10c5j50;&270<><21vn>:?:186>5<7s-;9=7j4H00g?M75=2.:?84n;%:3>1bc3`34841>=n>m0;6)?<5;56?>o1m3:1(<=::678?j>c290/=>;59598yg5393:1?7>50z&264249'563=i2.3<7:kd:k5f?6=,89>6:;4;h4`>5<#9:?1;854o9f94?"6;<02865rb261>5<5290;w)?=1;5;?M75l2B:>85+127904=#090?hi5f6`83>!74=3=>76a7d;29 45220>0D<=;;:a715=8381<7>t$002>2><@88o7E?=5:&270<392.3<7:kd:k5e?6=,89>6:;4;n:g>5<#9:?1595G1268?xd4<=0;6>4?:1y'577=j2B:>i5G1378 4522h1/4=4;de9j2g<72-;8979:;:k5g?6=,89>6:;4;n:g>5<#9:?15954}c171?6=;3:1208a?M75l2B:>85+1279e>"?83>oh6g9b;29 4522>?07d8l:18'563=?<10c5j50;&270<><21vn>:9:180>5<7s-;9=7l4H00g?M75=2.:?84n;%:3>1bc3`34841>=h0m0;6)?<5;;7?>{e20D<"6;<0?=6*70;6g`>o1i3:1(<=::678?j>c290/=>;5959K562<3th?n?4?:383>5}#9;;1;55G13f8L4423-;897:>;%:3>1bc3`348:0>N6;=10qo:m3;297?6=8r.:><4m;I31`>N6:<1/=>;5a:&;4?2cl2c=n7>5$016>23<3`348:0>=zj=h?6=4<:183!7593397E?=d:J260=#9:?15<5+8187`a=n>k0;6)?<5;56?>o1k3:1(<=::678?j>c290/=>;59598yg50n3:1?7>50z&264249'563=i2.3<7:kd:k5f?6=,89>6:;4;h4`>5<#9:?1;854o9f94?"6;<02865rb2:3>5<4290;w)?=1;;1?M75l2B:>85+1279=4=#090?hi5f6c83>!74=3=>76g9c;29 4522>?07b6k:18'563=1=10qo=71;296?6=8r.:><488:J26a=O9;?0(<=::538 =6=5<5290;w)?=1;5;?M75l2B:>85+127904=#090?hi5f6`83>!74=3=>76a7d;29 45220>0D<=;;:a7=5=8391<7>t$002>g=O9;n0D<<:;%301?g<,1:18ij4i7`94?"6;<0<965f6b83>!74=3=>76a7d;29 45220>07pl<8583>1<729q/=??5c:J26a=O9;?0(<=::`9'<5<3lm1b:o4?:%301?1232c=o7>5$016>23<3`348:0>=zj:;<6=4=:183!7593=37E?=d:J260=#9:?18<5+8187`a=n>h0;6)?<5;56?>i?l3:1(<=::868L45332wi?<650;094?6|,88:6:64H00g?M75=2.:?84;1:&;4?2cl2c=m7>5$016>23<3f2o6=4+1279=1=O9:>07pl<1883>6<729q/=??5939K57b<@88>7)?<5;;2?!>72=no7d8m:18'563=?<10e;m50;&270<0=21d4i4?:%301??332wi?34841>=n>j0;6)?<5;56?>i?l3:1(<=::868?xd5010;6>4?:1y'577=1;1C=?j4H006?!74=33:7)6?:5fg?l0e290/=>;57498m3e=83.:?8485:9lt$002>g=O9;n0D<<:;%301?g<,1:18ij4i7`94?"6;<0<965f6b83>!74=3=>76a7d;29 45220>07pl=3d83>7<729q/=??5799K57b<@88>7)?<5;62?!>72=no7d8n:18'563=?<10c5j50;&270<><2B:?954}c00b?6=:3:12084<>N6:m1C=?;4$016>17<,1:18ij4i7c94?"6;<0<965`8e83>!74=33?7E?<4:9~f72729096=4?{%315?1?3A;9h6F>249'563=<81/4=4;de9j2d<72-;8979:;:m;`?6=,89>64:4H017?>{e:=;1<7<50;2x 4462>20D<"6;<0?=6*70;6g`>o1i3:1(<=::678?j>c290/=>;5959K562<3th98?4?:383>5}#9;;1;55G13f8L4423-;897:>;%:3>1bc3`348:0>N6;=10qo<;3;292?6=8r.:><4j;I31`>N6:<1/=>;5a:&;4?2cl2c=n7>5$016>23<3`34841>=n>l0;6)?<5;56?>o1n3:1(<=::678?j>c290/=>;59598yg43<3:1?7>50z&264<>:2B:>i5G1378 45220;0(5>54ef8m3d=83.:?8485:9j2f<72-;8979:;:m;`?6=,89>64:4;|`011<72;0;6=u+13393==O9;n0D<<:;%301?263-2;69jk;h4b>5<#9:?1;854o9f94?"6;<0286F>3598yg52=3:1>7>50z&264<002B:>i5G1378 4522=;0(5>54ef8m3g=83.:?8485:9l=zj:?=6=4=:183!7593=37E?=d:J260=#9:?18<5+8187`a=n>h0;6)?<5;56?>i?l3:1(<=::868L45332wi?8950;094?6|,88:6:64H00g?M75=2.:?84;1:&;4?2cl2c=m7>5$016>23<3f2o6=4+1279=1=O9:>07pl<5983>0<729q/=??5d:J26a=O9;?0(<=::`9'<5<3lm1b:o4?:%301?1232c=o7>5$016>23<3`34841>=h0m0;6)?<5;;7?>{e<>81<7<50;2x 4462>20D<"6;<0?=6*70;6g`>o1i3:1(<=::678?j>c290/=>;5959K562<3th?;>4?:383>5}#9;;1;55G13f8L4423-;897:>;%:3>1bc3`348:0>N6;=10qo:84;290?6=8r.:><4l;I31`>N6:<1/=>;5a:&;4?2cl2c=n7>5$016>23<3`34841>=h0m0;6)?<5;;7?>{e<>?1<7=50;2x 4462080D<"6;<02=6*70;6g`>o1j3:1(<=::678?l0d290/=>;57498k=b=83.:?8464:9~f11129086=4?{%315?d<@88o7E?=5:&2705<#9:?1;854i7a94?"6;<0<965`8e83>!74=33?76sm46594?5=83:p(<<>:808L44c3A;996*>348:5>"?83>oh6g9b;29 4522>?07d8l:18'563=?<10c5j50;&270<><21vn>6n:181>5<7s-;9=797;I31`>N6:<1/=>;5409'<5<3lm1b:l4?:%301?1232e3h7>5$016><2<@89?76sm39`94?4=83:p(<<>:6:8L44c3A;996*>34875>"?83>oh6g9a;29 4522>?07b6k:18'563=1=1C=>:4;|`05<#9:?1;854o9f94?"6;<0286F>3598yg5?l3:1>7>50z&264<002B:>i5G1378 4522=;0(5>54ef8m3g=83.:?8485:9l=zj:2n6=4::183!7593n0D<"6;<0j7)6?:5fg?l0e290/=>;57498m3e=83.:?8485:9j2a<72-;8979:;:k5a?6=,89>6:;4;n:g>5<#9:?15954}c1;b?6=;3:1208:6>N6:m1C=?;4$016><7<,1:18ij4i7`94?"6;<0<965f6b83>!74=3=>76a7d;29 45220>07pl<2983>7<729q/=??5799K57b<@88>7)?<5;62?!>72=no7d8n:18'563=?<10c5j50;&270<><2B:?954}c11=?6=:3:12084<>N6:m1C=?;4$016>17<,1:18ij4i7c94?"6;<0<965`8e83>!74=33?7E?<4:9~f64f29096=4?{%315?1?3A;9h6F>249'563=<81/4=4;de9j2d<72-;8979:;:m;`?6=,89>64:4H017?>{e;;h1<7=50;2x 4462080D<"6;<02=6*70;6g`>o1j3:1(<=::678?l0d290/=>;57498k=b=83.:?8464:9~f64d290?6=4?{%315?e<@88o7E?=5:&2705<#9:?1;854i7a94?"6;<0<965f6e83>!74=3=>76a7d;29 45220>07pl<2e83>6<729q/=??5b:J26a=O9;?0(<=::`9'<5<3lm1b:o4?:%301?1232c=o7>5$016>23<3f2o6=4+1279=1=53;294~"6:802>6F>2e9K573<,89>64?4$9290ab34841>=n>j0;6)?<5;56?>i?l3:1(<=::868?xd5kj0;6?4?:1y'577=?11C=?j4H006?!74=3>:7)6?:5fg?l0f290/=>;57498k=b=83.:?8464:J271=52;294~"6:80<46F>2e9K573<,89>69?4$9290ab34841>=h0m0;6)?<5;;7?M74<21vn?mj:181>5<7s-;9=797;I31`>N6:<1/=>;5409'<5<3lm1b:l4?:%301?1232e3h7>5$016><2<@89?76sm2bd94?4=83:p(<<>:6:8L44c3A;996*>34875>"?83>oh6g9a;29 4522>?07b6k:18'563=1=1C=>:4;|`1`5<72;0;6=u+13393==O9;n0D<<:;%301?263-2;69jk;h4b>5<#9:?1;854o9f94?"6;<0286F>3598yg4c93:197>50z&264249'563=i2.3<7:kd:k5f?6=,89>6:;4;h4`>5<#9:?1;854i7f94?"6;<0<965f6d83>!74=3=>76a7d;29 45220>07pl=d383>6<729q/=??5939K57b<@88>7)?<5;;2?!>72=no7d8m:18'563=?<10e;m50;&270<0=21d4i4?:%301??332wi>5$016>23<3f2o6=4+1279=1=O9:>07pl=2683>0<729q/=??5d:J26a=O9;?0(<=::`9'<5<3lm1b:o4?:%301?1232c=o7>5$016>23<3`34841>=h0m0;6)?<5;;7?>{e:;21<7=50;2x 4462080D<"6;<02=6*70;6g`>o1j3:1(<=::678?l0d290/=>;57498k=b=83.:?8464:9~f74>29096=4?{%315?1?3A;9h6F>249'563=<81/4=4;de9j2d<72-;8979:;:m;`?6=,89>64:4H017?>{e:;k1<7=50;2x 4462k1C=?j4H006?!74=3k0(5>54ef8m3d=83.:?8485:9j2f<72-;8979:;:m;`?6=,89>64:4;|`16g<72;0;6=u+13393==O9;n0D<<:;%301?263-2;69jk;h4b>5<#9:?1;854o9f94?"6;<0286F>3598yg45k3:1>7>50z&264<002B:>i5G1378 4522=;0(5>54ef8m3g=83.:?8485:9l=zj;8o6=4<:183!7593h0D<"6;<0j7)6?:5fg?l0e290/=>;57498m3e=83.:?8485:9lt$002>g=O9;n0D<<:;%301?g<,1:18ij4i7`94?"6;<0<965f6b83>!74=3=>76a7d;29 45220>07pl=2183>6<729q/=??5b:J26a=O9;?0(<=::`9'<5<3lm1b:o4?:%301?1232c=o7>5$016>23<3f2o6=4+1279=1=53;294~"6:802>6F>2e9K573<,89>64?4$9290ab34841>=n>j0;6)?<5;56?>i?l3:1(<=::868?xd5:;0;694?:1y'577=k2B:>i5G1378 4522h1/4=4;de9j2g<72-;8979:;:k5g?6=,89>6:;4;h4g>5<#9:?1;854o9f94?"6;<02865rb300>5<4290;w)?=1;`8L44c3A;996*>348b?!>72=no7d8m:18'563=?<10e;m50;&270<0=21d4i4?:%301??332wi>?:50;194?6|,88:64<4H00g?M75=2.:?8461:&;4?2cl2c=n7>5$016>23<3`348:0>=zj;8>6=4<:183!7593h0D<"6;<0j7)6?:5fg?l0e290/=>;57498m3e=83.:?8485:9lt$002><4<@88o7E?=5:&270<>92.3<7:kd:k5f?6=,89>6:;4;h4`>5<#9:?1;854o9f94?"6;<02865rb5af>5<5290;w)?=1;5;?M75l2B:>85+127904=#090?hi5f6`83>!74=3=>76a7d;29 45220>0D<=;;:a0f`=8381<7>t$002>2><@88o7E?=5:&270<392.3<7:kd:k5e?6=,89>6:;4;n:g>5<#9:?1595G1268?xd3l90;6?4?:1y'577=?11C=?j4H006?!74=3>:7)6?:5fg?l0f290/=>;57498k=b=83.:?8464:J271=o=7>54;294~"6:802?6F>2e9K573<,89>64?4$9290ab34841>=n>j0;6)?<5;56?>o1l3:1(<=::678?j>c290/=>;59598yg2c:3:1?7>50z&264249'563=i2.3<7:kd:k5f?6=,89>6:;4;h4`>5<#9:?1;854o9f94?"6;<02865rb246>5<5290;w)?=1;5;?M75l2B:>85+127904=#090?hi5f6`83>!74=3=>76a7d;29 45220>0D<=;;:a731=8391<7>t$002><4<@88o7E?=5:&270<>92.3<7:kd:k5f?6=,89>6:;4;h4`>5<#9:?1;854o9f94?"6;<02865rb24;>5<4290;w)?=1;`8L44c3A;996*>348b?!>72=no7d8m:18'563=?<10e;m50;&270<0=21d4i4?:%301??332wi?;750;094?6|,88:6:64H00g?M75=2.:?84;1:&;4?2cl2c=m7>5$016>23<3f2o6=4+1279=1=O9:>07pl<6`83>7<729q/=??5799K57b<@88>7)?<5;62?!>72=no7d8n:18'563=?<10c5j50;&270<><2B:?954}c15f?6=;3:1208a?M75l2B:>85+1279e>"?83>oh6g9b;29 4522>?07d8l:18'563=?<10c5j50;&270<><21vn>8l:180>5<7s-;9=7l4H00g?M75=2.:?84n;%:3>1bc3`34841>=h0m0;6)?<5;;7?>{e;?n1<7=50;2x 4462080D<"6;<02=6*70;6g`>o1j3:1(<=::678?l0d290/=>;57498k=b=83.:?8464:9~f60b29086=4?{%315?d<@88o7E?=5:&2705<#9:?1;854i7a94?"6;<0<965`8e83>!74=33?76sm37494?5=83:p(<<>:808L44c3A;996*>348:5>"?83>oh6g9b;29 4522>?07d8l:18'563=?<10c5j50;&270<><21vn>7k:181>5<7s-;9=797;I31`>N6:<1/=>;5409'<5<3lm1b:l4?:%301?1232e3h7>5$016><2<@89?76sm38g94?4=83:p(<<>:6:8L44c3A;996*>34875>"?83>oh6g9a;29 4522>?07b6k:18'563=1=1C=>:4;|`0=c<72;0;6=u+13393==O9;n0D<<:;%301?263-2;69jk;h4b>5<#9:?1;854o9f94?"6;<0286F>3598yg5f83:1?7>50z&264249'563=i2.3<7:kd:k5f?6=,89>6:;4;h4`>5<#9:?1;854o9f94?"6;<02865rb2c2>5<4290;w)?=1;;1?M75l2B:>85+1279=4=#090?hi5f6c83>!74=3=>76g9c;29 4522>?07b6k:18'563=1=10qo=n2;297?6=8r.:><4m;I31`>N6:<1/=>;5a:&;4?2cl2c=n7>5$016>23<3`348:0>=zj:k86=4<:183!7593397E?=d:J260=#9:?15<5+8187`a=n>k0;6)?<5;56?>o1k3:1(<=::678?j>c290/=>;59598yg50>3:1?7>50z&264249'563=i2.3<7:kd:k5f?6=,89>6:;4;h4`>5<#9:?1;854o9f94?"6;<02865rb254>5<5290;w)?=1;5;?M75l2B:>85+127904=#090?hi5f6`83>!74=3=>76a7d;29 45220>0D<=;;:a72>=8381<7>t$002>2><@88o7E?=5:&270<392.3<7:kd:k5e?6=,89>6:;4;n:g>5<#9:?1595G1268?xd4?00;6?4?:1y'577=?11C=?j4H006?!74=3>:7)6?:5fg?l0f290/=>;57498k=b=83.:?8464:J271=53;294~"6:80i7E?=d:J260=#9:?1m6*70;6g`>o1j3:1(<=::678?l0d290/=>;57498k=b=83.:?8464:9~f61e29086=4?{%315??53A;9h6F>249'563=181/4=4;de9j2g<72-;8979:;:k5g?6=,89>6:;4;n:g>5<#9:?15954}c14g?6=<3:1208`?M75l2B:>85+1279e>"?83>oh6g9b;29 4522>?07d8l:18'563=?<10e;j50;&270<0=21d4i4?:%301??332wi>;?50;094?6|,88:6:64H00g?M75=2.:?84;1:&;4?2cl2c=m7>5$016>23<3f2o6=4+1279=1=O9:>07pl=6383>7<729q/=??5799K57b<@88>7)?<5;62?!>72=no7d8n:18'563=?<10c5j50;&270<><2B:?954}c057?6=:3:12084<>N6:m1C=?;4$016>17<,1:18ij4i7c94?"6;<0<965`8e83>!74=33?7E?<4:9~f70329086=4?{%315??53A;9h6F>249'563=181/4=4;de9j2g<72-;8979:;:k5g?6=,89>6:;4;n:g>5<#9:?15954}c051?6=;3:1208:6>N6:m1C=?;4$016><7<,1:18ij4i7`94?"6;<0<965f6b83>!74=3=>76a7d;29 45220>07pl=6783>6<729q/=??5b:J26a=O9;?0(<=::`9'<5<3lm1b:o4?:%301?1232c=o7>5$016>23<3f2o6=4+1279=1=53;294~"6:802>6F>2e9K573<,89>64?4$9290ab34841>=n>j0;6)?<5;56?>i?l3:1(<=::868?xd6j=0;694?:1y'577=k2B:>i5G1378 4522h1/4=4;de9j2g<72-;8979:;:k5g?6=,89>6:;4;h4g>5<#9:?1;854o9f94?"6;<02865rb0;g>5<3290;w)?=1;a8L44c3A;996*>348b?!>72=no7d8m:18'563=?<10e;m50;&270<0=21b:i4?:%301?1232e3h7>5$016><2<3th:m84?:583>5}#9;;1o6F>2e9K573<,89>6l5+8187`a=n>k0;6)?<5;56?>o1k3:1(<=::678?l0c290/=>;57498k=b=83.:?8464:9~f4d5290>6=4?{%315?b<@88o7E?=5:&2705<#9:?1;854i7a94?"6;<0<965f6e83>!74=3=>76g9e;29 4522>?07b6k:18'563=1=10qo?n1;292?6=8r.:><4j;I31`>N6:<1/=>;5a:&;4?2cl2c=n7>5$016>23<3`34841>=n>l0;6)?<5;56?>o1n3:1(<=::678?j>c290/=>;59598yg7f13:157>50z&264<682B:>i5G1378 4522h1/4=4;de9j2g<72-;8979:;:k5g?6=,89>6:;4;h4g>5<#9:?1;854i7g94?"6;<0<965f6g83>!74=3=>76g80;29 4522>?07d9>:18'563=?<10e:<50;&270<0=21d4i4?:%301??332wi=lo50;194?6|,88:6o5G13f8L4423-;897o4$9290ab34841>=n>j0;6)?<5;56?>i?l3:1(<=::868?xd6il0;644?:1y'577=991C=?j4H006?!74=3k0(5>54ef8m3d=83.:?8485:9j2f<72-;8979:;:k5`?6=,89>6:;4;h4f>5<#9:?1;854i7d94?"6;<0<965f7183>!74=3=>76g81;29 4522>?07d9=:18'563=?<10c5j50;&270<><21vn5<7s-;9=7m4H00g?M75=2.:?84n;%:3>1bc3`34841>=n>m0;6)?<5;56?>i?l3:1(<=::868?xd6j90;6>4?:1y'577=j2B:>i5G1378 4522h1/4=4;de9j2g<72-;8979:;:k5g?6=,89>6:;4;n:g>5<#9:?15954}c1``?6=:3:120840>N6:m1C=?;4$016>17<@>n0(:h5749'<5<3lm1b:l4?:%301?1232e3h7>5$016><2<3th8jh4?:383>5}#9;;1;95G13f8L4423-;897:>;I5g?!1a2>?0(5>54ef8m3g=83.:?8485:9lt$002>22<@88o7E?=5:&270<392B72=no7d8n:18'563=?<10c5j50;&270<><21vn;>50;094?6|,88:6::4H00g?M75=2.:?84;1:J4`>"0n3=>7)6?:5fg?l0f290/=>;57498k=b=83.:?8464:9~f34=8381<7>t$002>22<@88o7E?=5:&270<392B72=no7d8n:18'563=?<10c5j50;&270<><21vn>k?:181>5<7s-;9=79;;I31`>N6:<1/=>;5409K3a=#?o0<96*70;6g`>o1i3:1(<=::678?j>c290/=>;59598yg5b03:1>7>50z&264<0<2B:>i5G1378 4522=;0D:j4$6d930=#090?hi5f6`83>!74=3=>76a7d;29 45220>07pl;0783>7<729q/=??5759K57b<@88>7)?<5;62?M1c3-=m6:;4$9290ab34841>=h0m0;6)?<5;;7?>{e:7E9k;%5e>23<,1:18ij4i7c94?"6;<0<965`8e83>!74=33?76sm4683>7<729q/=??5759K57b<@88>7)?<5;62?M1c3-=m6:;4$9290ab34841>=h0m0;6)?<5;;7?>{e;lk1<7<50;2x 4462>>0D<"6;<0?=6F8d:&4b?123-2;69jk;h4b>5<#9:?1;854o9f94?"6;<02865rb2f;>5<5290;w)?=1;57?M75l2B:>85+127904=O?m1/;k485:&;4?2cl2c=m7>5$016>23<3f2o6=4+1279=1=;47>52;294~"6:80<86F>2e9K573<,89>69?4H6f8 2`=?<1/4=4;de9j2d<72-;8979:;:m;`?6=,89>64:4;|`03?6=:3:120840>N6:m1C=?;4$016>17<@>n0(:h5749'<5<3lm1b:l4?:%301?1232e3h7>5$016><2<3th8:7>52;294~"6:80<86F>2e9K573<,89>69?4H6f8 2`=?<1/4=4;de9j2d<72-;8979:;:m;`?6=,89>64:4;|`01?6=:3:120840>N6:m1C=?;4$016>17<@>n0(:h5749'<5<3lm1b:l4?:%301?1232e3h7>5$016><2<3th887>52;294~"6:80<86F>2e9K573<,89>69?4H6f8 2`=?<1/4=4;de9j2d<72-;8979:;:m;`?6=,89>64:4;|`06?6=:3:120840>N6:m1C=?;4$016>17<@>n0(:h5749'<5<3lm1b:l4?:%301?1232e3h7>5$016><2<3th8=7>52;294~"6:80<86F>2e9K573<,89>69?4H6f8 2`=?<1/4=4;de9j2d<72-;8979:;:m;`?6=,89>64:4;|`04?6=:3:120840>N6:m1C=?;4$016>17<@>n0(:h5749'<5<3lm1b:l4?:%301?1232e3h7>5$016><2<3th9j7>52;294~"6:80<86F>2e9K573<,89>69?4H6f8 2`=?<1/4=4;de9j2d<72-;8979:;:m;`?6=,89>64:4;|`1a?6=:3:120840>N6:m1C=?;4$016>17<@>n0(:h5749'<5<3lm1b:l4?:%301?1232e3h7>5$016><2<3th9h7>52;294~"6:80<86F>2e9K573<,89>69?4H6f8 2`=?<1/4=4;de9j2d<72-;8979:;:m;`?6=,89>64:4;|`1g?6=:3:120840>N6:m1C=?;4$016>17<@>n0(:h5749'<5<3lm1b:l4?:%301?1232e3h7>5$016><2<3th9n7>52;294~"6:80<86F>2e9K573<,89>69?4H6f8 2`=?<1/4=4;de9j2d<72-;8979:;:m;`?6=,89>64:4;|`1e?6=:3:120840>N6:m1C=?;4$016>17<@>n0(:h5749'<5<3lm1b:l4?:%301?1232e3h7>5$016><2<3th957>52;294~"6:80<86F>2e9K573<,89>69?4H6f8 2`=?<1/4=4;de9j2d<72-;8979:;:m;`?6=,89>64:4;|`0b?6=:3:120840>N6:m1C=?;4$016>17<@>n0(:h5749'<5<3lm1b:l4?:%301?1232e3h7>5$016><2<3th8i7>52;294~"6:80<86F>2e9K573<,89>69?4H6f8 2`=?<1/4=4;de9j2d<72-;8979:;:m;`?6=,89>64:4;|`0`?6=:3:120840>N6:m1C=?;4$016>17<@>n0(:h5749'<5<3lm1b:l4?:%301?1232e3h7>5$016><2<3th8o7>52;294~"6:80<86F>2e9K573<,89>69?4H6f8 2`=?<1/4=4;de9j2d<72-;8979:;:m;`?6=,89>64:4;|`0f?6=:3:120840>N6:m1C=?;4$016>17<@>n0(:h5749'<5<3lm1b:l4?:%301?1232e3h7>5$016><2<3th8m7>52;294~"6:80<86F>2e9K573<,89>69?4H6f8 2`=?<1/4=4;de9j2d<72-;8979:;:m;`?6=,89>64:4;|`0=?6=:3:120840>N6:m1C=?;4$016>17<@>n0(:h5749'<5<3lm1b:l4?:%301?1232e3h7>5$016><2<3th847>52;294~"6:80<86F>2e9K573<,89>69?4H6f8 2`=?<1/4=4;de9j2d<72-;8979:;:m;`?6=,89>64:4;|`07?6=:3:120840>N6:m1C=?;4$016>17<@>n0(:h5749'<5<3lm1b:l4?:%301?1232e3h7>5$016><2<3th8j;4?:581>1}#9;;1;n5G13f8L4423-2;69jk;h4b>5<>i0i3:17o9;:181>5<7s-;9=79;;%301?263A=o7)9i:678 =6=6}#9;;14k5G7e9'3c<><2.3<7:kd:k5e?6=3`?i6=44o9f94?=e9:;1<7=50;2x 45228;27d8n:18'563=?<10e<2B:=l54}r4b>5<5sWvP:b:?274<69<1v5j50;0xZ=b<589:65j4}|q;`?6=:rT3h6384;:g?xu0i3:1?vP8a:?40?0f342m65j4}r4b>5<5sW0d52;294~"6:803i6F>2e9K573<,89>69?4H6f8 2`=1=1/4=4;de9j2d<72-;8979:;:m;`?6=,89>64:4;|`0``<72;0;6=u+1339<`=O9;n0D<<:;%301?263A=o7)9i:868 =6="0n33?7)6?:5fg?l0f290/=>;57498k=b=83.:?8464:9~f6`d29096=4?{%315?>b3A;9h6F>249'563=<81C;i5+7g8:0>"?83>oh6g9a;29 4522>?07b6k:18'563=1=10qo:m:181>5<7s-;9=76j;I31`>N6:<1/=>;5409K3a=#?o0286*70;6g`>o1i3:1(<=::678?j>c290/=>;59598yg5a<3:1>7>50z&264i5G1378 4522=;0D:j4$6d9=1=#090?hi5f6`83>!74=3=>76a7d;29 45220>07pl7<729q/=??58d9K57b<@88>7)?<5;62?M1c3-=m64:4$9290ab34841>=h0m0;6)?<5;;7?>{e;mi1<7<50;2x 44621o0D<"6;<0?=6F8d:&4b??33-2;69jk;h4b>5<#9:?1;854o9f94?"6;<02865rb2fb>5<5290;w)?=1;:f?M75l2B:>85+127904=O?m1/;k464:&;4?2cl2c=m7>5$016>23<3f2o6=4+1279=1=53;397~"6:803j6F>2e9K573<@>n0(:h5959'<5<3lm1b:l4?::k6f?6=3f2o6=44b012>5<4290;w)?<5;32=>o1i3:1(<=::678?l76=3:1(<=::448?j>c290/=>;5959K54g<3ty=m7>52z\5e>;6;80=m6s|5c83>7}Y=k16=>?51078yv>c2909wS6k;<305?>c3twi?i<50;094?6|,88:65k4H00g?M75=2.:?84;1:J4`>"0n33?7)6?:5fg?l0f290/=>;57498k=b=83.:?8464:9~f6`529096=4?{%315?>b3A;9h6F>249'563=<81C;i5+7g8:0>"?83>oh6g9a;29 4522>?07b6k:18'563=1=10qo:?0;297?7=;r.:><47f:J26a=O9;?0D:j4$6d9=1=#090?hi5f6`83>>o2j3:17b6k:188f45629086=4?{%301?7612c=m7>5$016>23<3`;:97>5$016>00<3f2o6=4+1279=1=O98k07p}9a;296~X1i27:?<49a:p1g<72;qU9o521239543:9g8L44c3A;996*>34875>N0l2.1bc3`348:0>=zj:i36=4=:183!75932n7E?=d:J260=#9:?18<5G7e9'3c<><2.3<7:kd:k5e?6=,89>6:;4;n:g>5<#9:?15954}c1`f?6=:3:1208;a>N6:m1C=?;4$016>17<@>n0(:h5959'<5<3lm1b:l4?:%301?1232e3h7>5$016><2<3th8jl4?:383>5}#9;;14h5G13f8L4423-;897:>;I5g?!1a20>0(5>54ef8m3g=83.:?8485:9lt$002>=c<@88o7E?=5:&270<392B72=no7d8n:18'563=?<10c5j50;&270<><21vn>kl:180>4<4s-;9=76i;I31`>N6:<1C;i5+7g8:0>"?83>oh6g9a;29?l3e2900c5j50;9a567=8391<7>t$016>47>3`6=4+127913=348:0>N69h10q~8n:181[0f34;8=78n;|q6f?6=:rT>n63>308250=z{1n1<76<62:q/=??58g9K57b<@88>7E9k;%5e><2<,1:18ij4i7c94?=n=k0;66a7d;29?g7493:1?7>50z&270<6901b:l4?:%301?1232c:=84?:%301?3132e3h7>5$016><2<@8;j76s|6`83>7}Y>h16=>?56`9~w0d=838pR8l4=012>4723ty3h7>52z\;`>;6;803h6srb2g7>5<5290;w)?=1;:f?M75l2B:>85+127904=O?m1/;k464:&;4?2cl2c=m7>5$016>23<3f2o6=4+1279=1=52;294~"6:803i6F>2e9K573<,89>69?4H6f8 2`=1=1/4=4;de9j2d<72-;8979:;:m;`?6=,89>64:4;|`0`1<72;0;6=u+1339<`=O9;n0D<<:;%301?263A=o7)9i:868 =6=5}#9;;14h5G13f8L4423-;897:>;I5g?!1a20>0(5>54ef8m3g=83.:?8485:9l"0n33?7)6?:5fg?l0f290/=>;57498k=b=83.:?8464:9~f46029096=4?{%315?>b3A;9h6F>249'563=<81C;i5+7g8:0>"?83>oh6g9a;29 4522>?07b6k:18'563=1=10qo??6;296?6=8r.:><47e:J26a=O9;?0(<=::538L2b<,>l1595+8187`a=n>h0;6)?<5;56?>i?l3:1(<=::868?xd68<0;6?4?:1y'577=0l1C=?j4H006?!74=3>:7E9k;%5e><2<,1:18ij4i7c94?"6;<0<965`8e83>!74=33?76sm11694?4=83:p(<<>:9g8L44c3A;996*>34875>N0l2.1bc3`348:0>=zj8:86=4=:183!75932n7E?=d:J260=#9:?18<5G7e9'3c<><2.3<7:kd:k5e?6=,89>6:;4;n:g>5<#9:?15954}c336?6=:3:1208;a>N6:m1C=?;4$016>17<@>n0(:h5959'<5<3lm1b:l4?:%301?1232e3h7>5$016><2<3th:<<4?:383>5}#9;;14h5G13f8L4423-;897:>;I5g?!1a20>0(5>54ef8m3g=83.:?8485:9lt$002>=c<@88o7E?=5:&270<392B72=no7d8n:18'563=?<10c5j50;&270<><21vnkj50;094?6|,88:65k4H00g?M75=2.:?84;1:J4`>"0n33?7)6?:5fg?l0f290/=>;57498k=b=83.:?8464:9~f47=83;1<7>t$016>`4<@88>7E99;%5e>23<,181h<5fe383>!74=3o976sm1383>4<729q/=>;5e39K573<@><0(:h5749'<77>5$016>`4<3th:?7>51;294~"6;<0n>6F>249K33=#?o0<96*72;;4?lc5290/=>;5e398yg73290:6=4?{%301?c53A;996F86:&4b?123-296464id094?"6;<0n>65rb0794?7=83:p(<=::d08L4423A==7)9i:678 =4=101bi?4?:%301?c532wi=;4?:083>5}#9:?1i?5G1378L20<,>l1;85+838:e>ob:3:1(<=::d08?xd6?3:1=7>50z&27085G779'3c<0=2.3>77m;hg1>5<#9:?1i?54}c3;>5<6290;w)?<5;g1?M75=2B<:6*8f;56?!>520i0eh<50;&2706h<4H006?M113-=m6:;4$909=a=nm;0;6)?<5;g1?>{e9h0;6<4?:1y'563=m;1C=?;4H648 2`=?<1/4?46e:kf6?6=,89>6h<4;|`2f?6=93:1348f6>N6:<1C;;5+7g841>"?:3nn7dk=:18'563=m;10qo?l:182>5<7s-;897k=;I311>N0>2.<`348f6>=zj8n1<7?50;2x 4522l80D<<:;I55?!1a2>?0(5<5a19ja7<72-;897k=;:a5`<7280;6=u+1279a7=O9;?0D:84$6d930=#0;0j>6gj2;29 4522l807pl=0;295?6=8r.:?84j2:J260=O??1/;k485:&;6?g23`o96=4+1279a7=:183!74=3o97E?=5:J42>"0n3=>7)6=:ed8m`4=83.:?84j2:9~f74=83;1<7>t$016>`4<@88>7E99;%5e>23<,181i=5fe383>!74=3o976sm2283>4<729q/=>;5e39K573<@><0(:h5749'<77>5$016>`4<3th987>51;294~"6;<0n>6F>249K33=#?o0<96*72;c2?lc5290/=>;5e398yg42290:6=4?{%301?c53A;996F86:&4b?123-296lo4id094?"6;<0n>65rb3494?7=83:p(<=::d08L4423A==7)9i:678 =4=j;1bi?4?:%301?c532wi>:4?:083>5}#9:?1i?5G1378L20<,>l1;85+838`4>ob:3:1(<=::d08?xd503:1=7>50z&27085G779'3c<0=2.3>7m7;hg1>5<#9:?1i?54}c65>5<6290;w)?<5;g1?M75=2B<:6*8f;56?!>52h90eh<50;&2706h<4H006?M113-=m64:4$909fc=hm;0;6)?<5;g1?>{e6h<4;|`7g?6=93:1348f6>N6:<1C;;5+7g841>"?:3k?7dk=:18'563=m;10qo;m:182>5<7s-;897k=;I311>N0>2.d0348f6>=zj?;1<7?50;2x 4522l80D<<:;I55?!1a2>?0(5<5a69ja7<72-;897k=;:aa`<7280;6=u+1279a7=O9;?0D:84$6d9=1=#0;0im6aj2;29 4522l807pljf;295?6=8r.:?84j2:J260=O??1/;k464:&;6?dd3fo96=4+1279a7=:183!74=3o97E?=5:J42>"0n33?7)6=:b18k`4=83.:?84j2:9~fc4=83;1<7>t$016>`4<@88>7E99;%5e><2<,181n55`e383>!74=3o976smf283>4<729q/=>;5e39K573<@><0(:h5959'<72en>7>5$016>`4<3thm87>51;294~"6;<0n>6F>249K33=#?o0286*72;`7?jc5290/=>;5e398yg`2290:6=4?{%301?c53A;996F86:&4b??33-296o=4od094?"6;<0n>65rbg494?7=83:p(<=::d08L4423A==7)9i:868 =4=j<1di?4?:%301?c532wij:4?:083>5}#9:?1i?5G1378L20<,>l1595+838a3>ib:3:1(<=::d08?xda03:1=7>50z&27085G779'3c<><2.3>7l6;ng1>5<#9:?1i?54}cd:>5<6290;w)?<5;g1?M75=2B<:6*8f;;7?!>52kh0ch<50;&2706h<4H006?M113-=m64:4$909fa=hm;0;6)?<5;g1?>{e;j>1<7?50;2x 4522l80D<<:;I55?!1a20>0(5<5d49la7<72-;897k=;:a7f?=83;1<7>t$016>`4<@88>7E99;%5e><2<,181h95`e383>!74=3o976sm3ba94?7=83:p(<=::d08L4423A==7)9i:678 =4=ij1bi?4?:%301?c532wi?nk50;394?6|,89>6h<4H006?M113-=m64:4$909`6=hm;0;6)?<5;g1?M76i21vn>j>:182>5<7s-;897k=;I311>N0>2.a1348f6>=zj:n86=4>:183!74=3o97E?=5:J42>"0n33?7)6=:b;8k`4=83.:?84j2:9~f6b2290:6=4?{%301?c53A;996F86:&4b?123-296nj4id094?"6;<0n>65rb2f4>5<6290;w)?<5;g1?M75=2B<:6*8f;56?!>52jh0eh<50;&270j6:182>5<7s-;897k=;I311>N0>2.fg348f6>=zj:ni6=4>:183!74=3o97E?=5:J42>"0n33?7)6=:c28k`4=83.:?84j2:9~f6bc290:6=4?{%301?c53A;996F86:&4b??33-296l64od094?"6;<0n>65rb2fe>5<6290;w)?<5;g1?M75=2B<:6*8f;56?!>52jl0eh<50;&270k>:182>5<7s-;897k=;I311>N0>2.a0348f6>=zj:o86=4>:183!74=3o97E?=5:J42>"0n33?7)6=:ec8k`4=83.:?84j2:9~f6c2290:6=4?{%301?c53A;996F86:&4b??33-296i64od094?"6;<0n>65rb2g4>5<6290;w)?<5;g1?M75=2B<:6*8f;56?!>52mi0eh<50;&270k6:182>5<7s-;897k=;I311>N0>2.dd348f6>=zj:oi6=4>:183!74=3o97E?=5:J42>"0n33?7)6=:e28k`4=83.:?84j2:J25d=51;294~"6;<0n>6F>249K33=#?o0286*72;`2?jc5290/=>;5e398yg5bn3:1=7>50z&27085G779'3c<><2.3>7m:;ng1>5<#9:?1i?54}c1e5?6=93:1348f6>N6:<1C;;5+7g8:0>"?:3i=7bk=:18'563=m;10qo=i3;295?6=8r.:?84j2:J260=O??1/;k464:&;6?e33fo96=4+1279a7=51;294~"6;<0n>6F>249K33=#0;0on6%j2;29 4522l807pl4<729q/=>;5e39K573<@><0(:h5959'<77>5$016>`4<3th8jo4?:083>5}#9:?1i?5G1378L20<,>l1595+838ba>ib:3:1(<=::d08?xd4nm0;6<4?:1y'563=m;1C=?;4H648 2`=?<1/4?4nd:kf6?6=,89>6h<4;|`0bc<7280;6=u+1279a7=O9;?0D:84$6d9=1=#0;0o>6aj2;29 4522l80Dt$016>`4<@88>7E99;%5e><2<,181on5`e383>!74=3o97E?>a:9~f164290:6=4?{%301?c53A;996F86:&4b??33-296ij4od094?"6;<0n>65rb526>5<6290;w)?<5;g1?M75=2B<:6*8f;56?!>52jo0eh<50;&2708:182>5<7s-;897k=;I311>N0>2.d?348f6>=zj8;86=4>:183!74=3o97E?=5:J42>"6::0:=o5+7g841>"?:3i<7dk=:18'563=m;10qo?>4;296?6=8r.:?84;1:&264<0<2.1c9K573<@>n0(5>54ef8m3g=83.:?8485:9lt$016>`4<@88>7E99;%317?76j2.f7348f6>=zj8;:6=4=:183!74=3>:7)?=1;57?!1a2>?0(<<<:03a?!7713;:n6F>249K3a=#090?hi5f6`83>!74=3=>76a7d;29 45220>07pl>0d83>4<729q/=>;5e39K573<@><0(<<<:03a?!1a2>?0(5<5c39ja7<72-;897k=;:a55`=8381<7>t$016>17<,88:6::4$6d930=#9;91=47e3A;996F8d:&;4?2cl2c=m7>5$016>23<3f2o6=4+1279=1=50;294~"6:80:=:5G1308L4713E;:;7=t$03g>`3<,8;h6h:4$03f>`05}#9;;1=1;297~X69279578n;<32>`46s|1583>6}Y9=16>n49a:?20?c53ty:97>53z\21>;5l33g<58<1i?5rs0594?5|V8=01?h56`9>528;g1?xu613:1?vP>9:?05?0f34;26h<4}r3b>5<4sW;j70==:7c894g=m;1v{t9j0;6>uQ1b9>71<1i27:o7k=;|q2`?6=;rT:h63<5;4b?87c2l80q~?j:180[7b349=6;o4=0g9a7=z{;:1<7=t^328961=>h16>=4j2:p64<72:qU><523985e>;593o97p}=2;297~X5:278578n;<01>`46s|2483>6}Y:<16?n49a:?11?c53ty9:7>53z\12>;4l33g<5;=1i?5rs3:94?5|V;201>h56`9>6=44?:2y>64d=>m1689m56c9>6<l4?:2y>64d=>l1688?56c9>6do4?:2y>64d=>o1688856c9>6gn4?:5y>64d=?91688l56c9>67>=>k16>n47d:p6a<72=q6>036=>k16>?656b9>6ah4?:2y>64d=?;168;;56c9>6`k4?:5y>64e=>k168;o56c9>671=>k16>k47d:p75<72=q6>03`=>k16>?956b9>7564e=>m168:856c9>671=>m16?<47d:p77<7202d=>k16>?956d9>77c3ty887>56z?15f<1n279?=49a:?73<<1k279?h49a:?160<1j278876k;|q01?6=0r79=n480:?174<1i279?;49c:?105<1i279=k49a:?16d<1k278976k;3g:78n;<01f?0f3489h78m;<01a?0e349=65j4}r14>5<0s48:o79=;<006?0f3488478n;<076?0f349>;78n;<012?0e349<65j4}r1;>5<5s4>?j78l;<1;>=b5<5s4>>578l;<1b>=b5<5s4>=?78l;<1`>=b5<4s48:n78m;<65`?0d349n65j4}r1e>5<4s48:n78l;<640?0e349m65j4}r63>5<6lr7?4:7:?11=<2?2798:49b:?0<=<1j278nh49a:?113<1i2799o49a:?11c<1j279:l49a:?117449a:?03c<1j2784i49a:?02=<1k2785k49a:?033<1k279:>49a:p03<72:qU8;524685e>;3>3o97p};7;2952}:;:>1885229d900=::0?1885228:900=::0h1885228g900=::mh1885227d900=::jk188522b;900=:;9o1885226c900=:;8818852291900=:;8h1885226f900=::j:18852294900=::li188522g0900=:;9:1885226;92d=:<>03h6s|4983>6}Y<1168447d:?753z\7e>;3j32o70:n:d08yv2d2908wS:l;<6g>3g<5=i1i?5rs5f94?3|58??6;o4=227>3g<5;lh6;o4=3f3>3g<5=n14i5rs4`94?5|V56`9>1g656=<<16=km5449>5c>=<<16=k=5449>5a`=<<16=i;5449>5`b=<<16>n65569>25;0=m6391;g1?xu1:3:1>;u227:900=:;kh1885238`900=:;=21885235`900=:;0k18852241900=::<21885224f900=:;1?188524`d900=::=?1885225:900=:;==18852371900=:188523`:900=:<>n1885249f900=:<0o1885225f92d=:>;03h6s|7283>6}::=b<5;<:6;o4}r5f>578m;<142?0e3ty3;7>523y>57c=>116=8<5529>50?==:16=;j5529>527==:16=:;5529>52?==:16=:j5529>5=7==:16=5;5529>5=?==:16=8o5529>50c==:16=;<5529>530==:16?>:5529>63>==:16?ol5529>7605==:16>865529>60b==:16?5;5529>0d`==:16>965529>7<6==:16?875529>73`==:16?495529>736==:16?:j5529>62b==:16?k856`9~w=>=838p1>h9:9f896772?k0q~66:187875n33>70<;5;74?82>2?k019l56`9~w=g=838p1>j?:9f8967e2<=0q~kj:180[cb34lo65j4=dg9a7=z{ll1<7=t^dd89cc=0m16ik4j2:pb4<72:qUj<52fg8;`>;a93o97p}i2;297~Xa:27:<=47d:?e6?c53tym?7>53z\e7>;68803h63i3;g1?xua<3:1?vPi4:?247028;`>;a=3o97p}i6;297~Xa>27:<947d:?e2?c53tym;7>53z\e3>;68<03h63i7;g1?xua03:1?vPi8:?243068;`>;a13o97p}ia;297~Xai27:<547d:?ee?c53tymn7>5ez?774<1j27?mo49c:?7=<<1i27?4=49b:?17f549a:?76a<1j27?;>49a:?731<1l27?ok49a:pbf<72:q68>?58e9>603=>h16>;<56`9~wcb=838p19:k:9f89cb=>h1v<>?:181822:32o70??0;4b?xu6880;6?u244595<5s4>>o76k;<336?0f3ty:<>4?:3y>037=0m16===56`9~w4632909w0:96;:g?877<30483>7}:c34;;:78n;|q242<72;q68:958e9>551=>h1v<>7:181820k32o70??8;4b?xu68h0;6?u24e192d=::=>14i5rs01g>578n;<634?3e349no7;m;<636?3e3ty:?h4?:3y>56b==>16=9>58e9~w45a2909w0?4083>6}:9=;1ii521b592d=::881:o5rs061>5<5s4;?=7;8;<377?>c3ty:894?:9y>504=mm16=4?5589>5j16=8956`9>5m16=l?56g9>5dg=>j16=lh56e9~w434290::v3>53871>;6=h0?963>5d871>;6>;0?963>67871>;6=00?963>6e871>;6?80?963>74871>;6?00?963>7e871>;6080?963>84871>;6000?963>3e871>;5k10?963=17871>;58l0?963>c`871>;6k=0?963>40871>;6==03h6s|14794?4|58?96894=07;>=b:7>52z?2139086e>;61k0=n63>9e85g>;6i80=i63>a8846>;6io0=n6s|15794?2|58?j6hj4=0:g>0g<58h96;m4=0cf>3en7>52z?21d<2?27:9i47d:p50e=838p1<;l:9f8943c2?i0q~?;6;290~;6=l0nh63>8d86e>;6j=0=o63>b385f>{t901<58<:65j4}r354?6=:r7::=47d:?224<1k2wx=9950;1x94052ln01<6i:4c894d32?h0q~?93;296~;6>;0>;63>648;`>{t9?>1<7=b<58<>6;m4}r3749b:?15=<1j27:oi49a:?2g`<1j27:o;49c:p531=838p1<89:458940>21n0q~?98;296~;6>103h63>6885g>{t9?k1<701<58:7f894g>2>;01v3>6e863>;6?903h6s|17d94?4|583e56z?2344:a:?2=c<1j27:m<49c:?2e<<0827:mh481:p524=838p1<9>:458941321n0q~?83;296~;6?:03h63>7585g>{t9=i1<7;t=056>`b<583?68o4=0c2>3d<58k26;h4=0cf>2452z?230<2?27:;547d:p521=838p1<98:9f8941?2?i0q~?;d;292~;6?00nh63>9486e>;6i:0=n63>a485g>;6i00=i63>ad844>{t9>k1<701<58=h65j4}r34f?6=:r7:;o47d:?23f<1k2wx=9k50;7x941c2ln01<79:4c894g22?h01;63>818;`>{t9>l1<7=b<582;6;m4}r37b?6==r7:4<4jd:?2=2<2i27:m:49b:?2e<<1j27:mh49f:p5=4=838p1<6>:45894>321n0q~?73;296~;60:03h63>8585g>{t9<:1<7:t=0:6>`b<583368o4=0c:>3e<58kn6;j4}r3;2?6=:r7:484:7:?2<=021n01<67:7a8yv7293:19v3>888f`>;6100>m63>ab85f>;6j;0=h63>ad85f>{t91k1<701<582h65j4}r3;f?6=:r7:4o47d:?2k3:1?v3>9286=>;61o0=o63>9e8;`>{t90o1<70?<583m65j4}r3b4?6=9686=>;6i>0=o63>a48;`>{t9h<1<70?<58k<65j4}r3b21n01v3>8e86=>;6ij03h6s|1`f94?5|582n6874=0`7>3b<58h;65j4}r3ba?6=:r7:mh47d:?2f5<1j2wx=lh50;0x94ga21n01v3>8g86=>;6j;03h6s|1c194?4|583;6874=0`7>=b52z?2;6>80=n6s|1c594?4|582m6<>l;<351?0e3ty:n54?:3y>5<6=99i01<86:7`8yv7e13:1>v3>90824f=:9?i1:o5rs0`b>5<5s4;2>7??c:?235<1j2wx=ol50;0x94?428:h70?84;4a?xu6jj0;6?u2186955e<58=36;l4}r3a`?6=:r7:584>0b9>52e=>k1v>3;;o63>8185f>{t9kl1<746d34;3878m;|q2g5<72;q6=46511a894>?2?h0q~?l1;296~;6100:c3ty:o94?:7y>5f2=mm16=i=56c9>5fe=>h16=n756c9>646=>k16>c783>7}:9j<14i521b:92g=z{8i<6=4={<3`3?>c34;h478l;|q2g=<72;q6=n658e9>5f?=>j1vcc83>7}:9jk19:521e29c34;hi78l;|q2ga<72;q6=nj58e9>5f`=>j1v5<5s4;o>76k;<3g7?0d3ty:h94?:2y>516=>k16=i=58e9>64?=>k1v5<5s4;o;76k;<3gg?0e3ty:h54?:3y>5a>=0m16=im56b9~w4b>2909w0?k9;:g?87cl3d`83>7}:9mk14i521ef92a=z{8ni6=4={<3gf?>c34;oh78j;|q2`f<72;q6=im58e9>5ac=>k1v5<5s4;oj7;8;<3fc3ty:i<4?:3y>5`7=0m16=h856c9~w4c52909w0?j2;:g?87b>3e283>7}:9l914i521d592f=z{8o?6=4={<3f0?>c34;n;78k;|q2a0<72;q6=h;58e9>5`1=>l1v32o70?j8;4a?xu6m>0;6?u21d595<6v3>eg8;`>;6n;0=o6s|1g294?4|58l;65j4=0d1>3b52z?2b47:7a894`12?k01v3>f2863>;6n>03h6s|1g794?4|58l>65j4=0d4>3d52z?2b37:7`894`72?k01:7a894c32?k01;63>fc8;`>{t9ok1<7=b<58li6;m4}r3ff?6=0r7:jn4jd:?140<2i279v3>fd8;`>;6no0=o6s|1da94?1|5;:;6hj4=325>0g<58l96;l4=0g1>3g<58o<6;l4=0f;>3g<58no6;l4}r035?6=:r79<=4:7:?146=<50;0x976521n01?><:7a8yv47?3:1?v3=0486=>;58h0=o63=098;`>{t:931<70?<5;:j65j4}r03f?6=:r79<94>0b9>5cd=>k1v?>l:181847=3;;o63>fg85f>{t:9n1<746d348;?78m;|q14`<72=k5ee9>56`=>h16><>56b9>645=>k16?io56`9~w76a2909w07}::8:14i5220792g=z{;;:6=4={<025?>c348:>78l;|q157<72;q6><<58e9>645=>j1v??<:181846;32o70<>4;4`?xu59=0;6?u220695<4s48::7kk;<1e<85569>64?=0m1v??7:181846032o70<>9;4`?xu59h0;6?u220`95<5s48:o76k;<02`?0d3ty9=h4?:3y>64`=0m16>?j56b9~w77a2909w0<=7;:g?845;37}::;;14i5223092g=z{;8:6=4={<016?>c3489?78l;|q167<72;q6>?=58e9>672=>j1v?<<:181845<32o70<=5;4`?xu5:=0;6?u223795<5s489476k;<016?0d3ty9>54?:3y>67?=0m16>?k56b9~w74>2909w0<=a;:g?845837}::;h14i5223292f=z{;8i6=4={<01g?>c3489>78k;|q16f<72;q6>?j58e9>672=>k1v?5<4s48?;78l;<007?>c348?878m;|q175<72;q6>>>58e9>665=>j1v?=>:181844932o70<<3;4g?xu5;;0;6?u222095<5s488976k;<00=?0d3ty9?84?:3y>660=0m16>>o56c9~w7512909w0<<7;:g?844i37}:::214i5222a92f=z{;936=4={<00=?>c3488n78m;|q17<<72;q6>>o58e9>66d=>j1v?=n:181844j32o70<5<5s488j76k;<077?0e3ty9?k4?:3y>616=0m16>9=56e9~w7272909w0<;1;:g?843;37}::=814i5225192c=z{;>96=4={<077?>c348?878l;|q100<72;q6>9;5ee9>61>==>1v?:9:181843=3>n70<;7;:g?xu5<10;6;u225:9aa=:::=1:l5222;92g=:::l1:l5223;92d=::;k1:o5rs36b>5<5s48?47:j;<07=?>c3ty98o4?:3y>61d=mm16>8<56c9~w72d2908w0<;b;66?82d93>>70<;d;:g?xu55<5s48>=76k;<066?0d3ty99>4?:3y>605=mm16>;;56c9~w7332909w0<:3;6f?842?32o7p}=5483>7}::c348>;78l;|q11=<72;q6>865ee9>632=>k1v?;6:18184203>n70<:c;:g?xu5=h0;6?u224c95<5s48>n76k;<06g?0d3ty99i4?:3y>60b=mm16>;j56`9~w73b2909w0<:d;74?842n32o7p}=6183>6}::5<5s48==76k;<050?0d3ty9:?4?:3y>634=0m16>;;56b9~w7042909w0<93;:g?841?37}::?>14i5227492g=z{;<>6=4={<051?>c348=:78l;|q123<72;q6>;858e9>631=>k1v?87:18184103oo70=ke;4b?xu5>00;6?u227:912=::?h14i5rs34b>5<5s48=m76k;<05f?0d3ty9:n4?:3y>63b=0m16>;k56b9~w70a2909w0<9f;gg?85d=37}::?l19:522669c348:<58e9>625=>j1v?9<:181840;32o70<84;4`?xu5?<0;6>u226491:l522ba92d=z{;=<6=4={<043?cc348<:78l;|q13=<72hq6>:95449>77`=<<16??<5449>74e=<<16?<;5449>747=<<16?=j5449>6`d=<<16>5<5449>62?=0m1v?9n:181840i3oo70<86;4a?xu5?k0;6>u226c912=::>=19:5226a9:j5569>62`=0m1v?6?:18084?932o707}::181ii5229392f=z{;286=4={<0;7?cc3483=78m;|q1<1<72:q6>5=5569>6=4==>16>5;58e9~w7>12909w0<76;gg?855837}::1<19:5229;9c3483578l;|q15h5ee9>6d7==016>l856b9>6g1=>k16>o756`9>6<4=>h16>n<56`9>76?=>h16?9>56b9~w7?72909w0<7f;74?84><32o7p}=9083>7}::0;14i5228192g=z{;396=4={<0:6?>c3482?78l;|q1=6<72;q6>4=58e9>6<2=>j1v?6m:18;84>=3oo7035<0s48247kk;<0b6?3f348j478m;<0ag?0f348h:78n;<10e?0f349?<78j;|q1=<<72;q6>465569>6j3oo707}::0h19:5228f9616>l>58e9~w7g22908w00;6>u22`191<=::hk1:n522`:9348jm76k;|q1eg<724956c9>6k16>4j56c9>6d6=>k16>lk58e9~w7gd2909w07}::hn14i522`g92f=z{;km6=4={<0b5?77k2795:49c:p6g6=838p1?o=:02`?84>i37}::h91==m4=3;g>3e7>52z?1e1<68j16>l>56b9~w7d42908w05<4s48jo78n;<0ab?>c3482=78n;|q1f2<72;q6>o958e9>6gc=>k1v?l7:18184e032o705<5s48im76k;<0a`?0c3ty9no4?:3y>6gd=0m16>oh56c9~w7dd2909w07}::kn14i522cg92f=z{;hn6=4={<0aa?>c348ij78l;|q1g5<72:q6>n>5ee9>6c?=>h16>nh56`9~w7e62909w07}::j814i522b592g=z{;i86=4={<0`7?>c348h;78k;|q1g1<72;q6>n:58e9>6f1=>o1v?m::18184d=32o705<4s48h57;8;<0`n75ee9>6g3=>k1v?mn:18084di3oo70=;e;74?853831}::l<1:l522d:92g=::m=1:o522e09c348o=78m;|q1ga<72;q6>nj58e9>6a7=>j1v?mj:18184dm32o705<5s48o<76k;<0g6?0d3ty9h<4?:3y>6a7=0m16>i<56c9~w7b42909w07}::m>14i522e592f=z{;n>6=4={<0g1?>c348o478m;|q1`3<72;q6>i858e9>6a>=>j1v?j8:18184c?32o705<5s48o576k;<0ge?0e3ty9ho4?:5y>6ad=mm16?5956`9>7gb=>h16>h?56c9~w7bd2909w07}::mn14i522d:92f=z{;nn6=4={<0ga?>c348n<78l;|q1`c<72;q6>ih58e9>6`4=>j1v?k?:18184b832o705<5s48n?76k;<0f=?0d3ty9i94?:3y>6`2=0m16>h>56e9~w7c22909w07}::l<14i522dc92f=z{;o<6=4={<0f3?>c348oj78k;|q1a=<72;q6>h658e9>6ac=>j1v?k6:18184b132o705<3s48nn7kk;<137?0d348<=78m;<0g1?0e3ty9in4?:dy>6`e=mm16?754=>k16?=856`9>6cb=>h16>hk56b9>624=>h16>h=56`9>6`3=>k16>ik56c9>6a0=>h16?<756c9>77?=>h16??l56c9~w7cc2909w07}::lo14i522g392g=z{;om6=4={<0fb?>c348m<78l;|q1b5<72;q6>k>58e9>6c7=>j1v?h=:18f84a:3oo70=>e;4a?857:338;4b?84?035<5s48m876k;<0ea?0e3ty9j84?:3y>6c3=0m16>k856b9~w7`12909w07}::o214i522gg92f=z{;l26=4={<0e=?>c348mi78k;|q1bd<72;q6>ko58e9>6cc=>l1v?hm:18184aj32o705<5s48mh76k;<0eb?0d3ty9jh4?:3y>6cc=0m16>k;56b9~w7`a2909w0c}:;9:1ii5231:92g=::oh1:l522gd92g=::lo1:o522dd92d=::>;1:n522d692d=::lk1:o522e692d=::m?1:n5230592d=::121:n5233:92d=:;;i1:n5rs222>5<5s49;<7;8;<13e?>c3ty8754=0m16?=o56c9~w6642909w0=?3;:g?857?37}:;9>14i5231592f=z{::>6=4={<131?>c349;578m;|q043<72;q6?=858e9>75?=>m1v>>8:181857?32o70=?8;4`?xu4810;6?u231:95<5s49;576k;<13e?0d3ty875e=0m16>ko56`9>6fb=>h1v>>k:181857l3oo70=?c;4`?xu48l0;6?u231g9aa=:;9i1:o5rs22e>5<3s49;i7;8;<13`?30348?97;<;<124?>c3ty8=<4?:3y>747=mm16?9?56b9~w6752909w0=>2;gg?853936}:;8819:52303912=:;8>14i5rs236>5<4s49:97kk;<1g4?0f349hj78n;|q053<72;q6?<;5569>74g=0m1v>?8:181856?32o70=>9;4`?xu4910;6?u230:95<5s49:576k;<12e?0e3ty8=o4?:3y>74d=mm16>:j54d9~w67d2909w0=>c;gg?85b:37}:;8i19:5230g9c3499=78l;|q067<72;q6??<5ee9>7`e=>h1v><<:181855:3?<70==6;:g?xu4:=0;6?u233695<5s499976k;<112?0d3ty8>:4?:2y>767=>h16??:56`9>77c=0m1v><7:181855032o70==b;4`?xu4:00;6?u233;95<5s499m76k;<11g?0c3ty8>o4?:3y>77d=0m16??j56b9~w64d2909w0==c;:g?855m37}:;;n14i5233g92g=z{:8m6=4={<11b?cc34>;<78n;|q075<72;q6??h5569>765=0m1v>=>:181854932o70=<3;4a?xu4;;0;6?u232095<4s49887kk;<0`7?0f34>;>78n;|q070<72;q6?>:5569>760=0m1v>=8:181854<3>n70=5<5s498576k;<10`?0e3ty8?l4?:3y>76g=0m16?>j56e9~w65e2909w0=7}:;:i14i5232f92c=z{:9o6=4={<10`?>c3498i78l;|q07c<72:q6?>856`9>76c=>k16?9858e9~w6272909w0=;0;:g?853>37}:;=;14i5235792g=z{:>96=4={<176?>c349?878m;|q006<72;q6?9=58e9>712=>j1v>:;:181853<32o70=;5;4`?xu4<<0;6?u235795<5s49?;7kk;<1`2?0f3ty8854?:gy>71>=mm16?no56`9>71b=>j16?4<56c9>7<5=>h16?8l56c9>70e=>h16?:?56c9>724=>h16?lh56b9>7=7=>h16?8:56`9>7=e=>h16?;956b9>72d=>k1v>:6:18185303>n70=;a;:g?xu45<5s49?n7;8;<17`?>c3ty88h4?:7y>71c=mm16?lo56`9>7g5=>j16?l856b9>7=d=>h16?;;56`9~w62a2909w0=:0;:g?852:37}:;<;14i5234092a=z{:?86=4<{<164?0f349>476k;<1b5?0e3ty8994?:3y>702=0m16?8656c9~w6322909w0=:5;:g?852037}:;<<14i5234:92a=z{:?<6=4={<163?>c349>478j;|q01<<72;q6?875ee9>773=>h1v>;n:18185213?<70=:f;:g?xu4=k0;6?u234`95<5s49>o76k;<16a?0e3ty89i4?:3y>70b=0m16?8k56b9~w63b2909w0=:e;:g?852n37}:;?:1ii5234`92f=z{:<:6=4={<154?30349=>76k;|q026<72;q6?;=5ee9>6`d==>1v>8;:181851;3?<70=96;:g?xu4><0;6?u237795<5s49=;76k;<15`?0e3ty8:54?:3y>73>=0m16?;m56c9~w60>2909w0=99;:g?851k37}:;?k14i5237g92f=z{:c349=:78m;|q02f<72;q6?;m58e9>73b=>j1v>8k:181851l32o70=9e;4a?xu4>l0;6?u237g95<4s49=j7kk;<0;=?0e3499m78n;|q035<72;q6?;h5569>722=0m1v>9>:181850932o70=84;4a?xu4?;0;6?u2360991:n5rs250>5<5s4973`=7}:;>=14i5236c92f=z{:=36=4={<14c34972e=>m1v>9n:181850i32o70=8b;4`?xu4?k0;6?u236`9i1:n5rs25g>5<5s4972b=7=2=0m1v>9i:181850n32o70=74;4`?xu4090;6?u239295<5s493=76k;<1;7?0d3ty84?4?:3y>7=4=0m16?5:56e9~w6>42909w0=73;:g?85?<37}:;1?1ii5234392d=z{:2=6=4={<1;1?303493476k;|q0<2<72;q6?5958e9>7=>=>j1v>66:18085?=3>n70=mb;6f?85?n32o7p}<8`83>7}:;1k14i5239g92f=z{:2i6=4={<1;f?>c3493i78k;|q07=c=>l1v>6k:18185?l32o70=7f;4`?xu40l0;6?u239g95<5s492<7kk;<106?0f3ty85<4?:3y>7<6==>16?4858e9~w6?52909w0=62;:g?85>>37}:;0914i5238792g=z{:3?6=4={<1:0?>c3492978l;|q0=0<72;q6?4;58e9>7<0=>j1v>78:18185>?3oo70=62;4`?xu4110;6?u2385912=:;0314i5rs2;b>5<2s49?47;8;<1:e?cc3492;7:j;<154?2b349?m78l;|q0=g<72?q6?4l5ee9>7g7=>h16?5<56`9>7=c=>k16?;756`9>721=>h1v>7l:18185>j3>n70=n3;:g?xu41m0;6?u238f95<5s492i76k;<1b4?0d3ty85k4?:3y>7<`=0m16?l=56b9~w6g72909w0=n0;:g?85f937}:;h;14i523`092f=z{:k96=4={<1b6?>c349j?78m;|q0e1<720q6?l:5ee9>7g>=>h16?o<56`9>7g5=>k16?l856c9>7=6=>k16?;o56`9>7h16?:756`9~w6g22909w0=n4;74?85f?32o7p}7}:;h<14i523`592f=z{:k36=4l{<1b7dc=0m1v>on:18185fi32o70=m5;4`?xu4ik0;6?u23``95<5s49jo76k;<1b`?0e3ty8mi4?:3y>7db=0m16?lk56c9~w6ga2909w0=nf;:g?85fk37}:;k:14i523c492g=z{:h:6=4={<1a5?>c349i:78l;|q0f7<72;q6?o<58e9>7db=>j1v>l<:18185e;32o70=nb;4a?xu4j=0;6?u23c695<5s49i976k;<1ba?0d3ty8n;4?:3y>7g0=0m16?lm56b9~w6d02909w0=m8;:g?85e130}:;==19:5238290`=:;<318h5235f92g=:;k314i5rs2`a>5<5s49in7kk;<1g0?0f3ty8nn4?:3y>7gd==>16?oh58e9~w6dc2909w0=md;:g?85en37}:;ko14i523cd92f=z{:i;6=4={<1`5?>c349h?78m;|q0g4<72;q6?n<58e9>7f5=>j1v>m;:180[5d<278o547d:?0g1m8:7`8yv5d>3:1>v3;4k>0=o6s|3b:94?4|5:i<65j4=2a;>3g53z\0g<=:;jh14i523b;9a7=z{:ii6=4={<1`e?>c349hn78n;|q0gf<72:qU?nm4=2ag>3g<5:ih6h<4}r1``?6=ir798k49a:?114<1i27?on49b:?7`1<1k279:h49b:?7g3<1i27?h:49a:?0g7<1i27?h<49c:?0ga`453z\0`6=:;m>14i523e19a7=z{:n>6=4<{_1g1>;4l?0=m63{t;m<1<7=t=35`>3g<5;o<6;o4=2f5>=b53z\0`2=:;m21:l523e59a7=z{:n36=4={<04b?0f349o476k;|q0`<<72:qU?i74=2fb>=b<5:n26h<4}r1gf?6=;rT8ho523ea95<4sW9oh63;4lm0n>6s|3ed94?5|V:nm70=j0;4b?85cn3o97p}7}::1?1:l523d29;4m;03h63{t;l91<7=t^2g0?85b<32o70=j3;g1?xu4m=0;6?u23b191:l5rs2g6>5<4sW9n963;4m<0n>6s|3d494?4|5:8:65j4=2g5>3g53z\0a2=:;l21:l523d59a7=z{:o36=4={<377?0f349n476k;|q0a<<72:qU?h74=2gb>3g<5:o26h<4}r1fe?6=`452z?7gfki;<1e4?>c349nj7k=;|q0b5<72;q68n:58e9>7c6=>h1v>h>:180[5a9278j?47d:?0b4h=:7c8yv5a;3:1?vP7c2=0m16?k=5e39~w6`32909w0:k2;:g?85a<36}Y;o?01>h9:6c896`22l80q~=i7;296~;4n103h63{t;o31<7=t^2d:?85ai32o70=i9;g1?xu4nh0;6?u24e195<4sW9mn63;4nk0n>6s|3ga94?4|5=n?65j4=2d`>3g53z\0ba=:;oo1:l523gf9a7=z{:ln6=4;{<1:=?0f34>o578n;<1:0?0f349mi76k;|q0bc<72:qU?kh4=523>=b<5:lm6h<4}r635?6=;rT?<<5241095<4sW>;?63;058;`>;38:0n>6s|41694?4|5;3g;97>53z\740=:<9<1:l524179a7=z{=:=6=4={<120?0f34>;:76k;|q742<72:qU8=94=52;>3g<5=:<6h<4}r63n49a:?74=j:7`8914b2?h0q~:?b;29=~;38k0nh63;c885f>;3lh0=n63;a785f>;3010=m63;3g85f>;39=0=n63;2185e>;3kl0=m6s|41a94?4|5=:i6894=536>=b;h7>52z?74a49b:p05c=838p19>j:9f891772?h0q~:?f;296~;38o03h63;1485g>{t<8:1<7=b<5=;:6;m4}r625?6=:r7?=<47d:?757<1j2wx8<<50;0x917521n019?<:7a8yv26;3:1>v3;128;`>;39=0=o6s|40694?4|5=;?65j4=536>3d::7>52z?7538;296~;39103h63;1185g>{t<831<7=b<5=;96;m4}r62e?6=:r7?=l47d:?74c<1j2wx8i:7a8yv26k3:1>v3;1b8;`>;38o0=h6s|40f94?4|5=;o65j4=52e>3c:i7>52z?75`{t<;;1<7=b<5=8=6;j4}r616?6=:r7?>?47d:?760<1j2wx8?=50;0x914421n019<;:7`8yv25<3:1>v3;258;`>;3:<0=o6s|43794?4|5=8>65j4=505>3e9;7>52z?762h49c:p07>=838p19<7:9f891442?i0q~:=9;296~;3:003h63;2585g>{t<;k1<7=b<5=8=6;l4}r61f?6=:r7?>o47d:?764<1j2wx8?m50;0x914d21n019<>:7a8yv25l3:1>v3;2e8;`>;3:;0=o6s|43g94?4|5=8n65j4=500>3d9j7>52z?7757}:<:819:524539c34>8978l;|q770<72;q68>;58e9>06?=>j1v9=9:181824>32o70:0;6?u242595<5s4>8476k;<675?0d3ty??44?:3y>06?=0m168>k56c9~w15f2909w0:7}:<:h14i5242a92g=z{=9h6=4={<60g?>c34>8h78m;|q77a<72;q68>j58e9>06c=>j1v9=j:181824m32o70:;0;4a?xu3;o0;6?u242d95<5s4>?<76k;<675?0e3ty?8?4?:3y>014=0m168>856c9~w1242909w0:;3;:g?824>37}:<=>14i5242592f=z{=>>6=4={<671?>c34>8h78l;|q703<72;q689858e9>016=>j1v9:8:181823?32o70:<8;4a?xu3<10;6?u245:95<5s4>?m76k;<67`?0e3ty?8l4?:3y>01d=0m1689m56b9~w12e2909w0:;c;:g?823l37}:<=l14i5244092g=z{=>m6=4={<664?>c34>>=78l;|q715<72;q688?58e9>004=>j1v9;<:181822<32o70::7;4a?xu3==0;6?u244795<5s4>>:76k;<663?0d3ty?954?:3y>00?=0m1688m56c9~w13>2909w0::a;:g?822j37}:<c34>==78m;|q71`<72;q688h58e9>036=>j1v9;i:181821832o70:91;4`?xu3>;0;6?u247195<5s4>=876k;<651?0d3ty?:94?:3y>033=0m168;856b9~w1002909w0:98;:g?821j37}:c34>=n78l;|q72f<72;q68;j58e9>026=>k1v98k:181821m32o70:9f;4`?xu3>l0;6?u247d9:1:n5rs552>5<5s4><>76k;<641?0e3ty?;?4?:3y>025=0m168:;56b9~w1142909w0:84;:g?820?37}:<>?14i5246492f=z{==>6=4={<642?>c34><;78m;|q73=<72;q68:758e9>02e=>k1v996:181820i32o70:8b;4`?xu3?h0;6?u246`9i1:n5rs55g>5j<78n;<6b0?0e34>j;78n;<6:e?0f34>3578n;<6;g?0e34>?978n;<673?0f34>:578n;<616?0e34>i=78n;|q73`<72;q68:j5569>0=2=0m1v99i:181820n32o70:71;4a?xu3090;6?u249295<5s4>3=76k;<6;0?0e3ty?4?4?:3y>0=4=0m1685=56b9~w1>42909w0:73;:g?82?<37}:<1?14i5249`92f=z{=2=6=4={<6;2?>c34>3?78m;|q7<2<72;q685958e9>0=e=>j1v967:18182?032o70:70;4`?xu3000;6?u249;95<5s4>3m76k;<6;4?0b3ty?4o4?:3y>0=d=0m1685?56b9~w1>d2909w0:7c;:g?82?:3`}:<1n1ii524`g92g=:l1:n5249c92d=:<=<1:l5242:92f=:<9n1:n5240a92d=:<;h1:l524c192g=z{=2n6=4={<6;`?3034>2976k;|q70j1v97?:18182>832o70:62;4a?xu3180;6?u248395<5s4>2>76k;<6:7?0d3ty?5>4?:3y>0<5=0m1684:56c9~w1?32909w0:64;:g?82>=37}:<0<14i5248392f=z{=3<6=4={<6:3?>c34>2o78m;|q7==<72;q684658e9>0j1v976:18182>132o70:62;4`?xu31h0;6?u248c91:n5rs5;a>5<5s4>2n76k;<6:`?0d3ty?5n4?:3y>056b9~w1?c2909w0:6d;:g?82>93d}:<0o1ii524`:92d=:<0n1:o5249592d=:<1h1:o5245:92d=:<:31:o5241f92g=:<8n1:l5243a92d=z{=3m6=4={<6:a?3034>j?76k;|q7e5<72;q68l>58e9>0d?=>j1v9o>:18182f932o70:n2;4a?xu3i;0;6?u24`095<5s4>j876k;<6be?0e3ty?m84?:3y>0d3=0m168lo56b9~w1g12909w0:n6;:g?82fj37}:c34>j>78k;|q7e<<72;q68l758e9>0d5=>k1v9on:18182fi32o70:n1;4a?xu3ik0;6?u24``95<5s4>jh76k;<6ba?0d3ty?mk4?:2y>0d`=mm168oj56`9>0g?=>h1v9l?:18182fn3>n70:m4;:g?xu3j80;6?u24c395<5s4>i>76k;<6a0?0d3ty?n>4?:3y>0g5=0m168o:56c9~w1d22909w0:m5;gg?82c830}:5<3s4>jj7;8;<6a3?cc34>im78m;<6a6?0f3ty?n54?:3y>0g0==>168oo58e9~w1d>2909w0:m9;:g?82ei3g}:5<5s4>in7;8;<6aa?>c3ty?ni4?:3y>0gb=0m168ok56b9~w1da2909w0:mf;gg?82em37}:0f5=0m1v9m::18182d<35<5s4>h;76k;<6`=?0c3ty?o54?:3y>0f>=0m168n756d9~w1ef2909w0:lb;:g?82dk37}:c34>o=78k;|q7gc<72;q68i>58e9>0a4=>j1v9j?:18182c932o70:k2;4a?xu3l?0;6?u24e792d=:5<5s4>o;76k;<6ge?0d3ty?h54?:3y>0a>=0m168io56e9~w1b>2909w0:k9;:g?82ci31283>6}Y989010;297~X69916=<>5e39>547=>h1v<>j:180[77m27:1;295~;69803h6s|11d94?7|58:m65j4}|l210>=83;pD<<:;Hg1>4}62tP=;7?t838~yk72=00;6m7>51zJ260=Nm;0:w<4rZ7595~>52twe=8;m:182M75=2Cn>7?t1;Y22<6s181qp`>54a94?7|@88>7Dk=:0y2>x\1?3;p4?4r}o361a<728qC=?;4Id095~7=uS<<6249Ja7<6s80vV;951z:1>x{i9{I311>Ob:3;p=7sU6682=4=utd:9;>50;3xL4423@o96:|X53?7|0;0vqc?:6083>4}O9;?0Eh<51z39y_0028q3>7srn0756?6=9rB:>85Fe3824{909yxh6=?>1<7?tH006?Lc528q:6pT97;3x<7:84?:0yK5734}?:3wvb<;96;295~N6:<1Bi?4>{08~^31=9r296psa1444>5<6sA;996Gj2;3x5?{]>>0:w5<5}|l213>=83;pD<<:;Hg1>4}62tP=;7?t838~yk72>00;651zJ260=Nm;0:w<4rZ7595~>52twe=88m:182M75=2Cn>7?t1;Y22<6s181qp`>57a94?7|@88>7Dk=:0y2>x\1?3;p4?4r}o362a<728qC=?;4Id095~7=uS<<6249Ja7<6s80vV;951z:1>x{i9<{I311>{i9<=;6=4>{I311>{i9<=:6=4>{I311>{i9<=96=4>{I311>{i9<=86=4>{I311>{i9<=?6=4>{I311>{i9<=>6=4>{I311>{i9<==6=4>{I311>{i9<=<6=4>{I311>{i9<=36=4>{I311>{i9<=26=4>{I311>{i9<=j6=4>{I311>{i9<=i6=4>{I311>{i9<=h6=4>{I311>{i9<=o6=4>{I311>{i9<=n6=4>{I311>{i9<=m6=4>{I311>{i9<2;6=4>{I311>{i9<2:6=4>{I311>{i9<296=4>{I311>{i9<286=4>{I311>{i9<2?6=4>{I311>{i9<2>6=4>{I311>{i9<2=6=49{I311>{i9<2<6=4>{I311>Ob:3;p=7sU10:95~372tP=;7454?:3;xL4423td:95750;3xL4423@o964}O9;?0Eh<51z09y_0028q3>7srn07;f?6=9rB:>85Fe38244}62tP=;7?t838~yk720l0;6198207=uS<<6?u>198;6?{zf8?2<7>539yK5735<4?:0yK5735?4?:0yK5735>4?:0yK573594?:31xL4423td:94;50;0xL4423td:94850;0xL4423td:94950;1xL4423td:94650;3xL4423@o964}O9;?0Eh<51z09y_0028q3>7srn07:e?6=9rB:>85Fe3827{909yxh6=0i1<7?tH006?Lc528q96pT97;3x<75i4?:0yK5734}?:3wvb<;6e;295~N6:<1Bi?4>{38~^31=9r296psa14;e>5<6sA;996Gj2;3x6?{]>>0:w5<5}|l21d6=83;pD<<:;Hg1>4}52tP=;7?t838~yk72i80;67>51zJ260=Nm;0:w?4rZ7595~>52twe=8o<:182M75=2Cn>7?t2;Y22<6s181qp`>5`694?7|@88>7p`>5`794?7|@88>7p`>5`494?7|@88>7p`>5`594?7|@88>7p`>5`:94?7|@88>7p`>5`;94?7|@88>7p`>5`c94?7|@88>7p`>5``94?7|@88>7p`>5`a94?7|@88>7p`>5`f94?7|@88>7p`>5`g94?7|@88>7p`>5`d94?7|@88>7p`>5c294?7|@88>7p`>5c394?1|@88>7p`>5c094?5|@88>7p`>5c194?7|@88>7p`>5c694?5|@88>7p`>5c794?5|@88>7p`>5c494?5|@88>7p`>5c594?7csA;996sa14`;>5<5sA;996sa14`:>5<6sA;996sa14`b>5<6sA;996sa14`a>5<4sA;996sa14``>5<4sA;996sa14`g>5<5sA;996sa14`f>5<6sA;996sa14`e>5<4sA;996sa14a3>5<5sA;996sa14a2>5<6sA;996sa14a1>5<4sA;996sa14a0>5<5sA;996sa14a7>5<6sA;996sa14a6>5<4sA;996sa14a5>5<5sA;996sa14a4>5<6sA;996sa14a;>5<5sA;996sa14a:>5<6sA;996sa14ab>5<4sA;996sa14aa>5<5sA;996sa14a`>5<6sA;996sa14ag>5<4sA;996sa14af>5<5sA;996sa14ae>5<6sA;996sa14f3>5<4sA;996sa14f2>5<5sA;996sa14f1>5<6sA;996sa14f0>5<4sA;996sa14f7>5<5sA;996sa14f6>5<6sA;996sa14f5>5<4sA;996sa14f4>5<5sA;996sa14f;>5<6sA;996sa14f:>5<4sA;996sa14fb>5<5sA;996sa14fa>5<6sA;996sa14f`>5<4sA;996sa14fg>5<5sA;996sa14ff>5<6sA;996sa14fe>5<4sA;996sa14g3>5<5sA;996sa14g2>5<6sA;996sa14g1>5<5sA;996sa14g0>5<5sA;996sa14g7>5<5sA;996sa14g6>5<5sA;996sa14g5>5<5sA;996sa14g4>5<5sA;996sa14g;>5<5sA;996sa14g:>5<5sA;996sa14gb>5<6sA;996sa14ga>5<5sA;996sa14g`>5<5sA;996sa14gg>5<6sA;996sa14gf>5<6sA;996sa14ge>5<5sA;996sa14d3>5<5sA;996sa14d2>5<5sA;996sa14d1>5<5sA;996sa14d0>5<5sA;996sa14d7>5<5sA;996sa14d6>5<5sA;996sa14d5>5<5sA;996sa14d4>5<5sA;996sa14d;>5<5sA;996sa14d:>5<5sA;996sa14db>5<5sA;996sa14da>5<5sA;996sa14d`>5<5sA;996sa14dg>5<5sA;996sa14df>5<6sA;996sa14de>5<4sA;996sa1723>5<4sA;996sa1722>5<6sA;996sa1721>5<6sA;996sa1720>5<6sA;996sa1727>5<4sA;996sa1726>5<4sA;996sa1725>5<6sA;996sa1724>5<6sA;996sa172;>5<6sA;996sa172:>5<6sA;996sa172b>5<6sA;996sa172a>5<6sA;996sa172`>5<2sA;996sa172g>5<4sA;996sa172f>5<6sA;996sa172e>5<6sA;996sa1733>5<6sA;996sa1732>5<6sA;996sa1731>5<6sA;996sa1730>5<6sA;996sa1737>5<6sA;996sa1736>5<2sA;996sa1735>5<4sA;996sa1734>5<6sA;996sa173;>5<6sA;996sa173:>5<6sA;996sa173b>5<6sA;996sa173a>5<6sA;996sa173`>5<6sA;996sa173g>5<6sA;996sa173f>5<69rB:>85rn042b?6=;rB:>85rn0414?6=9rB:>85rn0415?6=9rB:>85rn0416?6=9rB:>85rn0417?6=85rn0410?6=;rB:>85rn0411?6=9rB:>85rn0412?6=9rB:>85rn0413?6=85rn04185rn041=?6=9rB:>85rn041e?6=85rn041f?6=;rB:>85rn041g?6=9rB:>85rn041`?6=85rn041a?6=;rB:>85rn041b?6=9rB:>85rn0404?6=:rB:>85rn0405?6=:rB:>85rn0406?6=:rB:>85rn0407?6=:rB:>85rn0400?6=:rB:>85rn0401?6=;rB:>85rn0402?6=;rB:>85rn0403?6=9rB:>85rn04085rn040=?6=9rB:>85rn040e?6=9rB:>85rn040f?6=9rB:>85rn040g?6=;rB:>85rn040`?6=;rB:>85rn040a?6=9rB:>85rn040b?6=9rB:>85rn0474?6=9rB:>85rn0475?6=9rB:>85rn0476?6=9rB:>85rn0477?6=9rB:>85rn0470?6=9rB:>85rn0471?6=9rB:>85rn0472?6=9rB:>85rn0473?6=9rB:>85rn04785rn047=?6=9rB:>85rn047e?6=9rB:>85rn047f?6=9rB:>85rn047g?6=9rB:>85rn047`?6=9rB:>85rn047a?6=9rB:>85rn047b?6=9rB:>85rn0464?6=9rB:>85rn0465?6=9rB:>85rn0466?6=9rB:>85rn0467?6=9rB:>85rn0460?6=9rB:>85rn0461?6=9rB:>85rn0462?6=9rB:>85rn0463?6=9rB:>85rn04685rn046=?6=9rB:>85rn046e?6=9rB:>85rn046f?6=9rB:>85rn046g?6=9rB:>85rn046`?6=9rB:>85rn046a?6=9rB:>85rn046b?6=9rB:>85rn0454?6=9rB:>85rn0455?6=;rB:>85rn0456?6=:rB:>85rn0457?6=:rB:>85rn0450?6=:rB:>85rn0451?6=;rB:>85rn0452?6==rB:>85rn0453?6=;rB:>85rn04585rn045=?6=:rB:>85rn045e?6=:rB:>85rn045f?6=9rB:>85rn045g?6=9rB:>85rn045`?6=:rB:>85rn045a?6=:rB:>85rn045b?6=9rB:>85rn0444?6=9rB:>85rn0445?6=:rB:>85rn0446?6=:rB:>85rn0447?6=;rB:>85rn0440?6=9rB:>85rn0441?6=9rB:>85rn0442?6=9rB:>85rn0443?6=9rB:>85rn04485rn044=?6=9rB:>85rn044e?6=:rB:>85rn044f?6=:rB:>85rn044g?6=9rB:>85rn044`?6=9rB:>85rn044a?6=;rB:>85rn044b?6=;rB:>85rn04;4?6=9rB:>85rn04;5?6=9rB:>85rn04;6?6=9rB:>85rn04;7?6=9rB:>85rn04;0?6=;rB:>85rn04;1?6=9:qC=?;4}o35<3<72:qC=?;4}o35<2<72249~j41?m3:1=vF>249~j41?n3:1=vF>249~j41>83:1=vF>249Ja7<6s;0vV;951z:1>x{i9>3:6=4>{I311>{i9>396=4>{I311>Ob:3;p=7sU6682=4=utd:;4=50;3xL4423td:;4:50;0xL4423@o964}O9;?0Eh<51z09y_0028q3>7srn05:2?6=9rB:>85Fe3827{909yxh6?021<7?tH006?xh6?031<7?tH006?Lc528q:6pT97;3x<74}?:3wvb<96c;295~N6:<1Bi?4>{38~^31=9r296psa16;g>5<6sA;996Gj2;3x6?{]>>0:w5<5}|l234}62tP=;7?t838~yk701o0;651zJ260=Nm;0:w?4rZ7595~>52twe=:o=:182M75=2we=:o<:182M75=2Cn>7?t2;Y22<6s181qp`>7`694?7|@88>7p`>7`794?7|@88>7Dk=:0y2>x\1?3;p4?4r}o34e3<728qC=?;4}o34e2<728qC=?;4Id095~7=uS<<6249~j41f13:1>vF>249Ja7<6s:0vV;951z:1>x{i9>kj6=4>{I311>Ob:3;p>7sU6682=4=utd:;ll50;3xL4423td:;lm50;3xL4423@o964}O9;?0qc?8ad83>4}O9;?0Eh<51z09y_0028q3>7srn05bb?6=9rB:>85rn05a4?6=9rB:>85Fe38274}O9;?0qc?8b783>4}O9;?0Eh<51z09y_0028q3>7srn05a3?6=9rB:>85rn05a85Fe3824290:wE?=5:m52df2909wE?=5:Kf6?7|;3wQ::4>{909yxh6?kh1<74}?:3wvb<9md;295~N6:<1vb<9me;295~N6:<1Bi?4>{08~^31=9r296psa16`e>5<6sA;996sa16a3>5<6sA;996Gj2;3x5?{]>>0:w5<5}|l23f7=838pD<<:;|l23f4=839pD<<:;|l23f5=839pD<<:;|l23f2=839pD<<:;|l23f3=83;pD<<:;|l23f0=83;pD<<:;|l23f1=83;pD<<:;|l23f>=83;pD<<:;|l23f?=83;pD<<:;|l23fg=83;pD<<:;|l23fd=83;pD<<:;|l23fe=83;pD<<:;|l23fb=83;pD<<:;|l23fc=83;pD<<:;|l23f`=83;pD<<:;|l23a6=83;pD<<:;|l23a7=83;pD<<:;|l23a4=83;pD<<:;|l23a5=83;pD<<:;|l23a2=83;pD<<:;|l23a3=839pD<<:;|l23a0=839pD<<:;|l23a1=83;pD<<:;|l23a>=83;pD<<:;|l23a?=83;pD<<:;|l23ag=83;pD<<:;|l23ad=83;pD<<:;|l23ae=83;pD<<:;|l23ab=83;pD<<:;|l23ac=83;pD<<:;|l23a`=83;pD<<:;|l23`6=83;pD<<:;|l23`7=83;pD<<:;|l23`4=83;pD<<:;|l23`5=83;pD<<:;|l23`2=83;pD<<:;|l23`3=83;pD<<:;|l23`0=839pD<<:;|l23`1=839pD<<:;|l23`>=83;pD<<:;|l23`?=83;pD<<:;|l23`g=83;pD<<:;|l23`d=83;pD<<:;|l23`e=83;pD<<:;|l23`b=83;pD<<:;|l23`c=83;pD<<:;|l23``=83;pD<<:;|l23c6=83;pD<<:;|l23c7=83;pD<<:;|l23c4=83;pD<<:;|l23c5=83;pD<<:;|l23c2=83;pD<<:;|l23c3=83;pD<<:;|l23c0=83;pD<<:;|l23c1=83;pD<<:;|l23c>=83;pD<<:;|l23c?=83;pD<<:;|l23cg=83;pD<<:;|l23cd=83;pD<<:;|l23ce=83;pD<<:;|l23cb=83;pD<<:;|l23cc=83;pD<<:;|l23c`=83;pD<<:;|l2<56=83;pD<<:;|l2<57=83;pD<<:;|l2<54=83;pD<<:;|l2<55=83;pD<<:;|l2<52=83;pD<<:;|l2<53=83;pD<<:;|l2<50=83;pD<<:;|l2<51=83;pD<<:;|l2<5>=83;pD<<:;|l2<5?=83;pD<<:;|l2<5g=83;pD<<:;|l2<5d=83;pD<<:;|l2<5e=83;pD<<:;|l2<5b=83;pD<<:;|l2<5c=83;pD<<:;|l2<5`=83;pD<<:;|l2<46=83;pD<<:;|l2<47=83;pD<<:;|l2<44=83;pD<<:;|l2<45=83;pD<<:;|l2<42=83;pD<<:;|l2<43=83;pD<<:;|l2<40=83;pD<<:;|l2<41=83;pD<<:;|l2<4>=83;pD<<:;|l2<4?=83;pD<<:;|l2<4g=83;pD<<:;|l2<4d=83;pD<<:;|l2<4e=839pD<<:;|l2<4b=839pD<<:;|l2<4c=83;pD<<:;|l2<4`=83;pD<<:;|l2<76=83;pD<<:;|l2<77=83;pD<<:;|l2<74=83;pD<<:;|l2<75=83;pD<<:;|l2<72=83;pD<<:;|l2<73=83;pD<<:;|l2<70=83;pD<<:;|l2<71=83;pD<<:;|l2<7>=83;pD<<:;|l2<7?=83;pD<<:;|l2<7g=83;pD<<:;|l2<7d=839pD<<:;|l2<7e=839pD<<:;|l2<7b=83;pD<<:;|l2<7c=83;pD<<:;|l2<7`=83;pD<<:;|l2<66=83;pD<<:;|l2<67=83;pD<<:;|l2<64=83;pD<<:;|l2<65=83;pD<<:;|l2<62=83;pD<<:;|l2<63=83;pD<<:;|l2<60=83;pD<<:;|l2<61=83;pD<<:;|l2<6>=83;pD<<:;|l2<6?=83;pD<<:;|l2<6g=83;pD<<:;|l2<6d=839pD<<:;|l2<6e=839pD<<:;|l2<6b=83;pD<<:;|l2<6c=83;pD<<:;|l2<6`=83;pD<<:;|l2<16=83;pD<<:;|l2<17=83;pD<<:;|l2<14=83;pD<<:;|l2<15=83;pD<<:;|l2<12=83;pD<<:;|l2<13=83;pD<<:;|l2<10=83;pD<<:;|l2<11=83;pD<<:;|l2<1>=83;pD<<:;|l2<1?=838pD<<:;|l2<1g=838pD<<:;|l2<1d=83;pD<<:;|l2<1e=83;pD<<:;|l2<1b=83;pD<<:;|l2<1c=839pD<<:;|l2<1`=839pD<<:;|l2<06=83>pD<<:;|l2<07=839pD<<:;|l2<04=83;pD<<:;|l2<05=839pD<<:;|l2<02=839pD<<:;|l2<03=83;pD<<:;|l2<00=839pD<<:;|l2<01=839pD<<:;|l2<0>=839pD<<:;|l2<0?=839pD<<:;|l2<0g=83;pD<<:;|l2<0d=83;pD<<:;|l2<0e=83;pD<<:;|l2<0b=83;pD<<:;|l2<0c=83;pD<<:;|l2<0`=83;pD<<:;|l2<36=83;pD<<:;|l2<37=83;pD<<:;|l2<34=83;pD<<:;|l2<35=83;pD<<:;|l2<32=83;pD<<:;|l2<33=83;pD<<:;|l2<30=83;pD<<:;|l5a?6=9rwe4>4?:0y~j<>=83;pqcok:182xhd:3:1=vsad683>4}zfli1<7?t}o335?6=9rwe=<850;3xyk75j3:1=vsa15294?7|ug;>97>51zm53g=83;pqc?8f;295~{i90o1<7=t}o3:51zm5cd=839pqc?i5;295~{i:8:1<7?t}o01b?6=;rwe>?750;3xyk43<3:1=vsa24;94?7|ug8=i7>51zm6=5=83;pqcol50;3xyk4b83:1?vsa2ec94?7|ug8mj7>53zm6c?=83;pqc=>e;297~{i;821<7?t}o10`?6=;rwe?>950;3xyk52:3:1=vsa37594?7|ug951zm7<7=83;pqc=n6;295~{i;kh1<7?t}o1g4?6=9rwe?hh50;1xyk5b13:1=vsa41g94?5|ug>;47>51zm07b=839pqc:=7;295~{i<=i1<7=t}o672?6=9rwe8;l50;1xyk21=3:1=vsa49c94?5|ug>387>51zm0d?=839pqc:n3;295~{i51zm173=839pqc;>f;295~{i==>1<7=t}o70a?6=9rwe9;=50;1xyk32l3:1=vsa59094?5|ug?51zm1d7=839pqc;6b;295~{i=j:1<7=t}o7ae?6=9rwe9ih50;1xyk3c13:1=vsa5gg94?5|ug?m47>51zm24b=839pqc8>7;295~{i>:i1<7=t}o402?6=9rwe:8l50;1xyk02=3:1=vsa66c94?5|ug<<87>51zm2k21<7=t}o4a6?6=9rwe:i950;1xyk0c93:1=vsa6g494?5|ug51zm343=839pqc9?f;295~{i?:>1<7=t}o51a?6=9rwe;8=50;1xyk13l3:1=vsa76094?5|ug==o7>51zm3<7=839pqc97b;295~{i?k:1<7=t}o5be?6=9rwe;nh50;1xyk1d13:1=vsa7dg94?5|ug=n47>51zm<5b=839pqc6?7;295~{i0;i1<7=t}o:12?6=9rwe49l50;1xyk>3=3:1=vsa87c94?5|ug2=87>51zm<2`=83;pqc664;295~{i0h31<7?t}o:aa?6=9rwe4i=50;3xyk>b03:1=vsa91594?5|ug3;=7>51zm=4e=83;pqc753zm=<4=83;pqc7m7;297~{i1k;1<7?t}o;g2?6=;rwe5i>50;3xyk?a=3:1?vsa9dd94?7|ugk:87>53zme5c=83;pqco<3;297~{ii;n1<7?t}oc66?6=;rwem9m50;3xykg093:1?vsaa7`94?7|ugk2<7>53zme=g=83;pqconf;297~{iih31<7?t}o3;22<728qQ::4>{909yM>d3td:4;650;3x^31=9r296pF7c:m5=0>290:wW88:0y;6?{O0j1vb<69a;295~{i91{|l2<3e=83;pqpsr@AAx5f462<:m;k;;d|BCF~6zHIZpqMN \ No newline at end of file +$5f55=23-;B8>;4$0L7044<,[o}e~g`n;"2*73>(-20*R?F42]0<> X9G>?S9?4000857>3HUM_O2>0?;8EZ@TJ5;:245N_GQA844912KTJ^L312<:?DYA[K6:8374A^DPF972601JSK]M<04==>GXNZH7=:06;@]EWG:60730MRH\B=3::==FWOYI0<06;@]EWG:58730MRH\B=02:<=FWOYI0?<1b:C\BVD;::0:245N_GQA875902KTJ^L32?:8EZ@TJ59546OPFR@?0;>720MRH\B=5=<>GXNZH74364A^DPF9?9l2KTJ^LP11]JFP@c3HUM_OQ>1^KAQCbGXNZHT=9QFBTDg?DYA[KU:9RGMUGf8EZ@TJV;=SDLZFe9B[CUEW8=TEO[Id:C\BVDX91UBNXHk;@]EWGY61VCIYKm4A^DPFZ7XAK_Mh6OPFR@\65YNJ\Lo7LQISC]15ZOE]On0MRH\B^01[LDRNm1JSK]M_31\MGSAk2KTJ^LP2^KAQCeGXNZHT8RGMUGa8EZ@TJV?TEO[Ic:C\BVDX>VCIYKm4A^DPFZ1XAK_Mo6OPFR@\DRAENTXL\HEUCQGM>E58DOEn6M=0LGM[JDRN?1H>8CJNc9@60KBFVEIYK=4C9O;?F>JW@H^J?5LE29@HN?BUKVY^ONK\SGWO3>Bf|h6;2:5Kauc?5;7C_XHDOIIQMCE]EMIC6<2OS\L@KEE]@KKUSZHCEX^?>;DZSEKBBLVCXIRHFLD48AZOE]O>0JNBD9:D@HNYNJ\L97KJ;;GF@A1=ALJZ97KH7;GPLIZSDN81L?6IAD09J6>O7:2C:>6G=2:K06>O3:2C>>6G92:K40>OFKZ>0EO[I2:KM<>OINLXYII74IOKWWQGSM:1BB[k4IO]MCAYFFMUIOI<4INc8MJDTW[ojht84IN@VB@0OH\PZN;6BFBSCQ]3=KGHNNH>5COB58HJANKHFj7A^B_YMQ6Z7d3DkacXjrrkljf=JageyZh||inl1?K643G::>6@>7:LFPRIUC?1ECNBFP09L0>IE]O?0CO[IE29LJ@4IR\81[o6^!21305574WE90\<><;Q327>V6::1[=>=4P060?U72;2Z::>5_1618T4>43Y;2>6^=3:R146=W:;90\?=<;Q077>V5=:1[>:=4P3:0?U4>:2Z8?6^<029S7759=;Q60?U27;2Z?=>5_4318T1543Y>=?6^:029S175V1;2Z=<>5_6018T3543YV0::1[;>=4P660?U11;2Z<;>5_7918T2?53Y287]6?3:R;56=W0;90\5;<;Q:57>V??:1[44<4P818T<643Y3:?6^6229S=6587]7:3:R:26=W1>90\LJ;;QCQP61/MIx#LLAO(<:%<=>?01234%#4<2ZN^DAK #AOOZOHJ+*<"5=<612345&J@s*CEJF/51,3456789:;,(<:;QGQMJB=KEA9TRG@B;36*300:3GCv7FFGI864 7b3YOYEBJ5CMI\BVD=:<0FDw4GIFJ915#5:2ZN^DAK:BNH[LIE2>$3?>85MIx9LLAO2<:.m6^FN^@VWLB_j2ZBBRLZSOCNA6=WZL;0]85]A=2=2>TF48:546\N<0394;02:0=UI58596\N<2<6?WG;<7?0^L2:>49QE909=2XJ0:0:;SC?<;3TFEVXnmiw6;SC\4ZIE]Ok0^LQ>0^MAQCgQ@BTD:?WGXTFW>UDNXH6;SC\7^Y33?c8WR:46VCIYK?4T99WAWKNFHF37YGBERRFG2=SFDUEIY=4TSK;?QTN[]K_I95[RTG`?QUXKEA9TRG@B`9WWZEKCVLXNl5[S^AOOZOHJk1__RZFMDQSAF0<\ZU_B@:4TXRF7>SDN?1^BX]JD`9VW@TXIECJ_n5ZSDP\RLUNJEO87[ML9:TJARYSQYO=7Zo}pRG27>QfzyYNSYGBERRFGZSDN8n0TDBFNY/[@G&7&8*XXXL/0/3#EVENA:1SC_:4XNP12>^T\ECI;6V\T^EM@2=_[]U]ON;4X^MMA0=_W]XB:6VPZDPL2>^cjVCon6Vkh^RqmhOi~j1SheQ_rhoW}uca3QncS]|fmWgqwliin2RodRZ|dUknaVvbk8:0TicPM`hlvScu{`ee==5Wdl]Nmkiu^lxxeb`9;Ym?4;743Qy86TJRNc8gkr(IfCH?=;blw+Dir|FOTaxv?01227>ei|&KdyyAJ_lw{45679890ocz AnwwK@Yj}q:;<=<6;blw+LVK:{;:7n`{/HRO6wYig}:;<?003g?fhs'E^bah|30?g8gkr(D]cfi2>0?g8gkr(D]cfi2>1?g8gkr(D]cfi2>2?g8gkr(D]cfi2>3?f8gkr(D]cfi2>>e9`jq)K\`gn~1<1d:amp*JSadoy0>0k;blw+IRnelx783j4cov,HQojm{6>2i5lnu-OPlkbz5<5h6mat.NWmhcu4>4o7n`{/MVji`t;07n0ocz LUknaw:>6j1hby!CThofvZ66>2iex"B[ilgq[5Y7Wge<=>?169`jq)K\`gn~R>P0^llp56788;>7n`{/MVji`tX8VUjbi>?0135?fhs'E^bah|P0^]bja6789;::6mat.NWmhcuW9UTmcj?012153=df}%GXdcjr^2\[dhc89:;?n5lnu-OPlkbzV;o7n`{/MVji`tX99;=7n`{/MVji`tX99UTmcj?01223>ei|&F_e`k}_02\[dhc89:;=<94cov,HQojm{U:>7:amp*JSadoyS?010g?fhs'E^bah|P1335?fhs'E^bah|P13]\ekb789::;6mat.NWmhcuW88TSl`k0123541?9;blw+IRnelxT=>QPaof34566?2iex"B[ilgq[45XWhdo<=>?1058gkr(D]cfiQ>3^]bja67898:96mat.NWmhcuW8UTmcj?01222>ei|&F_e`k}_0]\ekb789::=;5lnu-OPlkbzV;TSl`k01236f=df}%GXdcjr^021>ei|&F_e`k}_3]\ekb789:::6mat.NWmhcuW;UTmcj?012253=df}%GXdcjr^0\[dhc89:;>n5lnu-OPlkbzV9:96mat.NWmhcuW:UTmcj?01222>ei|&F_e`k}_2]\ekb789::=;5lnu-OPlkbzV9TSl`k01236f=df}%GXdcjr^621>ei|&F_e`k}_5]\ekb789:::6mat.NWmhcuW=UTmcj?012253=df}%GXdcjr^6\[dhc89:;>n5lnu-OPlkbzV?:96mat.NWmhcuWei|&F_e`k}_4]\ekb789::=;5lnu-OPlkbzV?TSl`k01236f=df}%GXdcjr^421>ei|&F_e`k}_7]\ekb789:::6mat.NWmhcuW?UTmcj?012253=df}%GXdcjr^4\[dhc89:;>n5lnu-OPlkbzV=:96mat.NWmhcuW>UTmcj?01222>ei|&F_e`k}_6]\ekb789::=;5lnu-OPlkbzV=TSl`k01236f=df}%GXdcjr^:21>ei|&F_e`k}_9]\ekb789:::6mat.NWmhcuW1UTmcj?012253=df}%GXdcjr^:\[dhc89:;>n5lnu-OPlkbzV3:96mat.NWmhcuW0UTmcj?01222>ei|&F_e`k}_8]\ekb789::=;5lnu-OPlkbzV3TSl`k012367>?_LzlvZTb{|f0<>1299`jq)K\`gn~RCnde]\eab789:TAua}_Sgpqir;984946mat.NWmhcuWDkohRQnde2345YJpfxT^h}zlu>26;4?3jd#AZfmdp\IdbcWVkoh=>?0^O{kwYUmzgx1?<>358gkr(D]cfiQBaef\[dbc89:;S@v`r^Pfwpjs4849;6mat.NWmhcuWDkohRQnde2345YJpfxT^h}zlu>1:71?_LzlvZTb{|f0>0=7:amp*JSadoyS@okd^]b`a6789UFtb|PRdqvhq:36;=0ocz LUknawYJimnTSljk0123[H~hzVXnxb{<4<13>ei|&F_e`k}_Lcg`ZYflm:;<=QBxnp\V`urd}6=2?94cov,HQojm{UFmijP_`fg4567WDrd~R\jstnw8285?2iex"B[ilgq[HgclVUjhi>?01]N|jtXZly~`y27>358gkr(D]cfiQBaef\[dbc89:;S@v`r^Pfwpjs4049=6mat.NWmhcuWDkohRQnde2345YXign;<=>=2:amp*JSadoyS@okd^]b`a6789UTmcj?012264=df}%GXdcjr^Ob`aYXimn;<=>P_`lg4566:;1hby!CThofvZKflmUTmij?012\[dhc89::=??4cov,HQojm{UFmijP_`fg4567WVkeh=>?2308gkr(D]cfiQBaef\[dbc89:;SRoad12364463jd#AZfmdp\IdbcWVkoh=>?0^]bja678:897n`{/MVji`tXEhnoSRokd1234ZYffm:;<>?=1:amp*JSadoyS@okd^]b`a6789UTmcj?01616>ei|&F_e`k}_Lcg`ZYflm:;<=QPaof34526:81hby!CThofvZKflmUTmij?012\[dhc89:>>?5lnu-OPlkbzVGjhiQPaef3456XWhdo<=>:1338gkr(D]cfiQBaef\[dbc89:;SRoad123274?_^cm`567>88:7n`{/MVji`tXEhnoSRokd1234ZYffm:;<:<=;blw+IRnelxTAljk_^cg`5678VUjbi>?06316>ei|&F_e`k}_Lcg`ZYflm:;<=QPaof34515:81hby!CThofvZKflmUTmij?012\[dhc89:3>?5lnu-OPlkbzVGjhiQPaef3456XWhdo<=>71338gkr(D]cfiQBaef\[dbc89:;SRoad123=74?_^cm`56718897n`{/MVji`tXEhnoSRokd1234ZYffm:;<4<=2:amp*JSadoyS@okd^]b`a6789UTmcj?01;064=df}%GXdcjr^Ob`aYXimn;<=>P_`lg4577:;1hby!CThofvZKflmUTmij?012\[dhc89;;=??4cov,HQojm{UFmijP_`fg4567WVkeh=>>1308gkr(D]cfiQBaef\[dbc89:;SRoad12254703jd#AZfmdp\[dbc89:;0<>1169`jq)K\`gn~RQnde2345:697;<7n`{/MVji`tXWhno<=>?<00=52=df}%GXdcjr^]b`a67896:?3?9;blw+IRnelxTSljk01238486>2iex"B[ilgq[Zgcl9:;<1<1179`jq)K\`gn~RQnde2345:468<0ocz LUknawYXimn;<=>34?35?fhs'E^bah|P_`fg45674<4::6mat.NWmhcuWVkoh=>?0=4=53=df}%GXdcjr^]b`a67896<2<84cov,HQojm{UTmij?012?<;713jd#AZfmdp\[dbc89:;040>2:amp*JSadoySl`k012356=df}%GXdcjr^cm`56788;:7n`{/QMQWZ@TEVLMh0ocz PNPP[CUJWOLo> Ga149`jq)WG[YTJ^CPFGf1)Lh69<1hby!_OSQ\BVKXNOn9!D`=149`jq)WG[YTJ^CPFGf1)Lh49<1hby!_OSQ\BVKXNOn9!D`;149`jq)WG[YTJ^CPFGf1)Lh2981hby!_OSQ\BVKXG|~8=?5lnu-SKWUXNZGTCxz<1008gkr(XFXXSK]B_Nww77743jd#]A]S^antZcv89:8m6mat.PfbWcv981hby!]egPfuZiu89:;=?5lnu-QacTbyVey<=>?1008gkr(ZllYi|Q`r12347753jd#_kiRds\kw67899:>6mat.PfbWcvWfx;<=>;139`jq)UmoXn}Ra}01231442:amp*Tbn[ozSb|?012;`>ei|&XnjX|heov20>ei|&XnjX|heov\kw6789;>7n`{/SgeQwabf}Ud~=>?0036?fhs'[omYijnu]lv5678;;>7n`{/SgeQwabf}Ud~=>?0236?fhs'[omYijnu]lv5678=;>7n`{/SgeQwabf}Ud~=>?0436?fhs'[omYijnu]lv5678?;>7n`{/SgeQwabf}Ud~=>?0636?fhs'[omYijnu]lv56781i0ocz Thofv969k2iex"Zfmdp?5;eei|&^bah|33?`8gkr(\`gn~YM>6:amp*Rnelx_ORmbp^gr45679>1hby![ilgqPFYdeyUn}=>?0034?fhs']cfiZL_bos[`w789:9=:5lnu-Wmhcu\JUha}Qjq12346703jd#YgbesV@[fkwWl{;<=>;b:amp*RnelxT<<:4cov,PlkbzV:TSl`k012350=df}%_e`k}_1]\ekb789::=85lnu-WmhcuW9UTmcj?012150=df}%_e`k}_1]\ekb789:8n6mat.Vji`tX98>0ocz ThofvZ7XWhdo<=>?149`jq)SadoyS=b:amp*RnelxT><:4cov,PlkbzV8TSl`k012350=df}%_e`k}_3]\ekb789::=85lnu-WmhcuW;UTmcj?0121f>ei|&^bah|P3068gkr(\`gn~R=P_`lg45679<1hby![ilgq[6YXign;<=>>149`jq)SadoyS>QPaof34565:?1hby![ilgq[HgclVUjhi>?01]N|jtXZly~`y2>>348gkr(\`gn~RCnde]\eab789:TAua}_Sgpqir;:78=7n`{/UknawYJimnTSljk0123[H~hzVXnxb{<2<14>ei|&^bah|PM`fg[Zgcl9:;?_^cm`567888;7n`{/UknawYJimnTSljk0123[Zgil9:;=??4cov,PlkbzVGjhiQPaef3456XWhdo<=>>1078gkr(\`gn~RQnde2345:668?0ocz ThofvZYflm:;<=2=>078gkr(\`gn~RQnde2345:46k1hby!aBS]JKG743jd#cL]_HMA[hs89:;=95lnu-mFWYNGKUfyu>?01320>ei|&dI^RG@B^ov|5678;;?7n`{/o@Q[LIEWds<=>?3068gkr(fKXTEBLPmtz345639=1hby!aBS]JKGYj}q:;<=;>4:amp*hEZVCDNRczx12343dei|&dYI^azt^ov|56788>0ocz nSGPkprXe|r;<=>>159`jq)iZLYdyyQbuy2345403jy$ELM\8:ap+LGD[820o~!FABQ1<>et'@KH_>94cr-JKFU?3jy$EBM\189`w*OHKZ;;56m|/HM@W47>3jy$EBM\13:8gv)NGJY946m|/HM@W6>et'@EH_:64cr-JKFU?02ix#DALS858gv)HLJY37n} OEAP5==d{&EOO^<7;bq,KAET;11h"AKCR6;?fu(ZHGH_45ls.PBIFU612ix#_OBCR0:?fu(ZHGH_>74cr-QEHET<01h"\NMBQ6=>et'[KFO^86;bq,VDKD[>30o~!]ALAP<==d{&XDAN]6;bq,VJKD[830o~!]OLAP6<=d{&XDAN]<9:ap+WIJKZ>27n} RNO@W0??0078gv)iGoy`lw?_`lg45679j1h"`@vdpoe|76?2ix#cAyesnb}4YDdbOeSD@Y1g9`w*hH~lxgmt?PN1:8bvd(IZxmn6h|b.CPvcYI8j1mo!NSsd\J57682lxn"O\rg]mkq6788;:7k}m/@QqbZhh|9:;=<64fr`,FDES12lxn"LNCU3:?cue'KKHX?l4fr`,V`gcq9x:?6h|b.Pfea7zVUd~=>?0068bvd(Zlkou=|P_np345669=1mo!]e`fz4wYXg{:;<=<>4:dpf*Tbims;~RQ`r12346d`tj&Xnmiw>r^]lv56788>0j~l Rdcg}4tXWfx;<=>>159ewg)Umhnr=QPos234546<2lxn"\jae{2vZYhz9:;<>94fr`,RUG682lxn"X_A^]bja6789;:7k}m/WRB[Zgil9:;<>0:dpf*PWIVUd~=>?0033?cue'_ZJSRa}0123646:<6h|b.TSEZYhz9:;<8??;gqa+SVFWVey<=>?6028bvd(^YKTSb|?0124e>`tj&dOXLMD139ewg)iL]KHGRQ`r123445?299ewg)i^YK27k}m/oTSE4??139jkgk(IEO;S`{w01235442:klfh)FDL:Taxv?0127=>ohjd%II_\n;hmai*DBZ[;i7damm.@FVWtam2cdn`!MESPqbZH7n2cdn`!MESPqbZH79k1bcoc BDPQvw743`eia"LJRSpq[kis89::=95foco,F@TUz{Uecy>?003b?liee&N_MNEm;hmai*BSIJA:o6g`bl-GPDELzoi0eblb/EVBGNtu9=1bcoc DUC@OwtXff~;<=?>5:klfh)C\HI@~Qaou23447e3`eia"J`uuMF56=ngkg$Hb{{OD]bja6789;?7damm.FlqqIBWhdo<=>?1068mjdj'Me~xBKPaof34565i2cdn`!JS=2=e>ohjd%N_1?1a:klfh)B[585m6g`bl-FW959i2cdn`!JS=6==>ohjd%N_R>>2:klfh)B[V:TSl`k012356=ngkg$I^Q?_^cm`56788;87damm.GP[5YXign;<=>=129jkgk(MZU;SRoad12346743`eia"K\_1]\ekb789:?56g`bl-FWZ76:2cdn`!JS^3\[dhc89:;=>5foco,AVY6WVkeh=>?00;8mjdj'LYT><<4in`n+@UX:VUjbi>?0130?liee&OXS?QPaof3456612cdn`!JS^126>ohjd%N_R=P_`lg45679:1bcoc ER]0[Zgil9:;<<74in`n+@UX<880eblb/DQ\0ZYffm:;<=?<;hmai*CTW=UTmcj?012261=ngkg$I^QBaef\[dbc89:;S@v`r^Pfwpjs484986g`bl-FWZKflmUTmij?012\I}iuW[oxyaz32?07?liee&OXS@okd^]b`a6789UFtb|PRdqvhq:46;>0eblb/DQ\IdbcWVkoh=>?0^O{kwYUmzgx1:11d9jkgk(MZUFmijP_`fg4567WVkeh=>?00d8mjdj'LYTAljk_^cg`5678VUjbi>?0132a>ohjd%N_RCnde]\eab789:TSl`k01225c=ngkg$I^QBaef\[dbc89:;SRoad123547b3`eia"K\_Lcg`ZYflm:;<=QPaof34546n2cdn`!JS^Ob`aYXimn;<=>P_`lg45659880eblb/DQ\UZ7Xg{:;<=?<;hmai*CTWXU:Sb|?012256=ngkg$I^Q^_0]lv5678;;87damm.GP[TY6Wfx;<=><129jkgk(MZUTmij?012?5;743`eia"K\_^cg`5678585=>5foco,AVYXimn;<=>33?30?liee&OXSRokd1234929n2cdn`!JS^cm`56798:0eblb/DQ\ekb789;:==5foco,AVYffm:;<<i;hmai*CTWfx;<=>>f:klfh)B[Vey<=>?2g9jkgk(MZUd~=>?02d8mjdj'LYTc>?016e?liee&OXSb|?0126b>ohjd%N_Ra}01232c=ngkg$I^Q`r12342`a3`eia"K\_np3456>i2cdn`!JT@AH57=ngkg$IYOLK^cm`5678890eblb/DVBGNYffm:;<=?>3:klfh)B\HI@Sl`k0123645?4018mjdj'L^JOFQnne234536;2cdn`!JT@AH[dhc89:;::5foco,Aw>?0018mjdj'@EJOYQnne234576;2cdn`!FO@AW[dhc89:;><=4in`n+LIFK]Ujbi>?01127>ohjd%BCLM[_`lg4567<890eblb/HMBGQYffm:;<=;>3:klfh)NGHI_Sl`k01232456g`bl-JKDESWds<=>?129jkgk(AFKHXRczx12344743`eia"G@ABV\ip~789:9=>5foco,MJGD\Vg~t=>?0230?liee&CDMNZPmtz345639:1bcoc INC@PZkrp9:;<8?<;hmai*OHIJ^Taxv?012556=ngkg$EBOLT^ov|5678>h0eblb/HMAAWT6;2cdn`!FOCGQVZkrp9:;<<:4in`n+LIEM[XTaxv?012250=ngkg$EBLJRS]nq}6789;;=85foco,MJDBZ[Ufyu>?013250=ngkg$EBLJRS]nq}6789;9=85foco,MJDBZ[Ufyu>?013050=ngkg$EBLJRS]nq}6789;?=95foco,MJDBZ[Ufyu>?01020>ohjd%BCOK]R^ov|5678:;?7damm.KLF@TUWds<=>?4068mjdj'@EII_\Pmtz345629=1bcoc IN@FVWYj}q:;<=8>4:klfh)NGKOY^Rczx12342733`eia"G@BDPQ[hs89:;4<:4in`n+LIEM[XTaxv?012:f>ohjd%BC_K^r038mjdj'@EXSK]B_GDg542-Hl250=ngkg$EB]PFRO\BCb6%@d9=85foco,MJUXNZGTJKj>-Hl050=ngkg$EB]PFRO\BCb6%@d?=<5foco,MJUXNZGTJKj=159jkgk(AFYTJ^CPFGf1)Lh6=2cdn`!FOR]EWHYANm8&Ec?>6:klfh)NGZUM_@QIFe0.Mk779?1bcoc INQ\BVKXNOn9!D`>1048mjdj'@EXSK]B_GDg6(Oi9;;>7damm.KLWZ@TEVLMh?#Fn336?liee&CD_RH\M^DE`7+Nf:;>7damm.KLWZ@TEVLMh?#Fn536?liee&CD_RH\M^DE`7+Nf<;>7damm.KLWZ@TEVLMh?#Fn736?liee&CD_RH\M^DE`7+Nf>;>7damm.KLWZ@TEVLMh?#Fn936?liee&CD_RH\M^DE`7+Nf0;:7damm.KLWZ@TEVLMh>?;;hmai*OH[VLXARHId2/Jj433`eia"\JS0c8mjdj'[OX~ko4in`n+WCTz{;97damm.PFWwtXff~;<=?>3:klfh)UMZxySca{01225d=ngkg$Z]O}f`9jkgk(^YKy~<<4in`n+SVFz{Uecy>?0030?liee&\[M|Pnnv34576j2cdn`!aARmvp45?1037?liee&dJ_b{{_omw4566:8>0eblb/oCPkprXff~;<=?<159jkgk(fHYdyyQaou23442e3`eia"`KioNF57=ngkg$bIgaLD]lv5678890eblb/oFjjICXg{:;<=?l;hmai*hCg|~DIi5foco,jAir|FO:h6g`bl-m@jssGL8o7damm.lGkprHM:30eblb/oNGW47?00026>ohjd%e@I]Pnnv34574991bcoc nMFP[jt789;:=6g`bl-mHAUXg{:;<1:klfh)iDMYTc>?00025>ohjd%e@I]Pos23445692cdn`!aLEQ\kw6788>:=6g`bl-mHAUXg{:;<<;>1:klfh)iDMYTc>?00425>ohjd%e@I]Pos23441>3`eia"`ZDR32?liee&d^H^Qaou2344753`eia"`ZDR]mkq6788;:>6g`bl-mQAUXff~;<=?=139jkgk(f\NXSca{01227<=ngkg$b[CN139jkgk(f_GJSRoad123445>2:klfh)i^DKTSb|?012257=ngkg$b[CN_^mq4567:880eblb/oTNEZYhz9:;<>?=;hmai*hQEHUTc>?01626>ohjd%eZ@OP_np345629;1bcoc nWOB[Ziu89:;:<<4in`n+kPJIVUd~=>?0632?liee&d]ALQnne2345753`eia"`YM@]bja6789;:>6g`bl-mRHGXign;<=>=139jkgk(f_GJSl`k01237442:klfh)i^DKTmcj?012557=ngkg$b[CN_`lg4567?01bco} AMG3=>ohjz%J@H?>1:klfv)FDL;TSb|?01326>ohjz%J@H?P_np34576j2cdn~!Lldcq542?013a?lie{&CDMNZ}f:klfv)NGKYT^hoky028mjdt'@EI_R\jae{255=ngky$EBL\_Sgb`|4682cdn~!FOCQ\V`gcq:;<7dams.KLFVYUmhnrSl`k01235==ngky$EBL\_Sgb`|Yffm:;<=?>8:klfv)NGKYT^hoky^cm`5678;;37dams.KLFVYUmhnrSl`k012374>;199jkgu(AFHXS_kndx]bja6789?27dams.KLH5763`ei"G@L1]nq}6789;97dams.KLH5Yj}q:;<=?>2:klfv)NGE:Taxv?012157=ngky$EBB?_lw{4567;880ebl|/HMO4Zkrp9:;<9?=;hmaw*OHD9Ufyu>?017:?lie{&CD@;hmaw*OHD8Uecy>?0031?lie{&CD@ohjz%BC_K^_lw{45679:1bco} INPFUZkrp9:;<?3018mjdt'@EYI\Qbuy234526;2cdn~!FOSGR[hs89:;9<=4in`p+LIUMXUfyu>?01427>ohjz%BC_K^_lw{4567?890ebl|/HMQATYj}q:;<=6>3:klfv)NG[OZS`{w0123=d=ngky$EB\X0008mjdt'@EY[=Qbuy2345743`ei"G@RV2\ip~789::=>5focq,MJTP8Vg~t=>?0330?lie{&CD^Z>Pmtz345649:1bco} INPT4Zkrp9:;<9?=;hmaw*OHZ^:Tbbz?01327>ohjz%BC_Y?_omw45669890ebl|/HMQS5Yig}:;<<<>3:klfv)NG[];Sca{0122745:?6g`br-JKWQ7Wge<=>>5018mjdt'@EY[=Qaou234406;2cdn~!FOSU3[kis89::;l5focq,MJTP9880ebl|/HMQS4Yffm:;<=?<;hmaw*OHZ^;Tmcj?012256=ngky$EB\X1^cm`5678;;87dams.KLVR7Xign;<=><129jkgu(AFX\=Road12341743`ei"G@RV3\ekb789:>=>5focq,MJTP9Vkeh=>?07a8mjdt'@EYiljv149jkgu(AFXnmiwP_`lg45679?1bco} INPfeaXWhdo<=>?1048mjdt'@EYiljv_^cm`5678;;?7dams.KLV`gcqVUd~=>?0078mjdt'@EYiljv_^mq456798?0ebl|/HMQadb~WVey<=>?2078mjdt'@EYiljv_^mq4567;8?0ebl|/HMQadb~WVey<=>?4078mjdt'@EYiljv_^mq4567=8?0ebl|/HMQadb~WVey<=>?689jkgu(AF_;=<5focq,MJS7Wds<=>?139jkgu(AF_;S`{w01235442:klfv)NG\:Taxv?012757=ngky$EB[?_lw{4567=01bco} INW254=ngky$EB[>_omw45669;1bco} INW2[kis89::=l5focq,Hjgc901bco} Ooaf54=ngky$Ccmj_^mq45679;1bco} Ooaf[Ziu89:;=<<4in`p+JhdmVUd~=>?0331?lie{&EeohQPos234556:2cdn~!@nbg\[jt789:?=?5focq,KkebWVey<=>?5008mjdt'FdhiRQ`r12343753`ei"Aacd]\kw6789=:<6g`br-SWZ@TEVLMh7dams.RP[CUJWOLo> Ga1036?lie{&ZXSK]B_GDg6(Oi9;;>7dams.RP[CUJWOLo> Ga1237?lie{&ZXSK]B_GDg6(Oi:8>0ebl|/QQ\BVKXNOn9!D`<159jkgu(XZUM_@QIFe0.Mk26<2cdn~!_S^DPIZ@Al;'Bb8?;;hmaw*VTWOYFSKHk2,Km242ohjz%[_RH\M^DE`7+Nf0;;7dams.RP[CUJWF<ohjz%eNH\]_GQA[Ziu89:;=<94in`p+kDBZ[UM_OQPos234546?2cdn~!aBDPQ[CUEWVey<=>?3c9jkgu(fMceCHm4in`p+kBnfFO:o6g`br-m@lhHM;i0ebl|/oFjjJC412dJHb{{LD2b?kGCg|~GI=?n;oCGkprKM98j7cOKotvOA55b3gKOcxzCE1]LFP@>3gKOcxzCE0c8jDBh}}FN=c:lBkprHMVEIYK74nCGQVZ@TJl1eNH\]_GQA[JDRN01eNH\]_HMAa>hEM[XTEBLPICWE3>hEOVCDNn5aBF]JKGYNJ\L<7cL]_HMAg>hEZVCDNRAMUG68jFGT12dHM^Q@BTDb?kBSIJATJ^Li;oFWEFMXNZHTCO[Ia:lGPDELW@EIj6`KT@AH[LIEW@H^J;5aDhlOAg=iL`dGIRAMUG48jAoiGLh0bIgaOD]LFP@03gNdyyAJc:lGkprHMVEIYK;4nHRO6d=iAYF9SDLZF99mHAUXNZHo7cBKS^DPFZOE]O20bAJ\_HMAa>hKLZUBCOQ@BTDF7>hHM11eCHQ@BTD4?kTFEE]No6`]ALNTAZIE]O=0b_OBUVG`?kTFE\]NSBLZF59mVDU>3gXJ_RAMUG68jWCTj2dYI^QFOCWEAf=iZLYTbbz?013g?kTB[Vddx=>?1048jWIJKZh0b_ABCR]LFP@13gXDAZKm;oPLIRCXGK_M46`ZDR]EWGbhPMVLXNRGMUG:8jbbe}`fo56|nm.@BGQt>3{kf#_OBEO32?wgj'[KFICQbuy2345753{kf#_OBEO]nq}6789;:?6|nm.PBI@HXe|r;<=>>0018vdk(ZHGNBRczx1234476;2xja"\NMDL\ip~789::><=4r`o,VDKBFVg~t=>?00127>tfe&XJAH@Pmtz34566<890~lc R@OFJZkrp9:;<<;>3:pbi*TFELDTaxv?01222456|nm.PBI@HXe|r;<=>=139qeh)UIDOES`{w01237442:pbi*TFELDTaxv?012557=uid%YM@KA_lw{4567?880~lc R@OFJZkrp9:;<5?=;scn+WGJMGUfyu>?01;`?wgj'[KF^hoky068vdk(ZHGYiljv_lw{45679<1ym`!]ALPfeaXe|r;<=>>179qeh)UIDXnmiwPmtz3456688<0~lc R@OQadb~Wds<=>?1035?wgj'[KF^hoky^ov|567888::6|nm.PBIWcflpUfyu>?013053=uid%YM@\jae{\ip~789::8<84r`o,VDKUmhnrS`{w012350723{kf#_OBRdcg}Zkrp9:;>3:pbi*TF[LFTaxv?0122545>3018vdk(ZHYN@Rczx1234426;2xja"\NSDN\ip~789::9<=4r`o,VDUBDVg~t=>?00427>tfe&XJ_HBPmtz34566?890~lc R@QFHZkrp9:;<<6>3:pbi*TF[LFTaxv?0122=446|nm.PBW@JXe|r;<=>;139qeh)UIZOGS`{w01231442:pbi*TF[LFTaxv?012;57=uid%YM^KC_lw{456718:0~lc R@]3[hs89:;=<5}al-QEZ6Xe|r;<=>>109qeh)UIV:Taxv?012154=uid%YMR>Pmtz34564981ym`!]A^2\ip~789:?==5}al-QEZ7Xe|r;<=>>1:pbi*TFW8Ufyu>?01325>tfe&XJS0:pbi*TFW;Ufyu>?0132?wgj'[KT>Rczx12344763{kf#_OP2^ov|5678;;:7ob/SC\6Zkrp9:;<>?>;scn+WGX:Vg~t=>?0533?wgj'[KT?Rczx123447?3038vdk(ZHU8S`{w0123046=109qeh)UIV>Taxv?012054=uid%YMR:Pmtz34563991ym`!]A^7\ip~789::=6|nm.PB[0Yj}q:;<=?>1:pbi*TFW?01025>tfe&XJS8Qbuy23455692xja"\N_4]nq}6789>:<6|nm.PB[3Yj}q:;<=?>;scn+WGX>Vg~t=>?0032?wgj'[KT:Rczx12347763{kf#_OP6^ov|5678:;:7ob/SC\2Zkrp9:;<9??;scn+WGX?Vg~t=>?0038vdk(ZHU?4028vdk(ZHU3S`{w012354=uid%YMR6Pmtz34566981ym`!]A^:\ip~789:9=<5}al-QEZ>Xe|r;<=><109qeh)UIV2Taxv?012754=uid%YMR6Pmtz34562981ym`!]A^:\ip~789:===5}al-QEZ?Xe|r;<=>>1:pbi*TFW0Ufyu>?01325>tfe&XJS4Qbuy23454692xja"\N_8]nq}67899:=6|nm.PB[139qeh)U[VLXARHId0/Jj450~lc RR]EWHYANm;&Ec?=159qeh)U[VLXARHId0/Jj456<2xja"\\_GQN[C@c9$Ce=9?<;scn+WUXNZGTJKj>-Hl156=uid%Y_RH\M^DE`4+Nf:;87ob/SQ\BVKXNOn:!D`;129qeh)U[VLXARHId0/Jj0743{kf#_]PFRO\BCb6%@d==>5}al-QWZ@TEVLMh<#Fn630?wgj'[YTJ^CPFGf2)Lh?9:1ym`!]S^DPIZ@Al8'Bb4h4r`o,VVYA[DUMJi<>2:pbi*TTWOYFSKHk2,Km56=uid%Y_RH\M^DE`7+Nf8;?7ob/SQ\BVKXNOn9!D`>0068vdk(ZZUM_@QIFe0.Mk769=1ym`!]S^DPIZ@Al;'Bb<<>4:pbi*TTWOYFSKHk2,Km56733{kf#_]PFRO\BCb5%@d:8<:4r`o,VVYA[DUMJi<"Io3656=uid%Y_RH\M^DE`7+Nf;;87ob/SQ\BVKXNOn9!D`<129qeh)U[VLXARHId3/Jj1743{kf#_]PFRO\BCb5%@d>=>5}al-QWZ@TEVLMh?#Fn730?wgj'[YTJ^CPFGf1)Lh09:1ym`!]S^DPIZ@Al;'Bb5?<;scn+WUXNZGTJKj=-Hl:b>tfe&XXSK]B_GDg744159qeh)U[VLXARHId2/Jj466<2xja"\\_GQN[C@c;$Ce=tfe&XXSK]B_GDg7(Oi?890~lc RR]EWHYANm9&Ec6>3:pbi*TTWOYFSKHk3,Km=c=uid%Y_RH\M^Mvp=773{kf#_]PFRO\Kpr?98:0~lc RR]EWHYH}}29n6|nm.PfbAiim890~lc RddGkkcXWfx;<=>>4:pbi*TbnMeeiRQ`r12344733{kf#_kiDnlf[Ziu89:;><:4r`o,V``CggoTSb|?012051=uid%YikJ`nd]\kw6789>j7ob/SgeVDUf3{kf#_kiRdsf?wgj'[om^h\yoa26>tfe&Xnj_k~_`lg45679:1ym`!]egPfuZgil9:;<tfe&XnjX|heov21>tfe&XnjX|heov\ekb789:::6|nm.PfbPt`mg~Tmcj?012253=uid%Yik[}gdlw[dhc89:;>7;scn+kEF[8:0~lc nBCP[kis89::=<5}al-mGDUXff~;<=?>9:pbi*hK_L;:<6|nm.lOS@Yffm:;<=?>;scn+kJPMVkeh=>?0032?wgj'gF\IRoad12347763{kf#cBXE^cm`5678:;:7ob/oNTAZgil9:;<994r`o,jJC?3{kf#cAJ199qeh)iGL837ob/oPBW<=uid%e^L]>9:pbi*hUIZ827ob/oPBW6?n6|nm.lQKHET9k1ym`!aRNOTA4?<109qeh)i]^OTmcj?0127<>~d1<:;ta?>;ya:1423pe~z==>"11:8|ljnfqe=55wloz\eprhW9Usho!lsu,{ffbzHIz:nnh4@Az263<2=9:>i3;0b<=8:79'567=9;20q^;<:01:><2=9:>i72=:;6>4>35`3f00_8=541297?74"3:3>o>6F:7:tW1g<7280:6>om{R77>45>20>1=>:m0c79f5?53-;9976=;W300?4|}1>1=6{75;28y!c62=n87);j:19'1f<3l<1/9i488:`263<72=h1?>:531dxL4443-;:j7?=6:X77?5|?32157s$3da94?=h<>0;66a>o4k:0;66a>o4n=0;66a>i313:17b=ja;29?l5dk3:17b=j6;29?j5c:3:17b=k0;29?l3f2900e;>50;9j7a0=831d?n;50;9l7f1=831b?ik50;9l7`>=831b?km50;9l7c0=831d?h:50;9j0g<722c?97>5;n1af?6=3f9h<7>5;h1f4?6=3`9hi7>5;n1e4?6=3f9o47>5;n1f6?6=3f9m47>5;n1ge?6=3f9oo7>5;h1ea?6=3f9hm7>5;nge>5<#m00ni6`j8;28?jcc290/i44je:lfhb03807bh8:18'a<5<#m00ni6`j8;68?j`2290/i44je:lfhb03<07bh<:18'a<5<#m00ni6`j8;:8?j`6290/i44je:lfhb03k07bkl:18'a<5<#m00:j6`j8;28?l7b290/i44>f:lfhb03807d?l:18'a<<6n2dn47=4;h3b>5<#m00:j6`j8;68?l7>290/i44>f:lfhb03<07d?8:18'a<<6n2dn4794;h35>5<#m00:j6`j8;:8?l72290/i44>f:lfhb03k07d?<:18'a<<6n2dn47l4;h31>5<#m00:j6`j8;a8?l76290/i44>f:lfhb03o07d<8:18'a<<6n2dn47h4;h05>5<#m00:j6`j8;33?>o5=3:1(h751g9ma=<6921b>94?:%g:>4`f:lf5$d;95c=im10:965f1c83>!c>28l0bh651798m476290/i44>119ma=<732c:4773go36<54i02`>5<#m00:==5ae981?>d6:j0;6<4?:1y'54`=>11C=?l4H000?!74;3;8m6*8f;6g0>i1?3:1(<=<:808?xd6:m0;6<4?:1y'54`=9;>0D<"6;:03i6*8f;6g0>i>;3:1(<=<:808?xd6=90;684=:7y'54`=>:1C=?l4H000?!1a2=n?7W?>e;3x14>o3<3:17d;9:188k`d=831iio4?:783>5}#9:91:85U10g95~362tc<87>5$010>22<3`>o6=4+12190a=3287a>=n99h1<7*>32824g=51;294~"6;:0:?l5`9383>!74;33976s|ec83>7}Ymk16io462:p13<72;qU9;52ec840>{t=;0;6?uQ539>ag<6801v9j50;0xZ1b<5lh18i5rs5694?4|V=>01hl54d9~w3>=838p1hl511`894572080qpl>5683>0<52?q/=:|k40?6=,8986::4;h6g>5<#9:918i54i5g94?"6;:0?i65f11`94?"6;:0:5<#9:91==74;n;1>5<#9:915?54}c304?6=93:132827d=h1;0;6)?<3;;1?>{tmk0;6?uQec9>ag<>:2wx9;4?:3y]13=:mk0<86s|5383>7}Y=;16io4>089~w1b=838pR9j4=d`90a=z{=>1<7k0;684=:7y'54`=>:1C=?l4H000?!1a2=n?7W?>e;3x14>o3<3:17d;9:188k`d=831iio4?:783>5}#9:91:85U10g95~362tc<87>5$010>22<3`>o6=4+12190a=3287a>=n99h1<7*>32824g=51;294~"6;:0:?l5`9383>!74;33976s|ec83>7}Ymk16io462:p13<72;qU9;52ec840>{t=;0;6?uQ539>ag<6801v9j50;0xZ1b<5lh18i5rs5694?4|V=>01hl54d9~w3>=838p1hl511`894572080qpl>6g83>0<52?q/=:|k40?6=,8986::4;h6g>5<#9:918i54i5g94?"6;:0?i65f11`94?"6;:0:5<#9:91==74;n;1>5<#9:915?54}c304?6=93:132827d=h1;0;6)?<3;;1?>{tmk0;6?uQec9>ag<>:2wx9;4?:3y]13=:mk0<86s|5383>7}Y=;16io4>089~w1b=838pR9j4=d`90a=z{=>1<7:1C=?l4H000?!1a2=n?7W?>e;3x14>o3<3:17d;9:188k`d=831iio4?:783>5}#9:91:85U10g95~362tc<87>5$010>22<3`>o6=4+12190a=3287a>=n99h1<7*>32824g=51;294~"6;:0:?l5`9383>!74;33976s|ec83>7}Ymk16io462:p13<72;qU9;52ec840>{t=;0;6?uQ539>ag<6801v9j50;0xZ1b<5lh18i5rs5694?4|V=>01hl54d9~w3>=838p1hl511`894572080qpl>7683>0<52?q/=:|k40?6=,8986::4;h6g>5<#9:918i54i5g94?"6;:0?i65f11`94?"6;:0:5<#9:91==74;n;1>5<#9:915?54}c304?6=93:132827d=h1;0;6)?<3;;1?>{tmk0;6?uQec9>ag<>:2wx9;4?:3y]13=:mk0<86s|5383>7}Y=;16io4>089~w1b=838pR9j4=d`90a=z{=>1<7:1C=?l4H000?!1a2=n?7W?>e;3x14>o3<3:17d;9:188k`d=831iio4?:783>5}#9:91:85U10g95~362tc<87>5$010>22<3`>o6=4+12190a=3287a>=n99h1<7*>32824g=51;294~"6;:0:?l5`9383>!74;33976s|ec83>7}Ymk16io462:p13<72;qU9;52ec840>{t=;0;6?uQ539>ag<6801v9j50;0xZ1b<5lh18i5rs5694?4|V=>01hl54d9~w3>=838p1hl511`894572080qpl>7g83>0<52?q/=:|k40?6=,8986::4;h6g>5<#9:918i54i5g94?"6;:0?i65f11`94?"6;:0:5<#9:91==74;n;1>5<#9:915?54}c304?6=93:132827d=h1;0;6)?<3;;1?>{tmk0;6?uQec9>ag<>:2wx9;4?:3y]13=:mk0<86s|5383>7}Y=;16io4>089~w1b=838pR9j4=d`90a=z{=>1<7:1C=?l4H000?!1a2=n?7W?>e;3x14>o3<3:17d;9:188k`d=831iio4?:783>5}#9:91:85U10g95~362tc<87>5$010>22<3`>o6=4+12190a=3287a>=n99h1<7*>32824g=51;294~"6;:0:?l5`9383>!74;33976s|ec83>7}Ymk16io462:p13<72;qU9;52ec840>{t=;0;6?uQ539>ag<6801v9j50;0xZ1b<5lh18i5rs5694?4|V=>01hl54d9~w3>=838p1hl511`894572080qpl>8683>0<52?q/=:|k40?6=,8986::4;h6g>5<#9:918i54i5g94?"6;:0?i65f11`94?"6;:0:5<#9:91==74;n;1>5<#9:915?54}c304?6=93:132827d=h1;0;6)?<3;;1?>{tmk0;6?uQec9>ag<>:2wx9;4?:3y]13=:mk0<86s|5383>7}Y=;16io4>089~w1b=838pR9j4=d`90a=z{=>1<7:1C=?l4H000?!1a2=n?7W?>e;3x14>o3<3:17d;9:188k`d=831iio4?:783>5}#9:91:85U10g95~362tc<87>5$010>22<3`>o6=4+12190a=3287a>=n99h1<7*>32824g=51;294~"6;:0:?l5`9383>!74;33976s|ec83>7}Ymk16io462:p13<72;qU9;52ec840>{t=;0;6?uQ539>ag<6801v9j50;0xZ1b<5lh18i5rs5694?4|V=>01hl54d9~w3>=838p1hl511`894572080qpl>5b83>0<52?q/=:|k40?6=,8986::4;h6g>5<#9:918i54i5g94?"6;:0?i65f11`94?"6;:0:5<#9:91==74;n;1>5<#9:915?54}c304?6=93:132827d=h1;0;6)?<3;;1?>{tmk0;6?uQec9>ag<>:2wx9;4?:3y]13=:mk0<86s|5383>7}Y=;16io4>089~w1b=838pR9j4=d`90a=z{=>1<790;684=:7y'54`=>:1C=?l4H000?!1a2=n?7W?>e;3x14>o3<3:17d;9:188k`d=831iio4?:783>5}#9:91:85U10g95~362tc<87>5$010>22<3`>o6=4+12190a=3287a>=n99h1<7*>32824g=51;294~"6;:0:?l5`9383>!74;33976s|ec83>7}Ymk16io462:p13<72;qU9;52ec840>{t=;0;6?uQ539>ag<6801v9j50;0xZ1b<5lh18i5rs5694?4|V=>01hl54d9~w3>=838p1hl511`894572080qpl>6583>0<52?q/=:|k40?6=,8986::4;h6g>5<#9:918i54i5g94?"6;:0?i65f11`94?"6;:0:5<#9:91==74;n;1>5<#9:915?54}c304?6=93:132827d=h1;0;6)?<3;;1?>{tmk0;6?uQec9>ag<>:2wx9;4?:3y]13=:mk0<86s|5383>7}Y=;16io4>089~w1b=838pR9j4=d`90a=z{=>1<74=:5y'54`=>;1C=?l4H000?!112?1/;k4;d59j01<722c>:7>5;nga>5<0(:h54e68k`d=831bil4?::k62?6=3`?96=44i5694?=e>=0;6;4?:1y'565=><1b;94?:%307?1332c?h7>5$010>1b<3`>n6=4+12190`=5$010>46>32e2>7>5$010><4<3th:>h4?:083>5}#9:914h5`9283>!74;33976s|5783>7}Y=?16:9484:p01<72;qU89526587a>{t=;0;6?uQ539>21<6801vho50;0xZ`g<5?>1==l4}rga>5<5sWoi708;:808yv75<3:1>v394;6g?875m3387psm1;295?6=8r.:>?4;;%32b?0?3-;8?7?5$010><4<3tynn7>52z\ff>;bj3oi7p}:6;296~X2>27nn7;9;|q70?6=:rT?863jb;67?xu52908w0km:dc89`d==;16=788;%316?31}#98l1:?5G13`8L4443-==6;5+7g87`1=n<=0;66g:6;29?jce2900nhl50;796?0|,8;m6;:4$6d90a2>o2>3:17d;=:188m12=831i:94?:783>5}#9:91:85f7583>!74;3=?76g;d;29 4542=n07d:j:18'565=m:18'565=99h07d??9;29 45428:276a62;29 45420807pl>2d83>4<729q/=>=58d9l=6<72-;8?77=;:p13<72;qU9;5265840>{t<=0;6?uQ459>21<3m2wx9?4?:3y]17=:>=0:<45rsdc94?4|Vlk01;:511`8yvce2909wSkm;<47><452z?50?2c34;9i77<;|a5?6=93:12387?!76n3<37)?<3;30e>"0n3>o86a97;29 45420807p}jb;296~Xbj27nn7km;|q62?6=:rT>:63jb;75?xu3<3:1>vP;4:?ff?233ty96=4<{`g<5lh19?521;44?!75:3?0qpl>f783>6<52=q/=0chl50;9jad<722c>:7>5;h71>5<1<75m6583>3<729q/=>=5649j31<72-;8?79;;:k7`?6=,89869j4;h6f>5<#9:918h54i02a>5<#9:91==l4;h33=?6=,8986<>6;:m:6?6=,89864<4;|`26`<7280;6=u+1219<`=h1:0;6)?<3;;1?>{t=?0;6?uQ579>21<0<2wx894?:3y]01=:>=0?i6s|5383>7}Y=;16:94>089~w`g=838pRho4=76955do70?=e;;0?x{e93:1=7>50z&267<33-;:j787;%307?74i2.3:1>vP:6:?ff?313ty?87>52z\70>;bj3>?7p}=:1808ce2lk01hl5539>5?003-;9>7;4}|`2b4<72:0969u+10d927=O9;h0D<<<;%55>3=#?o0?h95f4583>>o2>3:17bkm:188f`d=83?1>78t$03e>32<,>l18i:4od`94?=nmh0;66g:6;29?l352900e9:50;9a21<72?0;6=u+121920=n?=0;6)?<3;57?>o3l3:1(<=<:5f8?l2b290/=>=54d98m46e290/=>=511`8?l7713:1(<=<:02:?>i>:3:1(<=<:808?xd6:l0;6<4?:1y'565=0l1d5>4?:%307??532wx9;4?:3y]13=:>=0<86s|4583>7}Y<=16:94;e:p17<72;qU9?5265824<=z{lk1<751;294~"6:;0?7)?>f;4;?!74;3;8m6*8f;6g0>i1?3:1(<=<:808?xubj3:1>vPjb:?ff?ce3ty>:7>52z\62>;bj3?=7p};4;296~X3<27nn7:;;|q1>5<4s4oi6ho4=d`917=:93<<7)?=2;78yxd4:k0;684=:7y'54`=>:1C=?l4H000?!1a2=n?7W?>e;3x0c>o3<3:17d;9:188k`d=831iio4?:783>5}#9:91:85U10g95~2a2tc<87>5$010>22<3`>o6=4+12190a=3287a>=n99h1<7*>32824g=51;294~"6;:0:?l5`9383>!74;33976s|ec83>7}Ymk16io462:p13<72;qU9;52ec840>{t=;0;6?uQ539>ag<6801v9j50;0xZ1b<5lh18i5rs5694?4|V=>01hl54d9~w3>=838p1hl511`894572080qpl=8783>6<52=q/=6?49{%32b?033-=m69j;;[32a?7|:7>5;h71>5<1<75m6583>3<729q/=>=5649Y54c=9r>m6pg84;29 4542>>07d:k:18'565=6:18'565=99307b7=:18'565=1;10qo?=e;295?6=8r.:?>47e:m:7?6=,89864<4;|q62?6=:rT>:6394;57?xu3<3:1>vP;4:?50?2b3ty>>7>52z\66>;1<3;;56s|e`83>7}Ymh16:94>0c9~w`d=838pRhl4=769=7=z{88?6=4={<47>1b<588n64=4}|`2>5<6290;w)?=2;68 47a2?20(<=<:01b?!1a2=n?7b88:18'565=1;10q~km:181[ce34oi6hl4}r75>5<5sW?=70km:448yv232909wS:;;12>63>:758 4452<1vqo<92;291?4=>r.:=k493:J26g=O9;90(:h54e68^47b28q><7sf4e83>>o2:3:17d:;:188m00=831dio4?::`ff?6=>3:132851>\69l0:w8>5}h57>5<#9:91;954i5f94?"6;:0?h65f4d83>!74;3>n76g>0c83>!74;3;;n65f11;94?"6;:0:<454o8094?"6;:02>65rb013>5<6290;w)?<3;30e>i>:3:1(<=<:808?xubj3:1>vPjb:?ff??53ty>:7>52z\62>;bj3=?7p}:2;296~X2:27nn7??9:p0a<72;qU8i52ec87`>{t<=0;6?uQ459>ag<3m2wx:54?:3y>ag<68k16=>>5939~yg5e:3:197<56z&25c<1;2B:>o5G1318 2`=0V56;294~"6;:0=96T>1d8206=u`=?6=4+121931=3287`>=no68k0;6)?<3;33f>=n9931<7*>32824<=328:6>=zj89;6=4>:183!74;3;8m6a62;29 45420807p}jb;296~Xbj27nn77=;|q62?6=:rT>:63jb;57?xu2:3:1>vP:2:?ff?7712wx8i4?:3y]0a=:mk0?h6s|4583>7}Y<=16io4;e:p2=<72;q6io4>0c9>566=1;1vqo=62;291?4=>r.:=k493:J26g=O9;90(:856:&4b?2c<2P:=h4>{429yl2c2900e8<50;9j01<722c>:7>5;nga>5<o3l3:1(<=<:5f8?l2b290/=>=54d98m46e290/=>=511`8?l7713:1(<=<:02:?>i>:3:1(<=<:808?xd6;90;6<4?:1y'565=9:k0c4<50;&276<>:21vhl50;0xZ`d<5lh15?5rs4494?4|V<<01hl5759~w04=838pR8<4=d`955?o6=4={_6g?8ce2=n0q~:;:181[2334oi69k4}r4;>5<5s4oi6<>m;<304??53twi?>h50;796?0|,8;m6;=4H00a?M75;2.<7sf7583>!74;3=?76g;d;29 4542=n07d:j:18'565=m:18'565=99h07d??9;29 45428:276a62;29 45420807pl>3183>4<729q/=>=512c8k<4=83.:?>462:9~w`d=838pRhl4=d`9=7=z{<<1<75<5sW>o70km:5f8yv232909wS:;;1c46e34;8<77=;|a714=8391>7:t$03e>34<@88i7E?=3:&4b?2c<2P:=h4>{429yl232900e8850;9lag<722hnn7>55;092~"69o0=86*8f;6g0>\69l0:w8>5}nga>5<>o2:3:17d:;:188f32=83<1<7>t$010>335<#9:918h54i02a>5<#9:91==l4;h33=?6=,8986<>6;:m:6?6=,89864<4;|`26`<7280;6=u+1219<`=h1:0;6)?<3;;1?>{t=?0;6?uQ579>21<0<2wx894?:3y]01=:>=0?i6s|5383>7}Y=;16:94>089~w`g=838pRho4=76955do70?=e;;0?x{e93:1=7>50z&267<33-;:j787;%307?74i2.3:1>vP:6:?ff?313ty?87>52z\70>;bj3>?7p}=:1808ce2lk01hl5539>5?003-;9>7;4}|`11b33S;:i7?t4g8~m12=831b9;4?::mff?6=3koi6=4::385!76n35<#9:918i54i5g94?"6;:0?i65f11`94?"6;:0:5<#9:91==74;n;1>5<#9:915?54}c31a?6=93:1328;a>i>;3:1(<=<:808?xu2>3:1>vP:6:?50?133ty?87>52z\70>;1<3>n7p}:2;296~X2:27=87??9:pad<72;qUil5265824g=z{lh1<745f3-=m69j;;n44>5<#9:915?54}rga>5<5sWoi70km:d`8yv312909wS;9;00?6=4={_67?8ce2=>0q~<50;1x9`d=mh16io4:2:?2>31<,889685r}c0;b?6=;3818v*>1g856>N6:k1C=?=4$6d90a2:7>5;nga>5<0(:h54e68^47b28q?j7s`ec83>>obi3:17d;9:188m04=831b894?::`50?6=>3:132851>\69l0:w9h5}h57>5<#9:91;954i5f94?"6;:0?h65f4d83>!74;3>n76g>0c83>!74;3;;n65f11;94?"6;:0:<454o8094?"6;:02>65rb00f>5<6290;w)?<3;:f?j?4290/=>=59398yv312909wS;9;<47>22?6=4={_67?8032=o0q~;=:181[35346;|qfe?6=:rTnm6394;33f>{tmk0;6?uQec9>21<>:2wx=?:50;0x932=t$001>1=#98l1:55+121956g<,>l18i:4o7594?"6;:02>65rsd`94?4|Vlh01hl5ec9~w00=838pR884=d`913=z{=>1<7ag5<42;0?w)?>f;41?M75j2B:>>5+7g87`1=]98o1=v:i:|k70?6=3`?=6=44od`94?=emk0;684=:7y'54`=>=1/;k4;d59Y54c=9r>m6pajb;29?lcf2900e8850;9j17<722c?87>5;c47>5<1290;w)?<3;46?_76m3;p8k4ri6694?"6;:0<865f4e83>!74;3>o76g;e;29 4542=o07d??b;29 45428:i76g>0883>!74;3;;565`9383>!74;33976sm13g94?7=83:p(<=<:9g8k<5=83.:?>462:9~w00=838pR884=76931=z{=>1<71==74}rgb>5<5sWoj708;:02a?xubj3:1>vPjb:?50??53ty:>94?:3y>21<3l27:>h463:~f4<7280;6=u+13090>"69o0=46*>32827d=#?o0?h95`6683>!74;33976s|ec83>7}Ymk16io4jb:p13<72;qU9;52ec862>{t<=0;6?uQ459>ag<3<2wx>7>53z?ff?cf34oi68<4=0853>"6:;0>7psm28794?5=:3>p(\69l0:w9h5}h67>5<>dbj3:197<56z&25c<1<2.?6=44b7694?0=83:p(<=<:778^47b28q?j7sf7583>!74;3=?76g;d;29 4542=n07d:j:18'565=m:18'565=99h07d??9;29 45428:276a62;29 45420807pl>2d83>4<729q/=>=58d9l=6<72-;8?77=;:p13<72;qU9;5265840>{t<=0;6?uQ459>21<3m2wx9?4?:3y]17=:>=0:<45rsdc94?4|Vlk01;:511`8yvce2909wSkm;<47><452z?50?2c34;9i77<;|a5?6=93:12387?!76n3<37)?<3;30e>"0n3>o86a97;29 45420807p}jb;296~Xbj27nn7km;|q62?6=:rT>:63jb;75?xu3<3:1>vP;4:?ff?233ty96=4<{`g<5lh19?521;44?!75:3?0qpl<9083>6<52=q/=6?49{%32b?033-=m69j;;[32a?7|=90vchl50;9jad<722c>:7>5;h71>5<1<75m6583>3<729q/=>=5649Y54c=9r?;6pg84;29 4542>>07d:k:18'565=6:18'565=99307b7=:18'565=1;10qo?=e;295?6=8r.:?>47e:m:7?6=,89864<4;|q62?6=:rT>:6394;57?xu3<3:1>vP;4:?50?2b3ty>>7>52z\66>;1<3;;56s|e`83>7}Ymh16:94>0c9~w`d=838pRhl4=769=7=z{88?6=4={<47>1b<588n64=4}|`2>5<6290;w)?=2;68 47a2?20(<=<:01b?!1a2=n?7b88:18'565=1;10q~km:181[ce34oi6hl4}r75>5<5sW?=70km:448yv232909wS:;;12>63>:758 4452<1vqo<;d;291?4=>r.:=k493:J26g=O9;90(:h54e68^47b28q><7sf4e83>>o2:3:17d:;:188m00=831dio4?::`ff?6=>3:132851>\69l0:w8>5}h57>5<#9:91;954i5f94?"6;:0?h65f4d83>!74;3>n76g>0c83>!74;3;;n65f11;94?"6;:0:<454o8094?"6;:02>65rb013>5<6290;w)?<3;30e>i>:3:1(<=<:808?xubj3:1>vPjb:?ff??53ty>:7>52z\62>;bj3=?7p}:2;296~X2:27nn7??9:p0a<72;qU8i52ec87`>{t<=0;6?uQ459>ag<3m2wx:54?:3y>ag<68k16=>>5939~yg42:3:197<56z&25c<1;2B:>o5G1318 2`=0V56;294~"6;:0=96T>1d8206=u`=?6=4+121931=3287`>=no68k0;6)?<3;33f>=n9931<7*>32824<=328:6>=zj89;6=4>:183!74;3;8m6a62;29 45420807p}jb;296~Xbj27nn77=;|q62?6=:rT>:63jb;57?xu2:3:1>vP:2:?ff?7712wx8i4?:3y]0a=:mk0?h6s|4583>7}Y<=16io4;e:p2=<72;q6io4>0c9>566=1;1vqo<:7;291?4=>r.:=k493:J26g=O9;90(:h54e68^47b28q><7sf4e83>>o2:3:17d:;:188m00=831dio4?::`ff?6=>3:132851>\69l0:w8>5}h57>5<#9:91;954i5f94?"6;:0?h65f4d83>!74;3>n76g>0c83>!74;3;;n65f11;94?"6;:0:<454o8094?"6;:02>65rb013>5<6290;w)?<3;30e>i>:3:1(<=<:808?xubj3:1>vPjb:?ff??53ty>:7>52z\62>;bj3=?7p}:2;296~X2:27nn7??9:p0a<72;qU8i52ec87`>{t<=0;6?uQ459>ag<3m2wx:54?:3y>ag<68k16=>>5939~yg50k3:197<56z&25c<1;2B:>o5G1318 2`=0V56;294~"6;:0=96T>1d8206=u`=?6=4+121931=3287`>=no68k0;6)?<3;33f>=n9931<7*>32824<=328:6>=zj89;6=4>:183!74;3;8m6a62;29 45420807p}jb;296~Xbj27nn77=;|q62?6=:rT>:63jb;57?xu2:3:1>vP:2:?ff?7712wx8i4?:3y]0a=:mk0?h6s|4583>7}Y<=16io4;e:p2=<72;q6io4>0c9>566=1;1vqo:n6;291?4=>r.:=k493:J26g=O9;90(:h54e68^47b28q><7sf4e83>>o2:3:17d:;:188m00=831dio4?::`ff?6=>3:132851>\69l0:w8>5}h57>5<#9:91;954i5f94?"6;:0?h65f4d83>!74;3>n76g>0c83>!74;3;;n65f11;94?"6;:0:<454o8094?"6;:02>65rb013>5<6290;w)?<3;30e>i>:3:1(<=<:808?xubj3:1>vPjb:?ff??53ty>:7>52z\62>;bj3=?7p}:2;296~X2:27nn7??9:p0a<72;qU8i52ec87`>{t<=0;6?uQ459>ag<3m2wx:54?:3y>ag<68k16=>>5939~yg44n3:197<56z&25c<1;2B:>o5G1318 20=>2.<7sf7583>!74;3=?76g;d;29 4542=n07d:j:18'565=m:18'565=99h07d??9;29 45428:276a62;29 45420807pl>3183>4<729q/=>=512c8k<4=83.:?>462:9~w`d=838pRhl4=d`9=7=z{<<1<75<5sW>o70km:5f8yv232909wS:;;1c46e34;8<77=;|a614=83?1>78t$03e>35<@88i7E?=3:&42?0<,>l18i:4Z03f>4}283wb8i4?::k66?6=3`>?6=44i4494?=hmk0;66ljb;292?6=8r.:?>495:X25`<6s<:1qd9;:18'565=?=10e9j50;&276<3l21b8h4?:%307?2b32c::21vn<=?:182>5<7s-;8?7?3:1>vP:6:?ff?133ty>>7>52z\66>;bj3;;56s|4e83>7}Y{t>10;6?u2ec824g=:9::15?5r}c3g`?6=;3818v*>1g856>N6:k1C=?=4$6d90a21<75f5783>>ibj3:17okm:186>7<1s-;:j78;;%5e>1b33foi6=44idc94?=n=?0;66g:2;29?l232900n;:50;494?6|,8986;;4i6694?"6;:0<865f4e83>!74;3>o76g;e;29 4542=o07d??b;29 45428:i76g>0883>!74;3;;565`9383>!74;33976sm13g94?7=83:p(<=<:9g8k<5=83.:?>462:9~w00=838pR884=76931=z{=>1<71==74}rgb>5<5sWoj708;:02a?xubj3:1>vPjb:?50??53ty:>94?:3y>21<3l27:>h463:~f4<7280;6=u+13090>"69o0=46*>32827d=#?o0?h95`6683>!74;33976s|ec83>7}Ymk16io4jb:p13<72;qU9;52ec862>{t<=0;6?uQ459>ag<3<2wx>7>53z?ff?cf34oi68<4=0853>"6:;0>7psm1e194?5=:3>p(o3<3:17d;9:188k`d=831iio4?:481>3}#98l1:95+7g87`1=hmk0;66gja;29?l312900e8<50;9j01<722h=87>56;294~"6;:0=96g84;29 4542>>07d:k:18'565=6:18'565=99307b7=:18'565=1;10qo?=e;295?6=8r.:?>47e:m:7?6=,89864<4;|q62?6=:rT>:6394;57?xu3<3:1>vP;4:?50?2b3ty>>7>52z\66>;1<3;;56s|e`83>7}Ymh16:94>0c9~w`d=838pRhl4=769=7=z{88?6=4={<47>1b<588n64=4}|`2>5<6290;w)?=2;68 47a2?20(<=<:01b?!1a2=n?7b88:18'565=1;10q~km:181[ce34oi6hl4}r75>5<5sW?=70km:448yv232909wS:;;12>63>:758 4452<1vqo?jb;297?4=>7>5;h67>5<1<7850;2x 4542??0e::50;&276<0<21b8i4?:%307?2c32c?i7>5$010>1c<3`;;n7>5$010>46e32c:<44?:%307?77121d5?4?:%307??532wi=?k50;394?6|,89865k4o8194?"6;:02>65rs4494?4|V<<01;:5759~w12=838pR9:4=7690`=z{<81<7m;|qff?6=:rTnn6394;;1?xu6:=0;6?u26587`>;6:l02?6srb083>4<729q/=?<54:&25c<102.:?>4>3`9'3c<3l=1d::4?:%307??532wxio4?:3y]ag=:mk0nn6s|5783>7}Y=?16io4:6:p01<72;qU8952ec870>{t:3:1?v3jb;gb?8ce2<801<497:&267<23twi>i<50;196?2|,8;m6;<4H00a?M75;2.6?49{%32b?033-=m69j;;[32a?4|=7s`ec83>>obi3:17d;9:188m04=831b894?::`50?6=>3:132851>\69l09w9h5508~m22=83.:?>484:9j0a<72-;8?7:k;:k7a?6=,89869k4;h33f?6=,8986<>m;:k24<<72-;8?7??9:9l=7<72-;8?77=;:a57c=83;1<7>t$010>=c328:6>=z{<<1<718h5rs4094?4|V<801;:511;8yvcf2909wSkn;<47>46e3tynn7>52z\ff>;1<3397p}>2583>7}:>=0?h63>2d8:7>{zj80;6<4?:1y'574=<2.:=k498:&276<6;h1/;k4;d59l22<72-;8?77=;:pag<72;qUio52ec8ff>{t=?0;6?uQ579>ag<2>2wx894?:3y]01=:mk0?86s|2;297~;bj3oj70km:40894<1?2.:>?4:;|a63?=8391>7:t$03e>34<@88i7E?=3:&4b?2c<2P:=h4>{5d9yl232900e8850;9lag<722hnn7>55;092~"69o0=86*8f;6g0>\69l0:w9h5}nga>5<>o2:3:17d:;:188f32=83<1<7>t$010>335<#9:918h54i02a>5<#9:91==l4;h33=?6=,8986<>6;:m:6?6=,89864<4;|`26`<7280;6=u+1219<`=h1:0;6)?<3;;1?>{t=?0;6?uQ579>21<0<2wx894?:3y]01=:>=0?i6s|5383>7}Y=;16:94>089~w`g=838pRho4=76955do70?=e;;0?x{e93:1=7>50z&267<33-;:j787;%307?74i2.3:1>vP:6:?ff?313ty?87>52z\70>;bj3>?7p}=:1808ce2lk01hl5539>5?003-;9>7;4}|`1g4<72:0969u+10d927=O9;h0D<<<;%5e>1b33S;:i7xibj3:17dkn:188m00=831b9?4?::k70?6=3k7W?>e;0x0c<293wb;94?:%307?1332c?h7>5$010>1b<3`>n6=4+12190`=5$010>46>32e2>7>5$010><4<3th:>h4?:083>5}#9:914h5`9283>!74;33976s|5783>7}Y=?16:9484:p01<72;qU89526587a>{t=;0;6?uQ539>21<6801vho50;0xZ`g<5?>1==l4}rga>5<5sWoi708;:808yv75<3:1>v394;6g?875m3387psm1;295?6=8r.:>?4;;%32b?0?3-;8?7?5$010><4<3tynn7>52z\ff>;bj3oi7p}:6;296~X2>27nn7;9;|q70?6=:rT?863jb;67?xu52908w0km:dc89`d==;16=788;%316?31}#98l1:?5G13`8L4443-=m69j;;[32a?7|5;cga>5<22;0=w)?>f;47?!1a2=n?7W?>e;3x0c>o2>3:17d;=:188m12=831i:94?:783>5}#9:91:85U10g95~2a2tc<87>5$010>22<3`>o6=4+12190a=3287a>=n99h1<7*>32824g=51;294~"6;:03i6a63;29 45420807p}:6;296~X2>27=879;;|q70?6=:rT?86394;6f?xu2:3:1>vP:2:?50?7712wxil4?:3y]ad=:>=0::182>5<7s-;9>7:4$03e>3><,8986<=n;%5e>1b33f<<6=4+1219=7=5<5sW>?70km:568yv4=839p1hl5e`9>ag<2:27:6;94$001>0=zuk9;97>53;090~"69o0=>6F>2c9K575<,>l18i:4Z03f>4}3n3wb894?::k62?6=3foi6=44bd`94?3=:30V56;294~"6;:0=96T>1d821`=u`=?6=4+121931=3287`>=no68k0;6)?<3;33f>=n9931<7*>32824<=328:6>=zj88n6=4>:183!74;32n7b7<:18'565=1;10q~;9:181[31345<5sW>?708;:5g8yv352909wS;=;<47>46>3tynm7>52z\fe>;1<3;;n6s|ec83>7}Ymk16:9462:p572=838p1;:54e9>57c=1:1vqo?50;394?6|,889695+10d92==#9:91=>o4$6d90a2328:6>=z{lh1<701hl5459~w7<72:q6io4ja:?ff?3534;1::5+13091>{zj;=?6=4<:387!76n3<97E?=b:J266=#?o0?h95U10g95~2a2tc?87>5;h75>5<0<52?q/=xibj3:17dkn:188m00=831b9?4?::k70?6=3k7W?>e;3x0c>1<7*>32840>=no3m3:1(<=<:5g8?l77j3:1(<=<:02a?>o6800;6)?<3;33=>=h1;0;6)?<3;;1?>{e9;o1<7?50;2x 45421o0c4=50;&276<>:21v8850;0xZ00<5?>1;95rs5694?4|V=>01;:54d9~w04=838pR8<4=76955?;2wvn<4?:083>5}#9;8186*>1g85<>"6;:0:?l5+7g87`1=h>>0;6)?<3;;1?>{tmk0;6?uQec9>ag:6s|4583>7}Y<=16io4;4:p6?6=;r7nn7kn;04<580=;6*>2386?x{e;931<7=52;6x 47a2?80D<"0n3>o86T>1d821`=u`>?6=44i4494?=hmk0;66ljb;291?4=>r.:=k494:&4b?2c<2P:=h4>{5d9yjce2900eho50;9j13<722c>>7>5;h67>5<1<7850;2x 4542??0Vo3l3:1(<=<:5f8?l2b290/=>=54d98m46e290/=>=511`8?l7713:1(<=<:02:?>i>:3:1(<=<:808?xd6:l0;6<4?:1y'565=0l1d5>4?:%307??532wx9;4?:3y]13=:>=0<86s|4583>7}Y<=16:94;e:p17<72;qU9?5265824<=z{lk1<751;294~"6:;0?7)?>f;4;?!74;3;8m6*8f;6g0>i1?3:1(<=<:808?xubj3:1>vPjb:?ff?ce3ty>:7>52z\62>;bj3?=7p};4;296~X3<27nn7:;;|q1>5<4s4oi6ho4=d`917=:93<<7)?=2;78yxd5?h0;6>4=:5y'54`=>;1C=?l4H000?!1a2=n?7W?>e;3x0c1<75f5783>>ibj3:17okm:186>7<1s-;:j78;;%5e>1b33S;:i7?t4g8~k`d=831bil4?::k62?6=3`?96=44i5694?=e>=0;6;4?:1y'565=><1Q=xo0<3:1(<=<:668?l2c290/=>=54e98m1c=83.:?>4;e:9j55d=83.:?>4>0c98m46>290/=>=511;8?j?5290/=>=59398yg75m3:1=7>50z&2765$010><4<3ty>:7>52z\62>;1<3=?7p};4;296~X3<27=87:j;|q66?6=:rT>>6394;33=>{tmh0;6?uQe`9>21<68k1vhl50;0xZ`d<5?>15?5rs007>5<5s4<5:183!75:3>0(=59398yvce2909wSkm;`d;62?=0(<<=:49~yg54m3:1?7<54z&25c<1:2B:>o5G1318 2`=0V5;h75>5<>d1<3:1:7>50z&276<1=2P:=h4>{429yl13290/=>=57598m1b=83.:?>4;d:9j0`<72-;8?7:j;:k24g<72-;8?7??b:9j55?=83.:?>4>0898k<4=83.:?>462:9~f44b290:6=4?{%307?>b3f386=4+1219=7=>0q~:;:181[23345<5sW?9708;:02:?xubi3:1>vPja:?50?77j2wxio4?:3y]ag=:>=02>6s|13694?4|5?>18i5213g9=6=zuk;1<7?50;2x 4452=1/=462:9~w`d=838pRhl4=d`9ag=z{<<1<76}:mk0nm63jb;71?87=>>1/=?<55:~f63f29086?4;{%32b?053A;9n6F>229'3c<3l=1Q=xo3<3:17d;9:188k`d=831iio4?:481>3}#98l1:95+7g87`1=]98o1=v;?:|mff?6=3`oj6=44i4494?=n=;0;66g;4;29?g03290=6=4?{%307?023S;:i7?t518~m22=83.:?>484:9j0a<72-;8?7:k;:k7a?6=,89869k4;h33f?6=,8986<>m;:k24<<72-;8?7??9:9l=7<72-;8?77=;:a57c=83;1<7>t$010>=c328:6>=z{<<1<718h5rs4094?4|V<801;:511;8yvcf2909wSkn;<47>46e3tynn7>52z\ff>;1<3397p}>2583>7}:>=0?h63>2d8:7>{zj80;6<4?:1y'574=<2.:=k498:&276<6;h1/;k4;d59l22<72-;8?77=;:pag<72;qUio52ec8ff>{t=?0;6?uQ579>ag<2>2wx894?:3y]01=:mk0?86s|2;297~;bj3oj70km:40894<1?2.:>?4:;|a0g4=8391>7:t$03e>34<@88i7E?=3:&4b?2c<2P:=h4>{429yl232900e8850;9lag<722hnn7>55;092~"69o0=86*8f;6g0>\69l0:w8>5}nga>5<>o2:3:17d:;:188f32=83<1<7>t$010>335<#9:918h54i02a>5<#9:91==l4;h33=?6=,8986<>6;:m:6?6=,89864<4;|`26`<7280;6=u+1219<`=h1:0;6)?<3;;1?>{t=?0;6?uQ579>21<0<2wx894?:3y]01=:>=0?i6s|5383>7}Y=;16:94>089~w`g=838pRho4=76955do70?=e;;0?x{e93:1=7>50z&267<33-;:j787;%307?74i2.3:1>vP:6:?ff?313ty?87>52z\70>;bj3>?7p}=:1808ce2lk01hl5539>5?003-;9>7;4}|`7ea<72:0969u+10d927=O9;h0D<<<;%5e>1b33S;:i7?t518~m12=831b9;4?::mff?6=3koi6=4::385!76n35<#9:918i54i5g94?"6;:0?i65f11`94?"6;:0:5<#9:91==74;n;1>5<#9:915?54}c31a?6=93:1328;a>i>;3:1(<=<:808?xu2>3:1>vP:6:?50?133ty?87>52z\70>;1<3>n7p}:2;296~X2:27=87??9:pad<72;qUil5265824g=z{lh1<745f3-=m69j;;n44>5<#9:915?54}rga>5<5sWoi70km:d`8yv312909wS;9;00?6=4={_67?8ce2=>0q~<50;1x9`d=mh16io4:2:?2>31<,889685r}c6a2?6=;3818v*>1g856>N6:k1C=?=4$6d90a2:7>5;nga>5<0(:h54e68^47b28q><7s`ec83>>obi3:17d;9:188m04=831b894?::`50?6=>3:132851>\69l0:w8>5}h57>5<#9:91;954i5f94?"6;:0?h65f4d83>!74;3>n76g>0c83>!74;3;;n65f11;94?"6;:0:<454o8094?"6;:02>65rb00f>5<6290;w)?<3;:f?j?4290/=>=59398yv312909wS;9;<47>22?6=4={_67?8032=o0q~;=:181[35346;|qfe?6=:rTnm6394;33f>{tmk0;6?uQec9>21<>:2wx=?:50;0x932=t$001>1=#98l1:55+121956g<,>l18i:4o7594?"6;:02>65rsd`94?4|Vlh01hl5ec9~w00=838pR884=d`913=z{=>1<7ag5<42;0?w)?>f;41?M75j2B:>>5+7g87`1=]98o1=v;?:|k70?6=3`?=6=44od`94?=emk0;684=:7y'54`=>=1/;k4;d59Y54c=9r?;6pajb;29?lcf2900e8850;9j17<722c?87>5;c47>5<1290;w)?<3;46?_76m3;p9=4ri6694?"6;:0<865f4e83>!74;3>o76g;e;29 4542=o07d??b;29 45428:i76g>0883>!74;3;;565`9383>!74;33976sm13g94?7=83:p(<=<:9g8k<5=83.:?>462:9~w00=838pR884=76931=z{=>1<71==74}rgb>5<5sWoj708;:02a?xubj3:1>vPjb:?50??53ty:>94?:3y>21<3l27:>h463:~f4<7280;6=u+13090>"69o0=46*>32827d=#?o0?h95`6683>!74;33976s|ec83>7}Ymk16io4jb:p13<72;qU9;52ec862>{t<=0;6?uQ459>ag<3<2wx>7>53z?ff?cf34oi68<4=0853>"6:;0>7psm41394?5=:3>p(\69l0:w8>5}h67>5<>dbj3:197<56z&25c<1<2.?6=44b7694?0=83:p(<=<:778^47b28q><7sf7583>!74;3=?76g;d;29 4542=n07d:j:18'565=m:18'565=99h07d??9;29 45428:276a62;29 45420807pl>2d83>4<729q/=>=58d9l=6<72-;8?77=;:p13<72;qU9;5265840>{t<=0;6?uQ459>21<3m2wx9?4?:3y]17=:>=0:<45rsdc94?4|Vlk01;:511`8yvce2909wSkm;<47><452z?50?2c34;9i77<;|a5?6=93:12387?!76n3<37)?<3;30e>"0n3>o86a97;29 45420807p}jb;296~Xbj27nn7km;|q62?6=:rT>:63jb;75?xu3<3:1>vP;4:?ff?233ty96=4<{`g<5lh19?521;44?!75:3?0qpl;0383>6<52=q/=xo3<3:17d;9:188k`d=831iio4?:481>3}#98l1:95+7g87`1=]98o1=v;?:|mff?6=3`oj6=44i4494?=n=;0;66g;4;29?g03290=6=4?{%307?023S;:i7?t518~m22=83.:?>484:9j0a<72-;8?7:k;:k7a?6=,89869k4;h33f?6=,8986<>m;:k24<<72-;8?7??9:9l=7<72-;8?77=;:a57c=83;1<7>t$010>=c328:6>=z{<<1<718h5rs4094?4|V<801;:511;8yvcf2909wSkn;<47>46e3tynn7>52z\ff>;1<3397p}>2583>7}:>=0?h63>2d8:7>{zj80;6<4?:1y'574=<2.:=k498:&276<6;h1/;k4;d59l22<72-;8?77=;:pag<72;qUio52ec8ff>{t=?0;6?uQ579>ag<2>2wx894?:3y]01=:mk0?86s|2;297~;bj3oj70km:40894<1?2.:>?4:;|a043=8391>7:t$03e>34<@88i7E?=3:&42?0<,>l18i:4Z03f>4}283wb894?::k62?6=3foi6=44bd`94?3=:30V56;294~"6;:0=96T>1d8206=u`=?6=4+121931=3287`>=no68k0;6)?<3;33f>=n9931<7*>32824<=328:6>=zj88n6=4>:183!74;32n7b7<:18'565=1;10q~;9:181[31345<5sW>?708;:5g8yv352909wS;=;<47>46>3tynm7>52z\fe>;1<3;;n6s|ec83>7}Ymk16:9462:p572=838p1;:54e9>57c=1:1vqo?50;394?6|,889695+10d92==#9:91=>o4$6d90a2328:6>=z{lh1<701hl5459~w7<72:q6io4ja:?ff?3534;1::5+13091>{zj=826=4<:387!76n3<97E?=b:J266=#??0=7)9i:5f7?_76m3;p9=4ri5694?=n=?0;66ajb;29?gce290>6?49{%32b?033-=m69j;;[32a?7|=90vchl50;9jad<722c>:7>5;h71>5<1<75m6583>3<729q/=>=5649Y54c=9r?;6pg84;29 4542>>07d:k:18'565=6:18'565=99307b7=:18'565=1;10qo?=e;295?6=8r.:?>47e:m:7?6=,89864<4;|q62?6=:rT>:6394;57?xu3<3:1>vP;4:?50?2b3ty>>7>52z\66>;1<3;;56s|e`83>7}Ymh16:94>0c9~w`d=838pRhl4=769=7=z{88?6=4={<47>1b<588n64=4}|`2>5<6290;w)?=2;68 47a2?20(<=<:01b?!1a2=n?7b88:18'565=1;10q~km:181[ce34oi6hl4}r75>5<5sW?=70km:448yv232909wS:;;12>63>:758 4452<1vqo:nc;297?4={429yl232900e8850;9lag<722hnn7>55;092~"69o0=86*8f;6g0>\69l0:w8>5}nga>5<>o2:3:17d:;:188f32=83<1<7>t$010>335<#9:918h54i02a>5<#9:91==l4;h33=?6=,8986<>6;:m:6?6=,89864<4;|`26`<7280;6=u+1219<`=h1:0;6)?<3;;1?>{t=?0;6?uQ579>21<0<2wx894?:3y]01=:>=0?i6s|5383>7}Y=;16:94>089~w`g=838pRho4=76955do70?=e;;0?x{e93:1=7>50z&267<33-;:j787;%307?74i2.3:1>vP:6:?ff?313ty?87>52z\70>;bj3>?7p}=:1808ce2lk01hl5539>5?003-;9>7;4}|`14f<72:0969u+10d927=O9;h0D<<<;%5e>1b33S;:i7?t508~m12=831b9;4?::mff?6=3koi6=4::385!76n3:|k40?6=,8986::4;h6g>5<#9:918i54i5g94?"6;:0?i65f11`94?"6;:0:5<#9:91==74;n;1>5<#9:915?54}c31a?6=93:1328;a>i>;3:1(<=<:808?xu2>3:1>vP:6:?50?133ty?87>52z\70>;1<3>n7p}:2;296~X2:27=87??9:pad<72;qUil5265824g=z{lh1<745f3-=m69j;;n44>5<#9:915?54}rga>5<5sWoi70km:d`8yv312909wS;9;00?6=4={_67?8ce2=>0q~<50;1x9`d=mh16io4:2:?2>31<,889685r}c020?6=;3818v*>1g856>N6:k1C=?=4$6d90a2:7>5;nga>5<0(:h54e68^47b28q>=7s`ec83>>obi3:17d;9:188m04=831b894?::`50?6=>3:132851>\69l0:w8?5}h57>5<#9:91;954i5f94?"6;:0?h65f4d83>!74;3>n76g>0c83>!74;3;;n65f11;94?"6;:0:<454o8094?"6;:02>65rb00f>5<6290;w)?<3;:f?j?4290/=>=59398yv312909wS;9;<47>22?6=4={_67?8032=o0q~;=:181[35346;|qfe?6=:rTnm6394;33f>{tmk0;6?uQec9>21<>:2wx=?:50;0x932=t$001>1=#98l1:55+121956g<,>l18i:4o7594?"6;:02>65rsd`94?4|Vlh01hl5ec9~w00=838pR884=d`913=z{=>1<7ag5<42;0?w)?>f;41?M75j2B:>>5+7g87`1=]98o1=v;>:|k70?6=3`?=6=44od`94?=emk0;684=:7y'54`=>=1/;k4;d59Y54c=9r?:6pajb;29?lcf2900e8850;9j17<722c?87>5;c47>5<1290;w)?<3;46?_76m3;p9<4ri6694?"6;:0<865f4e83>!74;3>o76g;e;29 4542=o07d??b;29 45428:i76g>0883>!74;3;;565`9383>!74;33976sm13g94?7=83:p(<=<:9g8k<5=83.:?>462:9~w00=838pR884=76931=z{=>1<71==74}rgb>5<5sWoj708;:02a?xubj3:1>vPjb:?50??53ty:>94?:3y>21<3l27:>h463:~f4<7280;6=u+13090>"69o0=46*>32827d=#?o0?h95`6683>!74;33976s|ec83>7}Ymk16io4jb:p13<72;qU9;52ec862>{t<=0;6?uQ459>ag<3<2wx>7>53z?ff?cf34oi68<4=0853>"6:;0>7psm12d94?5=:3>p(\69l0:w8?5}h67>5<>dbj3:197<56z&25c<1<2.?6=44b7694?0=83:p(<=<:778^47b28q>=7sf7583>!74;3=?76g;d;29 4542=n07d:j:18'565=m:18'565=99h07d??9;29 45428:276a62;29 45420807pl>2d83>4<729q/=>=58d9l=6<72-;8?77=;:p13<72;qU9;5265840>{t<=0;6?uQ459>21<3m2wx9?4?:3y]17=:>=0:<45rsdc94?4|Vlk01;:511`8yvce2909wSkm;<47><452z?50?2c34;9i77<;|a5?6=93:12387?!76n3<37)?<3;30e>"0n3>o86a97;29 45420807p}jb;296~Xbj27nn7km;|q62?6=:rT>:63jb;75?xu3<3:1>vP;4:?ff?233ty96=4<{`g<5lh19?521;44?!75:3?0qpl<2783>6<52=q/=6?49{%32b?033-=m69j;;[32a?7|:7>5;h71>5<1<75m6583>3<729q/=>=5649Y54c=9r>m6pg84;29 4542>>07d:k:18'565=6:18'565=99307b7=:18'565=1;10qo?=e;295?6=8r.:?>47e:m:7?6=,89864<4;|q62?6=:rT>:6394;57?xu3<3:1>vP;4:?50?2b3ty>>7>52z\66>;1<3;;56s|e`83>7}Ymh16:94>0c9~w`d=838pRhl4=769=7=z{88?6=4={<47>1b<588n64=4}|`2>5<6290;w)?=2;68 47a2?20(<=<:01b?!1a2=n?7b88:18'565=1;10q~km:181[ce34oi6hl4}r75>5<5sW?=70km:448yv232909wS:;;12>63>:758 4452<1vqo=>9;297?4=>o2>3:17bkm:188f`d=83?1>78t$03e>32<,>l18i:4Z03f>4}3n3wdio4?::kfe?6=3`?=6=44i4094?=n<=0;66l94;292?6=8r.:?>495:X25`<6s=l1qd9;:18'565=?=10e9j50;&276<3l21b8h4?:%307?2b32c::21vn<5<7s-;8?76j;n;0>5<#9:915?54}r75>5<5sW?=708;:668yv232909wS:;;<47>1c{t9;>1<75r}c394?7=83:p(<<=:59'54`=>11/=>=512c8 2`=0c;950;&276<>:21vhl50;0xZ`d<5lh1io5rs4494?4|V<<01hl5579~w12=838pR9:4=d`901=z{;0;6>u2ec8fe>;bj3?970?5669'574==2wvn>>l:180>7<3s-;:j78=;I31f>N6::1/;k4;d59Y54c=9r>m6pg;4;29?l312900chl50;9aag<72<096;u+10d921=#?o0?h95U10g95~2a2tenn7>5;hgb>5<>o3<3:17o8;:185>5<7s-;8?78:;[32a?7|5$010>1c<3`;;n7>5$010>46e32c:<44?:%307?77121d5?4?:%307??532wi=?k50;394?6|,89865k4o8194?"6;:02>65rs4494?4|V<<01;:5759~w12=838pR9:4=7690`=z{<81<7m;|qff?6=:rTnn6394;;1?xu6:=0;6?u26587`>;6:l02?6srb083>4<729q/=?<54:&25c<102.:?>4>3`9'3c<3l=1d::4?:%307??532wxio4?:3y]ag=:mk0nn6s|5783>7}Y=?16io4:6:p01<72;qU8952ec870>{t:3:1?v3jb;gb?8ce2<801<497:&267<23twi?<<50;196?2|,8;m6;<4H00a?M75;2.1g850>"0n3>o86T>1d821`=ufoi6=44idc94?=n=?0;66g:2;29?l232900n;:50;494?6|,8986;;4Z03f>4}3n3wb;94?:%307?1332c?h7>5$010>1b<3`>n6=4+12190`=5$010>46>32e2>7>5$010><4<3th:>h4?:083>5}#9:914h5`9283>!74;33976s|5783>7}Y=?16:9484:p01<72;qU89526587a>{t=;0;6?uQ539>21<6801vho50;0xZ`g<5?>1==l4}rga>5<5sWoi708;:808yv75<3:1>v394;6g?875m3387psm1;295?6=8r.:>?4;;%32b?0?3-;8?7?5$010><4<3tynn7>52z\ff>;bj3oi7p}:6;296~X2>27nn7;9;|q70?6=:rT?863jb;67?xu52908w0km:dc89`d==;16=788;%316?31}#98l1:?5G13`8L4443-=m69j;;[32a?7|=80ve9:50;9j13<722enn7>5;cga>5<22;0=w)?>f;47?!1a2=n?7W?>e;3x14>o2>3:17d;=:188m12=831i:94?:783>5}#9:91:85U10g95~362tc<87>5$010>22<3`>o6=4+12190a=3287a>=n99h1<7*>32824g=51;294~"6;:03i6a63;29 45420807p}:6;296~X2>27=879;;|q70?6=:rT?86394;6f?xu2:3:1>vP:2:?50?7712wxil4?:3y]ad=:>=0::182>5<7s-;9>7:4$03e>3><,8986<=n;%5e>1b33f<<6=4+1219=7=5<5sW>?70km:568yv4=839p1hl5e`9>ag<2:27:6;94$001>0=zuk9;87>53;090~"69o0=>6F>2c9K575<,>l18i:4Z03f>4}3n3wb894?::k62?6=3foi6=44bd`94?3=:30V56;294~"6;:0=96T>1d821`=u`=?6=4+121931=3287`>=no68k0;6)?<3;33f>=n9931<7*>32824<=328:6>=zj88n6=4>:183!74;32n7b7<:18'565=1;10q~;9:181[31345<5sW>?708;:5g8yv352909wS;=;<47>46>3tynm7>52z\fe>;1<3;;n6s|ec83>7}Ymk16:9462:p572=838p1;:54e9>57c=1:1vqo?50;394?6|,889695+10d92==#9:91=>o4$6d90a2328:6>=z{lh1<701hl5459~w7<72:q6io4ja:?ff?3534;1::5+13091>{zj;=:6=4<:387!76n3<97E?=b:J266=#?o0?h95U10g95~2a2tc?87>5;h75>5<0<52?q/=xibj3:17dkn:188m00=831b9?4?::k70?6=3k7W?>e;3x0c>1<7*>32840>=no3m3:1(<=<:5g8?l77j3:1(<=<:02a?>o6800;6)?<3;33=>=h1;0;6)?<3;;1?>{e9;o1<7?50;2x 45421o0c4=50;&276<>:21v8850;0xZ00<5?>1;95rs5694?4|V=>01;:54d9~w04=838pR8<4=76955?;2wvn<4?:083>5}#9;8186*>1g85<>"6;:0:?l5+7g87`1=h>>0;6)?<3;;1?>{tmk0;6?uQec9>ag:6s|4583>7}Y<=16io4;4:p6?6=;r7nn7kn;04<580=;6*>2386?x{e;921<7=52;6x 47a2?80D<"0n3>o86T>1d821`=u`>?6=44i4494?=hmk0;66ljb;291?4=>r.:=k494:&4b?2c<2P:=h4>{5d9yjce2900eho50;9j13<722c>>7>5;h67>5<1<7850;2x 4542??0Vo3l3:1(<=<:5f8?l2b290/=>=54d98m46e290/=>=511`8?l7713:1(<=<:02:?>i>:3:1(<=<:808?xd6:l0;6<4?:1y'565=0l1d5>4?:%307??532wx9;4?:3y]13=:>=0<86s|4583>7}Y<=16:94;e:p17<72;qU9?5265824<=z{lk1<751;294~"6:;0?7)?>f;4;?!74;3;8m6*8f;6g0>i1?3:1(<=<:808?xubj3:1>vPjb:?ff?ce3ty>:7>52z\62>;bj3?=7p};4;296~X3<27nn7:;;|q1>5<4s4oi6ho4=d`917=:93<<7)?=2;78yxd5?00;6>4=:5y'54`=>;1C=?l4H000?!1a2=n?7W?>e;3x0c1<75f5783>>ibj3:17okm:186>7<1s-;:j78;;%5e>1b33S;:i7?t4g8~k`d=831bil4?::k62?6=3`?96=44i5694?=e>=0;6;4?:1y'565=><1Q=xo0<3:1(<=<:668?l2c290/=>=54e98m1c=83.:?>4;e:9j55d=83.:?>4>0c98m46>290/=>=511;8?j?5290/=>=59398yg75m3:1=7>50z&2765$010><4<3ty>:7>52z\62>;1<3=?7p};4;296~X3<27=87:j;|q66?6=:rT>>6394;33=>{tmh0;6?uQe`9>21<68k1vhl50;0xZ`d<5?>15?5rs007>5<5s4<5:183!75:3>0(=59398yvce2909wSkm;`d;62?=0(<<=:49~yg2e03:1?7<54z&25c<1:2B:>o5G1318 2`=0V5;h75>5<>d1<3:1:7>50z&276<1=2P:=h4>{429yl13290/=>=57598m1b=83.:?>4;d:9j0`<72-;8?7:j;:k24g<72-;8?7??b:9j55?=83.:?>4>0898k<4=83.:?>462:9~f44b290:6=4?{%307?>b3f386=4+1219=7=>0q~:;:181[23345<5sW?9708;:02:?xubi3:1>vPja:?50?77j2wxio4?:3y]ag=:>=02>6s|13694?4|5?>18i5213g9=6=zuk;1<7?50;2x 4452=1/=462:9~w`d=838pRhl4=d`9ag=z{<<1<76}:mk0nm63jb;71?87=>>1/=?<55:~f62229086?4;{%32b?053A;9n6F>229'33<13-=m69j;;[32a?7|=90ve9:50;9j13<722enn7>5;cga>5<22;0=w)?>f;47?!1a2=n?7W?>e;3x15>o2>3:17d;=:188m12=831i:94?:783>5}#9:91:85U10g95~372tc<87>5$010>22<3`>o6=4+12190a=3287a>=n99h1<7*>32824g=51;294~"6;:03i6a63;29 45420807p}:6;296~X2>27=879;;|q70?6=:rT?86394;6f?xu2:3:1>vP:2:?50?7712wxil4?:3y]ad=:>=0::182>5<7s-;9>7:4$03e>3><,8986<=n;%5e>1b33f<<6=4+1219=7=5<5sW>?70km:568yv4=839p1hl5e`9>ag<2:27:6;94$001>0=zuk93;7>55;092~"69o0=?6F>2c9K575<,>l18i:4Z03f>4}283wb8i4?::k66?6=3`>?6=44i4494?=hmk0;66ljb;292?6=8r.:?>495:X25`<6s<:1qd9;:18'565=?=10e9j50;&276<3l21b8h4?:%307?2b32c::21vn<=?:182>5<7s-;8?7?3:1>vP:6:?ff?133ty>>7>52z\66>;bj3;;56s|4e83>7}Y{t>10;6?u2ec824g=:9::15?5r}c164?6==381:v*>1g857>N6:k1C=?=4$6d90a2>7>5;h67>5<>dbj3:1:7>50z&276<1=2P:=h4>{429yl13290/=>=57598m1b=83.:?>4;d:9j0`<72-;8?7:j;:k24g<72-;8?7??b:9j55?=83.:?>4>0898k<4=83.:?>462:9~f457290:6=4?{%307?74i2e2>7>5$010><4<3tynn7>52z\ff>;bj3397p}:6;296~X2>27nn79;;|q66?6=:rT>>63jb;33=>{tag<3l2wx894?:3y]01=:mk0?i6s|6983>7}:mk0:55;092~"69o0=?6F>2c9K575<,>l18i:4Z03f>4}283wb8i4?::k66?6=3`>?6=44i4494?=hmk0;66ljb;292?6=8r.:?>495:X25`<6s<:1qd9;:18'565=?=10e9j50;&276<3l21b8h4?:%307?2b32c::21vn<=?:182>5<7s-;8?7?3:1>vP:6:?ff?133ty>>7>52z\66>;bj3;;56s|4e83>7}Y{t>10;6?u2ec824g=:9::15?5r}c1;a?6==381:v*>1g857>N6:k1C=?=4$6d90a2>7>5;h67>5<>dbj3:1:7>50z&276<1=2P:=h4>{429yl13290/=>=57598m1b=83.:?>4;d:9j0`<72-;8?7:j;:k24g<72-;8?7??b:9j55?=83.:?>4>0898k<4=83.:?>462:9~f457290:6=4?{%307?74i2e2>7>5$010><4<3tynn7>52z\ff>;bj3397p}:6;296~X2>27nn79;;|q66?6=:rT>>63jb;33=>{tag<3l2wx894?:3y]01=:mk0?i6s|6983>7}:mk0:;7>55;092~"69o0=?6F>2c9K575<,>l18i:4Z03f>4}283wb8i4?::k66?6=3`>?6=44i4494?=hmk0;66ljb;292?6=8r.:?>495:X25`<6s<:1qd9;:18'565=?=10e9j50;&276<3l21b8h4?:%307?2b32c::21vn<=?:182>5<7s-;8?7?3:1>vP:6:?ff?133ty>>7>52z\66>;bj3;;56s|4e83>7}Y{t>10;6?u2ec824g=:9::15?5r}c140?6==381:v*>1g857>N6:k1C=?=4$6d90a2>7>5;h67>5<>dbj3:1:7>50z&276<1=2P:=h4>{429yl13290/=>=57598m1b=83.:?>4;d:9j0`<72-;8?7:j;:k24g<72-;8?7??b:9j55?=83.:?>4>0898k<4=83.:?>462:9~f457290:6=4?{%307?74i2e2>7>5$010><4<3tynn7>52z\ff>;bj3397p}:6;296~X2>27nn79;;|q66?6=:rT>>63jb;33=>{tag<3l2wx894?:3y]01=:mk0?i6s|6983>7}:mk0:53;090~"69o0=>6F>2c9K575<,><1:6*8f;6g0>\69l0:w8>5}h67>5<>dbj3:197<56z&25c<1<2.?6=44b7694?0=83:p(<=<:778^47b28q><7sf7583>!74;3=?76g;d;29 4542=n07d:j:18'565=m:18'565=99h07d??9;29 45428:276a62;29 45420807pl>2d83>4<729q/=>=58d9l=6<72-;8?77=;:p13<72;qU9;5265840>{t<=0;6?uQ459>21<3m2wx9?4?:3y]17=:>=0:<45rsdc94?4|Vlk01;:511`8yvce2909wSkm;<47><452z?50?2c34;9i77<;|a5?6=93:12387?!76n3<37)?<3;30e>"0n3>o86a97;29 45420807p}jb;296~Xbj27nn7km;|q62?6=:rT>:63jb;75?xu3<3:1>vP;4:?ff?233ty96=4<{`g<5lh19?521;44?!75:3?0qpl=e383>6<52=q/=xo3<3:17d;9:188k`d=831iio4?:481>3}#98l1:95+7g87`1=]98o1=v:i:|mff?6=3`oj6=44i4494?=n=;0;66g;4;29?g03290=6=4?{%307?023S;:i7?t4g8~m22=83.:?>484:9j0a<72-;8?7:k;:k7a?6=,89869k4;h33f?6=,8986<>m;:k24<<72-;8?7??9:9l=7<72-;8?77=;:a57c=83;1<7>t$010>=c328:6>=z{<<1<718h5rs4094?4|V<801;:511;8yvcf2909wSkn;<47>46e3tynn7>52z\ff>;1<3397p}>2583>7}:>=0?h63>2d8:7>{zj80;6<4?:1y'574=<2.:=k498:&276<6;h1/;k4;d59l22<72-;8?77=;:pag<72;qUio52ec8ff>{t=?0;6?uQ579>ag<2>2wx894?:3y]01=:mk0?86s|2;297~;bj3oj70km:40894<1?2.:>?4:;|a6g1=8391>7:t$03e>34<@88i7E?=3:&42?0<,>l18i:4Z03f>4}3n3wb894?::k62?6=3foi6=44bd`94?3=:30V56;294~"6;:0=96T>1d821`=u`=?6=4+121931=3287`>=no68k0;6)?<3;33f>=n9931<7*>32824<=328:6>=zj88n6=4>:183!74;32n7b7<:18'565=1;10q~;9:181[31345<5sW>?708;:5g8yv352909wS;=;<47>46>3tynm7>52z\fe>;1<3;;n6s|ec83>7}Ymk16:9462:p572=838p1;:54e9>57c=1:1vqo?50;394?6|,889695+10d92==#9:91=>o4$6d90a2328:6>=z{lh1<701hl5459~w7<72:q6io4ja:?ff?3534;1::5+13091>{zj;=o6=4<:387!76n3<97E?=b:J266=#??0=7)9i:5f7?_76m3;p8k4ri5694?=n=?0;66ajb;29?gce290>6?49{%32b?033-=m69j;;[32a?7|:7>5;h71>5<1<75m6583>3<729q/=>=5649Y54c=9r>m6pg84;29 4542>>07d:k:18'565=6:18'565=99307b7=:18'565=1;10qo?=e;295?6=8r.:?>47e:m:7?6=,89864<4;|q62?6=:rT>:6394;57?xu3<3:1>vP;4:?50?2b3ty>>7>52z\66>;1<3;;56s|e`83>7}Ymh16:94>0c9~w`d=838pRhl4=769=7=z{88?6=4={<47>1b<588n64=4}|`2>5<6290;w)?=2;68 47a2?20(<=<:01b?!1a2=n?7b88:18'565=1;10q~km:181[ce34oi6hl4}r75>5<5sW?=70km:448yv232909wS:;;12>63>:758 4452<1vqo?l2;297?4={439yl232900e8850;9lag<722hnn7>55;092~"69o0=86*8f;6g0>\69l0:w8?5}nga>5<>o2:3:17d:;:188f32=83<1<7>t$010>335<#9:918h54i02a>5<#9:91==l4;h33=?6=,8986<>6;:m:6?6=,89864<4;|`26`<7280;6=u+1219<`=h1:0;6)?<3;;1?>{t=?0;6?uQ579>21<0<2wx894?:3y]01=:>=0?i6s|5383>7}Y=;16:94>089~w`g=838pRho4=76955do70?=e;;0?x{e93:1=7>50z&267<33-;:j787;%307?74i2.3:1>vP:6:?ff?313ty?87>52z\70>;bj3>?7p}=:1808ce2lk01hl5539>5?003-;9>7;4}|`2g=<72:0969u+10d927=O9;h0D<<<;%55>3=#?o0?h95U10g95~362tc?87>5;h75>5<0<52?q/=xibj3:17dkn:188m00=831b9?4?::k70?6=3k7W?>e;3x14>1<7*>32840>=no3m3:1(<=<:5g8?l77j3:1(<=<:02a?>o6800;6)?<3;33=>=h1;0;6)?<3;;1?>{e9;o1<7?50;2x 45421o0c4=50;&276<>:21v8850;0xZ00<5?>1;95rs5694?4|V=>01;:54d9~w04=838pR8<4=76955?;2wvn<4?:083>5}#9;8186*>1g85<>"6;:0:?l5+7g87`1=h>>0;6)?<3;;1?>{tmk0;6?uQec9>ag:6s|4583>7}Y<=16io4;4:p6?6=;r7nn7kn;04<580=;6*>2386?x{e:l91<7=52;6x 47a2?80D<"0>3<0(:h54e68^47b28q?j7sf4583>>o2>3:17bkm:188f`d=83?1>78t$03e>32<,>l18i:4Z03f>4}3n3wdio4?::kfe?6=3`?=6=44i4094?=n<=0;66l94;292?6=8r.:?>495:X25`<6s=l1qd9;:18'565=?=10e9j50;&276<3l21b8h4?:%307?2b32c::21vn<5<7s-;8?76j;n;0>5<#9:915?54}r75>5<5sW?=708;:668yv232909wS:;;<47>1c{t9;>1<75r}c394?7=83:p(<<=:59'54`=>11/=>=512c8 2`=0c;950;&276<>:21vhl50;0xZ`d<5lh1io5rs4494?4|V<<01hl5579~w12=838pR9:4=d`901=z{;0;6>u2ec8fe>;bj3?970?5669'574==2wvn?k6:180>7<3s-;:j78=;I31f>N6::1/;;49;%5e>1b33S;:i7xibj3:17dkn:188m00=831b9?4?::k70?6=3k7W?>e;0x0c<293wb;94?:%307?1332c?h7>5$010>1b<3`>n6=4+12190`=5$010>46>32e2>7>5$010><4<3th:>h4?:083>5}#9:914h5`9283>!74;33976s|5783>7}Y=?16:9484:p01<72;qU89526587a>{t=;0;6?uQ539>21<6801vho50;0xZ`g<5?>1==l4}rga>5<5sWoi708;:808yv75<3:1>v394;6g?875m3387psm1;295?6=8r.:>?4;;%32b?0?3-;8?7?5$010><4<3tynn7>52z\ff>;bj3oi7p}:6;296~X2>27nn7;9;|q70?6=:rT?863jb;67?xu52908w0km:dc89`d==;16=788;%316?31}#98l1:?5G13`8L4443-==6;5+7g87`1=]98o1>v:i:439yl232900e8850;9lag<722hnn7>55;092~"69o0=86*8f;6g0>\69l09w9h5508~k`d=831bil4?::k62?6=3`?96=44i5694?=e>=0;6;4?:1y'565=><1Q=07=u`=?6=4+121931=3287`>=no68k0;6)?<3;33f>=n9931<7*>32824<=328:6>=zj88n6=4>:183!74;32n7b7<:18'565=1;10q~;9:181[31345<5sW>?708;:5g8yv352909wS;=;<47>46>3tynm7>52z\fe>;1<3;;n6s|ec83>7}Ymk16:9462:p572=838p1;:54e9>57c=1:1vqo?50;394?6|,889695+10d92==#9:91=>o4$6d90a2328:6>=z{lh1<701hl5459~w7<72:q6io4ja:?ff?3534;1::5+13091>{zj:3i6=4<:387!76n3<97E?=b:J266=#??0=7)9i:5f7?_76m3;p9=4ri5694?=n=?0;66ajb;29?gce290>6?49{%32b?033-=m69j;;[32a?7|=90vchl50;9jad<722c>:7>5;h71>5<1<75m6583>3<729q/=>=5649Y54c=9r?;6pg84;29 4542>>07d:k:18'565=6:18'565=99307b7=:18'565=1;10qo?=e;295?6=8r.:?>47e:m:7?6=,89864<4;|q62?6=:rT>:6394;57?xu3<3:1>vP;4:?50?2b3ty>>7>52z\66>;1<3;;56s|e`83>7}Ymh16:94>0c9~w`d=838pRhl4=769=7=z{88?6=4={<47>1b<588n64=4}|`2>5<6290;w)?=2;68 47a2?20(<=<:01b?!1a2=n?7b88:18'565=1;10q~km:181[ce34oi6hl4}r75>5<5sW?=70km:448yv232909wS:;;12>63>:758 4452<1vqo=6f;297?4={429yl232900e8850;9lag<722hnn7>55;092~"69o0=86*8f;6g0>\69l0:w8>5}nga>5<>o2:3:17d:;:188f32=83<1<7>t$010>335<#9:918h54i02a>5<#9:91==l4;h33=?6=,8986<>6;:m:6?6=,89864<4;|`26`<7280;6=u+1219<`=h1:0;6)?<3;;1?>{t=?0;6?uQ579>21<0<2wx894?:3y]01=:>=0?i6s|5383>7}Y=;16:94>089~w`g=838pRho4=76955do70?=e;;0?x{e93:1=7>50z&267<33-;:j787;%307?74i2.3:1>vP:6:?ff?313ty?87>52z\70>;bj3>?7p}=:1808ce2lk01hl5539>5?003-;9>7;4}|`731<72:0969u+10d927=O9;h0D<<<;%55>3=#?o0?h95U10g95~372tc?87>5;h75>5<0<52?q/=xibj3:17dkn:188m00=831b9?4?::k70?6=3k7W?>e;3x15>1<7*>32840>=no3m3:1(<=<:5g8?l77j3:1(<=<:02a?>o6800;6)?<3;33=>=h1;0;6)?<3;;1?>{e9;o1<7?50;2x 45421o0c4=50;&276<>:21v8850;0xZ00<5?>1;95rs5694?4|V=>01;:54d9~w04=838pR8<4=76955?;2wvn<4?:083>5}#9;8186*>1g85<>"6;:0:?l5+7g87`1=h>>0;6)?<3;;1?>{tmk0;6?uQec9>ag:6s|4583>7}Y<=16io4;4:p6?6=;r7nn7kn;04<580=;6*>2386?x{e<1>1<7=52;6x 47a2?80D<"0>3<0(:h54e68^47b28q><7sf4583>>o2>3:17bkm:188f`d=83?1>78t$03e>32<,>l18i:4Z03f>4}283wdio4?::kfe?6=3`?=6=44i4094?=n<=0;66l94;292?6=8r.:?>495:X25`<6s<:1qd9;:18'565=?=10e9j50;&276<3l21b8h4?:%307?2b32c::21vn<5<7s-;8?76j;n;0>5<#9:915?54}r75>5<5sW?=708;:668yv232909wS:;;<47>1c{t9;>1<75r}c394?7=83:p(<<=:59'54`=>11/=>=512c8 2`=0c;950;&276<>:21vhl50;0xZ`d<5lh1io5rs4494?4|V<<01hl5579~w12=838pR9:4=d`901=z{;0;6>u2ec8fe>;bj3?970?5669'574==2wvn97::180>7<3s-;:j78=;I31f>N6::1/;;49;%5e>1b33S;:i7?t518~m12=831b9;4?::mff?6=3koi6=4::385!76n35<#9:918i54i5g94?"6;:0?i65f11`94?"6;:0:5<#9:91==74;n;1>5<#9:915?54}c31a?6=93:1328;a>i>;3:1(<=<:808?xu2>3:1>vP:6:?50?133ty?87>52z\70>;1<3>n7p}:2;296~X2:27=87??9:pad<72;qUil5265824g=z{lh1<745f3-=m69j;;n44>5<#9:915?54}rga>5<5sWoi70km:d`8yv312909wS;9;00?6=4={_67?8ce2=>0q~<50;1x9`d=mh16io4:2:?2>31<,889685r}c030?6=;3;1?vF>229'54`=0:1b954?::k6=?6=3f;;m7>5;c301g826d=O9;h0D<<<;%307?7512.5<#9:91;954o9`94?"6;:02>65rs4:94?4|V<201<=7:7`8yv3>2909wS;6;<30uG1318 47a2190e8650;9j1<<722e:5<#9:915?54}r7;>5<5sW?370?<8;4a?xu213:1>vP:9:?27=<1i2wx==o50;0xZ46f34;8476m;|a654=8391=7=tH000?!76n3287d;7:188m0?=831d==o50;9a56>=8391<7>t$03e>44f3A;9n6F>229'565=9;30(:h54e68m3g=83.:?>484:9j2g<72-;8?79;;:m;f?6=,89864<4;|q6463>3985f>{t=00;6?uQ589>56>=>h1v<>n:181[77i27:?547b:~f4>b29086<4<{I317>"69o03?6g:8;29?l3>2900c<>n:188f45?29086=4?{%32b?75i2B:>o5G1318 45428827)9i:5f7?l0f290/=>=57598m3d=83.:?>484:9l658c9~yg7?l3:1?7?53zJ266=#98l14>5f5983>>o213:17b??a;29?g7403:1?7>50z&25c<6:h1C=?l4H000?!74;3;956*8f;6g0>o1i3:1(<=<:668?l0e290/=>=57598k=d=83.:?>462:9~w0>=838pR864=01;>3d0`83>7}Y99k01<=7:9`8yxd60j0;6>4>:2yK575<,8;m65=4i4:94?=n=00;66a>0`83>>d6;10;6>4?:1y'54`=9;k0D<"6;:0:>45+7g87`1=n>h0;6)?<3;57?>o1j3:1(<=<:668?j>e290/=>=59398yv3?2909wS;7;<3057>52z\6=>;6;10=m6s|11c94?4|V8:j70?<8;:a?x{e91h1<7=51;1xL4443-;:j76<;h7;>5<32840>=n>k0;6)?<3;57?>i?j3:1(<=<:808?xu203:1>vP:8:?27=<1j2wx944?:3y]1<=:9:21:l5rs02b>5<5sW;;m63>398;f>{zj83<6=4<:080M75;2.:=k473:k65<2c9K575<,8986<<6;%5e>1b33`32840>=h0k0;6)?<3;;1?>{t=10;6?uQ599>56>=>k1v8750;0xZ0?<58936;o4}r33e?6=:rT:53;397~N6::1/=57>5;n33e?6=3k;847>53;294~"69o0:>l5G13`8L4443-;8?7?=9:&4b?2c<2c=m7>5$010>22<3`328:6>=z{<21<734;8478n;|q24d<72;qU==o4=01;>=d6}O9;90(=831b944?::m24d<722h:?54?:283>5}#98l1=?o4H00a?M75;2.:?>4>289'3c<3l=1b:l4?:%307?1332c=n7>5$010>22<3f2i6=4+1219=7=n;<30e3twi=4:50;195?5|@8887)?>f;:0?l3?2900e8750;9l55g=831i=>650;194?6|,8;m6<N6::1/=>=513;8 2`=0e;o50;&276<0<21b:o4?:%307?1332e3n7>5$010><4<3ty>47>52z\6<>;6;10=n6s|5883>7}Y=016=>656`9~w46f2909wS??a:?27=4<4sA;9?6*>1g8;7>o203:17d;6:188k46f2900n<=7:180>5<7s-;:j7?=a:J26g=O9;90(<=<:00:?!1a2=n?7d8n:18'565=?=10e;l50;&276<0<21d4o4?:%307??532wx954?:3y]1==:9:21:o5rs4;94?4|V<301<=7:7c8yv77i3:1>vP>0`9>56>=0k1vqo?62;297?7=;rB:>>5+10d9<6=n=10;66g:9;29?j77i3:17o?<8;297?6=8r.:=k4>2`9K57d<@8887)?<3;31=>"0n3>o86g9a;29 4542>>07d8m:18'565=?=10c5l50;&276<>:21v8650;0xZ0><58936;l4}r7:>5<5sW?270?<8;4b?xu68h0;6?uQ11c8945?21h0qpl>9083>6<62:qC=?=4$03e>=5>i68h0;66l>3983>6<729q/=32826<=#?o0?h95f6`83>!74;3=?76g9b;29 4542>>07b6m:18'565=1;10q~;7:181[3?34;8478m;|q6=?6=:rT>563>3985e>{t99k1<743`?36=44i4;94?=h99k1<75m12:94?5=83:p(>5+121957?<,>l18i:4i7c94?"6;:0<865f6c83>!74;3=?76a7b;29 45420807p}:8;296~X2027:?549b:p1<<72;qU945212:92d=z{8:j6=4={_33e>;6;103n6srb0:e>5<42808wE?=3:&25c47>5;h7:>5<5<4290;w)?>f;31e>N6:k1C=?=4$010>44>3-=m69j;;h4b>5<#9:91;954i7`94?"6;:0<865`8c83>!74;33976s|5983>7}Y=116=>656c9~w0?=838pR874=01;>3g52z\24d=:9:214o5r}c0:f?6=;3;1?vF>229'54`=0:1b954?::k6=?6=3f;;m7>5;c301g826d=O9;h0D<<<;%307?7512.5<#9:91;954o9`94?"6;:02>65rs4:94?4|V<201<=7:7`8yv3>2909wS;6;<30uG1318 47a2190e8650;9j1<<722e:5<#9:915?54}r7;>5<5sW?370?<8;4a?xu213:1>vP:9:?27=<1i2wx==o50;0xZ46f34;8476m;|a6=8391<7>t$03e>44f3A;9n6F>229'565=9;30(:h54e68m3g=83.:?>484:9j2g<72-;8?79;;:m;f?6=,89864<4;|q6463>3985f>{t=00;6?uQ589>56>=>h1v<>n:181[77i27:?547b:~f7??29086<4<{I317>"69o03?6g:8;29?l3>2900c<>n:188f45?29086=4?{%32b?75i2B:>o5G1318 45428827)9i:5f7?l0f290/=>=57598m3d=83.:?>484:9l658c9~yg5d93:1>7>50z&25c<0?2B:>o5G1318 4542=;0(:h54e68m3?=83.:?>484:9l=zj:i36=4=:183!76n3=<7E?=b:J266=#9:918<5+7g87`1=n>00;6)?<3;57?>i?j3:1(<=<:808L45532wi?hh50;094?6|,8;m6:94H00a?M75;2.:?>4;1:&4b?2c<2c=57>5$010>22<3f2i6=4+1219=7=O9:807pl=4083>6<729q/=5$010>22<3f2i6=4+1219=7=im7>52;294~"69o0<;6F>2c9K575<,89869?4$6d90a232840>=h0k0;6)?<3;;1?M74:21vn9l;:181>5<7s-;:j798;I31f>N6::1/=>=5409'3c<3l=1b:44?:%307?1332e3n7>5$010><4<@89976sm4c794?5=83:p(0e;o50;&276<0<21b:o4?:%307?1332e3n7>5$010><4<3th?n=4?:383>5}#98l1;:5G13`8L4443-;8?7:>;%5e>1b33`<26=4+121931=328:6>N6;;10qo:m1;297?6=8r.:=k4m;I31f>N6::1/=>=5a:&4b?2c<2c=m7>5$010>22<3`328:6>=zj=ij6=4=:183!76n3=<7E?=b:J266=#9:918<5+7g87`1=n>00;6)?<3;57?>i?j3:1(<=<:808L45532wi8ol50;094?6|,8;m6:94H00a?M75;2.:?>4;1:&4b?2c<2c=57>5$010>22<3f2i6=4+1219=7=O9:807pl;cb83>7<729q/=:2B:??54}c613?6=:3:11g843>N6:k1C=?=4$010>17<,>l18i:4i7;94?"6;:0<865`8c83>!74;3397E?<2:9~f14?29086=4?{%32b?d<@88i7E?=3:&2765<#9:91;954i7`94?"6;:0<865`8c83>!74;33976sm36g94?4=83:p(32875>"0n3>o86g99;29 4542>>07b6m:18'565=1;1C=><4;|`03c<72:0;6=u+10d9f>N6:k1C=?=4$010>d=#?o0?h95f6`83>!74;3=?76g9b;29 4542>>07b6m:18'565=1;10qo=;1;297?6=8r.:=k460:J26g=O9;90(<=<:9d8 2`=0e;o50;&276<0<21b:o4?:%307?1332e3n7>5$010><4<3th8n94?:383>5}#98l1;:5G13`8L4443-;8?7:>;%5e>1b33`<26=4+121931=328:6>N6;;10qo=m5;296?6=8r.:=k487:J26g=O9;90(<=<:538 2`=0e;750;&276<0<21d4o4?:%307??53A;8>65rb2`5>5<4290;w)?>f;;3?M75j2B:>>5+1219!74;3=?76g9b;29 4542>>07b6m:18'565=1;10qo=60;296?6=8r.:=k487:J26g=O9;90(<=<:538 2`=0e;750;&276<0<21d4o4?:%307??53A;8>65rb27:>5<5290;w)?>f;54?M75j2B:>>5+121904=#?o0?h95f6883>!74;3=?76a7b;29 4542080D<==;:a712=8391<7>t$03e>g=O9;h0D<<<;%307?g<,>l18i:4i7c94?"6;:0<865f6c83>!74;3=?76a7b;29 45420807pl=4g83>7<729q/=:2B:??54}c064?6=:3:11g843>N6:k1C=?=4$010>17<,>l18i:4i7;94?"6;:0<865`8c83>!74;3397E?<2:9~f73629086=4?{%32b??73A;9n6F>229'565=0o1/;k4;d59j2d<72-;8?79;;:k5f?6=,8986::4;n:a>5<#9:915?54}c060?6=:3:11g843>N6:k1C=?=4$010>17<,>l18i:4i7;94?"6;:0<865`8c83>!74;3397E?<2:9~f73229096=4?{%32b?103A;9n6F>229'565=<81/;k4;d59j2<<72-;8?79;;:m;f?6=,89864<4H011?>{e:<<1<7=50;2x 47a20:0D<"6;:03j6*8f;6g0>o1i3:1(<=<:668?l0e290/=>=57598k=d=83.:?>462:9~f73>29086=4?{%32b?d<@88i7E?=3:&2765<#9:91;954i7`94?"6;:0<865`8c83>!74;33976sm27694?4=83:p(32875>"0n3>o86g99;29 4542>>07b6m:18'565=1;1C=><4;|`120<72:0;6=u+10d9=5=O9;h0D<<<;%307?>a3-=m69j;;h4b>5<#9:91;954i7`94?"6;:0<865`8c83>!74;33976sm25;94?4=83:p(32875>"0n3>o86g99;29 4542>>07b6m:18'565=1;1C=><4;|`10g<72;0;6=u+10d932=O9;h0D<<<;%307?263-=m69j;;h4:>5<#9:91;954o9`94?"6;:02>6F>3398yg43k3:1?7>50z&25c<>82B:>o5G1318 45421l0(:h54e68m3g=83.:?>484:9j2g<72-;8?79;;:m;f?6=,89864<4;|`1e4<72:0;6=u+10d9f>N6:k1C=?=4$010>d=#?o0?h95f6`83>!74;3=?76g9b;29 4542>>07b6m:18'565=1;10qo<6f;297?6=8r.:=k4m;I31f>N6::1/=>=5a:&4b?2c<2c=m7>5$010>22<3`328:6>=zj;3o6=4<:183!76n3h0D<"6;:0j7)9i:5f7?l0f290/=>=57598m3d=83.:?>484:9lt$03e>g=O9;h0D<<<;%307?g<,>l18i:4i7c94?"6;:0<865f6c83>!74;3=?76a7b;29 45420807pl=9083>6<729q/=5$010>22<3f2i6=4+1219=7=53;294~"69o0i7E?=b:J266=#9:91m6*8f;6g0>o1i3:1(<=<:668?l0e290/=>=57598k=d=83.:?>462:9~f7?029086=4?{%32b?d<@88i7E?=3:&2765<#9:91;954i7`94?"6;:0<865`8c83>!74;33976sm33f94?4=83:p(32875>"0n3>o86g99;29 4542>>07b6m:18'565=1;1C=><4;|`133<72;0;6=u+10d932=O9;h0D<<<;%307?263-=m69j;;h4:>5<#9:91;954o9`94?"6;:02>6F>3398yg57j3:1>7>50z&25c<0?2B:>o5G1318 4542=;0(:h54e68m3?=83.:?>484:9l=zj;=h6=4=:183!76n3=<7E?=b:J266=#9:918<5+7g87`1=n>00;6)?<3;57?>i?j3:1(<=<:808L45532wi>ll50;094?6|,8;m6:94H00a?M75;2.:?>4;1:&4b?2c<2c=57>5$010>22<3f2i6=4+1219=7=O9:807pl=ab83>6<729q/=5$010>22<3f2i6=4+1219=7=53;294~"69o0i7E?=b:J266=#9:91m6*8f;6g0>o1i3:1(<=<:668?l0e290/=>=57598k=d=83.:?>462:9~f71729086=4?{%32b?d<@88i7E?=3:&2765<#9:91;954i7`94?"6;:0<865`8c83>!74;33976sm31194?5=83:p(0e;o50;&276<0<21b:o4?:%307?1332e3n7>5$010><4<3th9<54?:283>5}#98l1n6F>2c9K575<,8986l5+7g87`1=n>h0;6)?<3;57?>o1j3:1(<=<:668?j>e290/=>=59398yg47>3:1?7>50z&25c229'565=i2.5<#9:91;954o9`94?"6;:02>65rb0d0>5<5290;w)?>f;54?M75j2B:>>5+121904=#?o0?h95f6883>!74;3=?76a7b;29 4542080D<==;:a5c2=8381<7>t$03e>21<@88i7E?=3:&276<392.5<#9:915?5G1208?xd6n<0;6>4?:1y'54`=j2B:>o5G1318 4542h1/;k4;d59j2d<72-;8?79;;:k5f?6=,8986::4;n:a>5<#9:915?54}c3e1g843>N6:k1C=?=4$010>17<,>l18i:4i7;94?"6;:0<865`8c83>!74;3397E?<2:9~f4`>29086=4?{%32b?d<@88i7E?=3:&2765<#9:91;954i7`94?"6;:0<865`8c83>!74;33976sm1ga94?4=83:p(32875>"0n3>o86g99;29 4542>>07b6m:18'565=1;1C=><4;|`2ba<72:0;6=u+10d9f>N6:k1C=?=4$010>d=#?o0?h95f6`83>!74;3=?76g9b;29 4542>>07b6m:18'565=1;10qo0e;750;&276<0<21d4o4?:%307??53A;8>65rb322>5<4290;w)?>f;`8L44e3A;9?6*>328b?!1a2=n?7d8n:18'565=?=10e;l50;&276<0<21d4o4?:%307??532wi=lo50;194?6|,8;m6o5G13`8L4443-;8?7o4$6d90a232840>=n>k0;6)?<3;57?>i?j3:1(<=<:808?xd6i<0;6>4?:1y'54`=j2B:>o5G1318 4542h1/;k4;d59j2d<72-;8?79;;:k5f?6=,8986::4;n:a>5<#9:915?54}c3b5?6=;3:11g8a?M75j2B:>>5+1219e>"0n3>o86g9a;29 4542>>07d8m:18'565=?=10c5l50;&276<>:21vn<7k:180>5<7s-;:j7l4H00a?M75;2.:?>4n;%5e>1b33`32840>=h0k0;6)?<3;;1?>{e9031<7=50;2x 47a2k1C=?l4H000?!74;3k0(:h54e68m3g=83.:?>484:9j2g<72-;8?79;;:m;f?6=,89864<4;|`211<72;0;6=u+10d932=O9;h0D<<<;%307?263-=m69j;;h4:>5<#9:91;954o9`94?"6;:02>6F>3398yg72=3:1>7>50z&25c<0?2B:>o5G1318 4542=;0(:h54e68m3?=83.:?>484:9l=zj8?=6=4<:183!76n3h0D<"6;:0j7)9i:5f7?l0f290/=>=57598m3d=83.:?>484:9lt$03e>21<@88i7E?=3:&276<392.5<#9:915?5G1208?xd6>h0;6>4?:1y'54`=j2B:>o5G1318 4542h1/;k4;d59j2d<72-;8?79;;:k5f?6=,8986::4;n:a>5<#9:915?54}c35`?6=:3:11g843>N6:k1C=?=4$010>17<,>l18i:4i7;94?"6;:0<865`8c83>!74;3397E?<2:9~f40b29086=4?{%32b?d<@88i7E?=3:&2765<#9:91;954i7`94?"6;:0<865`8c83>!74;33976sm16394?4=83:p(32875>"0n3>o86g99;29 4542>>07b6m:18'565=1;1C=><4;|`237<72:0;6=u+10d9f>N6:k1C=?=4$010>d=#?o0?h95f6`83>!74;3=?76g9b;29 4542>>07b6m:18'565=1;10qo?85;296?6=8r.:=k487:J26g=O9;90(<=<:538 2`=0e;750;&276<0<21d4o4?:%307??53A;8>65rb055>5<4290;w)?>f;`8L44e3A;9?6*>328b?!1a2=n?7d8n:18'565=?=10e;l50;&276<0<21d4o4?:%307??532wi=:750;094?6|,8;m6:94H00a?M75;2.:?>4;1:&4b?2c<2c=57>5$010>22<3f2i6=4+1219=7=O9:807pl>7`83>6<729q/=5$010>22<3f2i6=4+1219=7=52;294~"69o0<;6F>2c9K575<,89869?4$6d90a232840>=h0k0;6)?<3;;1?M74:21vn<9j:180>5<7s-;:j7l4H00a?M75;2.:?>4n;%5e>1b33`32840>=h0k0;6)?<3;;1?>{e91;1<7<50;2x 47a2>=0D<"6;:0?=6*8f;6g0>o113:1(<=<:668?j>e290/=>=5939K564<3th:4?4?:283>5}#98l1n6F>2c9K575<,8986l5+7g87`1=n>h0;6)?<3;57?>o1j3:1(<=<:668?j>e290/=>=59398yg7?=3:1>7>50z&25c<0?2B:>o5G1318 4542=;0(:h54e68m3?=83.:?>484:9l=zj82=6=4<:183!76n3h0D<"6;:0j7)9i:5f7?l0f290/=>=57598m3d=83.:?>484:9lt$03e>21<@88i7E?=3:&276<392.5<#9:915?5G1208?xd60h0;6>4?:1y'54`=j2B:>o5G1318 4542h1/;k4;d59j2d<72-;8?79;;:k5f?6=,8986::4;n:a>5<#9:915?54}c36e?6=:3:11g843>N6:k1C=?=4$010>17<,>l18i:4i7;94?"6;:0<865`8c83>!74;3397E?<2:9~f43e29086=4?{%32b?d<@88i7E?=3:&2765<#9:91;954i7`94?"6;:0<865`8c83>!74;33976sm14g94?4=83:p(32875>"0n3>o86g99;29 4542>>07b6m:18'565=1;1C=><4;|`21c<72:0;6=u+10d9f>N6:k1C=?=4$010>d=#?o0?h95f6`83>!74;3=?76g9b;29 4542>>07b6m:18'565=1;10qo?92;296?6=8r.:=k487:J26g=O9;90(<=<:538 2`=0e;750;&276<0<21d4o4?:%307??53A;8>65rb040>5<4290;w)?>f;`8L44e3A;9?6*>328b?!1a2=n?7d8n:18'565=?=10e;l50;&276<0<21d4o4?:%307??532wi=;850;094?6|,8;m6:94H00a?M75;2.:?>4;1:&4b?2c<2c=57>5$010>22<3f2i6=4+1219=7=O9:807pl>6683>6<729q/=5$010>22<3f2i6=4+1219=7=52;294~"69o0<;6F>2c9K575<,89869?4$6d90a232840>=h0k0;6)?<3;;1?M74:21vn<=k:181>5<7s-;:j798;I31f>N6::1/=>=5409'3c<3l=1b:44?:%307?1332e3n7>5$010><4<@89976sm12g94?5=83:p(0e;o50;&276<0<21b:o4?:%307?1332e3n7>5$010><4<3th:o<4?:283>5}#98l1n6F>2c9K575<,8986l5+7g87`1=n>h0;6)?<3;57?>o1j3:1(<=<:668?j>e290/=>=59398yg7c83:1>7>50z&25c<0?2B:>o5G1318 4542=;0(:h54e68m3?=83.:?>484:9l=zj8n:6=4<:183!76n3h0D<"6;:0j7)9i:5f7?l0f290/=>=57598m3d=83.:?>484:9lt$03e>21<@88i7E?=3:&276<392.5<#9:915?5G1208?xd4j90;6>4?:1y'54`=j2B:>o5G1318 4542h1/;k4;d59j2d<72-;8?79;;:k5f?6=,8986::4;n:a>5<#9:915?54}c6b0?6=:3:11g843>N6:k1C=?=4$010>17<,>l18i:4i7;94?"6;:0<865`8c83>!74;3397E?<2:9~f1g229086=4?{%32b?d<@88i7E?=3:&2765<#9:91;954i7`94?"6;:0<865`8c83>!74;33976sm31594?4=83:p(32875>"0n3>o86g99;29 4542>>07b6m:18'565=1;1C=><4;|`106<72;0;6=u+10d932=O9;h0D<<<;%307?263-=m69j;;h4:>5<#9:91;954o9`94?"6;:02>6F>3398yg72:3:1>7>50z&25c<0?2B:>o5G1318 4542=;0(:h54e68m3?=83.:?>484:9l=zj;=86=4=:183!76n3=<7E?=b:J266=#9:918<5+7g87`1=n>00;6)?<3;57?>i?j3:1(<=<:808L45532wi>9950;094?6|,8;m6:94H00a?M75;2.:?>4;1:&4b?2c<2c=57>5$010>22<3f2i6=4+1219=7=O9:807pl<1583>6<729q/=5$010>22<3f2i6=4+1219=7=52;294~"69o0<;6F>2c9K575<,89869?4$6d90a232840>=h0k0;6)?<3;;1?M74:21vn?o;:181>5<7s-;:j798;I31f>N6::1/=>=5409'3c<3l=1b:44?:%307?1332e3n7>5$010><4<@89976sm2`794?5=83:p(0e;o50;&276<0<21b:o4?:%307?1332e3n7>5$010><4<3th8nn4?:383>5}#98l1;:5G13`8L4443-;8?7:>;%5e>1b33`<26=4+121931=328:6>N6;;10qo=md;296?6=8r.:=k487:J26g=O9;90(<=<:538 2`=0e;750;&276<0<21d4o4?:%307??53A;8>65rb2`f>5<4290;w)?>f;`8L44e3A;9?6*>328b?!1a2=n?7d8n:18'565=?=10e;l50;&276<0<21d4o4?:%307??532wi8n<50;094?6|,8;m6:94H00a?M75;2.:?>4;1:&4b?2c<2c=57>5$010>22<3f2i6=4+1219=7=O9:807pl;c283>6<729q/=4H00a?M75;2.:?>47f:&4b?2c<2c=m7>5$010>22<3`328:6>=zj;<<6=4=:183!76n3=<7E?=b:J266=#9:918<5+7g87`1=n>00;6)?<3;57?>i?j3:1(<=<:808L45532wi>;650;194?6|,8;m64>4H00a?M75;2.:?>47f:&4b?2c<2c=m7>5$010>22<3`328:6>=zj:;=6=4=:183!76n3=<7E?=b:J266=#9:918<5+7g87`1=n>00;6)?<3;57?>i?j3:1(<=<:808L45532wi?<950;094?6|,8;m6:94H00a?M75;2.:?>4;1:&4b?2c<2c=57>5$010>22<3f2i6=4+1219=7=O9:807pl<1983>6<729q/=4;1:&4b?2c<2c=57>5$010>22<3f2i6=4+1219=7=O9:807pl<2883>7<729q/=:2B:??54}c11e?6=;3:11g8:4>N6:k1C=?=4$010>=`<,>l18i:4i7c94?"6;:0<865f6c83>!74;3=?76a7b;29 45420807pl<1c83>7<729q/=:2B:??54}c12g?6=:3:11g843>N6:k1C=?=4$010>17<,>l18i:4i7;94?"6;:0<865`8c83>!74;3397E?<2:9~f67c29086=4?{%32b??73A;9n6F>229'565=0o1/;k4;d59j2d<72-;8?79;;:k5f?6=,8986::4;n:a>5<#9:915?54}c02=?6=13:11g824>N6:k1C=?=4$010>d=#?o0?h95f6`83>!74;3=?76g9b;29 4542>>07d8l:18'565=?=10e;j50;&276<0<21b:h4?:%307?1332c=j7>5$010>22<3`=;6=4+121931=;1<7*>32840>=h0k0;6)?<3;;1?>{e:8k1<7750;2x 47a28:0D<"6;:0j7)9i:5f7?l0f290/=>=57598m3d=83.:?>484:9j2f<72-;8?79;;:k5`?6=,8986::4;h4f>5<#9:91;954i7d94?"6;:0<865f7183>!74;3=?76g81;29 4542>>07b6m:18'565=1;10qo<>b;297?6=8r.:=k4m;I31f>N6::1/=>=5a:&4b?2c<2c=m7>5$010>22<3`328:6>=zj;8j6=4=:183!76n3=<7E?=b:J266=#9:918<5+7g87`1=n>00;6)?<3;57?>i?j3:1(<=<:808L45532wi>?l50;094?6|,8;m6:94H00a?M75;2.:?>4;1:&4b?2c<2c=57>5$010>22<3f2i6=4+1219=7=O9:807pl=2b83>7<729q/=:2B:??54}c01`?6==3:11g8g?M75j2B:>>5+1219e>"0n3>o86g9a;29 4542>>07d8m:18'565=?=10e;m50;&276<0<21b:i4?:%307?1332e3n7>5$010><4<3th?;=4?:283>5}#98l1n6F>2c9K575<,8986l5+7g87`1=n>h0;6)?<3;57?>o1j3:1(<=<:668?j>e290/=>=59398yg2093:1>7>50z&25c<0?2B:>o5G1318 4542=;0(:h54e68m3?=83.:?>484:9l=zj==96=4<:183!76n3h0D<"6;:0j7)9i:5f7?l0f290/=>=57598m3d=83.:?>484:9lt$03e><6<@88i7E?=3:&2765<#9:91;954o9`94?"6;:02>65rb547>5<4290;w)?>f;`8L44e3A;9?6*>328b?!1a2=n?7d8n:18'565=?=10e;l50;&276<0<21d4o4?:%307??532wi8;;50;094?6|,8;m6:94H00a?M75;2.:?>4;1:&4b?2c<2c=57>5$010>22<3f2i6=4+1219=7=O9:807pl;6783>6<729q/=5$010>22<3f2i6=4+1219=7==;7>53;294~"69o02<6F>2c9K575<,89865h4$6d90a232840>=n>k0;6)?<3;57?>i?j3:1(<=<:808?xd3=o0;6>4?:1y'54`=j2B:>o5G1318 4542h1/;k4;d59j2d<72-;8?79;;:k5f?6=,8986::4;n:a>5<#9:915?54}c654?6=:3:11g843>N6:k1C=?=4$010>17<,>l18i:4i7;94?"6;:0<865`8c83>!74;3397E?<2:9~f10629086=4?{%32b?d<@88i7E?=3:&2765<#9:91;954i7`94?"6;:0<865`8c83>!74;33976sm47094?5=83:p(328;b>"0n3>o86g9a;29 4542>>07d8m:18'565=?=10c5l50;&276<>:21vn9;n:180>5<7s-;:j7l4H00a?M75;2.:?>4n;%5e>1b33`32840>=h0k0;6)?<3;;1?>{e<=0D<"6;:0?=6*8f;6g0>o113:1(<=<:668?j>e290/=>=5939K564<3th?9n4?:283>5}#98l1n6F>2c9K575<,8986l5+7g87`1=n>h0;6)?<3;57?>o1j3:1(<=<:668?j>e290/=>=59398yg22l3:1?7>50z&25c<>82B:>o5G1318 45421l0(:h54e68m3g=83.:?>484:9j2g<72-;8?79;;:m;f?6=,89864<4;|`710<72:0;6=u+10d9f>N6:k1C=?=4$010>d=#?o0?h95f6`83>!74;3=?76g9b;29 4542>>07b6m:18'565=1;10qo::6;296?6=8r.:=k487:J26g=O9;90(<=<:538 2`=0e;750;&276<0<21d4o4?:%307??53A;8>65rb574>5<4290;w)?>f;`8L44e3A;9?6*>328b?!1a2=n?7d8n:18'565=?=10e;l50;&276<0<21d4o4?:%307??532wi88650;194?6|,8;m64>4H00a?M75;2.:?>47f:&4b?2c<2c=m7>5$010>22<3`328:6>=zj=?;6=4<:183!76n3h0D<"6;:0j7)9i:5f7?l0f290/=>=57598m3d=83.:?>484:9lt$03e>21<@88i7E?=3:&276<392.5<#9:915?5G1208?xd3=;0;6>4?:1y'54`=j2B:>o5G1318 4542h1/;k4;d59j2d<72-;8?79;;:k5f?6=,8986::4;n:a>5<#9:915?54}c667?6=;3:11g8:4>N6:k1C=?=4$010>=`<,>l18i:4i7c94?"6;:0<865f6c83>!74;3=?76a7b;29 45420807pl;4c83>6<729q/=5$010>22<3f2i6=4+1219=7=?o7>52;294~"69o0<;6F>2c9K575<,89869?4$6d90a232840>=h0k0;6)?<3;;1?M74:21vn9:k:180>5<7s-;:j7l4H00a?M75;2.:?>4n;%5e>1b33`32840>=h0k0;6)?<3;;1?>{e<=o1<7=50;2x 47a20:0D<"6;:03j6*8f;6g0>o1i3:1(<=<:668?l0e290/=>=57598k=d=83.:?>462:9~f12129086=4?{%32b?d<@88i7E?=3:&2765<#9:91;954i7`94?"6;:0<865`8c83>!74;33976sm45594?4=83:p(32875>"0n3>o86g99;29 4542>>07b6m:18'565=1;1C=><4;|`70=<72:0;6=u+10d9f>N6:k1C=?=4$010>d=#?o0?h95f6`83>!74;3=?76g9b;29 4542>>07b6m:18'565=1;10qo:;9;297?6=8r.:=k460:J26g=O9;90(<=<:9d8 2`=0e;o50;&276<0<21b:o4?:%307?1332e3n7>5$010><4<3th?8<4?:283>5}#98l1n6F>2c9K575<,8986l5+7g87`1=n>h0;6)?<3;57?>o1j3:1(<=<:668?j>e290/=>=59398yg23:3:1>7>50z&25c<0?2B:>o5G1318 4542=;0(:h54e68m3?=83.:?>484:9l=zj=>86=4<:183!76n3h0D<"6;:0j7)9i:5f7?l0f290/=>=57598m3d=83.:?>484:9lt$03e><6<@88i7E?=3:&2765<#9:91;954o9`94?"6;:02>65rb5`g>5<5290;w)?>f;54?M75j2B:>>5+121904=#?o0?h95f6883>!74;3=?76a7b;29 4542080D<==;:a0gc=8381<7>t$03e>21<@88i7E?=3:&276<392.5<#9:915?5G1208?xd3jo0;6?4?:1y'54`=?>1C=?l4H000?!74;3>:7)9i:5f7?l0>290/=>=57598k=d=83.:?>462:J277=h<7>55;294~"69o0o7E?=b:J266=#9:91m6*8f;6g0>o1i3:1(<=<:668?l0e290/=>=57598m3e=83.:?>484:9j2a<72-;8?79;;:m;f?6=,89864<4;|`7g`<72;0;6=u+10d932=O9;h0D<<<;%307?263-=m69j;;h4:>5<#9:91;954o9`94?"6;:02>6F>3398yg2dn3:1>7>50z&25c<0?2B:>o5G1318 4542=;0(:h54e68m3?=83.:?>484:9l=zj=n;6=4=:183!76n3=<7E?=b:J266=#9:918<5+7g87`1=n>00;6)?<3;57?>i?j3:1(<=<:808L45532wi8i?50;794?6|,8;m6i5G13`8L4443-;8?7o4$6d90a232840>=n>k0;6)?<3;57?>o1k3:1(<=<:668?l0c290/=>=57598k=d=83.:?>462:9~f6>>29086=4?{%32b?d<@88i7E?=3:&2765<#9:91;954i7`94?"6;:0<865`8c83>!74;33976sm39c94?4=83:p(32875>"0n3>o86g99;29 4542>>07b6m:18'565=1;1C=><4;|`05<#9:91;954o9`94?"6;:02>6F>3398yg5?k3:1?7>50z&25c229'565=i2.5<#9:91;954o9`94?"6;:02>65rb2:g>5<4290;w)?>f;;3?M75j2B:>>5+1219!74;3=?76g9b;29 4542>>07b6m:18'565=1;10qo=:2;297?6=8r.:=k4m;I31f>N6::1/=>=5a:&4b?2c<2c=m7>5$010>22<3`328:6>=zj:?86=4=:183!76n3=<7E?=b:J266=#9:918<5+7g87`1=n>00;6)?<3;57?>i?j3:1(<=<:808L45532wi?8:50;094?6|,8;m6:94H00a?M75;2.:?>4;1:&4b?2c<2c=57>5$010>22<3f2i6=4+1219=7=O9:807pl<5483>6<729q/=5$010>22<3f2i6=4+1219=7=:7>53;294~"69o02<6F>2c9K575<,89865h4$6d90a232840>=n>k0;6)?<3;57?>i?j3:1(<=<:808?xd4>10;6>4?:1y'54`=j2B:>o5G1318 4542h1/;k4;d59j2d<72-;8?79;;:k5f?6=,8986::4;n:a>5<#9:915?54}c15=?6=:3:11g843>N6:k1C=?=4$010>17<,>l18i:4i7;94?"6;:0<865`8c83>!74;3397E?<2:9~f60f29086=4?{%32b?d<@88i7E?=3:&2765<#9:91;954i7`94?"6;:0<865`8c83>!74;33976sm37`94?5=83:p(328;b>"0n3>o86g9a;29 4542>>07d8m:18'565=?=10c5l50;&276<>:21vn>l7:180>5<7s-;:j7l4H00a?M75;2.:?>4n;%5e>1b33`32840>=h0k0;6)?<3;;1?>{e;k31<7<50;2x 47a2>=0D<"6;:0?=6*8f;6g0>o113:1(<=<:668?j>e290/=>=5939K564<3th8nl4?:283>5}#98l1n6F>2c9K575<,8986l5+7g87`1=n>h0;6)?<3;57?>o1j3:1(<=<:668?j>e290/=>=59398yg53?3:1>7>50z&25c<0?2B:>o5G1318 4542=;0(:h54e68m3?=83.:?>484:9l=zj:>36=4=:183!76n3=<7E?=b:J266=#9:918<5+7g87`1=n>00;6)?<3;57?>i?j3:1(<=<:808L45532wi?9750;694?6|,8;m6n5G13`8L4443-;8?7o4$6d90a232840>=n>k0;6)?<3;57?>o1k3:1(<=<:668?j>e290/=>=59398yg46>3:1?7>50z&25c<>82B:>o5G1318 45421l0(:h54e68m3g=83.:?>484:9j2g<72-;8?79;;:m;f?6=,89864<4;|`152<72:0;6=u+10d9f>N6:k1C=?=4$010>d=#?o0?h95f6`83>!74;3=?76g9b;29 4542>>07b6m:18'565=1;10qo?jd;296?6=8r.:=k487:J26g=O9;90(<=<:538 2`=0e;750;&276<0<21d4o4?:%307??53A;8>65rb0gf>5<5290;w)?>f;54?M75j2B:>>5+121904=#?o0?h95f6883>!74;3=?76a7b;29 4542080D<==;:a5``=8381<7>t$03e>21<@88i7E?=3:&276<392.5<#9:915?5G1208?xd6n90;684?:1y'54`=l2B:>o5G1318 4542h1/;k4;d59j2d<72-;8?79;;:k5f?6=,8986::4;h4`>5<#9:91;954i7f94?"6;:0<865`8c83>!74;33976sm1bc94?4=83:p(32875>"0n3>o86g99;29 4542>>07b6m:18'565=1;1C=><4;|`2gg<72;0;6=u+10d932=O9;h0D<<<;%307?263-=m69j;;h4:>5<#9:91;954o9`94?"6;:02>6F>3398yg7dk3:1?7>50z&25c229'565=i2.5<#9:91;954o9`94?"6;:02>65rb0ag>5<4290;w)?>f;`8L44e3A;9?6*>328b?!1a2=n?7d8n:18'565=?=10e;l50;&276<0<21d4o4?:%307??532wi=nk50;194?6|,8;m64>4H00a?M75;2.:?>47f:&4b?2c<2c=m7>5$010>22<3`328:6>=zj8i?6=4<:183!76n3h0D<"6;:0j7)9i:5f7?l0f290/=>=57598m3d=83.:?>484:9lt$03e>21<@88i7E?=3:&276<392.5<#9:915?5G1208?xd6k?0;6>4?:1y'54`=j2B:>o5G1318 4542h1/;k4;d59j2d<72-;8?79;;:k5f?6=,8986::4;n:a>5<#9:915?54}c3`3?6=;3:11g8:4>N6:k1C=?=4$010>=`<,>l18i:4i7c94?"6;:0<865f6c83>!74;3=?76a7b;29 45420807pl=f883>6<729q/=5$010>22<3f2i6=4+1219=7=53;294~"69o0i7E?=b:J266=#9:91m6*8f;6g0>o1i3:1(<=<:668?l0e290/=>=57598k=d=83.:?>462:9~f7`e29096=4?{%32b?103A;9n6F>229'565=<81/;k4;d59j2<<72-;8?79;;:m;f?6=,89864<4H011?>{e:oi1<7<50;2x 47a2>=0D<"6;:0?=6*8f;6g0>o113:1(<=<:668?j>e290/=>=5939K564<3th9ji4?:383>5}#98l1;:5G13`8L4443-;8?7:>;%5e>1b33`<26=4+121931=328:6>N6;;10qoN6::1/=>=5a:&4b?2c<2c=m7>5$010>22<3`328:6>=zj;lm6=4<:183!76n33;7E?=b:J266=#9:914k5+7g87`1=n>h0;6)?<3;57?>o1j3:1(<=<:668?j>e290/=>=59398yg5783:187>50z&25c229'565=i2.5<#9:91;954i7a94?"6;:0<865`8c83>!74;33976sm31394?5=83:p(328;b>"0n3>o86g9a;29 4542>>07d8m:18'565=?=10c5l50;&276<>:21vn?km:181>5<7s-;:j798;I31f>N6::1/=>=5409'3c<3l=1b:44?:%307?1332e3n7>5$010><4<@89976sm2dd94?4=83:p(32875>"0n3>o86g99;29 4542>>07b6m:18'565=1;1C=><4;|`1b5<72;0;6=u+10d932=O9;h0D<<<;%307?263-=m69j;;h4:>5<#9:91;954o9`94?"6;:02>6F>3398yg4a93:1>7>50z&25c<0?2B:>o5G1318 4542=;0(:h54e68m3?=83.:?>484:9l=zj;l96=4=:183!76n3=<7E?=b:J266=#9:918<5+7g87`1=n>00;6)?<3;57?>i?j3:1(<=<:808L45532wi>k=50;094?6|,8;m6:94H00a?M75;2.:?>4;1:&4b?2c<2c=57>5$010>22<3f2i6=4+1219=7=O9:807pl=f583>7<729q/=:2B:??54}c0e1?6==3:11g8g?M75j2B:>>5+1219e>"0n3>o86g9a;29 4542>>07d8m:18'565=?=10e;m50;&276<0<21b:i4?:%307?1332e3n7>5$010><4<3th9j;4?:283>5}#98l1n6F>2c9K575<,8986l5+7g87`1=n>h0;6)?<3;57?>o1j3:1(<=<:668?j>e290/=>=59398yg4bk3:187>50z&25c<>92B:>o5G1318 45421l0(:h54e68m3g=83.:?>484:9j2g<72-;8?79;;:k5g?6=,8986::4;n:a>5<#9:915?54}c0f`?6=;3:11g8a?M75j2B:>>5+1219e>"0n3>o86g9a;29 4542>>07d8m:18'565=?=10c5l50;&276<>:21vn?kj:180>5<7s-;:j77?;I31f>N6::1/=>=58g9'3c<3l=1b:l4?:%307?1332c=n7>5$010>22<3f2i6=4+1219=7=53;294~"69o0i7E?=b:J266=#9:91m6*8f;6g0>o1i3:1(<=<:668?l0e290/=>=57598k=d=83.:?>462:9~f7c129096=4?{%32b?103A;9n6F>229'565=<81/;k4;d59j2<<72-;8?79;;:m;f?6=,89864<4H011?>{e:l=1<7=50;2x 47a2k1C=?l4H000?!74;3k0(:h54e68m3g=83.:?>484:9j2g<72-;8?79;;:m;f?6=,89864<4;|`1a=<72:0;6=u+10d9=5=O9;h0D<<<;%307?>a3-=m69j;;h4b>5<#9:91;954i7`94?"6;:0<865`8c83>!74;33976sm3`394?4=83:p(32875>"0n3>o86g99;29 4542>>07b6m:18'565=1;1C=><4;|`0e3<72:0;6=u+10d9=5=O9;h0D<<<;%307?>a3-=m69j;;h4b>5<#9:91;954i7`94?"6;:0<865`8c83>!74;33976sm3`594?5=83:p(0e;o50;&276<0<21b:o4?:%307?1332e3n7>5$010><4<3th8m54?:383>5}#98l1;:5G13`8L4443-;8?7:>;%5e>1b33`<26=4+121931=328:6>N6;;10qo=n9;296?6=8r.:=k487:J26g=O9;90(<=<:538 2`=0e;750;&276<0<21d4o4?:%307??53A;8>65rb2cb>5<4290;w)?>f;`8L44e3A;9?6*>328b?!1a2=n?7d8n:18'565=?=10e;l50;&276<0<21d4o4?:%307??532wi?ll50;094?6|,8;m6:94H00a?M75;2.:?>4;1:&4b?2c<2c=57>5$010>22<3f2i6=4+1219=7=O9:807pl6<729q/=5$010>22<3f2i6=4+1219=7=53;294~"69o0i7E?=b:J266=#9:91m6*8f;6g0>o1i3:1(<=<:668?l0e290/=>=57598k=d=83.:?>462:9~f6g529086=4?{%32b?d<@88i7E?=3:&2765<#9:91;954i7`94?"6;:0<865`8c83>!74;33976sm3`194?5=83:p(328;b>"0n3>o86g9a;29 4542>>07d8m:18'565=?=10c5l50;&276<>:21vn>o;:180>5<7s-;:j7l4H00a?M75;2.:?>4n;%5e>1b33`32840>=h0k0;6)?<3;;1?>{e;h?1<7:50;2x 47a20;0D<"6;:03j6*8f;6g0>o1i3:1(<=<:668?l0e290/=>=57598m3e=83.:?>484:9lt$03e>g=O9;h0D<<<;%307?g<,>l18i:4i7c94?"6;:0<865f6c83>!74;3=?76a7b;29 45420807pl<9d83>6<729q/=4;1:&4b?2c<2c=57>5$010>22<3f2i6=4+1219=7=O9:807pl;9c83>6<729q/=5$010>22<3f2i6=4+1219=7=2o7>52;294~"69o0<;6F>2c9K575<,89869?4$6d90a232840>=h0k0;6)?<3;;1?M74:21vn97k:180>5<7s-;:j77?;I31f>N6::1/=>=58g9'3c<3l=1b:l4?:%307?1332c=n7>5$010>22<3f2i6=4+1219=7=2i7>52;294~"69o0<;6F>2c9K575<,89869?4$6d90a232840>=h0k0;6)?<3;;1?M74:21vn97i:181>5<7s-;:j798;I31f>N6::1/=>=5409'3c<3l=1b:44?:%307?1332e3n7>5$010><4<@89976sm4`294?5=83:p(0e;o50;&276<0<21b:o4?:%307?1332e3n7>5$010><4<3th?m<4?:283>5}#98l1n6F>2c9K575<,8986l5+7g87`1=n>h0;6)?<3;57?>o1j3:1(<=<:668?j>e290/=>=59398yg2f:3:187>50z&25c229'565=i2.5<#9:91;954i7a94?"6;:0<865`8c83>!74;33976sm48:94?5=83:p(328;b>"0n3>o86g9a;29 4542>>07d8m:18'565=?=10c5l50;&276<>:21vn976:187>5<7s-;:j7m4H00a?M75;2.:?>4n;%5e>1b33`32840>=n>j0;6)?<3;57?>i?j3:1(<=<:808?xd31h0;6>4?:1y'54`=191C=?l4H000?!74;32m7)9i:5f7?l0f290/=>=57598m3d=83.:?>484:9lt$03e>21<@88i7E?=3:&276<392.5<#9:915?5G1208?xd30m0;6?4?:1y'54`=?>1C=?l4H000?!74;3>:7)9i:5f7?l0>290/=>=57598k=d=83.:?>462:J277=3i7>53;294~"69o0i7E?=b:J266=#9:91m6*8f;6g0>o1i3:1(<=<:668?l0e290/=>=57598k=d=83.:?>462:9~f1>a29096=4?{%32b?103A;9n6F>229'565=<81/;k4;d59j2<<72-;8?79;;:m;f?6=,89864<4H011?>{e<0:1<7<50;2x 47a2>=0D<"6;:0?=6*8f;6g0>o113:1(<=<:668?j>e290/=>=5939K564<3th?5<4?:383>5}#98l1;:5G13`8L4443-;8?7:>;%5e>1b33`<26=4+121931=328:6>N6;;10qo:62;297?6=8r.:=k4m;I31f>N6::1/=>=5a:&4b?2c<2c=m7>5$010>22<3`328:6>=zj=386=4<:183!76n3h0D<"6;:0j7)9i:5f7?l0f290/=>=57598m3d=83.:?>484:9lt$03e><6<@88i7E?=3:&2765<#9:91;954o9`94?"6;:02>65rb5:4>5<4290;w)?>f;;3?M75j2B:>>5+1219!74;3=?76g9b;29 4542>>07b6m:18'565=1;10qo:78;297?6=8r.:=k4m;I31f>N6::1/=>=5a:&4b?2c<2c=m7>5$010>22<3`328:6>=zj=226=4<:183!76n3h0D<"6;:0j7)9i:5f7?l0f290/=>=57598m3d=83.:?>484:9lt$03e><6<@88i7E?=3:&2765<#9:91;954o9`94?"6;:02>65rb5:a>5<4290;w)?>f;`8L44e3A;9?6*>328b?!1a2=n?7d8n:18'565=?=10e;l50;&276<0<21d4o4?:%307??532wi85m50;194?6|,8;m64>4H00a?M75;2.:?>47f:&4b?2c<2c=m7>5$010>22<3`328:6>=zj===6=4<:183!76n3h0D<"6;:0j7)9i:5f7?l0f290/=>=57598m3d=83.:?>484:9lt$03e>21<@88i7E?=3:&276<392.5<#9:915?5G1208?xd3?m0;6>4?:1y'54`=j2B:>o5G1318 4542h1/;k4;d59j2d<72-;8?79;;:k5f?6=,8986::4;n:a>5<#9:915?54}c64a?6=:3:11g843>N6:k1C=?=4$010>17<,>l18i:4i7;94?"6;:0<865`8c83>!74;3397E?<2:9~f11a29096=4?{%32b?103A;9n6F>229'565=<81/;k4;d59j2<<72-;8?79;;:m;f?6=,89864<4H011?>{e<1:1<7<50;2x 47a2>=0D<"6;:0?=6*8f;6g0>o113:1(<=<:668?j>e290/=>=5939K564<3th?4<4?:383>5}#98l1;:5G13`8L4443-;8?7:>;%5e>1b33`<26=4+121931=328:6>N6;;10qo:72;297?6=8r.:=k4m;I31f>N6::1/=>=5a:&4b?2c<2c=m7>5$010>22<3`328:6>=zj=286=4<:183!76n3h0D<"6;:0j7)9i:5f7?l0f290/=>=57598m3d=83.:?>484:9lt$03e>a=O9;h0D<<<;%307?g<,>l18i:4i7c94?"6;:0<865f6c83>!74;3=?76g9c;29 4542>>07d8k:18'565=?=10c5l50;&276<>:21vn997:180>5<7s-;:j77?;I31f>N6::1/=>=58g9'3c<3l=1b:l4?:%307?1332c=n7>5$010>22<3f2i6=4+1219=7=<57>53;294~"69o02<6F>2c9K575<,89865h4$6d90a232840>=n>k0;6)?<3;57?>i?j3:1(<=<:808?xd3?h0;6>4?:1y'54`=j2B:>o5G1318 4542h1/;k4;d59j2d<72-;8?79;;:k5f?6=,8986::4;n:a>5<#9:915?54}c64f?6=;3:11g8:4>N6:k1C=?=4$010>=`<,>l18i:4i7c94?"6;:0<865f6c83>!74;3=?76a7b;29 45420807pl=ad83>1<729q/=5$010>22<3`328:6>=zj;km6=4=:183!76n3=<7E?=b:J266=#9:918<5+7g87`1=n>00;6)?<3;57?>i?j3:1(<=<:808L45532wi>o>50;094?6|,8;m6:94H00a?M75;2.:?>4;1:&4b?2c<2c=57>5$010>22<3f2i6=4+1219=7=O9:807pl=b083>7<729q/=:2B:??54}c0a6?6=:3:11g843>N6:k1C=?=4$010>17<,>l18i:4i7;94?"6;:0<865`8c83>!74;3397E?<2:9~f7d429096=4?{%32b?103A;9n6F>229'565=<81/;k4;d59j2<<72-;8?79;;:m;f?6=,89864<4H011?>{e:k>1<7:50;2x 47a2j1C=?l4H000?!74;3k0(:h54e68m3g=83.:?>484:9j2g<72-;8?79;;:k5g?6=,8986::4;n:a>5<#9:915?54}c0a1?6=;3:11g8:4>N6:k1C=?=4$010>=`<,>l18i:4i7c94?"6;:0<865f6c83>!74;3=?76a7b;29 45420807pl=b783>1<729q/=5$010>22<3`328:6>=zj;h0;6)?<3;57?>o1j3:1(<=<:668?j>e290/=>=59398yg41k3:1>7>50z&25c<0?2B:>o5G1318 4542=;0(:h54e68m3?=83.:?>484:9l=zj;"6;:0j7)9i:5f7?l0f290/=>=57598m3d=83.:?>484:9lt$03e><6<@88i7E?=3:&2765<#9:91;954o9`94?"6;:02>65rb0fe>5<4290;w)?>f;`8L44e3A;9?6*>328b?!1a2=n?7d8n:18'565=?=10e;l50;&276<0<21d4o4?:%307??532wi=h>50;094?6|,8;m6:94H00a?M75;2.:?>4;1:&4b?2c<2c=57>5$010>22<3f2i6=4+1219=7=O9:807pl>e083>7<729q/=:2B:??54}c3f6?6=:3:11g843>N6:k1C=?=4$010>17<,>l18i:4i7;94?"6;:0<865`8c83>!74;3397E?<2:9~f4c429096=4?{%32b?103A;9n6F>229'565=<81/;k4;d59j2<<72-;8?79;;:m;f?6=,89864<4H011?>{e9l>1<7=50;2x 47a2k1C=?l4H000?!74;3k0(:h54e68m3g=83.:?>484:9j2g<72-;8?79;;:m;f?6=,89864<4;|`2a0<72<0;6=u+10d9`>N6:k1C=?=4$010>d=#?o0?h95f6`83>!74;3=?76g9b;29 4542>>07d8l:18'565=?=10e;j50;&276<0<21d4o4?:%307??532wi=h850;194?6|,8;m64>4H00a?M75;2.:?>47f:&4b?2c<2c=m7>5$010>22<3`328:6>=zj8n>6=4<:183!76n33;7E?=b:J266=#9:914k5+7g87`1=n>h0;6)?<3;57?>o1j3:1(<=<:668?j>e290/=>=59398yg7c>3:1>7>50z&25c<0?2B:>o5G1318 4542=;0(:h54e68m3?=83.:?>484:9l=zj8n<6=4=:183!76n3=<7E?=b:J266=#9:918<5+7g87`1=n>00;6)?<3;57?>i?j3:1(<=<:808L45532wi=i650;094?6|,8;m6:94H00a?M75;2.:?>4;1:&4b?2c<2c=57>5$010>22<3f2i6=4+1219=7=O9:807pl>d883>7<729q/=:2B:??54}c3ge?6=;3:11g8a?M75j2B:>>5+1219e>"0n3>o86g9a;29 4542>>07d8m:18'565=?=10c5l50;&276<>:21vn5<7s-;:j7j4H00a?M75;2.:?>4n;%5e>1b33`32840>=n>j0;6)?<3;57?>o1l3:1(<=<:668?j>e290/=>=59398yg7ck3:1?7>50z&25c<>82B:>o5G1318 45421l0(:h54e68m3g=83.:?>484:9j2g<72-;8?79;;:m;f?6=,89864<4;|`14`<72:0;6=u+10d9f>N6:k1C=?=4$010>d=#?o0?h95f6`83>!74;3=?76g9b;29 4542>>07b6m:18'565=1;10qo0e;750;&276<0<21d4o4?:%307??53A;8>65rb333>5<4290;w)?>f;`8L44e3A;9?6*>328b?!1a2=n?7d8n:18'565=?=10e;l50;&276<0<21d4o4?:%307??532wi>4H00a?M75;2.:?>47f:&4b?2c<2c=m7>5$010>22<3`328:6>=zj;;96=4<:183!76n3h0D<"6;:0j7)9i:5f7?l0f290/=>=57598m3d=83.:?>484:9lt$03e><6<@88i7E?=3:&2765<#9:91;954o9`94?"6;:02>65rb3:;>5<5290;w)?>f;54?M75j2B:>>5+121904=#?o0?h95f6883>!74;3=?76a7b;29 4542080D<==;:a6=?=8381<7>t$03e>21<@88i7E?=3:&276<392.5<#9:915?5G1208?xd50h0;6>4?:1y'54`=j2B:>o5G1318 4542h1/;k4;d59j2d<72-;8?79;;:k5f?6=,8986::4;n:a>5<#9:915?54}c0;f?6=;3:11g8:4>N6:k1C=?=4$010>=`<,>l18i:4i7c94?"6;:0<865f6c83>!74;3=?76a7b;29 45420807pl=d583>7<729q/=:2B:??54}c0ge?6=:3:11g843>N6:k1C=?=4$010>17<,>l18i:4i7;94?"6;:0<865`8c83>!74;3397E?<2:9~f7be29096=4?{%32b?103A;9n6F>229'565=<81/;k4;d59j2<<72-;8?79;;:m;f?6=,89864<4H011?>{e:mi1<7=50;2x 47a2k1C=?l4H000?!74;3k0(:h54e68m3g=83.:?>484:9j2g<72-;8?79;;:m;f?6=,89864<4;|`1`a<72;0;6=u+10d932=O9;h0D<<<;%307?263-=m69j;;h4:>5<#9:91;954o9`94?"6;:02>6F>3398yg4cm3:1>7>50z&25c<0?2B:>o5G1318 4542=;0(:h54e68m3?=83.:?>484:9l=zj;nm6=4<:183!76n33;7E?=b:J266=#9:914k5+7g87`1=n>h0;6)?<3;57?>o1j3:1(<=<:668?j>e290/=>=59398yg4b83:1?7>50z&25c229'565=i2.5<#9:91;954o9`94?"6;:02>65rb3g2>5<4290;w)?>f;`8L44e3A;9?6*>328b?!1a2=n?7d8n:18'565=?=10e;l50;&276<0<21d4o4?:%307??532wi>i;50;194?6|,8;m6o5G13`8L4443-;8?7o4$6d90a232840>=n>k0;6)?<3;57?>i?j3:1(<=<:808?xd5l?0;694?:1y'54`=k2B:>o5G1318 4542h1/;k4;d59j2d<72-;8?79;;:k5f?6=,8986::4;h4`>5<#9:91;954o9`94?"6;:02>65rb3f4>5<3290;w)?>f;;2?M75j2B:>>5+1219!74;3=?76g9b;29 4542>>07d8l:18'565=?=10c5l50;&276<>:21vn?j7:180>5<7s-;:j7l4H00a?M75;2.:?>4n;%5e>1b33`32840>=h0k0;6)?<3;;1?>{e:m31<7=50;2x 47a20:0D<"6;:03j6*8f;6g0>o1i3:1(<=<:668?l0e290/=>=57598k=d=83.:?>462:9~f7ee29096=4?{%32b?103A;9n6F>229'565=<81/;k4;d59j2<<72-;8?79;;:m;f?6=,89864<4H011?>{e:ji1<7=50;2x 47a20:0D<"6;:03j6*8f;6g0>o1i3:1(<=<:668?l0e290/=>=57598k=d=83.:?>462:9~f7ec29096=4?{%32b?103A;9n6F>229'565=<81/;k4;d59j2<<72-;8?79;;:m;f?6=,89864<4H011?>{e:jo1<7=50;2x 47a20:0D<"6;:03j6*8f;6g0>o1i3:1(<=<:668?l0e290/=>=57598k=d=83.:?>462:9~f7ea29086=4?{%32b?d<@88i7E?=3:&2765<#9:91;954i7`94?"6;:0<865`8c83>!74;33976sm2e294?5=83:p(0e;o50;&276<0<21b:o4?:%307?1332e3n7>5$010><4<3th9h<4?:283>5}#98l15=5G13`8L4443-;8?76i;%5e>1b33`32840>=h0k0;6)?<3;;1?>{e:;l1<7<50;2x 47a2>=0D<"6;:0?=6*8f;6g0>o113:1(<=<:668?j>e290/=>=5939K564<3th9?=4?:283>5}#98l1n6F>2c9K575<,8986l5+7g87`1=n>h0;6)?<3;57?>o1j3:1(<=<:668?j>e290/=>=59398yg4493:1>7>50z&25c<0?2B:>o5G1318 4542=;0(:h54e68m3?=83.:?>484:9l=zj;996=4=:183!76n3=<7E?=b:J266=#9:918<5+7g87`1=n>00;6)?<3;57?>i?j3:1(<=<:808L45532wi>>=50;194?6|,8;m6o5G13`8L4443-;8?7o4$6d90a232840>=n>k0;6)?<3;57?>i?j3:1(<=<:808?xd5;=0;6>4?:1y'54`=j2B:>o5G1318 4542h1/;k4;d59j2d<72-;8?79;;:k5f?6=,8986::4;n:a>5<#9:915?54}c001?6=;3:11g8:4>N6:k1C=?=4$010>=`<,>l18i:4i7c94?"6;:0<865f6c83>!74;3=?76a7b;29 45420807pl=3783>6<729q/=5$010>22<3f2i6=4+1219=7=9n7>52;294~"69o0<;6F>2c9K575<,89869?4$6d90a232840>=h0k0;6)?<3;;1?M74:21vn9=9:180>5<7s-;:j7l4H00a?M75;2.:?>4n;%5e>1b33`32840>=h0k0;6)?<3;;1?>{e<:31<7<50;2x 47a2>=0D<"6;:0?=6*8f;6g0>o113:1(<=<:668?j>e290/=>=5939K564<3th??l4?:383>5}#98l1;:5G13`8L4443-;8?7:>;%5e>1b33`<26=4+121931=328:6>N6;;10qo:0e;750;&276<0<21d4o4?:%307??53A;8>65rb51`>5<5290;w)?>f;54?M75j2B:>>5+121904=#?o0?h95f6883>!74;3=?76a7b;29 4542080D<==;:a06b=8381<7>t$03e>21<@88i7E?=3:&276<392.5<#9:915?5G1208?xd3;l0;6?4?:1y'54`=?>1C=?l4H000?!74;3>:7)9i:5f7?l0>290/=>=57598k=d=83.:?>462:J277=8j7>52;294~"69o0<;6F>2c9K575<,89869?4$6d90a232840>=h0k0;6)?<3;;1?M74:21vn95<7s-;:j7l4H00a?M75;2.:?>4n;%5e>1b33`32840>=h0k0;6)?<3;;1?>{e<;n1<7:50;2x 47a2j1C=?l4H000?!74;3k0(:h54e68m3g=83.:?>484:9j2g<72-;8?79;;:k5g?6=,8986::4;n:a>5<#9:915?54}c61a?6=;3:11g8a?M75j2B:>>5+1219e>"0n3>o86g9a;29 4542>>07d8m:18'565=?=10c5l50;&276<>:21vn95<7s-;:j7m4H00a?M75;2.:?>4n;%5e>1b33`32840>=n>j0;6)?<3;57?>i?j3:1(<=<:808?xd3;90;6>4?:1y'54`=191C=?l4H000?!74;32m7)9i:5f7?l0f290/=>=57598m3d=83.:?>484:9lt$03e><6<@88i7E?=3:&2765<#9:91;954o9`94?"6;:02>65rb511>5<4290;w)?>f;`8L44e3A;9?6*>328b?!1a2=n?7d8n:18'565=?=10e;l50;&276<0<21d4o4?:%307??532wi8>=50;194?6|,8;m64>4H00a?M75;2.:?>47f:&4b?2c<2c=m7>5$010>22<3`328:6>=zj=9?6=4<:183!76n3h0D<"6;:0j7)9i:5f7?l0f290/=>=57598m3d=83.:?>484:9lt$03e><6<@88i7E?=3:&2765<#9:91;954o9`94?"6;:02>65rb514>5<4290;w)?>f;`8L44e3A;9?6*>328b?!1a2=n?7d8n:18'565=?=10e;l50;&276<0<21d4o4?:%307??532wi8>650;194?6|,8;m64>4H00a?M75;2.:?>47f:&4b?2c<2c=m7>5$010>22<3`328:6>=zj=:?6=4<:183!76n33;7E?=b:J266=#9:914k5+7g87`1=n>h0;6)?<3;57?>o1j3:1(<=<:668?j>e290/=>=59398yg27l3:1?7>50z&25c229'565=i2.5<#9:91;954o9`94?"6;:02>65rb52f>5<5290;w)?>f;54?M75j2B:>>5+121904=#?o0?h95f6883>!74;3=?76a7b;29 4542080D<==;:a05`=8381<7>t$03e>21<@88i7E?=3:&276<392.5<#9:915?5G1208?xd3990;6?4?:1y'54`=?>1C=?l4H000?!74;3>:7)9i:5f7?l0>290/=>=57598k=d=83.:?>462:J277=:=7>52;294~"69o0<;6F>2c9K575<,89869?4$6d90a232840>=h0k0;6)?<3;;1?M74:21vn9?=:181>5<7s-;:j798;I31f>N6::1/=>=5409'3c<3l=1b:44?:%307?1332e3n7>5$010><4<@89976sm40194?4=83:p(32875>"0n3>o86g99;29 4542>>07b6m:18'565=1;1C=><4;|`751<72;0;6=u+10d932=O9;h0D<<<;%307?263-=m69j;;h4:>5<#9:91;954o9`94?"6;:02>6F>3398yg27=3:1?7>50z&25c<>82B:>o5G1318 45421l0(:h54e68m3g=83.:?>484:9j2g<72-;8?79;;:m;f?6=,89864<4;|`743<72<0;6=u+10d9`>N6:k1C=?=4$010>d=#?o0?h95f6`83>!74;3=?76g9b;29 4542>>07d8l:18'565=?=10e;j50;&276<0<21d4o4?:%307??532wi8=950;194?6|,8;m6o5G13`8L4443-;8?7o4$6d90a232840>=n>k0;6)?<3;57?>i?j3:1(<=<:808?xd3810;6>4?:1y'54`=191C=?l4H000?!74;32m7)9i:5f7?l0f290/=>=57598m3d=83.:?>484:9lt$03e>g=O9;h0D<<<;%307?g<,>l18i:4i7c94?"6;:0<865f6c83>!74;3=?76a7b;29 45420807pl;0`83>6<729q/=32840>=n>k0;6)?<3;57?>i?j3:1(<=<:808?xd38j0;6>4?:1y'54`=191C=?l4H000?!74;32m7)9i:5f7?l0f290/=>=57598m3d=83.:?>484:9lt$03e>21<@88i7E?=3:&276<392.5<#9:915?5G1208?xd39l0;6?4?:1y'54`=?>1C=?l4H000?!74;3>:7)9i:5f7?l0>290/=>=57598k=d=83.:?>462:J277=:j7>52;294~"69o0<;6F>2c9K575<,89869?4$6d90a232840>=h0k0;6)?<3;;1?M74:21vn95<7s-;:j798;I31f>N6::1/=>=5409'3c<3l=1b:44?:%307?1332e3n7>5$010><4<@89976sm43394?4=83:p(32875>"0n3>o86g99;29 4542>>07b6m:18'565=1;1C=><4;|`767<72;0;6=u+10d932=O9;h0D<<<;%307?263-=m69j;;h4:>5<#9:91;954o9`94?"6;:02>6F>3398yg25;3:1>7>50z&25c<0?2B:>o5G1318 4542=;0(:h54e68m3?=83.:?>484:9l=zj=8?6=4<:183!76n3h0D<"6;:0j7)9i:5f7?l0f290/=>=57598m3d=83.:?>484:9lt$03e><6<@88i7E?=3:&2765<#9:91;954o9`94?"6;:02>65rb53;>5<4290;w)?>f;`8L44e3A;9?6*>328b?!1a2=n?7d8n:18'565=?=10e;l50;&276<0<21d4o4?:%307??532wi8<750;194?6|,8;m64>4H00a?M75;2.:?>47f:&4b?2c<2c=m7>5$010>22<3`328:6>=zj=;j6=4<:183!76n3h0D<"6;:0j7)9i:5f7?l0f290/=>=57598m3d=83.:?>484:9lt$03e><6<@88i7E?=3:&2765<#9:91;954o9`94?"6;:02>65rb53`>5<4290;w)?>f;;3?M75j2B:>>5+1219!74;3=?76g9b;29 4542>>07b6m:18'565=1;10qo:>d;290?6=8r.:=k4l;I31f>N6::1/=>=5a:&4b?2c<2c=m7>5$010>22<3`32840>=h0k0;6)?<3;;1?>{e:k31<7<50;2x 47a2>=0D<"6;:0?=6*8f;6g0>o113:1(<=<:668?j>e290/=>=5939K564<3th9nl4?:383>5}#98l1;:5G13`8L4443-;8?7:>;%5e>1b33`<26=4+121931=328:6>N6;;10qo0e;750;&276<0<21d4o4?:%307??53A;8>65rb3``>5<5290;w)?>f;54?M75j2B:>>5+121904=#?o0?h95f6883>!74;3=?76a7b;29 4542080D<==;:a6gb=8381<7>t$03e>21<@88i7E?=3:&276<392.5<#9:915?5G1208?xd5jl0;6:4?:1y'54`=n2B:>o5G1318 4542h1/;k4;d59j2d<72-;8?79;;:k5f?6=,8986::4;h4`>5<#9:91;954i7f94?"6;:0<865f6d83>!74;3=?76g9f;29 4542>>07b6m:18'565=1;10qo==f;296?6=8r.:=k487:J26g=O9;90(<=<:538 2`=0e;750;&276<0<21d4o4?:%307??53A;8>65rb213>5<5290;w)?>f;54?M75j2B:>>5+121904=#?o0?h95f6883>!74;3=?76a7b;29 4542080D<==;:a767=8381<7>t$03e>21<@88i7E?=3:&276<392.5<#9:915?5G1208?xd4;;0;6?4?:1y'54`=?>1C=?l4H000?!74;3>:7)9i:5f7?l0>290/=>=57598k=d=83.:?>462:J277=52;294~"69o0<;6F>2c9K575<,89869?4$6d90a232840>=h0k0;6)?<3;;1?M74:21vn>=;:185>5<7s-;:j7k4H00a?M75;2.:?>4n;%5e>1b33`32840>=n>j0;6)?<3;57?>o1l3:1(<=<:668?l0b290/=>=57598k=d=83.:?>462:9~f65229086=4?{%32b??73A;9n6F>229'565=0o1/;k4;d59j2d<72-;8?79;;:k5f?6=,8986::4;n:a>5<#9:915?54}c103?6==3:11g8g?M75j2B:>>5+1219e>"0n3>o86g9a;29 4542>>07d8m:18'565=?=10e;m50;&276<0<21b:i4?:%307?1332e3n7>5$010><4<3th8?54?:283>5}#98l1n6F>2c9K575<,8986l5+7g87`1=n>h0;6)?<3;57?>o1j3:1(<=<:668?j>e290/=>=59398yg5413:1>7>50z&25c<0?2B:>o5G1318 4542=;0(:h54e68m3?=83.:?>484:9l=zj:9j6=4=:183!76n3=<7E?=b:J266=#9:918<5+7g87`1=n>00;6)?<3;57?>i?j3:1(<=<:808L45532wi?>l50;194?6|,8;m6o5G13`8L4443-;8?7o4$6d90a232840>=n>k0;6)?<3;57?>i?j3:1(<=<:808?xd4;j0;6>4?:1y'54`=j2B:>o5G1318 4542h1/;k4;d59j2d<72-;8?79;;:k5f?6=,8986::4;n:a>5<#9:915?54}c10`?6=;3:11g8a?M75j2B:>>5+1219e>"0n3>o86g9a;29 4542>>07d8m:18'565=?=10c5l50;&276<>:21vn9o7:181>5<7s-;:j798;I31f>N6::1/=>=5409'3c<3l=1b:44?:%307?1332e3n7>5$010><4<@89976sm4`;94?4=83:p(32875>"0n3>o86g99;29 4542>>07b6m:18'565=1;1C=><4;|`7ed<72:0;6=u+10d9f>N6:k1C=?=4$010>d=#?o0?h95f6`83>!74;3=?76g9b;29 4542>>07b6m:18'565=1;10qo:nb;297?6=8r.:=k460:J26g=O9;90(<=<:9d8 2`=0e;o50;&276<0<21b:o4?:%307?1332e3n7>5$010><4<3th8;;4?:283>5}#98l1n6F>2c9K575<,8986l5+7g87`1=n>h0;6)?<3;57?>o1j3:1(<=<:668?j>e290/=>=59398yg50?3:1?7>50z&25c<>82B:>o5G1318 45421l0(:h54e68m3g=83.:?>484:9j2g<72-;8?79;;:m;f?6=,89864<4;|`03=<72;0;6=u+10d932=O9;h0D<<<;%307?263-=m69j;;h4:>5<#9:91;954o9`94?"6;:02>6F>3398yg5013:1>7>50z&25c<0?2B:>o5G1318 4542=;0(:h54e68m3?=83.:?>484:9l=zj:=j6=4<:183!76n3h0D<"6;:0j7)9i:5f7?l0f290/=>=57598m3d=83.:?>484:9l1<7>t$03e>f=O9;h0D<<<;%307?g<,>l18i:4i7c94?"6;:0<865f6c83>!74;3=?76g9c;29 4542>>07b6m:18'565=1;10qo=?e;296?6=8r.:=k487:J26g=O9;90(<=<:538 2`=0e;750;&276<0<21d4o4?:%307??53A;8>65rb22e>5<5290;w)?>f;54?M75j2B:>>5+121904=#?o0?h95f6883>!74;3=?76a7b;29 4542080D<==;:a746=8391<7>t$03e><6<@88i7E?=3:&2765<#9:91;954o9`94?"6;:02>65rb232>5<4290;w)?>f;`8L44e3A;9?6*>328b?!1a2=n?7d8n:18'565=?=10e;l50;&276<0<21d4o4?:%307??532wi>:h50;194?6|,8;m64>4H00a?M75;2.:?>47f:&4b?2c<2c=m7>5$010>22<3`328:6>=zj;2;6=4<:183!76n3h0D<"6;:0j7)9i:5f7?l0f290/=>=57598m3d=83.:?>484:9l=8381<7>t$03e>21<@88i7E?=3:&276<392.5<#9:915?5G1208?xd5;00;6?4?:1y'54`=?>1C=?l4H000?!74;3>:7)9i:5f7?l0>290/=>=57598k=d=83.:?>462:J277=52;294~"69o0<;6F>2c9K575<,89869?4$6d90a232840>=h0k0;6)?<3;;1?M74:21vn?=m:181>5<7s-;:j798;I31f>N6::1/=>=5409'3c<3l=1b:44?:%307?1332e3n7>5$010><4<@89976sm22a94?4=83:p(32875>"0n3>o86g99;29 4542>>07b6m:18'565=1;1C=><4;|`17a<72?0;6=u+10d9a>N6:k1C=?=4$010>d=#?o0?h95f6`83>!74;3=?76g9b;29 4542>>07d8l:18'565=?=10e;j50;&276<0<21b:h4?:%307?1332e3n7>5$010><4<3th9?h4?:283>5}#98l15=5G13`8L4443-;8?76i;%5e>1b33`32840>=h0k0;6)?<3;;1?>{e;=h1<7<50;2x 47a2>=0D<"6;:0?=6*8f;6g0>o113:1(<=<:668?j>e290/=>=5939K564<3th88n4?:383>5}#98l1;:5G13`8L4443-;8?7:>;%5e>1b33`<26=4+121931=328:6>N6;;10qo=;d;296?6=8r.:=k487:J26g=O9;90(<=<:538 2`=0e;750;&276<0<21d4o4?:%307??53A;8>65rb26f>5<5290;w)?>f;54?M75j2B:>>5+121904=#?o0?h95f6883>!74;3=?76a7b;29 4542080D<==;:a71`=83?1<7>t$03e>a=O9;h0D<<<;%307?g<,>l18i:4i7c94?"6;:0<865f6c83>!74;3=?76g9c;29 4542>>07d8k:18'565=?=10c5l50;&276<>:21vn986:181>5<7s-;:j798;I31f>N6::1/=>=5409'3c<3l=1b:44?:%307?1332e3n7>5$010><4<@89976sm47c94?4=83:p(32875>"0n3>o86g99;29 4542>>07b6m:18'565=1;1C=><4;|`72g<72=0;6=u+10d9g>N6:k1C=?=4$010>d=#?o0?h95f6`83>!74;3=?76g9b;29 4542>>07d8l:18'565=?=10c5l50;&276<>:21vn98l:180>5<7s-;:j77?;I31f>N6::1/=>=58g9'3c<3l=1b:l4?:%307?1332c=n7>5$010>22<3f2i6=4+1219=7==h7>53;294~"69o0i7E?=b:J266=#9:91m6*8f;6g0>o1i3:1(<=<:668?l0e290/=>=57598k=d=83.:?>462:9~f10b29086=4?{%32b??73A;9n6F>229'565=0o1/;k4;d59j2d<72-;8?79;;:k5f?6=,8986::4;n:a>5<#9:915?54}c1;5?6=:3:11g843>N6:k1C=?=4$010>17<,>l18i:4i7;94?"6;:0<865`8c83>!74;3397E?<2:9~f6>529096=4?{%32b?103A;9n6F>229'565=<81/;k4;d59j2<<72-;8?79;;:m;f?6=,89864<4H011?>{e;191<7<50;2x 47a2>=0D<"6;:0?=6*8f;6g0>o113:1(<=<:668?j>e290/=>=5939K564<3th8494?:383>5}#98l1;:5G13`8L4443-;8?7:>;%5e>1b33`<26=4+121931=328:6>N6;;10qo=75;291?6=8r.:=k4k;I31f>N6::1/=>=5a:&4b?2c<2c=m7>5$010>22<3`32840>=n>m0;6)?<3;57?>i?j3:1(<=<:808?xd40?0;6>4?:1y'54`=191C=?l4H000?!74;32m7)9i:5f7?l0f290/=>=57598m3d=83.:?>484:9lt$03e>21<@88i7E?=3:&276<392.5<#9:915?5G1208?xd4:90;6?4?:1y'54`=?>1C=?l4H000?!74;3>:7)9i:5f7?l0>290/=>=57598k=d=83.:?>462:J277=52;294~"69o0<;6F>2c9K575<,89869?4$6d90a232840>=h0k0;6)?<3;;1?M74:21vn><=:180>5<7s-;:j77?;I31f>N6::1/=>=58g9'3c<3l=1b:l4?:%307?1332c=n7>5$010>22<3f2i6=4+1219=7=54;294~"69o0h7E?=b:J266=#9:91m6*8f;6g0>o1i3:1(<=<:668?l0e290/=>=57598m3e=83.:?>484:9lt$03e>g=O9;h0D<<<;%307?g<,>l18i:4i7c94?"6;:0<865f6c83>!74;3=?76a7b;29 45420807pl<2483>6<729q/=n=50;094?6|,8;m6:94H00a?M75;2.:?>4;1:&4b?2c<2c=57>5$010>22<3f2i6=4+1219=7=O9:807pl=c583>7<729q/=:2B:??54}c0`1?6=:3:11g843>N6:k1C=?=4$010>17<,>l18i:4i7;94?"6;:0<865`8c83>!74;3397E?<2:9~f7e129096=4?{%32b?103A;9n6F>229'565=<81/;k4;d59j2<<72-;8?79;;:m;f?6=,89864<4H011?>{e:j=1<7<50;2x 47a2>=0D<"6;:0?=6*8f;6g0>o113:1(<=<:668?j>e290/=>=5939K564<3th9o54?:483>5}#98l1h6F>2c9K575<,8986l5+7g87`1=n>h0;6)?<3;57?>o1j3:1(<=<:668?l0d290/=>=57598m3b=83.:?>484:9lt$03e><6<@88i7E?=3:&2765<#9:91;954o9`94?"6;:02>65rb33g>5<4290;w)?>f;`8L44e3A;9?6*>328b?!1a2=n?7d8n:18'565=?=10e;l50;&276<0<21d4o4?:%307??532wi>??50;794?6|,8;m6i5G13`8L4443-;8?7o4$6d90a232840>=n>k0;6)?<3;57?>o1k3:1(<=<:668?l0c290/=>=57598k=d=83.:?>462:9~f74529086=4?{%32b?d<@88i7E?=3:&2765<#9:91;954i7`94?"6;:0<865`8c83>!74;33976sm23194?5=83:p(328;b>"0n3>o86g9a;29 4542>>07d8m:18'565=?=10c5l50;&276<>:21vn?<;:181>5<7s-;:j798;I31f>N6::1/=>=5409'3c<3l=1b:44?:%307?1332e3n7>5$010><4<@89976sm23794?5=83:p(328;b>"0n3>o86g9a;29 4542>>07d8m:18'565=?=10c5l50;&276<>:21vn?<9:180>5<7s-;:j7l4H00a?M75;2.:?>4n;%5e>1b33`32840>=h0k0;6)?<3;;1?>{e:;=1<7=50;2x 47a2k1C=?l4H000?!74;3k0(:h54e68m3g=83.:?>484:9j2g<72-;8?79;;:m;f?6=,89864<4;|`16=<72:0;6=u+10d9f>N6:k1C=?=4$010>d=#?o0?h95f6`83>!74;3=?76g9b;29 4542>>07b6m:18'565=1;10qo<>e;297?6=8r.:=k460:J26g=O9;90(<=<:9d8 2`=0e;o50;&276<0<21b:o4?:%307?1332e3n7>5$010><4<3th9=k4?:283>5}#98l1n6F>2c9K575<,8986l5+7g87`1=n>h0;6)?<3;57?>o1j3:1(<=<:668?j>e290/=>=59398yg4583:1?7>50z&25c<>82B:>o5G1318 45421l0(:h54e68m3g=83.:?>484:9j2g<72-;8?79;;:m;f?6=,89864<4;|`7g0<72;0;6=u+10d932=O9;h0D<<<;%307?263-=m69j;;h4:>5<#9:91;954o9`94?"6;:02>6F>3398yg2d>3:1>7>50z&25c<0?2B:>o5G1318 4542=;0(:h54e68m3?=83.:?>484:9l=zj=i<6=4=:183!76n3=<7E?=b:J266=#9:918<5+7g87`1=n>00;6)?<3;57?>i?j3:1(<=<:808L45532wi8n650;694?6|,8;m64?4H00a?M75;2.:?>47f:&4b?2c<2c=m7>5$010>22<3`32840>=h0k0;6)?<3;;1?>{e484:9j2g<72-;8?79;;:m;f?6=,89864<4;|`01f<72;0;6=u+10d932=O9;h0D<<<;%307?263-=m69j;;h4:>5<#9:91;954o9`94?"6;:02>6F>3398yg52m3:1?7>50z&25c<>82B:>o5G1318 45421l0(:h54e68m3g=83.:?>484:9j2g<72-;8?79;;:m;f?6=,89864<4;|`01c<72:0;6=u+10d9f>N6:k1C=?=4$010>d=#?o0?h95f6`83>!74;3=?76g9b;29 4542>>07b6m:18'565=1;10qo=90;296?6=8r.:=k487:J26g=O9;90(<=<:538 2`=0e;750;&276<0<21d4o4?:%307??53A;8>65rb242>5<5290;w)?>f;54?M75j2B:>>5+121904=#?o0?h95f6883>!74;3=?76a7b;29 4542080D<==;:a734=8391<7>t$03e>g=O9;h0D<<<;%307?g<,>l18i:4i7c94?"6;:0<865f6c83>!74;3=?76a7b;29 45420807pl<6283>6<729q/=5$010>22<3f2i6=4+1219=7=53;294~"69o02<6F>2c9K575<,89865h4$6d90a232840>=n>k0;6)?<3;57?>i?j3:1(<=<:808?xd4><0;6>4?:1y'54`=j2B:>o5G1318 4542h1/;k4;d59j2d<72-;8?79;;:k5f?6=,8986::4;n:a>5<#9:915?54}c16`?6=;3:11g8:4>N6:k1C=?=4$010>=`<,>l18i:4i7c94?"6;:0<865f6c83>!74;3=?76a7b;29 45420807pl<9583>7<729q/=:2B:??54}c1:1?6=:3:11g843>N6:k1C=?=4$010>17<,>l18i:4i7;94?"6;:0<865`8c83>!74;3397E?<2:9~f6?129096=4?{%32b?103A;9n6F>229'565=<81/;k4;d59j2<<72-;8?79;;:m;f?6=,89864<4H011?>{e;0=1<7=50;2x 47a2k1C=?l4H000?!74;3k0(:h54e68m3g=83.:?>484:9j2g<72-;8?79;;:m;f?6=,89864<4;|`0==<72:0;6=u+10d9=5=O9;h0D<<<;%307?>a3-=m69j;;h4b>5<#9:91;954i7`94?"6;:0<865`8c83>!74;33976sm38;94?5=83:p(0e;o50;&276<0<21b:o4?:%307?1332e3n7>5$010><4<3th85l4?:283>5}#98l15=5G13`8L4443-;8?76i;%5e>1b33`32840>=h0k0;6)?<3;;1?>{e;?n1<7=50;2x 47a2k1C=?l4H000?!74;3k0(:h54e68m3g=83.:?>484:9j2g<72-;8?79;;:m;f?6=,89864<4;|`02`<72;0;6=u+10d932=O9;h0D<<<;%307?263-=m69j;;h4:>5<#9:91;954o9`94?"6;:02>6F>3398yg51n3:1>7>50z&25c<0?2B:>o5G1318 4542=;0(:h54e68m3?=83.:?>484:9l=zj:=;6=4=:183!76n3=<7E?=b:J266=#9:918<5+7g87`1=n>00;6)?<3;57?>i?j3:1(<=<:808L45532wi?:?50;194?6|,8;m6o5G13`8L4443-;8?7o4$6d90a232840>=n>k0;6)?<3;57?>i?j3:1(<=<:808?xd4?;0;6>4?:1y'54`=191C=?l4H000?!74;32m7)9i:5f7?l0f290/=>=57598m3d=83.:?>484:9l1<7>t$03e>f=O9;h0D<<<;%307?g<,>l18i:4i7c94?"6;:0<865f6c83>!74;3=?76g9c;29 4542>>07b6m:18'565=1;10qo<:b;296?6=8r.:=k487:J26g=O9;90(<=<:538 2`=0e;750;&276<0<21d4o4?:%307??53A;8>65rb37`>5<5290;w)?>f;54?M75j2B:>>5+121904=#?o0?h95f6883>!74;3=?76a7b;29 4542080D<==;:a60b=8381<7>t$03e>21<@88i7E?=3:&276<392.5<#9:915?5G1208?xd5=l0;6>4?:1y'54`=191C=?l4H000?!74;32m7)9i:5f7?l0f290/=>=57598m3d=83.:?>484:9lt$03e><6<@88i7E?=3:&2765<#9:91;954o9`94?"6;:02>65rb343>5<4290;w)?>f;`8L44e3A;9?6*>328b?!1a2=n?7d8n:18'565=?=10e;l50;&276<0<21d4o4?:%307??532wi>;?50;194?6|,8;m64>4H00a?M75;2.:?>47f:&4b?2c<2c=m7>5$010>22<3`328:6>=zj8h96=4;:183!76n3i0D<"6;:0j7)9i:5f7?l0f290/=>=57598m3d=83.:?>484:9j2f<72-;8?79;;:m;f?6=,89864<4;|`2=g<72=0;6=u+10d9g>N6:k1C=?=4$010>d=#?o0?h95f6`83>!74;3=?76g9b;29 4542>>07d8l:18'565=?=10c5l50;&276<>:21vn5<7s-;:j7m4H00a?M75;2.:?>4n;%5e>1b33`32840>=n>j0;6)?<3;57?>i?j3:1(<=<:808?xd6j90;684?:1y'54`=l2B:>o5G1318 4542h1/;k4;d59j2d<72-;8?79;;:k5f?6=,8986::4;h4`>5<#9:91;954i7f94?"6;:0<865`8c83>!74;33976sm18d94?0=83:p(0e;o50;&276<0<21b:o4?:%307?1332c=o7>5$010>22<3`32840>=h0k0;6)?<3;;1?>{e9h=1<7750;2x 47a28:0D<"6;:0j7)9i:5f7?l0f290/=>=57598m3d=83.:?>484:9j2f<72-;8?79;;:k5`?6=,8986::4;h4f>5<#9:91;954i7d94?"6;:0<865f7183>!74;3=?76g81;29 4542>>07b6m:18'565=1;10qo?n8;297?6=8r.:=k4m;I31f>N6::1/=>=5a:&4b?2c<2c=m7>5$010>22<3`328:6>=zj8kh6=46:183!76n3;;7E?=b:J266=#9:91m6*8f;6g0>o1i3:1(<=<:668?l0e290/=>=57598m3e=83.:?>484:9j2a<72-;8?79;;:k5a?6=,8986::4;h4e>5<#9:91;954i6294?"6;:0<865f7083>!74;3=?76a7b;29 45420807pl>ae83>1<729q/=5$010>22<3`328:6>=zj8kn6=4<:183!76n3h0D<"6;:0j7)9i:5f7?l0f290/=>=57598m3d=83.:?>484:9lt$03e>25<@88i7E?=3:&276<392B:21vn>h::181>5<7s-;:j79<;I31f>N6::1/=>=5409K3f=#?l0<86*8f;6g0>o113:1(<=<:668?j>e290/=>=59398yg5dl3:1>7>50z&25c<0;2B:>o5G1318 4542=;0D:m4$6g931=#?o0?h95f6883>!74;3=?76a7b;29 45420807pl:f;296?6=8r.:=k483:J26g=O9;90(<=<:538L2e<,>o1;95+7g87`1=n>00;6)?<3;57?>i?j3:1(<=<:808?xd193:1>7>50z&25c<0;2B:>o5G1318 4542=;0D:m4$6g931=#?o0?h95f6883>!74;3=?76a7b;29 45420807pl7<729q/=32840>=h0k0;6)?<3;;1?>{e;ml1<7<50;2x 47a2>90D<"6;:0?=6F8c:&4a?133-=m69j;;h4:>5<#9:91;954o9`94?"6;:02>65rb2dg>5<5290;w)?>f;50?M75j2B:>>5+121904=O?j1/;h484:&4b?2c<2c=57>5$010>22<3f2i6=4+1219=7=h6=4=:183!76n3=87E?=b:J266=#9:918<5G7b9'3`<0<2.5<#9:915?54}c65>5<5290;w)?>f;50?M75j2B:>>5+121904=O?j1/;h484:&4b?2c<2c=57>5$010>22<3f2i6=4+1219=7=52;294~"69o02c9K575<,89869?4H6a8 2c=?=1/;k4;d59j2<<72-;8?79;;:m;f?6=,89864<4;|`0bc<72;0;6=u+10d936=O9;h0D<<<;%307?263A=h7)9j:668 2`=0e;750;&276<0<21d4o4?:%307??532wi?:4?:383>5}#98l1;>5G13`8L4443-;8?7:>;I5`?!1b2>>0(:h54e68m3?=83.:?>484:9l0e;750;&276<0<21d4o4?:%307??532wi?84?:383>5}#98l1;>5G13`8L4443-;8?7:>;I5`?!1b2>>0(:h54e68m3?=83.:?>484:9l0e;750;&276<0<21d4o4?:%307??532wi??4?:383>5}#98l1;>5G13`8L4443-;8?7:>;I5`?!1b2>>0(:h54e68m3?=83.:?>484:9l0e;750;&276<0<21d4o4?:%307??532wi?=4?:383>5}#98l1;>5G13`8L4443-;8?7:>;I5`?!1b2>>0(:h54e68m3?=83.:?>484:9l0e;750;&276<0<21d4o4?:%307??532wi>h4?:383>5}#98l1;>5G13`8L4443-;8?7:>;I5`?!1b2>>0(:h54e68m3?=83.:?>484:9l0e;750;&276<0<21d4o4?:%307??532wi>n4?:383>5}#98l1;>5G13`8L4443-;8?7:>;I5`?!1b2>>0(:h54e68m3?=83.:?>484:9l0e;750;&276<0<21d4o4?:%307??532wi>l4?:383>5}#98l1;>5G13`8L4443-;8?7:>;I5`?!1b2>>0(:h54e68m3?=83.:?>484:9l0e;750;&276<0<21d4o4?:%307??532wi?k4?:383>5}#98l1;>5G13`8L4443-;8?7:>;I5`?!1b2>>0(:h54e68m3?=83.:?>484:9l0e;750;&276<0<21d4o4?:%307??532wi?i4?:383>5}#98l1;>5G13`8L4443-;8?7:>;I5`?!1b2>>0(:h54e68m3?=83.:?>484:9l0e;750;&276<0<21d4o4?:%307??532wi?o4?:383>5}#98l1;>5G13`8L4443-;8?7:>;I5`?!1b2>>0(:h54e68m3?=83.:?>484:9l0e;750;&276<0<21d4o4?:%307??532wi?44?:383>5}#98l1;>5G13`8L4443-;8?7:>;I5`?!1b2>>0(:h54e68m3?=83.:?>484:9l0e;750;&276<0<21d4o4?:%307??532wi?>4?:383>5}#98l1;>5G13`8L4443-;8?7:>;I5`?!1b2>>0(:h54e68m3?=83.:?>484:9l1>7:t$03e>2d<@88i7E?=3:&4b?2c<2c=57>5;h7b>5<>d0;3:1>7>50z&25c<0;2.:?>4;1:J4g>"0m3=?7)9i:5f7?l0>290/=>=57598k=d=83.:?>462:9~f=b=8391=7=t$03e>=b<@>i0(:k5939'3c<3l=1b:44?::k6e?6=3f2i6=44b00e>5<4290;w)?<3;323>o113:1(<=<:668?l76;3:1(<=<:478?j>e290/=>=5939K54><3ty=57>52z\5=>;6:o0=56s|5`83>7}Y=h16=?h51018yv>e2909wS6m;<31b?>e3twx4o4?:3y]6}Y?016;>499:?;`?>e3ty=57>52z\5=>;?l3<27p}:a;296~X2i273h7;n;|a0=<72;0;6=u+10d90e;750;&276<0<21d4o4?:%307??532wi?i;50;094?6|,8;m65m4H00a?M75;2.:?>4;1:J4g>"0m3397)9i:5f7?l0>290/=>=57598k=d=83.:?>462:9~f6`e29096=4?{%32b?>d3A;9n6F>229'565=<81C;n5+7d8:6>"0n3>o86g99;29 4542>>07b6m:18'565=1;10qo=i3;296?6=8r.:=k47c:J26g=O9;90(<=<:538L2e<,>o15?5+7g87`1=n>00;6)?<3;57?>i?j3:1(<=<:808?xd3i3:1>7>50z&25co5G1318 4542=;0D:m4$6g9=7=#?o0?h95f6883>!74;3=?76a7b;29 45420807pl7<729q/=32840>=h0k0;6)?<3;;1?>{e;l=1<7<50;2x 47a21i0D<"6;:0?=6F8c:&4a??53-=m69j;;h4:>5<#9:91;954o9`94?"6;:02>65rb2f0>5<5290;w)?>f;:`?M75j2B:>>5+121904=O?j1/;h462:&4b?2c<2c=57>5$010>22<3f2i6=4+1219=7=52;294~"69o03o6F>2c9K575<,89869?4H6a8 2c=1;1/;k4;d59j2<<72-;8?79;;:m;f?6=,89864<4;|`0g3<72:0:6>u+10d953;294~"6;:0:=:5f6883>!74;3=?76g>1283>!74;3?>76a7b;29 4542080Dk47b:~f6e>29096=4?{%32b?>d3A;9n6F>229'565=<81C;n5+7d8:6>"0n3>o86g99;29 4542>>07b6m:18'565=1;10qo=j9;296?6=8r.:=k47c:J26g=O9;90(<=<:538L2e<,>o15?5+7g87`1=n>00;6)?<3;57?>i?j3:1(<=<:808?xd4n>0;6>4>:2y'54`=0m1C=?l4H000?M1d3-=n64<4$6d90a2>i?j3:17o?=f;297?6=8r.:?>4>169j2<<72-;8?79;;:k256<72-;8?7;:;:m;f?6=,89864<4H03;?>{t>00;6?uQ689>57`=>01v8o50;0xZ0g<588m62g8;f>{zj:o>6=4=:183!76n32h7E?=b:J266=#9:918<5G7b9'3`<>:2.5<#9:915?54}c1ab?6=:3:11g8;g>N6:k1C=?=4$010>17<@>i0(:k5939'3c<3l=1b:44?:%307?1332e3n7>5$010><4<3th8o?4?:383>5}#98l14n5G13`8L4443-;8?7:>;I5`?!1b2080(:h54e68m3?=83.:?>484:9lt$03e>=e<@88i7E?=3:&276<392B:21vn>j6:181>5<7s-;:j76l;I31f>N6::1/=>=5409K3f=#?l02>6*8f;6g0>o113:1(<=<:668?j>e290/=>=59398yg5b;3:1?7?53z&25co5G1318L2e<,>o15?5+7g87`1=n>00;66g:a;29?j>e2900n<5<7s-;8?7?>7:k5=?6=,8986::4;h327?6=,89868;4;n:a>5<#9:915?5G10:8?xu113:1>vP99:?26c<112wx9l4?:3y]1d=:9;l1=<=4}r:a>5<5sW2i70?=f;:a?x{e;o31<7=51;1x 47a21n0D<N0k2.1b33`<26=44i4c94?=h0k0;66l>2g83>6<729q/=>=51058m3?=83.:?>484:9j545=83.:?>4:5:9l=z{?31<73:p52;294~"69o03o6F>2c9K575<,89869?4H6a8 2c=1;1/;k4;d59j2<<72-;8?79;;:m;f?6=,89864<4;|`0`a<72;0;6=u+10d90e;750;&276<0<21d4o4?:%307??532wi?nl50;094?6|,8;m65m4H00a?M75;2.:?>4;1:J4g>"0m3397)9i:5f7?l0>290/=>=57598k=d=83.:?>462:9~fcb=8381<7>t$03e>=e<@88i7E?=3:&276<392B:21vnkm50;094?6|,8;m65m4H00a?M75;2.:?>4;1:J4g>"0m3397)9i:5f7?l0>290/=>=57598k=d=83.:?>462:9~f46129096=4?{%32b?>d3A;9n6F>229'565=<81C;n5+7d8:6>"0n3>o86g99;29 4542>>07b6m:18'565=1;10qo??5;296?6=8r.:=k47c:J26g=O9;90(<=<:538L2e<,>o15?5+7g87`1=n>00;6)?<3;57?>i?j3:1(<=<:808?xd68=0;6?4?:1y'54`=0j1C=?l4H000?!74;3>:7E9l;%5f><4<,>l18i:4i7;94?"6;:0<865`8c83>!74;33976sm11194?4=83:p(32875>N0k2.1b33`<26=4+121931=328:6>=zj8:96=4=:183!76n32h7E?=b:J266=#9:918<5G7b9'3`<>:2.5<#9:915?54}c335?6=:3:11g8;g>N6:k1C=?=4$010>17<@>i0(:k5939'3c<3l=1b:44?:%307?1332e3n7>5$010><4<3th:<=4?:383>5}#98l14n5G13`8L4443-;8?7:>;I5`?!1b2080(:h54e68m3?=83.:?>484:9l0e;750;&276<0<21d4o4?:%307??532wijh4?:383>5}#98l14n5G13`8L4443-;8?7:>;I5`?!1b2080(:h54e68m3?=83.:?>484:9l0e;750;&276<0<21d4o4?:%307??532wi=<4?:083>5}#9:91i=5G1318L23<,>o1;95+808`b>ob83:1(<=<:d28?xd6:3:1=7>50z&276>5G749'3`<0<2.3=7j8;hg3>5<#9:91i=54}c30>5<6290;w)?<3;g3?M75;2B<96*8e;57?!>620?0eh>50;&2764H000?M123-=n6::4$939=3=nm90;6)?<3;g3?>{e9<0;6<4?:1y'565=m91C=?=4H678 2c=?=1/4<467:kf4?6=,8986h>4;|`22?6=93:1328f4>N6::1C;85+7d840>"?93337dk?:18'565=m910qo?8:182>5<7s-;8?7k?;I317>N0=2.328f4>=zj821<7?50;2x 4542l:0D<<<;I56?!1b2>>0(5?59`9ja5<72-;8?7k?;:a5<<7280;6=u+1219a5=O9;90D:;4$6g931=#0802n6gj0;29 4542l:07pl>a;295?6=8r.:?>4j0:J266=O?<1/;h484:&;5??d3`o;6=4+1219a5=:183!74;3o;7E?=3:J41>"0m3=?7)6>:ea8m`6=83.:?>4j0:9~f4e=83;1<7>t$010>`6<@8887E9:;%5f>22<,1;15i5fe183>!74;3o;76sm1e83>4<729q/=>=5e19K575<@>?0(:k5759'<4<>m2cn<7>5$010>`6<3th:i7>51;294~"6;:0n<6F>229K30=#?l0<86*71;c3?lc7290/=>=5e198yg47290:6=4?{%307?c73A;9?6F85:&4a?133-2:6l=4id294?"6;:0n<65rb3394?7=83:p(<=<:d28L4443A=>7)9j:668 =7=lm1bi=4?:%307?c732wi>?4?:083>5}#9:91i=5G1318L23<,>o1;95+808ga>ob83:1(<=<:d28?xd5;3:1=7>50z&276>5G749'3`<0<2.3=7ji;hg3>5<#9:91i=54}c07>5<6290;w)?<3;g3?M75;2B<96*8e;57?!>620l0eh>50;&2764H000?M123-=n6::4$939e==nm90;6)?<3;g3?>{e:?0;6<4?:1y'565=m91C=?=4H678 2c=?=1/4<4m0:kf4?6=,8986h>4;|`13?6=93:1328f4>N6::1C;85+7d840>"?93hn7dk?:18'565=m910qo<7:182>5<7s-;8?7k?;I317>N0=2.f0328f4>=zj=?1<7?50;2x 4542l:0D<<<;I56?!1b2>>0(5?5a09ja5<72-;8?7k?;:a02<7280;6=u+1219a5=O9;90D:;4$6g9=7=#080ih6aj0;29 4542l:07pl;9;295?6=8r.:?>4j0:J266=O?<1/;h462:&;5?dd3fo;6=4+1219a5=i6=4>:183!74;3o;7E?=3:J41>"0m3=?7)6>:`08m`6=83.:?>4j0:9~f0g=83;1<7>t$010>`6<@8887E9:;%5f>22<,1;1m95fe183>!74;3o;76sm6183>4<729q/=>=5e19K575<@>?0(:k5759'<45$010>`6<3thno7>51;294~"6;:0n<6F>229K30=#?l02>6*71;`;?jc7290/=>=5e198ygcc290:6=4?{%307?c73A;9?6F85:&4a??53-2:6oo4od294?"6;:0n<65rbdd94?7=83:p(<=<:d28L4443A=>7)9j:808 =7=k81di=4?:%307?c732wij=4?:083>5}#9:91i=5G1318L23<,>o15?5+808a2>ib83:1(<=<:d28?xda93:1=7>50z&276>5G749'3`<>:2.3=7l;;ng3>5<#9:91i=54}cd1>5<6290;w)?<3;g3?M75;2B<96*8e;;1?!>62k80ch>50;&2764H000?M123-=n64<4$939f4=hm90;6)?<3;g3?>{en=0;6<4?:1y'565=m91C=?=4H678 2c=1;1/4<4m3:mf4?6=,8986h>4;|`e1?6=93:1328f4>N6::1C;85+7d8:6>"?93h>7bk?:18'565=m910qoh9:182>5<7s-;8?7k?;I317>N0=2.g1328f4>=zjo=1<7?50;2x 4542l:0D<<<;I56?!1b2080(5?5b89la5<72-;8?7k?;:ab=<7280;6=u+1219a5=O9;90D:;4$6g9=7=#080in6aj0;29 4542l:07pl4<729q/=>=5e19K575<@>?0(:k5939'<45$010>`6<3th8o=4?:083>5}#9:91i=5G1318L23<,>o15?5+808g6>ib83:1(<=<:d28?xd4k:0;6<4?:1y'565=m91C=?=4H678 2c=?=1/4<4na:kf4?6=,8986h>4;|`0g0<7280;6=u+1219a5=O9;90D:;4$6g9=7=#080o=6aj0;29 4542l:0Dt$010>`6<@8887E9:;%5f><4<,1;1h85`e183>!74;3o;76sm3bc94?7=83:p(<=<:d28L4443A=>7)9j:808 =7=k>1di=4?:%307?c732wi?nm50;394?6|,8986h>4H000?M123-=n6::4$939gg=nm90;6)?<3;g3?>{e;m:1<7?50;2x 4542l:0D<<<;I56?!1b2080(5?5c99la5<72-;8?7k?;:a7a4=83;1<7>t$010>`6<@8887E9:;%5f><4<,1;1mh5`e183>!74;3o;76sm3e694?7=83:p(<=<:d28L4443A=>7)9j:808 =7=i?1di=4?:%307?c732wi?i850;394?6|,8986h>4H000?M123-=n6::4$939ga=nm90;6)?<3;g3?>{e;m21<7?50;2x 4542l:0D<<<;I56?!1b2080(5?5d59la5<72-;8?7k?;:a7ag=83;1<7>t$010>`6<@8887E9:;%5f><4<,1;1h55`e183>!74;3o;76sm3ea94?7=83:p(<=<:d28L4443A=>7)9j:808 =7=l?1di=4?:%307?c732wi?ik50;394?6|,8986h>4H000?M123-=n6::4$939`d=nm90;6)?<3;g3?>{e;l:1<7?50;2x 4542l:0D<<<;I56?!1b2>>0(5?5a89ja5<72-;8?7k?;:a7`4=83;1<7>t$010>`6<@8887E9:;%5f><4<,1;1oh5`e183>!74;3o;7E?>8:9~f6c3290:6=4?{%307?c73A;9?6F85:&4a??53-2:6lh4od294?"6;:0n<65rb2g5>5<6290;w)?<3;g3?M75;2B<96*8e;;1?!>62j90ch>50;&276k7:182>5<7s-;8?7k?;I317>N0=2.f2328f4>=zj:oj6=4>:183!74;3o;7E?=3:J41>"0m3397)6>:b08k`6=83.:?>4j0:9~f6cd290:6=4?{%307?c73A;9?6F85:&;5?b>3"o;6=4+1219a5=51;294~"6;:0n<6F>229K30=#?l02>6*71;cg?jc7290/=>=5e198yg5a:3:1=7>50z&276>5G749'3`<>:2.3=7ol;ng3>5<#9:91i=54}c1e0?6=93:1328f4>N6::1C;85+7d840>"?93ki7dk?:18'565=m910qo=i6;295?6=8r.:?>4j0:J266=O?<1/;h462:&;5?b73fo;6=4+1219a5=O98207pl4<729q/=>=5e19K575<@>?0(:k5939'<45$010>`6<@8;376sm3gc94?7=83:p(<=<:d28L4443A=>7)9j:808 =7=lk1di=4?:%307?c732wi?km50;394?6|,8986h>4H000?M123-=n6::4$939gf=nm90;6)?<3;g3?>{e;oo1<7?50;2x 4542l:0D<<<;I56?!1b2>>0(5?5a69ja5<72-;8?7k?;:a7fc=83;1<7>t$010>`6<@8887E9:;%315?7612.f?328f4>=zj:im6=4=:183!74;3>:7)?>f;50?!1b2>>0(<<>:03:?!77?3;:56F>229K3f=#?o0?h95f6883>!74;3=?76a7b;29 45420807pl>1083>4<729q/=>=5e19K575<@>?0(<<>:03:?!1b2>>0(5?5c49ja5<72-;8?7k?;:a544=8381<7>t$010>17<,8;m6:=4$6g931=#9;;1=<74$024>47>3A;9?6F8c:&4b?2c<2c=57>5$010>22<3f2i6=4+1219=7=51;294~"6;:0n<6F>229K30=#9;;1=<74$6g931=#080ij6gj0;29 4542l:07pl>0g83>7<729q/=>=5409'54`=?:1/;h484:&264<6901/==9510;8L4443A=h7)9i:5f7?l0>290/=>=57598k=d=83.:?>462:9~f46d290:6=4?{%307?c73A;9?6F85:&264<6901/;h484:&;5?e73`o;6=4+1219a5=52;294~"6;:0?=6*>1g847>"0m3=?7)?=1;32=>"68>0:=45G1318L2e<,>l18i:4i7;94?"6;:0<865`8c83>!74;33976sm13594?6=83:p(1`8f6>"69j0n86srb03g>5<7290;w)?>f;32`>"0n3>o86F>159O563=;r.:=o4j6:&25d6}Y9;16>l499:?26?c73ty:?7>53z\27>;5j3<270?<:d28yv732908wS?;;<0`>3?<58>1i=5rs0794?5|V8?01?j5689>506;g3?xu6?3:1?vP>7:?1b?0>34;<6h>4}r3;>5<4sW;370=?:7;894>=m91v<750;1xZ4?<5:;1:452188f4>{t9h0;6>uQ1`9>77<1127:m7k?;|q2f?6=;rT:n63<3;4:?87e2l:0q~?l:180[7d349?6;74=0a9a5=z{8n1<7=t^0f8963=>016=i4j0:p5`<72:qU=h523785=>;6m3o;7p}=0;297~X58278;786;<03>`66}Y::16?l499:?17?c73ty987>53z\10>;4j3<270<;:d28yv422908wS<:;<1`>3?<5;?1i=5rs3494?5|V;<01>j5689>63:4?:2y]62=:;l0=563=7;g3?xu503:1?vP=8:?0b?0>34836h>4}r0:>5<4s48:578l;<677?0f348265l4}r0b>5<4s48:578k;<675<4s48:578j;<67`?0f348i65l4}r0`>5<3s48:578i;<666?0f3489?78n;<0`>=d>;78n;<017?0e348o65l4}r0f>5<4s48:579>;<66g?0f348n65l4}r0e>5<3s48:m78n;<655?0f3489=78n;<0e>=d=:78n;<015?0e349;65l4}r12>5<3s48:m78l;<65`?0f3489=78l;<12>=d<>78n;<015?0c349965l4=gf92<=z{:91<73d<5:914o5rs2694?0|5;;j6;k4=30b>3?<5==;6;l4=31;>3?<5;;m6;o4=2693`<5;8i6;74=313>3d<5;9j6;74=33g>3g<5;896;o4=279<}::8k1;=5223f92d=::;l1:45222292d=:::h1:45235f92<=::8n1:o5220g92d=:;?03n6s|3683>2}::8k1;<5223a92<=:::81:45222a92<=:;=o1:45223792d=:;>03n6s|3983>7}:<=<1:o52398;f>{t;00;6?u245`92g=:;003n6s|3`83>7}:<<:1:o523`8;f>{t;k0;6?u244792g=:;k03n6s|3b83>7}:<{t;m0;6?u244d92g=:;m03n6s|3d83>6}::831:l5247692g=:;l03n6s|3g83>6}::831:o5247`92d=:;o03n6s|4183>4b|5=::6884=2;1>00<5;>o6884=371>00<5;>:6;o4=25e>3g<5:h>6;74=373>3?<5;?>6;74=37:>3g<5;=d<5;>86;74=2c4>3d<5=326;l4=5:5>3?<5=2n6;l4=55g>3d<5=8i6;74=511>3g<5=:o6;o4=532>3?<5=8;6;74=255>3g<5:2?6;74=27e>3d<5:3=6;74=24g>3d<5;?o6;74}r66>5<4sW>>70:9:7;8913=m91v9850;36855j3>?70<76;67?84?k3>?70<7f;67?84>:3>?70<65;67?84c:3>?70<99;67?84d93>?70?70<84;67?85713>?70<8a;67?84e?3>?70<8d;67?84b;3>?70?70<83;4:?82121h0q~:8:180[2034>365l4=559a5=z{=31<7=t^5;891g=0k16844j0:p0g<72:qU8o524b85=>;3j3o;7p};c;291~;6=;0=563=fc85=>;5n:0=563=c685=>;3k32i7p}:a;297~X2i27>j786;<7b>`6=d5e19~w37=838=w0<92;67?85e:3>?70=62;67?854n3>?70=;2;67?85>93>?70<;d;67?842:3>?70<:7;67?850k3>?70:n6;67?844n3>?70<;2;67?854m3>?70=:a;67?82e:3>?70:nd;67?82e>3>?70:ne;67?82793>?70:?2;67?826=3>?70:=9;67?82fk3>?70=;5;67?85??3>?70=:0;67?851>3>?70=7e;67?852?3>?70=84;67?85>j3>?70=6f;67?820<3>?70:74;67?82>=3>?70<;7;4:?80621h0q~9=:18084213;5=k0=56s|7e83>=}:;k21:l5235;92g=:;h=1:l5236492g=::;:14o5234d92d=:;031:l5237f92d=z{1<1<7<>{<31g?0034;><7;=;<363?3534;=n7;=;<35b?3534;47;=;<36g?3534;=<7;=;<350?353499n7;=;<056?35349i>7;=;<1:6?353498j7;=;<07`?35348>>7;=;<063?353497;=;<1;3?35349><7;=;<152?353493i7;=;<163?35349<87;=;<1f`?0>3ty3;7>52z?0aa66`==?1685499:?7e?0>3tyno7>53z\fg>;aj32i70kl:d28yvcc2908wSkk;=d<5ln1i=5rsdd94?5|Vll01kj58c9>ace34l:6h>4}rd1>5<4sWl970??0;:a?8`52l:0q~h<:180[`434;;=76m;`6e34l>6h>4}rd5>5<4sWl=70??4;:a?8`12l:0q~h8:180[`034;;976m;`632i70h7:d28yv`>290nw0:=8;4b?82f:333<27p}ia;297~;3:103n63=4g85=>;5=j0=56s|fc83>7}:<=>14o52fc85=>{tnl0;6?u245;97}:<=o14o52fg85=>{t99:1<7=d<58:;6;74}r335?6=:r7?9547b:?244<112wx==<50;0x913c21h01<>=:7;8yv77;3:1>v3;638;f>;68:0=56s|11694?4|5=<<65l4=027>3?52z?72`{t9:h1<76t=01a>`d<5:i36;74=234>3?<5;lj6;l4=2a5>0g<5:l<68o4=2g0>0g<5:l268o4}r30g?6=:r7:?o4:6:?27`j50;0x945c21h01<=j:7`8yv74n3:1?v3>3g8ff>;6k<0=563=1185e>{t9=:1<700<58>:65l4}r376?6=0r7:9=4jb:?2?70?:8;67?872k3>?70?90;67?871<3>?70?:7;67?871j3>?70?9f;67?870;3>?70?87;67?870j3>?70?8f;67?87?;3>?70?77;67?874j3>?70?70?70?l2;67?874n3>?70?:2;:a?xu6=:0;6?u2142913=:9<<14o5rs077>5<5s4;>876m;<362?0f3ty:984?:3y>503=0k16=8856c9~w420290j35<5s4;>47;9;<36f?>e3ty:9l4?:3y>50g=0k16=8l56c9~w423290?w0?:c;ga?87?k3?270?m2;4a?87e835e83>7}:9e34;>j78m;|q200<72:q6=;>5ec9>5=b==016=o<56`9~w4062909w0?90;75?871;32i7p}>6383>7}:9?814o5217192g=z{8>=6=48{<350?ce34;3i7;6;<3`5?0f348::78n;<3`f?0>34;ho78n;<3`0?0e3ty::84?:3y>532==?16=;958c9~w4012909w0?96;:a?871?36983>7}:9<=19;5217c9e34;=m78m;|q20=<72?q6=;l5ec9>5<6==016=4l56`9>5<`=>j16=l95719>5db=>k1v<8l:181871j3?=70?9e;:a?xu6>m0;6?u217f95<1s4;=j7km;<3:5?3>34;2h78n;<3:b?0e34;j;78i;<3bg?173ty:;=4?:3y>53`==?16=:<58c9~w4162909w0?81;:a?870:34`83>0}:9>91io5218091<=:90l1:l521`592`=:9hi1;<5rs057>5<5s4;e3ty:;84?:3y>523=0k16=:856c9~w42e290=w0?87;ga?87>;3?270?n1;4b?87f;37983>7}:9>=19;5216c9e34;5<2==016=l=56`9>5d1=>j16=lm56e9~w41d2909w0?8b;75?870m32i7p}>7e83>7}:9>n14o5216g92g=z{8>o6=4:{<34b?ce34;297;6;<3b1?0f34;j;78n;<3bg?0b3ty:4=4?:3y>52`==?16=5<58c9~w4>62909w0?71;:a?87?:34d83>1}:9191io5218491<=:9h=1:o521`a92f=z{82?6=4={<3;7?3134;3:76m;|q2<0<72;q6=5;58c9>5=0=>k1v<:i:18687??3oi70?67;7:?87fi38983>7}:91=19;5219c9e34;3m78m;|q2==<72;q6=4>5599>593?370?6d;4a?87>j32i7p}>9b83>7}:9081955218f95d7=0k1v=3?370?n5;4a?87f;32i7p}>a583>7}:90<195521`795d>=>h1vu219a91==:9k81:n521`g9e34;ji78n;|q2ea<72;q6=lj58c9>5dc=>k1v5<5s4;3n7??a:?21g<1i2wx=o:50;0x94>d28:j70?:f;4b?xu6j<0;6?u219f955g<58<86;o4}r3a2?6=:r7:4h4>0`9>531=>h1v6`85e>{t9k21<746f34;=i78n;|q2f<<72;q6=4?511c894152?k0q~?ma;296~;61;0:be83>7}:90?1==o4=0:1>3g52z?2=3<68h16=5856`9~w4da2909w0?67;33e>;60h0=m6s|1b294?`|58??6;74=04:>3?<583?<58=>6;74=05:>3?<58=o6;74=0:2>3?<582>6;74=0::>3?<58?j6;74=07f>3?<58<96;74=045>3?<58i:65l4}r3`6?6=>r7:o?4jb:?2`4<1i27:ol499:?2g2<1i279v3>c58;f>;6k?0=m6s|1b794?4|58i>65l4=0a5>3d52z?2g3=83?p1v3>c9862>;6kl03n6s|1bc94?4|58ij65l4=0a`>3d52z?2ggcd85f>{t9jl1<7=d<58n:6;l4}r3g6?6=;r7:?h49a:?2`4:63>db8;f>{t9m?1<7=d<58nj6;o4}r3g2?6=:r7:h;47b:?2`d<1j2wx=i950;0x94b021h01v3>d98;f>;6lk0=o6s|1e;94?4|58n265l4=0fa>3b52z?2`d{t9mo1<700<58o=65l4}r3gb?6=:r7:hk47b:?2a1<1i2wx=h>50;0x94c721h01v3>e08;f>;6m<0=n6s|1d094?4|58o965l4=0g6>3e52z?2a6e785f>{t9lh1<7?;{<364?2c34;3;7:k;<3;7?2c34;;7:k;<350?2c34;=<7:k;<36g?2c34;>47:k;<3ff?ce34;m?786;<3e34;mo786;<034?0>34;h=78m;|q2af<72;q6=hl5579>5c6=0k1v5<5s4;nj76m;<3e4?0c3ty:i:4?:6y>5c7=mk16>=<5599>650=>k16=k:5689>5`b=>016=h?5689>5a1=>01v5<5s4;m876m;<3e1?0e3ty:i54?:9y>5c0=mk16>=<5589>650=>h16=hk5689>5a`=>k16=h<5689>5a3=>h16=i65689~w4`02909w0?i6;75?87a132i7p}>f983>7}:9o214o521g;92g=z{8o26=47{<3ee?ce348;?7;6;<035cb=0k1v194521g292d=:9l:1:4521d792d=:9m<1:4521e`92d=z{8lm6=4={<3ea?31348;=76m;|q145<72;q6>=>58c9>657=>k1v?>::180847;3?37032i7p}=0683>7}::9>1955221:9<:02b?87al37}::9>1==o4=322>3g55z?14f=j50;0x976d2<<01??<:9`8yv47m3:1>v3=0d8;f>;59:0=m6s|21d94?4|5;:m65l4=333>3d52z?155:9`897752?h0q~<>2;296~;59;03n63=1285f>{t:8>1<7=t=337>`d<5:om6;74=335>3d52z?151<2>279=:47b:p640=838p1??9:9`897702?h0q~<>8;296~;59003n63=1c85e>{t:831<7=d<5;;i6;l4}r02g?6=:r79=i47b:?160<1j2wx>v3=1g8;f>;5:90=n6s|23394?4|5;8965l4=304>3g7>52z?166;49a:p675=838p1?<;:9`897412?h0q~<=4;296~;5:<03n63=2185e>{t:;?1<7=d<5;8<6;l4}r012?6=:r79>:47b:?16=<1j2wx>?950;0x974?21h01??j:7`8yv4503:1>v3=1d8;f>;59o0=n6s|23;94?5|5;>:6;l4=30g>=d<5;9n6;o4}r01e?6=:r79>l47b:?16a<1j2wx>?l50;0x974e21h01?v3=2b8;f>;5:m0=h6s|23g94?4|5;8m65l4=310>3d52z?175:9`897532?h0q~<<1;296~;5;;03n63=3785f>{t::81<7=d<5;9>6;o4}r007?6=:r79?947b:?170<1j2wx>>:50;0x975221h01?=9:7c8yv44?3:1>v3=398;f>;5;m0=n6s|22:94?4|5;9265l4=31g>3g52z?17d{t::i1<7=d<5;9n6;l4}r00b?6=:r79?k4jb:?107<2>2wx>9>50;0x975a2=n01?:>:9`8yv43:3:19v3=438ff>;5;80=563=3285e>;5;00=563=2385f>{t:=>1<71b<5;>865l4}r071?6=:r79884jb:?10f<1i2wx>9850;1x97222=>019l7:568972021h0q~<;8;296~;5<<0>:63=488;f>{t:=k1<7=d<5;>h6;l4}r07`?6=:r798i4jb:?11c<1i2wx>9k50;0x972c2=n01?;>:9`8yv43n3:1>v3=4g8;f>;5=80=m6s|24294?4|5;?;65l4=372>3d>7>52z?117{t:=d<5;?=6;l4}r063?6=:r799:4jb:?122<112wx>8650;0x97302<<01?;6:9`8yv42i3:1?v3=5687`>;5>;0?h63=608;f>{t:=d<5;?n6;l4}r06g?6=:r799n47b:?11c<1j2wx>8j50;0x973c21h01?8>:7`8yv42m3:1>v3=5d8;f>;5>90=m6s|24d94?4|5;?m65l4=343>3d52z?125;0>:63=648;f>{t:?>1<7=d<5;<>6;l4}r052?6=:r79::47b:?12=<1j2wx>;750;0x970>2lh01>ll:7;8yv41i3:1>v3=68862>;5>l03n6s|27`94?4|5;3g52z?12f;5k:0=56s|26394?4|5;=:6hl4=353>3d7>5az?134<3<278>;4;4:?05<<3<278=?4;4:?04f<3<278<54;4:?041<3<279i?4;4:?13<<3<279;>47b:p622=838p1?9;:d`897172?k0q~<85;297~;5?=0>:63=70862>;5??03n6s|26594?5|5;=365l4=3ge>3?<5;i>6;74}r04=?6=:r79;44jb:?13=<1j2wx>:o50;0x971f2lh01?97:7c8yv40j3:1?v3=7`862>;5?00>:63=7b8;f>{t:>n1<7`d<5:;=6;74}r04a?6=:r79;i4:6:?1<5:h50;0x971a21h01?6?:7`8yv4?93:15v3=878ff>;5110>463=9e85f>;5il0=m63=b185=>;5000=563=b885=>;4;90=563<3685f>{t:1=1<700<5;2i65l4}r0;5750;0x97>>21h01?6n:7`8yv4?i3:1>v3=8`8;f>;50k0=n6s|29094?>|5;2h6hl4=3;;>0?<5;3o6;o4=3cf>3d<5;km6;74=3`a>3?<5:8m6;74=214>3e52z?12794h47b:p6=5=83=p1?6i:d`897?>2<301?7i:7c897d42?301?lk:7;896562?301>=8:7f8yv4>83:1>v3=8g862>;51803n6s|29694?1|5;396hl4=3;b>0?<5;k:6;o4=3`1>3?<5;hh6;74=211>3?<5:926;74}r0:7?6=:r795?4:6:?1=15;50;5x97?22lh01?7m:4;897gb2?i01?l>:7;897db2?h01>=<:7;8965f2?30q~<66;296~;51<0>:63=968;f>{t:0i1<7=t=3;:>0><5;3m6;l4=3;g>=d53z?1=d<20279m<49b:?1=cl>50;0x97?e2<201?o>:9`8yv4f:3:19v3=8d85e>;5180=m63=9585e>;51>0=m63=a48;f>{t:h91<7=d<5;k>6;o4}r0b0?6=:r79m947b:?1e0<1j2wx>l850;0x97??28:j70<7e;4a?xu5i>0;6?u228;955g<5;3:6;l4}r0b0`9>6<2=>k1v?o6:18184>j3;;m63=9685f>{t:hk1<7=t=3c`>=d<5;k?6;74=3:a>3g52z?1egv3=ad8;f>;5j<0=m6s|2`d94?4|5;km65l4=3`7>3d52z?1f5:9`897d32?i0q~{t:k91<7=d<5;h=6;m4}r0a0?6=:r79n947b:?1f0<1j2wx>o;50;0x97d221h01?l9:7`8yv4e?3:1?v3=b68ff>;5n90=563=c785=>{t:k21<700<5;hn65l4}r0a=?6=:r79n447b:?1f`<1i2wx>oo50;0x97df21h01?lj:7a8yv4ej3:1>v3=bc8;f>;5jl0=i6s|2ca94?4|5;hh65l4=3`f>3b52z?1fav3=c18ff>;5ij0=m6s|2b394?5|5;i:6hl4=266>00<5:9<6;o4}r0`6?6=n=50;0x97e421h01?m7:7c8yv4d<3:1>v3=c58;f>;5k10=n6s|2b794?4|5;i>65l4=3a;>3e52z?1g32?h0q~{t:jk1<700<5;n:65l4}r0`f?6=:r79oo47b:?1g`<1j2wx>nm50;0x97ed21h01?mi:7c8yv4dl3:1>v3=ce8;f>;5ko0=n6s|2bg94?4|5;in65l4=3f3>3d52z?1gc;4j=0=563=d985e>{t:m91<700<5;n265l4}r0g0?6=:r79h947b:?1`c<1j2wx>i;50;0x97b221h01?j8:7`8yv4c>3:1>v3=d78;f>;5l00=n6s|2e594?4|5;n<65l4=3f;>3d52z?1`={t:mi1<7=d<5;n=6;l4}r0g`?6=:r79hi47b:?1a4<1j2wx>ik50;0x97bb21h01?j9:7a8yv4cn3:1>v3=dg8;f>;5l<0=n6s|2d294?4|5;o;65l4=3f4>3g52z?1a4p1?k=:d`897`f2?k01?8m:7c897ed2?k0q~;5n00=m63=fe85=>;5n=0=563=e485f>;5>j0=563=d`85=>;5lj0=m63=d485e>;5km0=563<1185e>;4:90=563<2385e>{t:l>1<700<5;o365l4}r0f1?6=:r79i847b:?1a=<1i2wx>h850;0x97c121h01?k8:7`8yv4b?3:1>v3=e68;f>;5m10=n6s|2d;94?c|5;o26hl4=237>3g<5;l26;l4=3d`>3?<5;oo6;o4=3g4>3g<5;3?<5;nh6;l4=3g3>3g<5;n;6;o4=22e>3?<5;=m6;o4=207>3g52z?1a<<2>279ih47b:p6`d=838p1?km:9`897`22?k0q~{t:ln1<7=d<5;on6;o4}r0fb?6=:r79ik47b:?1b0<1j2wx>k>50;0x97`721h01?h::7a8yv4a93:1>v3=f08;f>;5n<0=h6s|2g094?4|5;l965l4=3g`>3g52z?1b6{t:o<1<7=d<5;on6;l4}r0e3?6=nr79j:4jb:?1bc<1i279j?499:?1b3<1i279i849a:?1a3<11279:o49b:?1`g<11279i<49a:?1gg<11279on49b:?04`<11279;k49b:?05c<11278>>49b:p6c>=838p1?h8:448966621h0q~{t:ok1<7=d<5;ln6;o4}r0ef?6=:r79jo47b:?1b`<1j2wx>km50;0x97`d21h01>>?:7c8yv4al3:1>v3=fe8;f>;4890=o6s|2gg94?4|5;ln65l4=3de>3d52z?1bc>?:9`896662?h0q~=?2;297~;48:03n63=f085=>;5k=0=56s|31694?4|5::?6hl4=220>3d52z?04049a:p750=83>p1>>::44896632<<01?=i:408966021h0q~=?8;296~;4810nn63<3985f>{t;931<7`d<5:936;o4}r13e?6=;r78<44:6:?04=<2>278>l:d`896e12?30q~=?d;296~;48j0>:63<108;f>{t;9o1<7=d<5:;;6;l4}r13b?6=:r7850;0x967721h01>?>:7c8yv56:3:1>v3<138ff>;4l00=56s|30194?4|5:;96884=237>=d52z?053?8:9`8967?2?h0q~=>9;296~;4900nn63{t;8k1<700<5:;o65l4}r12f?6=:r78=o47b:?05a<1i2wx??k:7`8yv56m3:1?v3<2985=>;49k0=563<248;f>{t;8l1<7=d<5:896;l4}r114?6=:r78>=47b:?066<1i2wx???50;0x964621h01><<:7a8yv55:3:1>v3<238;f>;4:=0=n6s|33194?4|5:8865l4=206>3d52z?061849a:p770=838p1><9:d`896`02?30q~==7;296~;4:?0>:63<2`8;f>{t;;21<7=d<5:8j6;o4}r11=?6=:r78>447b:?06d<1j2wx??l50;1x964e2lh01?ln:7;896`>2?30q~==c;296~;4:k0>:63<2e8;f>{t;;o1<71b<5:9>65l4}r11b?6=:r78>k47b:?071<1j2wx?>>50;0x965721h01>=;:7c8yv5493:1>v3<308;f>;4;=0=o6s|32094?4|5:9965l4=217>3b52z?076=;:9`896522?h0q~=<6;297~;4:m0=563<3485e>;4;m03n6s|32594?4|5:9<65l4=21g>3g52z?07==6:9`8965e2?k0q~={t;:h1<7=d<5:9h6;l4}r10g?6=:r78?n47b:?07a<1j2wx?>k50;0x965b2lh01>lk:7;8yv54n3:1jv3<3g8ff>;4k80=563<4585f>;4000=m63<8`85=>;4=;0=m63<5285=>;4>10=m63<6885=>;4i?0=n63<7985=>;4;4=l0=n63<7385e>{t;=:1<71b<5:>:65l4}r176?6=:r788?4jb:?004<1i2wx?9=50;0x96252<<01>:;:9`8yv53=3:1:v3<448ff>;4i80=563;41m0=n63<8385=>;4=j0=56s|35494?4|5:><65l4=26:>3g52z?00=:8:7;8962a21h01>77:7c8yv53j3:1>v3<4c8;f>;4h65l4=26e>3d52z?00a:j:9`8962a2?n0q~=:0;296~;4=90nn63<1b85=>{t;<;1<700<5:?=65l4}r166?6=:r789?47b:?013<1i2wx?8=50;0x963421h01>;::7c8yv52<3:1>v3<558;f>;4=<0=n6s|34794?4|5:?>65l4=275>3d;7>52z?012=838p1>;8:448963>21h0q~=:a;296~;4=h0nn63=e3862>{t;00<5:?o65l4}r16g?6=:r789n47b:?027<1j2wx?8k50;0x963b21h01>8;:7c8yv52n3:1>v3<5g8;f>;4>:0=m6s|37294?4|5:<;65l4=240>3d52z?0248=:9`8963c2?k0q~=93;296~;4>:03n63<6585f>{t;?>1<7=d<5:<>6;o4}r151?6=:r78:847b:?01a<1j2wx?;850;1x96012lh01?6?:7c896462?30q~=97;296~;4>?0>:63<6c8;f>{t;?21<7=d<5:8m:7`8yv51k3:1>v3<6787`>;4?:03n6s|37f94?4|5:3g52z?02`8i:9`896142?k0q~=80;296~;4?903n63<7285g>{t;>;1<7=d<5:=96;l4}r146?6=:r78;?47b:?036<1j2wx?::50;0x96132lh01>87:7`8yv50=3:1?v3<7587`>;4180>:63<7c8;f>{t;><1<7=d<5:=i6;l4}r143?6=:r78;:47b:?03d<1i2wx?:650;0x961?21h01>9n:7`8yv5013:1>v3<788;f>;4?k0=o6s|36c94?4|5:=j65l4=25a>3g52z?03f9l:448961a21h0q~=8e;296~;4?l03n63<7g85f>{t;1:1<7=t=25`>1b<5:h969j4=2:5>=d52z?0<46=:9`896>22?i0q~=73;296~;40:03n63<8485`>{t;1>1<7=d<5:2=6;l4}r1;1?6=:r784847b:?0<3<1i2wx?5950;0x96>02lh01><6:7;8yv5?03:1>v3<86862>;40m03n6s|39;94?4|5:2265l4=2:g>3g52z?06m:9`896>d2?h0q~=7c;296~;40j03n63<8e85f>{t;1o1<7`d<5:226;l4}r1;b?6=:r784h4:6:?0=57>:d`896>b2=n01>;8:5f896262?h0q~=62;292~;41;0nn63;4?00=563<8485e>;4>90=563<6d85=>{t;091<71b<5:3j65l4}r1:0?6=:r785947b:?0=2<1i2wx?4;50;0x96?221h01>78:7`8yv5>>3:1>v3<978;f>;41h0=n6s|38594?4|5:3<65l4=2;;>3d52z?0==76:9`896?f2?k0q~=6b;29=~;41k0nn63;4i00=563;41m0=m63<7685e>;4>80=563<9585=>;4?90=56s|38a94?4|5:3i6884=2;f>=d52z?0=a7i:d`896d72?k01>o9:7c896ge2?301>ol:7c896?b2?k01>98:7`896>62?301>;j:7c896052?k01>7::7;8960a2?30q~=n0;296~;41o0>:63{t;h;1<7=d<5:kh6;l4}r1b6?6=:r78m?47b:?0e0<1k2wx?l=50;0x96g421h01>o;:7c8yv5f<3:1>v3;4i<0=m6s|3`494?4|5:k=65l4=2c0>3g52z?0e2=838p1>o7:9`896gc2?h0q~=n9;296~;4i003n63{t;hk1<7=d<5:k96;o4}r1bf?6=:r78mo47b:?0e7<1j2wx?lm50;0x96gd21h01>o::7`8yv5fl3:1>v3;4i:0=n6s|3`g94?4|5:km65l4=2`3>3d55z?07`<2>2784:4;d:?015<3l2788949a:?0f5mm:7;8yv5e;3:1>v3;4j?03n6s|3c694?4|5:h?65l4=2`5>3g52z?0f0l7:9`896df2?k0q~=m8;296~;4j003n63{t;kh1<7=t^2`a?85en32i70=mb;g3?xu4jj0;6?u23ca95<5s49ih76m;<1aa?0e3ty8nk4?:3y>7gc=0k16?oh5689~w6e72908wS=l0:?0g7m>:9`896e52?30q~=l3;297~X4k:16?n:5689>7f5=m91v>m;:18b84313<270<;b;4:?82d;3uQ3b7896e121h01>m::d28yv5d?3:1?vP7f?=0k16?n95e19~w6e>2909w0=l8;:a?85d13<27p}6}Y;jk01>mm:9`896ef2l:0q~=lc;297~X4kj16?nj5689>7fe=m91v>mk:180840>3<2706}Y;m:01>j>:9`896b72l:0q~=k2;297~X4l;16?i=58c9>7a4=m91v>j;:180[5c<278h847b:?0`162e=>016?i958c9~w6b?2908wS=k8:?0`<jn;<1gf?>e349om7k?;|q0`g<72;q6?oo58c9>7ad=>01v>jl:180[5ck278hi47b:?0`fjk:7;8yv5cm3:1?vP7a`=>016?ik5e19~w6ba2909w0?;1;4:?85cn32i7p}6}Y;l:01>k>:7;896c72l:0q~=j1;290~;4=00=563;bg85=>;4==0=563{t;l81<7=t^2g1?85b;32i70=j2;g3?xu4m=0;6>uQ3d6896c221h01>k;:d28yv5b=3:1>v3;c28;f>;4m<0=56s|3d494?5|V:o=70=j7;:a?85b>3o;7p}7}:;4m003n63{t;l31<7=d<5:o26;74}r1fe?6=;rT8il523d`95<5s4>h576m;<1ff?0>3ty8in4?:2y]7`e<5:oo6:74=2g`>`652z?0ach?;<1e5?>e349m<7k?;|q0b4<72;q68no58c9>7c7=>01v>h=:180[5a:278j>47b:?0b7h<:7;8yv5a<3:1?vP7c3=>016?k:5e19~w6`2290?w0=60;4:?82c83<270=7b;4:?85a=32i7p}6}Y;o<01>h8:9`896`12l:0q~=i8;297~X4n116?k758c9>7c>=m91v>hn:180[5ai278jo47b:?0bdhm:7;8yv5ak3:1?vP7cb=>016?km5e19~w6`c2909w0=?b;4:?85al32i7p}6}Y;oo01>hi:7;896`b2l:0q~=if;29e~;4?=0>:63;c385=>;3kk0=m63;bd85=>;3ko0=563<6`85e>;4j10=n63<4b85=>;5:=0=563{t<9;1<7;t=522>`d<5=2m6;74=51:>3?<5=:>6;o4=506>3g;>7>59z?747l:9`8yv27<3:1>v3;058;f>;38h0=m6s|41794?4|5=:>65l4=524>3g;:7>52z?7438:9`8916?2?h0q~:?8;296~;38103n63;0885e>{t<931<7=d<5=:j6;l4}r63e?6=:r7?l:7c8yv27l3:1>v3;0e8;f>;3810=m6s|41g94?4|5=:n65l4=526>3d;j7>52z?74c2?h0q~:>1;296~;39803n63;0785e>{t<881<7=d<5=:=6;l4}r627?6=:r7?=>47b:?743<1k2wx8<:50;0x917321h019>9:7f8yv26=3:1>v3;148ff>;3:>0=56s|40494?4|5=;>6884=53g>=d:;7>52z?752949b:p04>=838p19?7:9`8917c2?i0q~:>9;296~;39003n63;1b85e>{t<8k1<7=d<5=;i6;o4}r62f?6=:r7?=o47b:?75f<1j2wx8v3;1d8;f>;3:<0=n6s|40d94?4|5=;m65l4=53b>3d9<7>52z?765:9`8917c2?k0q~:=2;296~;3:;03n63;1985e>{t<;91<7=d<5=;36;l4}r610?6=:r7?>947b:?75<<1j2wx8?;50;0x914221h019?n:7c8yv25>3:1>v3;268;f>;3:10=n6s|43;94?71s4>957km;<6ae?0>34><<78n;<645?0>34>=878n;<651?0>34>>j78n;<654?0>34>>m78n;<66f?0>34>>978n;<662?0>34>><78n;<665?0>34>?n78n;<67g?0>34>?:78n;<673?0>34>?=78n;<676?0>34>=5786;<65f?0e3ty?>l4?:3y>07?==?168>658c9~w14e2909w0:=b;:a?825k37}:<;i14o5242292g=z{=8o6=4={<61`?>e34>8=78m;|q76`<72;q68?k58c9>065=>k1v95<5s4>8=76m;<606?0e3ty???4?:3y>064=0k168>=56`9~w1542909w0:<3;:a?824<37}:<:>14o5242792g=z{=9>6=4={<601?>e34>8;78n;|q773<72;q68>858c9>067=>h1v9=8:181824?32i70:<8;4b?xu3;00;6?u242;95<5s4>8m76m;<61`?0d3ty??o4?:3y>06d=0k168?k56c9~w15d2909w0:7}:<:n14o5242592g=z{=9n6=4={<60a?>e34>9j78n;|q77c<72;q68>h58c9>07`=>j1v9:?:181823932i70:;4;4b?xu3<80;6?u245095<5s4>??76m;<670?0e3ty?884?:3y>010=0k1689756`9~w1212909w0:;7;:a?823037}:<=214o5245;92g=z{=>j6=4={<67f?>e34>?i78n;|q70g<72;q689m58c9>01b=>k1v9:l:181823l32i70:;e;4a?xu35<5s4>>=76m;<666?0e3ty?9<4?:3y>004=0k1688=56c9~w1332909w0::5;:a?822037}:<<<14o5244592g=z{=?=6=4={<663?>e34>>478m;|q71<<72;q688o58c9>00b=>h1v9;n:181822j32i70::c;4a?xu3=k0;6?u244a95<5s4>>j76m;<656?0f3ty?9k4?:3y>036=0k168;?56c9~w1072909w0:91;:a?821:37}:14o5247592d=z{=e34>=:78m;|q720<72;q68;858c9>031=>k1v987:181821132i70:9c;4b?xu3>00;6?u247c95<5s4>=n76m;<65a?0e3ty?:o4?:3y>03e=0k168;j56c9~w10d2909w0:9d;:a?821m37}:<>:14o5246192d=z{==;6=4={<645?>e34><>78m;|q734<72;q68:<58c9>025=>k1v99;:18e820<3oi70:n4;4:?82>?3<270:6b;4b?82>m3<270:61;4:?820>39;4b?82f03<27p};7483>7}:<>>19;5246`9e34><478n;|q732<72;q68:958c9>02?=>k1v997:181820032i70:8b;4b?xu3?00;6?u246;9k1:o5rs55b>5<5s4>02e=0k1685<56c9~w11c2909w0:8d;:a?820i37}:<>o14o5249192g=z{==m6=4={<64b?>e34><;78m;|q7<5<72;q685>58c9>021=>j1v96>:18182?932i70:87;4g?xu30;0;6?u2490921:o5rs5:0>5<5s4>3?76m;<64=?0f3ty?494?:dy>0=2=mk168l;56`9>00168l>56`9>0=b=>01685o56`9>020=>k1685?5689>06b=>0168?h56c9>052=>k168<=5689>074=>0168lo56`9~w1>22909w0:74;75?82?k32i7p};8783>7}:<1<14o5248092g=z{=2<6=4={<6;3?>e34>3578n;|q7<=<72;q685658c9>0=e=>h1v966:18182?132i70:7a;4a?xu30h0;6?u249c95<5s4>3n76m;<6;g?0e3ty?4i4?:3y>0=b=0k1685656c9~w1>b2909w0:7e;:a?82>;37}:<1l14o5248192g=z{=3;6=4={<6:4?>e34>3578m;|q7=4<72;q684?58c9>0=d=>k1v97=:18182>:32i70:64;4a?xu31:0;6?u248195<5s4>2876m;<6;0<3=mk1684h5689>0<2=>h168:k5689>0=4=>h168>h5689>066=>h168=:56`9>042=>0168?=5689~w1?12909w0:65;75?82>i32i7p};9683>7}:<0=14o524`292g=z{=336=4={<6:e34>2578n;|q7=<<72;q684758c9>0k1v97m:18182>j32i70:n1;4b?xu31j0;6?u248a95<5s4>2h76m;<6b6?0f3ty?5h4?:3y>0137}:e34>2478n;|q7e7<72;q68l<58c9>0<>=>k1v9o<:18182f<32i70:n5;4a?xu3i?0;6>u24`49ag=:1:4524c292<=z{=k<6=4={<6b2?2c34>jn76m;|q7e=<72;q68l658c9>0dg=>k1v9o6:18182f132i70:nb;4a?xu3ih0;6?u24`c95<5s4>jo7km;<6`3?0>3ty?mi4?:4y>0db=mk1685k56`9>07b=>k168=k5689>04c=>01v9oj:18782f>3?=70:ne;ga?82e935<5s4>i<76m;<6a5?0e3ty?n?4?:cy>0g4=mk1684l56c9>0k1684<56`9>0=1=>h168:j56`9>07e=>h168?k56`9>05`=>0168<<5689>077=>01v9l<:18182e:3?=70:m5;:a?xu3j=0;6?u24c695<5s4>i:7km;<6a1?0f3ty?n:4?:3y>0de==?168l;58c9~w1d?2909w0:m8;ga?85c;3<27p};b883>7}:34>h<76m;|q7fa<72;q68oj58c9>0f6=>k1v9lj:18182em32i70:l0;4`?xu3jo0;6?u24cd95<5s4>h>76m;<6`7?0e3ty?o94?:3y>0f3=0k168n656`9~w1e22909w0:l6;:a?82d037}:e34>h578n;|q7ga<72;q68nm5689>0a7=0k1v9mj:18182dm32i70:k1;4a?xu3ko0;6?u24bd95<5s4>o<76m;<6g5?0c3ty8oh4?:2y]7fc<5:in6h>4=2ae>3?53z\254=:98;1i=5210092<=z{8:n6=4<{_33a>;68l0n<63>0g85=>{t99i1<7=t^02`?877k3o;70??d;4:?xu4ko0;6{<326?>e3ty:55`=0k1v<>k:182877l32i7psa1436>5<6sA;9?6Gj0;3x5?{]>?0:w5?5}|l2140=83;pD<<<;Hg3>4}62tP=:7?t808~yk729>0;651zJ266=Nm90:w<4rZ7495~>62twe=8?6:182M75;2Cn<7?t1;Y23<6s1;1qp`>50c94?7|@8887Dk?:0y2>x\1>3;p4<4r}o365g<728qC=?=4Id295~7=uS<=6229Ja5<6s80vV;851z:2>x{i9<;o6=4>{I317>Ob83;p=7sU6782=7=utd:9:|X52?7|080vqc?:1g83>4}O9;90Eh>51z39y_0128q3=7srn0714?6=9rB:>>5Fe1824:|m5046290:wE?=3:Kf4?7|93wQ:;4>{939yxh6=;81<7?tH000?Lc728q:6pT96;3x<4>>4?:0yK5754}?93wvb<;=4;295~N6::1Bi=4>{08~^30=9r2:6psa1406>5<6sA;9?6Gj0;3x5?{]>?0:w5?5}|l2170=83;pD<<<;Hg3>4}62tP=:7?t808~yk72:>0;651zJ266=Nm90:w<4rZ7495~>62twe=8<6:182M75;2Cn<7?t1;Y23<6s1;1qp`>53c94?7|@8887Dk?:0y2>x\1>3;p4<4r}o366g<728qC=?=4Id295~7=uS<=6229~j435l3:1=vF>229~j435m3:1=vF>229~j435n3:1=vF>229~j43483:1=vF>229~j43493:1=vF>229~j434:3:1=vF>229~j434;3:1=vF>229~j434<3:1=vF>229~j434=3:1=vF>229~j434>3:1=vF>229~j434?3:1=vF>229~j43403:1=vF>229~j43413:1=vF>229~j434i3:1=vF>229~j434j3:1=vF>229~j434k3:1=vF>229~j434l3:1=vF>229~j434m3:1=vF>229~j434n3:1=vF>229~j43383:1=vF>229~j43393:1=vF>229~j433:3:1=vF>229~j433;3:1:vF>229~j433<3:1=vF>229Ja5<6s80vV?09w{i9<>=6=4>{I317>Ob83;p>7sU6782=7=utd:99950;3xL4443@o;64}O9;90Eh>51z39y_76>3;p9<4rZ7496~76>32:6psa146:>5<6sA;9?6sa146b>5<6sA;9?6Gj0;3x5?{]>?0:w5?5}|l211d=83;:wE?=3:m502d290:wE?=3:Kf4?7|93wQ=<851z73>x\1>38p=<85808~yk726tH000?xh6==o1<7?tH000?xh6==l1<7?tH000?xh6=<:1<7?tH000?xh6=<;1<7<={I317>{i9{i9{i9{I317>Ob83;p>7sU6782=7=utd:98;50;3xL4443@o;64}O9;90Eh>51z09y_0128q3=7srn0763?6=9rB:>>5Fe1827:|m503?290:wE?=3:Kf4?7|:3wQ:;4>{939yxh6=<31<7?tH000?Lc728q96pT96;3x<49l4?:0yK5754}?93wvb<;:b;295~N6::1Bi=4>{38~^30=9r2:6psa147`>5<6sA;9?6Gj0;3x6?{]>?0:w5?5}|l210b=83;pD<<<;Hg3>4}52tP=:7?t808~yk72=l0;6j7>51zJ266=Nm90:w?4rZ7495~>62twe=88?:182M75;2we=88>:182M75;2we=88=:182M75;2we=88<:182M75;2we=88;:182M75;2we=88::182M75;2we=889:182M75;2we=888:182M75;2we=887:182M75;2we=886:182M75;2we=88n:182M75;2we=88m:182M75;2we=88l:182M75;2we=88k:184M75;2we=88j:180M75;2we=88i:182M75;2we=89?:180M75;2we=89>:180M75;2we=89=:180M75;2we=89<:182`~N6::1vb<;84;296~N6::1vb<;85;295~N6::1vb<;86;295~N6::1vb<;87;297~N6::1vb<;88;297~N6::1vb<;89;296~N6::1vb<;8a;295~N6::1vb<;8b;297~N6::1vb<;8c;296~N6::1vb<;8d;295~N6::1vb<;8e;297~N6::1vb<;8f;296~N6::1vb<;70;295~N6::1vb<;71;297~N6::1vb<;72;296~N6::1vb<;73;295~N6::1vb<;74;296~N6::1vb<;75;295~N6::1vb<;76;297~N6::1vb<;77;296~N6::1vb<;78;295~N6::1vb<;79;297~N6::1vb<;7a;296~N6::1vb<;7b;295~N6::1vb<;7c;297~N6::1vb<;7d;296~N6::1vb<;7e;295~N6::1vb<;7f;297~N6::1vb<;60;296~N6::1vb<;61;295~N6::1vb<;62;297~N6::1vb<;63;296~N6::1vb<;64;295~N6::1vb<;65;297~N6::1vb<;66;296~N6::1vb<;67;295~N6::1vb<;68;297~N6::1vb<;69;296~N6::1vb<;6a;295~N6::1vb<;6b;297~N6::1vb<;6c;296~N6::1vb<;6d;295~N6::1vb<;6e;296~N6::1vb<;6f;296~N6::1vb<;n0;296~N6::1vb<;n1;296~N6::1vb<;n2;296~N6::1vb<;n3;296~N6::1vb<;n4;296~N6::1vb<;n5;296~N6::1vb<;n6;295~N6::1vb<;n7;296~N6::1vb<;n8;296~N6::1vb<;n9;295~N6::1vb<;na;295~N6::1vb<;nb;296~N6::1vb<;nc;296~N6::1vb<;nd;296~N6::1vb<;ne;296~N6::1vb<;nf;296~N6::1vb<;m0;296~N6::1vb<;m1;296~N6::1vb<;m2;296~N6::1vb<;m3;296~N6::1vb<;m4;296~N6::1vb<;m5;296~N6::1vb<;m6;296~N6::1vb<;m7;296~N6::1vb<;m8;296~N6::1vb<;m9;296~N6::1vb<;ma;295~N6::1vb<;mb;297~N6::1vb<;mc;297~N6::1vb<;md;295~N6::1vb<;me;295~N6::1vb<;mf;295~N6::1vb<;l0;297~N6::1vb<;l1;297~N6::1vb<;l2;295~N6::1vb<;l3;295~N6::1vb<;l4;295~N6::1vb<;l5;295~N6::1vb<;l6;295~N6::1vb<;l7;295~N6::1vb<;l8;291~N6::1vb<;l9;297~N6::1vb<;la;295~N6::1vb<;lb;295~N6::1vb<;lc;295~N6::1vb<;ld;295~N6::1vb<;le;295~N6::1vb<;lf;295~N6::1vb<;k0;295~N6::1vb<;k1;291~N6::1vb<;k2;297~N6::1vb<;k3;295~N6::1vb<;k4;295~N6::1vb<;k5;295~N6::1vb<;k6;295~N6::1vb<;k7;295~N6::1vb<;k8;295~N6::1vb<;k9;295~N6::1vb<;ka;2954}O9;90qc?:dc83>6}O9;90qc?:db83>4}O9;90qc?:de83>4}O9;90qc?:dd83>4}O9;90qc?:dg83>1}O9;90qc?:e183>6}O9;90qc?:e083>4}O9;90qc?:e383>4}O9;90qc?:e283>1}O9;90qc?:e583>6}O9;90qc?:e483>4}O9;90qc?:e783>1}O9;90qc?:e683>6}O9;90qc?:e983>4}O9;90qc?:e883>1}O9;90qc?:e`83>6}O9;90qc?:ec83>4}O9;90qc?:eb83>7}O9;90qc?:ee83>7}O9;90qc?:ed83>7}O9;90qc?:eg83>7}O9;90qc?:f183>7}O9;90qc?:f083>6}O9;90qc?:f383>6}O9;90qc?:f283>4}O9;90qc?:f583>4}O9;90qc?:f483>4}O9;90qc?:f783>4}O9;90qc?:f683>4}O9;90qc?:f983>6}O9;90qc?:f883>6}O9;90qc?:f`83>4}O9;90qc?:fc83>4}O9;90qc?:fb83>4}O9;90qc?:fe83>4}O9;90qc?:fd83>4}O9;90qc?:fg83>4}O9;90qc?90183>4}O9;90qc?90083>4}O9;90qc?90383>4}O9;90qc?90283>4}O9;90qc?90583>4}O9;90qc?90483>4}O9;90qc?90783>4}O9;90qc?90683>4}O9;90qc?90983>4}O9;90qc?90883>4}O9;90qc?90`83>4}O9;90qc?90c83>4}O9;90qc?90b83>4}O9;90qc?90e83>4}O9;90qc?90d83>4}O9;90qc?90g83>4}O9;90qc?91183>4}O9;90qc?91083>4}O9;90qc?91383>4}O9;90qc?91283>4}O9;90qc?91583>4}O9;90qc?91483>4}O9;90qc?91783>4}O9;90qc?91683>4}O9;90qc?91983>4}O9;90qc?91883>6}O9;90qc?91`83>7}O9;90qc?91c83>7}O9;90qc?91b83>7}O9;90qc?91e83>6}O9;90qc?91d83>0}O9;90qc?91g83>6}O9;90qc?92183>4}O9;90qc?92083>7}O9;90qc?92383>7}O9;90qc?92283>4}O9;90qc?92583>4}O9;90qc?92483>7}O9;90qc?92783>7}O9;90qc?92683>4}O9;90qc?92983>4}O9;90qc?92883>7}O9;90qc?92`83>7}O9;90qc?92c83>6}O9;90qc?92b83>4}O9;90qc?92e83>4}O9;90qc?92d83>4}O9;90qc?92g83>4}O9;90qc?93183>4}O9;90qc?93083>4}O9;90qc?93383>7}O9;90qc?93283>7}O9;90qc?93583>4}O9;90qc?93483>4}O9;90qc?93783>6}O9;90qc?93683>6}O9;90qc?93983>4}O9;90qc?93883>4}O9;90qc?93`83>4}O9;90qc?93c83>4}O9;90qc?93b83>6}O9;90qc?93e83>45|@8887p`>62g94?5|@8887p`>62d94?3|@8887p`>65294?7|@8887p`>65394?5|@8887p`>65094?5|@8887p`>65194?3|@8887p`>65694?5|@8887p`>65794?5|@8887p`>65494?7|@8887p`>65594?2|@8887p`>65:94?5|@8887p`>65;94?7|@8887p`>65c94?7|@8887p`>65`94?7|@8887p`>65a94?2|@8887p`>65f94?5|@8887p`>65g94?2|@8887p`>65d94?5|@8887p`>64294?2|@8887p`>64394?5|@8887p`>64094?2|@8887p`>64194?5|@8887p`>64694?4|@8887p`>64794?4|@8887p`>64494?4|@8887p`>64594?7|@8887p`>64:94?7|@8887p`>64;94?7|@8887p`>64c94?4|@8887p`>64`94?4|@8887p`>64a94?4|@8887p`>64f94?4|@8887p`>64g94?7|@8887p`>64d94?7|@8887p`>67294?7|@8887p`>67394?7|@8887p`>67094?7|@8887p`>67194?7|@8887p`>67694?7|@8887p`>67794?7|@8887p`>67494?7|@8887p`>67594?7|@8887p`>67:94?7|@8887p`>67;94?5|@8887p`>67c94?5|@8887p`>67`94?7|@8887p`>67a94?7|@8887p`>67f94?7|@8887p`>67g94?7|@8887p`>67d94?7|@8887p`>66294?3|@8887p`>66394?5|@8887p`>66094?3|@8887p`>66194?7|@8887p`>66694?7|@8887p`>66794?7|@8887p`>66494?7|@8887p`>66594?7|@8887p`>66:94?7|@8887p`>66;94?7|@8887p`>66c94?5|@8887p`>66`94?7|@8887p`>66a94?7|@8887p`>66f94?7|@8887p`>66g94?7|@8887p`>66d94?7|@8887p`>69294?7|@8887p`>69394?5|@8887p`>69094?5|@8887p`>69194?7|@8887p`>69694?7|@8887p`>69794?7|@8887p`>69494?7|@8887p`>69594?7|@8887p`>69:94?7|@8887p`>69;94?7|@8887p`>69c94?7|@8887p`>69`94?7|@8887p`>69a94?7|@8887p`>69f94?7|@8887p`>69g94?7|@8887p`>69d94?7|@8887p`>68294?5|@8887p`>68394?5|@8887p`>68094?5|@8887p`>68194?7|@8887p`>68694?7|@8887p`>68794?7|@8887p`>68494?5|@8887p`>68594?5|@8887p`>68:94?7|@8887p`>68;94?7|@8887p`>68c94?7|@8887p`>68`94?7|@8887p`>68a94?7|@8887p`>68f94?7|@8887p`>68g94?7|@8887p`>68d94?7|@8887p`>6`294?7|@8887p`>6`394?7|@8887p`>6`094?7|@8887p`>6`194?5|@8887p`>6`694?5|@8887p`>6`794?7|@8887p`>6`494?7|@8887p`>6`594?7|@8887p`>6`:94?7|@8887p`>6`;94?7|@8887p`>6`c94?7|@8887p`>6``94?7|@8887p`>6`a94?7|@8887p`>6`f94?7|@8887p`>6`g94?5|@8887p`>6`d94?5|@8887p`>6c294?0|@8887p`>6c394?5|@8887p`>6c094?5|@8887p`>6c194?3|@8887p`>6c694?2|@8887p`>6c794?5|@8887p`>6c494?7|@8887p`>6c594?7|@8887p`>6c:94?7|@8887p`>6c;94?5|@8887p`>6cc94?5|@8887p`>6c`94?7|@8887p`>6ca94?7|@8887p`>6cf94?2|@8887p`>6cg94?5|@8887p`>6cd94?7|@8887p`>6b294?7|@8887p`>6b394?7|@8887p`>6b094?7|@8887p`>6b194?7|@8887p`>6b694?7|@8887p`>6b794?7|@8887p`>6b494?7|@8887p`>6b594?7|@8887p`>6b:94?2|@8887p`>6b;94?5|@8887p`>6bc94?7|@8887p`>6b`94?7|@8887p`>6ba94?5|@8887p`>6bf94?4|@8887p`>6bg94?4|@8887p`>6bd94?7|@8887p`>6e294?7|@8887p`>6e394?7|@8887p`>6e094?7|@8887p`>6e194?7|@8887p`>6e694?7|@8887p`>6e794?7|@8887p`>6e494?7|@8887p`>6e594?7|@8887p`>6e:94?7|@8887p`>6e;94?7|@8887p`>6ec94?7|@8887p`>6e`94?7|@8887p`>6ea94?5|@8887p`>6ef94?4|@8887p`>6eg94?4|@8887p`>6ed94?5|@8887p`>6d294?5|@8887p`>6d394?5|@8887p`>6d094?7|@8887p`>6d194?7|@8887p`>6d694?7|@8887p`>6d794?7|@8887p`>6d494?7|@8887p`>6d594?7|@8887p`>6d:94?7|@8887p`>6d;94?4|@8887p`>6dc94?4|@8887p`>6d`94?7|@8887p`>6da94?7|@8887p`>6df94?7|@8887p`>6dg94?7|@8887p`>6dd94?4|@8887p`>6g294?4|@8887p`>6g394?3|@8887p`>6g094?5|@8887p`>6g194?7|@8887p`>6g694?7|@8887p`>6g794?7|@8887p`>6g494?7|@8887p`>6g594?7|@8887p`>6g:94?7|@8887p`>6g;94?7|@8887p`>6gc94?7|@8887p`>6g`94?7|@8887p`>6ga94?4|@8887p`>6gf94?4|@8887p`>6gg94?7|@8887p`>6gd94?7|@8887p`>71294?7|@8887p`>71394?4|@8887p`>71094?7|@8887p`>71194?7|@8887p`>71694?7|@8887p`>71794?7|@8887p`>71494?7|@8887p`>71594?7|@8887p`>71:94?4|@8887p`>71;94?2|@8887p`>71c94?7|@8887p`>71`94?7|@8887p`>71a94?7|@8887p`>71f94?7|@8887p`>71g94?7|@8887p`>71d94?4|@8887p`>70294?4|@8887p`>70394?7|@8887p`>70094?5|@8887p`>70194?7|@8887p`>70694?7|@8887p`>70794?7|@8887p`>70494?7|@8887p`>70594?7|@8887p`>70:94?4|@8887p`>70;94?4|@8887p`>70c94?7|@8887p`>70`94?7|@8887p`>70a94?7|@8887p`>70f94?7|@8887p`>70g94?4|@8887p`>70d94?4|@8887p`>73294?0|@8887p`>73394?4|@8887p`>73094?4|@8887p`>73194?7|@8887p`>73694?7|@8887p`>73794?7|@8887p`>73494?7|@8887p`>73594?7|@8887p`>73:94?7|@8887p`>73;94?5|@8887p`>73c94?5|@8887p`>73`94?7|@8887p`>73a94?5|@8887p`>73f94?5|@8887p`>73g94?7|@8887p`>73d94?7|@8887p`>72294?7|@8887p`>72394?7|@8887p`>72094?7|@8887p`>72194?7|@8887p`>72694?7|@8887p`>72794?7|@8887p`>72494?7|@8887p`>72594?7|@8887p`>72:94?7|@8887p`>72;94?7|@8887p`>72c94?7|@8887p`>72`94?3|@8887p`>72a94?4|@8887p`>72f94?4|@8887p`>72g94?7|@8887p`>72d94?7|@8887p`>75294?7|@8887p`>75394?7|@8887p`>75094?7|@8887Dk?:0y1>x\1>3;p4<4r}o3406<728qC=?=4}o3401<728qC=?=4}o3400<728qC=?=4}o3403<728qC=?=4Id295~4=uS<=6229~j41303:1=vF>229Ja5<6s80vV;851z:2>x{i9>>26=4>{I317>{i9>>j6=4={I317>Ob83;p?7sU6782=7=utd:;9l50;3xL4443@o;64}O9;90qc?84e83>4}O9;90Eh>51z09y_0128q3=7srn057a?6=9rB:>>5Fe1824:|m522a290:wE?=3:m5237290:wE?=3:Kf4?7|:3wQ:;4>{939yxh6?<;1<7?tH000?Lc728q96pT96;3x<44}?93wvb<9:3;295~N6::1Bi=4>{08~^30=9r2:6psa1677>5<6sA;9?6sa1676>5<6sA;9?6Gj0;3x6?{]>?0:w5?5}|l2300=83;pD<<<;Hg3>4}52tP=:7?t808~yk70=>0;657>51zJ266=zf8=>m7>51zJ266=Nm90:w<4rZ7495~>62twe=:;m:182M75;2we=:;l:182M75;2Cn<7?t1;Y23<6s1;1qp`>74f94?7|@8887p`>74g94?4|@8887Dk?:0y0>x\1>3;p4<4r}o341c<728qC=?=4Id295~4=uS<=6229~j41193:1=vF>229Ja5<6s;0vV;851z:2>x{i9><96=4>{I317>{i9><86=4>{I317>Ob83;p>7sU6782=7=utd:;;:50;3xL4443td:;;;50;3xL4443@o;64}O9;90qc?86683>6}O9;90Eh>53z195?5=uS<=6229~j41113:1=vF>229Ja5<6s;0vV;851z:2>x{i9>{I317>{i9>{I317>Ob83;p>7sU6782=7=utd:;;m50;3xL4443td:;;j50;3xL4443@o;6:|X52?7|080vqc?86d83>4}O9;90qc?86g83>7}O9;90Eh>51z19y_0128q3=7srn0544?6=:rB:>>5Fe1826:|m5216290:wE?=3:Kf4?7|:3wQ:;4>{939yxh6?>81<7?tH000?xh6?>91<7?tH000?Lc728q:6pT96;3x<44}?93wvb<986;296~N6::1vb<987;297~N6::1vb<988;297~N6::1vb<989;297~N6::1vb<98a;295~N6::1vb<98b;295~N6::1vb<98c;295~N6::1vb<98d;295~N6::1vb<98e;295~N6::1vb<98f;295~N6::1vb<970;295~N6::1vb<971;295~N6::1vb<972;295~N6::1vb<973;295~N6::1vb<974;295~N6::1vb<975;295~N6::1vb<976;295~N6::1vb<977;295~N6::1vb<978;295~N6::1vb<979;295~N6::1vb<97a;297~N6::1vb<97b;297~N6::1vb<97c;295~N6::1vb<97d;295~N6::1vb<97e;295~N6::1vb<97f;295~N6::1vb<960;295~N6::1vb<961;295~N6::1vb<962;295~N6::1vb<963;295~N6::1vb<964;295~N6::1vb<965;295~N6::1vb<966;295~N6::1vb<967;295~N6::1vb<968;295~N6::1vb<969;295~N6::1vb<96a;295~N6::1vb<96b;297~N6::1vb<96c;297~N6::1vb<96d;295~N6::1vb<96e;295~N6::1vb<96f;295~N6::1vb<9n0;295~N6::1vb<9n1;295~N6::1vb<9n2;295~N6::1vb<9n3;295~N6::1vb<9n4;295~N6::1vb<9n5;295~N6::1vb<9n6;295~N6::1vb<9n7;295~N6::1vb<9n8;295~N6::1vb<9n9;295~N6::1vb<9na;295~N6::1vb<9nb;295~N6::1vb<9nc;295~N6::1vb<9nd;295~N6::1vb<9ne;295~N6::1vb<9nf;295~N6::1vb<9m0;295~N6::1vb<9m1;295~N6::1vb<9m2;295~N6::1vb<9m3;295~N6::1vb<9m4;295~N6::1vb<9m5;295~N6::1vb<9m6;295~N6::1vb<9m7;295~N6::1vb<9m8;295~N6::1vb<9m9;295~N6::1vb<9ma;295~N6::1vb<9mb;295~N6::1vb<9mc;295~N6::1vb<9md;295~N6::1vb<9me;295~N6::1vb<9mf;295~N6::1vb<9l0;295~N6::1vb<9l1;295~N6::1vb<9l2;295~N6::1vb<9l3;295~N6::1vb<9l4;295~N6::1vb<9l5;295~N6::1vb<9l6;295~N6::1vb<9l7;295~N6::1vb<9l8;295~N6::1vb<9l9;295~N6::1vb<9la;295~N6::1vb<9lb;295~N6::1vb<9lc;295~N6::1vb<9ld;295~N6::1vb<9le;295~N6::1vb<9lf;295~N6::1vb<9k0;295~N6::1vb<9k1;297~N6::1vb<9k2;297~N6::1vb<9k3;295~N6::1vb<9k4;295~N6::1vb<9k5;295~N6::1vb<9k6;295~N6::1vb<9k7;295~N6::1vb<9k8;295~N6::1vb<9k9;295~N6::1vb<9ka;295~N6::1vb<9kb;295~N6::1vb<9kc;295~N6::1vb<9kd;295~N6::1vb<9ke;295~N6::1vb<9kf;295~N6::1vb<9j0;297~N6::1vb<9j1;297~N6::1vb<9j2;295~N6::1vb<9j3;295~N6::1vb<9j4;295~N6::1vb<9j5;295~N6::1vb<9j6;295~N6::1vb<9j7;295~N6::1vb<9j8;295~N6::1vb<9j9;295~N6::1vb<9ja;295~N6::1vb<9jb;295~N6::1vb<9jc;295~N6::1vb<9jd;295~N6::1vb<9je;295~N6::1vb<9jf;295~N6::1vb<9i0;297~N6::1vb<9i1;297~N6::1vb<9i2;295~N6::1vb<9i3;295~N6::1vb<9i4;295~N6::1vb<9i5;295~N6::1vb<9i6;295~N6::1vb<9i7;295~N6::1vb<9i8;295~N6::1vb<9i9;295~N6::1vb<9ia;295~N6::1vb<9ib;295~N6::1vb<9ic;295~N6::1vb<9id;295~N6::1vb<9ie;296~N6::1vb<9if;296~N6::1vb<6?0;295~N6::1vb<6?1;295~N6::1vb<6?2;295~N6::1vb<6?3;297~N6::1vb<6?4;297~N6::1vb<6?5;290~N6::1vb<6?6;297~N6::1vb<6?7;295~N6::1vb<6?8;297~N6::1vb<6?9;297~N6::1vb<6?a;295~N6::1vb<6?b;297~N6::1vb<6?c;297~N6::1vb<6?d;297~N6::1vb<6?e;297~N6::1vb<6?f;295~N6::1vb<6>0;295~N6::1vb<6>1;295~N6::1vb<6>2;295~N6::1vb<6>3;295~N6::1vb<6>4;295~N6::1vb<6>5;295~N6::1vb<6>6;295~N6::1vb<6>7;295~N6::1vb<6>8;295~N6::1vb<6>9;295~N6::1vb<6>a;295~N6::1vb<6>b;295~N6::1vb;k50;3xyk>4290:wp`68;295~{iim0;6{|lfg?6=9rwe==?50;3xyk76>3:1=vsa13`94?7|ug;?<7>51zm503=83;pqc?9a;295~{i9>l1<7?t}o3:a?6=;rwe=4650;3xyk7el3:1?vsa1c594?7|ug;oo7>53zm5a0=83;pqc?ib;297~{i9o?1<7?t}o024?6=9rwe>?h50;1xyk4513:1=vsa25694?7|ug8>57>51zm63c=83;pqc<73;295~{i:h81<7=t}o0:g?6=9rwe>n?50;1xyk4ej3:1=vsa2d294?5|ug8om7>51zm6c`=839pqcj50;1xyk54?3:1=vsa34094?7|ug9=;7>51zm72e=83;pqc=61;295~{i;h<1<7?t}o1af?6=9rwe?i>50;3xyk5bn3:1?vsa3d;94?7|ug>;i7>53zm05>=83;pqc:=d;297~{i<;=1<7?t}o67g?6=;rwe89850;3xyk21j3:1?vsa47794?7|ug>3m7>53zm0=2=83;pqc:n9;297~{i53zm156=83;pqc;=5;297~{i=8l1<7?t}o770?6=;rwe9>k50;3xyk31;3:1?vsa54f94?7|ug?3>7>53zm12e=83;pqc;n1;297~{i=0h1<7?t}o7`4?6=;rwe9oo50;3xyk3cn3:1?vsa5e;94?7|ug?mi7>53zm1c>=83;pqc8>d;297~{i>8=1<7?t}o40g?6=;rwe:>850;3xyk02j3:1?vsa64794?7|ug<53zm222=83;pqc869;297~{i>091<7?t}o4a53zm2c6=83;pqc9>5;297~{i?9l1<7?t}o500?6=;rwe;?k50;3xyk12;3:1?vsa75f94?7|ug=<>7>53zm33e=83;pqc961;297~{i?1h1<7?t}o5a4?6=;rwe;lo50;3xyk1dn3:1?vsa7b;94?7|ug=ni7>53zm3`>=83;pqc6?d;297~{i09=1<7?t}o:1g?6=;rwe4?850;3xyk>3j3:1?vsa85794?7|ug2=<7>51zm<23=83;pqc67a;295~{i00l1<7?t}o:a0?6=9rwe4n750;3xyk>b03:1?vsa8d094?7|ug3;;7>53zm=57=83;pqc7=6;297~{i1;:1<7?t}o;71?6=;rwe5>h50;3xyk?1<3:1?vsa94g94?7|ug33?7>53zm=2b=83;pqc7n2;297~{i10i1<7?t}o;`5?6=;rwe5ol50;3xyk?b83:1?vsa9ec94?7|ug3mj7>53zm=c?=83;pqco>e;297~{ii821<7?t}oc0`?6=;rwem>950;3xykg2k3:1?vsaa4494?7|ugk53zme23=83;pqc?71b83>4}]>?0:w5?5}I:b?xh608n1<7?tZ7495~>62tB3m6sa193f>5<6sS<=651zX52?7|080vD5o4}o3;65<728qvb<6=1;295~{i91896=4>{|l2<75=83;pqpsr@AAx5gea2l?3hlm>d|BCF~6zHIZpqMN \ No newline at end of file diff --git a/cpld/XC95144XL/WarpSE.ngr b/cpld/XC95144XL/WarpSE.ngr index 9f794dc..e3124c6 100644 --- a/cpld/XC95144XL/WarpSE.ngr +++ b/cpld/XC95144XL/WarpSE.ngr @@ -1,3 +1,3 @@ XILINX-XDB 0.1 STUB 0.1 ASCII XILINX-XDM V1.6e -$546==)&&IEX#x8;/,,GV(q02$%#K]M.w;8*+)NGKG%z45!..KLFV(q02$%#_OB.w`8*+)Pi{zXI#x>;1;84Zhh|9:;<<640^llp5678Vcf|R>Pnnv3456692;97<>=;031?446:2;96B[[PTV9VD:693:5n6?=:SC?54<76;1:?l5>4;F?56<76l1:87Jnt`C?56<768:0=94FNQWW>A:6;3:5=95>4;KMTPR=Lh~jM1?<:13;2=54=6<3E^X][[:P>27?699?1:87AZTQWW>Wct}e~7=>4?>`920?W;9:0;2k5>4;Pfwpjs4891<3?>;069[dbc89:;0<=50?c853>47>091e>462H69=7?111915?OIX\^1M1<>:0442@D[YY4N_GQA875=97;0?k5<:HLSQQ2=AGZ^X7J33;2=57=32@D[YY4KaucB86<76o1?6B[[PTV9U95=87;?794@UURVP?Tb{|f0>4?>997>T:4294o794]erwop95=87i087Zfmdp?7?69n2>1Sljk012386<7681>46;5D=694;e<=3NjxlO34;2==>3=MZ6?6=0j;48JJUSS2M6?6=0>2:79MKVR\3NjxlO34;2=b>3=G\^[YY4^<583:42<=3E^X][[:Sgpqir;<3:546;5Q=694;b<=3Xnxb{<583:c=22Vkoh=>?0=694;7<>81<=66>;878E9776<1J049B84?9<2K7=3;4A=03:2=F4;;1=3;4A=02:0=F4;85;6O3228;:0=F4;9586O32?68E959<2K783:4A=7=0>G;>7>0M1914:C?<;2\c:COA5Yj}q:;<=j4AMG3[hs89:;=h5NLD2\ip~789::=i5NLD2\ip~789:9==5NLD2\ip~789:Te`~;;@NF51=FFM8=7L@K2C35?DHC:K8?7L@K379BJA5E9?1JBI=M279BJA5E;=1JBI:9;@LG0G713HDO8O<9;@LG0G513HDO8O:9;@LG1G713HDO9O<9;@LG1G513HDO9O:9;@LG1G333HDO495NSsd`?DUunVddx=>?10g8EVtaWge<=>>_hos[DUunVddx=>?10;8EZ@TJ5;;245N_GQA847912KTJ^L313<:?DYA[K6:?374A^DPF973601JSK]M<07==>GXNZH7=;06;@]EWG:6?730MRH\B=3;:<=FWOYI0<718:C\BVD;9730MRH\B=03:<=FWOYI0??19:C\BVD;:;4i7LQISC>17?7912KTJ^L322<;?DYA[K69255N_GQA868?3HUM_O2;>99B[CUE4<437LQISC>5:==FWOYI0:07;@]EWG:?611JSK]M<8<7?Dekz8<0Mnb}_HLU[lkwWHig~RGAV048EjssGLo0Mb{{OD]nq}6789897LaztNG\ip~789:Te`~PAnwwK@Yj}q:;<=?;;CC@P`=EIJ^Te`~PB@AW50=EIJ^y86LJRSg8F@TUW`g{SOK]R048F@TUzo<0NH\]rsg8F@TUz{Uecy>?0001?GCUZ{xTbbz?013\mhvXJLXY~Qaou2344753KMj7OIPnnv34576i2HLSca{0122[lkwWKMTbbz?01320>DRNL20NX]PIODL5>E33J;=A;5L21OFJ3=D:5L8L08G@5B;87?0H1??>49G8479=2N7=?08;E>27?69=2N7=>0;;E>2:1=C4;4=7I2<:1<7?A:46?1O094?>59G81833M6>295K<7<7?A:06=1O050;;E>::0=C\HI@==5KT@AH[lkwWM^JOF?8;EVBGNta?2N_MNE}rg9GPDELz{Uecy>?0007?ARFKBxySca{0122[lkwWM^JOF|}_omw45669>1Omyo30?58@drf484>7Io{a@:8@drfI5:556Jnt`C?558>3MkmL2>1?;8@drfI5;92o5KaucB845=8730HlznA=30:==Ci}kJ0<07;EcweD:56h1OmyoN<283:==Ci}kJ0>0n;EcweD:329437Io{a@>7:==Ci}kJ0807;EcweD:1611OmyoN<6<;?AgsiH63255KaucB8<813Me~xBKj;EmvpJCXign;<=>=2:FlqqIBWhdo<=>?_hos[Air|FOTmcj?0122`>Bh}}ENSb|?01214>Bh}}ENSb|?012\mhvXLfCHQ`r123447CT484>7H]32?78AV:46>1N_1:50?78AV:36h1N_Road12344g?01]jiuYB[Vkeh=>?00c8AVYffm:;<=5JS^antZcv89::Sdc_DQ\ghvXmx:;<?0348AQGDCVif|Rk~0123[lkwWL^JOFQlmq]fu5678820IcomldVq6>Cu;2Oy>95ICMI1?CB33ONHI?5IF59EBa703OLo= Ga8:DE`4+Nf830JKj>-Hl25==ANm;&Ec<6;GDg5(Oi:820JKj>-Hl0=>@Al8'Bb>?6;GDg5(Oi;;30JKj>-Hl07<=ANm;&Ec:>8:DE`4+Nf-Hl\mhv33OLo>:5IFe0.Mk>9:DE`7+Nf8;37KHk2,Km6<=ANm8&Ec<>8:DE`7+Nf:30JKj=-Hl05==ANm8&Ec:6;GDg6(Oi<820JKj=-Hl6=>@Al;'Bb8?7;GDg6(Oi>k1MJi<"Io]jiu2@Al:'Bb??7;GDg7(Oi;01MJi="Io12<>@Al:'Bb974FGf0)Lh3911MJi="Io7a?C@c;$CeSdc3:DPF6=A[Di0J^CP0,rbv`hsk2LXAR?"p`pfjqe ~nrdlwg>@TEV9&|l|jnu;8BdusZFGNB<5H3:EM@4=N:2C;>6G>2:K16>O4:2C?>6G:2:K56>O0<2CJO^;4I@AP50=NIJY996GNCR1;?LGD[Vcf|95FNHV0?LHQ=2CDMNZk;HMBGQYffm:;<=?1e9JKDESWds<=>?e:KLEFRXe|r;<=>>f:KLEFRXe|r;<=>>1g9JKDESWds<=>?20g8MJGD\Vg~t=>?0232?LIFK]Ufyu>?01]jiue<>4IN@FVWYj}q:;<=<>f:KLF@TUWds<=>?3028MJDBZ[Ufyu>?0112b>OHJLXYS`{w01230460:KLF@TUWds<=>?70d8MJDBZ[Ufyu>?01:24>OHJLXYS`{w0123<4773@EII_\Pmtz3456>9880EBLJRS]nq}6789Uba}j4IN@FVWYhz9:;4IN@FVWYhz9:;>4:KLFH2?01]jiuYNGKYT^hoky^cm`56788;j7DAMS^PfeaXadzTEBL\_Sgb`|733@EH_85FOBQ21>OHKZ8>7DALS2d8MJETWjg{Sh?01210>OHKZUha}Qjq1234ZojxVCDO^Qlmq]fu56788l0EBM\_bos[`w789;986G@CR]`iuYby9:;=Rgbp^KLGVYdeyUn}=>?10d8MJETWjg{Sh?01010>OHKZUha}Qjq1236ZojxVCDO^Qlmq]fu567:8l0EBM\_bos[`w7899986G@CR]`iuYby9:;?Rgbp^KLGVYdeyUn}=>?30d8MJETWjg{Sh?01610>OHKZUha}Qjq1230ZojxVCDO^Qlmq]fu567<8l0EBM\_bos[`w789?986G@CR]`iuYby9:;9Rgbp^KLGVYdeyUn}=>?50d8MJETWjg{Sh?01410>OHKZUha}Qjq1232ZojxVCDO^Qlmq]fu567>820EBM\_hos0>OHD9i0EBB?_lw{4567l2CD@=Qbuy23457b3@EG;HMQATYj}q:;<=Qfmq48MJTBY{?0EB\X0e9JKWQ7Whdo<=>?219JKWQ7Whdo<=>?_hos[LIU_9Ujbi>?013g?LIU_9Ufyu>?01g8MJTP8Vg~t=>?00d8MJTP8Vg~t=>?003e?LIU_9Ufyu>?0102a>OHZ^:Taxv?012054=NG[];S`{w0123[lkwl2CD^Z>Pnnv3457582CD^Z>Pnnv3457XadzTEB\X0^llp56798i0EB\X0^mq45679l1BC_Y?_np3456XadzTEB\X0^mq45679j1BC_Y?_np34576m2CD^Z>Pos2344YneyUBC_Y?_np34576=2CD^Z?k;HMQS4Yffm:;<=?1b9JKWQ6Wfx;<=>>e:KLVR7Xg{:;<=Qfmq]JKWQ6Wfx;<=>>7:KLV`gcqo1BC_kndx]bja67898?7DA]e`fz[dhc89:;Sdc_HMQadb~Whdo<=>?1g9JKWcflpUecy>?0007?LIUmhnrSca{0122[lkwW@EYiljv_omw45669l1BC_kndx]lv5678;80EB\jae{\kw6789Uba}QFOSgb`|Yhz9:;<<=4INQf?LITWjg{Sh?012f?LITWjg{Sh?013f?LITWjg{Sh?010f?LITWjg{Sh?011f?LITWjg{Sh?016f?LITWjg{Sh?017f?LITWjg{Sh?0147?LIR8j1BCX>Pmtz3456c3@E^OH_L?0E]B=re9JTI4uWge<=>>219JTI4uWge<=>>_hos[LVK:{Uecy>?0030?Lh7;2Ce=>5Fn318Mk543@d??6Ga529Jj3ci5CPL]B@AYT]KU8n6B_M^@VWQTN9m1G\@QLOTLWAWY6l2F[ARM@UOVFVZ4c3EZFSNAZNUGQ[6>f3EZFSIHI_0;:?IVJWMLMS?o4LQO\@C@X:9k0@]CPDGD\64g>o4LQO\@C@X:=k0@]CPDGD\60g:o4LQO\@C@X:1k0@]CPDGD\6JWEVNMJR;6;MRN[A@AW?30@]CPDGD\3<=KXDUOJKQ79:NSIZBANV3j7A^B_YMQ6Z713E^bah|l;MVji`t;9:0;2l5CThofv9746l1GXdcjr^cm`5678;80@Ygbes]bja6789Uba}QCThofvZgil9:;<<;4Lncg5a=Kghn:Sca{012265=Kghn:Sca{0122[lkwWEejh7o0Aua}_Sgpqir;?7o0Aua}_Sgpqir;07o0Aua}_Sgpqir;1780B=<4N008J74O?<;NP01>IU;K;>7B\?6A]629LV25>e:Mmg`Yffm:;<=Qfmq]LjfcXign;<=>>b:Mmg`Yhz9:;<<:4Otv0a>Ir|:Uba}Q@uu120>Ir|=o0Cxz;_hos[Jss<8>0Cxz:e:Mvp0YneyUDyy;>4:Mvp3c>3^N7?UGU\h1[ECQMURKG\g=WAGUIY^@NMD68TJTTn2ZD^^Qlmq]fu5678o1[C_]Pclr\at6788l0\B\\_bos[`w789997]]k;QQ\ghvXmx:;<=j4PR]`iuYby9:;=i5_S^antZcv89:9h6^\_bos[`w7899o7]]Pclr\j`6789;0]95^<1<6?T:687?0]1?>>49R8449?2[7=>4?>49R8459<2[7=3:4Q=0=2>W;;3:586_33?48U92=87>0]1:14:S?1;29<2[753o4Q^3\kw6789;j7\Q>_np3456XadzT]R?Pos2345723[K7<384R@>24;>16:PB8479=2XJ0<0:;SC?6;3TF4<4>7_O36?78VD:06<1YM1615:PB8<843[KF96\NMBQ24>TFEJYTC{k}l`{Lbc413[KFO^Q@vdpoe|IanVcf|R\NMBQ\KscudhsDjk?i;SCNGVYH~lxgmtAa259QEHETWF|n~aovOo]jiuYUIDIXSBxjrmczKk7c3[KFO^Qnne2345473[KFO^Qnne2345YneyUYM@M\_`lg45679m1YM@M\_`lg4566:91YM@M\_`lg4566W`g{S_OBCR]bja6788;:<6\NMBQ\mhvXZHGH_<;4R@OFJa=UIDOES`{w0123a>TFELDTaxv?0122b>TFELDTaxv?01225c=UIDOES`{w012364c8;0^LCJN^ov|5678Vcf|n5]ALGM[jt789::i6\NMDL\kw6789Uba}Q]ALGM[jt789::46\NMSgb`|773[KF^hoky^cm`5678;<0^LC]e`fz[dhc89:;Sdc_SCNV`gcqVkeh=>?0033?WGJZlkouRoad123570?0038VDKUmhnrS`{w01235441:PBIWcflpUfyu>?01120>TFE[ojhtQbuy2345Yney30^LCPRdcg}4>5:PBW@Jc3[KXIAQbuy2345c_lw{456798o0^LQ>_lw{4567:8n0^LQ>_lw{4567;8:0^LQ>_lw{4567W`g{o6\N_3]nq}6789n0^LQ=_lw{45679l1YMRQbuy234576m2XJS>Qbuy234546l2XJS>Qbuy23455682XJS>Qbuy2345Yneyi0^LQ;_lw{4567l2XJS9Qbuy23457b3[KT8Rczx123447b3[KT8Rczx123477c3[KT8Rczx12346773[KT8Rczx1234Zojxj1YMR;Pmtz3456c3[KT9Rczx12344cS`{w012354cS`{w012364bS`{w0123746S`{w0123[lkwk2XJS;Qbuy2345bTFW>Ufyu>?0132a>TFW>Ufyu>?0102`>TFW>Ufyu>?01124>TFW>Ufyu>?01]jiue?00g8VDY?Wds<=>?10g8VDY?Wds<=>?20f8VDY?Wds<=>?3028VDY?Wds<=>?_hosg>TFW0Ufyu>?01f8VDY>Wds<=>?1d9QEZ?Xe|r;<=>>1d9QEZ?Xe|r;<=>=1e9QEZ?Xe|r;<=><119QEZ?Xe|r;<=>Pilr0?WCTk2XN_Rgbp^PFW43TB[{xTbbz?01314>TB[{xTbbz?013\mhvXZLYy~R``t123543=5]OLAP[dhc89:9Sdc_SMNGVYffm:;0:PLIFUXkdzTi|>?0105?WIJKZUha}Qjq1234ZojxVXDAN]Pclr\at6789;:<6\@MBQ\ghvXmx:;<<<9;SMNGVYdeyUn}=>?1^kntZTHEJYTo`~Pep23447682XDAN]Pilr\VJKD[880^^j4RR]`iuYby9:;Tbims;;6\jae{3v3=Umhnr=:5]e`fz5w0>e:PfeaXg{:;<=Qfmq]Qadb~Wfx;<=>>c:PfeaXg{:;<?0111?WcaN{ef^^>Aeyv\ekb789:Te`~PRddEvjkU[9DntyQnne234576>2XnjK|`mSQ3J`~sWhdo<=>>339Qac@ugdXX?03316>TbnOxda_]?Ndzw[lkwW[omJabRR2Ma}r69<1YikH}olPP4Kc|Vey<=>?319Qac@ugdXX?013g?WcaN{ef^^>_rd03?WcaN{ef^^>_rd]jiuYUmoLyc`\\0Qpf54g;=7_kiR@Q5?WcaZl{37_kiRdsJjd=UmoXn}^wacd9QacTbyVkeh=>?0308V``UmxUjbi>?01]jiuYUmoXn}Road12344763[om^hPclr\at6789837_kiRds\ghvXmx:;<=Qfmq]QacTbyVif|Rk~0123547?03325>Tbn[ozSnc_ds3455502Xnj_k~_bos[`w7899Te`~PRddQatYdeyUn}=>?3032?WcaZl{To`~Pep23414?3[om^hPclr\at678=Uba}Q]egPfuZejxVoz<=>;1038V``UmxUha}Qjq12317>d:PfbWcvWfx;<=>=0:PfbWcvWfx;<=>Pilr\V``UmxUd~=>?00;8V``Rznoexo5]egWqc`hsAg;:7_kiUsefjqYffm:;<=<7;SgeQwabf}Ujbi>?01]jiuYUmo_ykh`{_`lg456798:0^hhZrfgmpZiu89:;>;5]egWqc`hsWfx;<=>Pilr\V``RznoexRa}01235a=Umn_ykh`{Sxl`2>Tb{|f56\jstnw858f3[oxyaz31119:Pfwpjs4=427_k|umv?1;?89Qavsk|5=556\jstnw8=8>3[oxyaz39?05?Wct}e~TUD[@IXSdc_RAPMFU6j2YBKO[\_HLEKd=TANUIY^GKXc9PMBYE]ZDJAH;4SV>2:0=T_585;6]X<282:0=T_59586]lls68Wfusi2Yeh_OBCRUQ0>Utm}>0XT^J5:Vji`t?3]cfi2?>99Wmhcu48437Ygbes>1:d=Sadoy0>4?>99Wmhcu4:4<7YgbesV@57=SadoyXNQlmq]fu5678;k0XdcjrUA\ghvXmx:;<=Qfmq]Wmhcu\JUha}Qjq123441<\{cOmyo<;WA@=>PNM^U_U]K<;WRBg>PWIVcf|RX_A078RUGun<1]\L|}d:TSEwtXff~;<=?=0:TSEwtXff~;<=?Pilr\RUGuzVddx=>?10c8RlbUIDIX[_j4VhfQEHET_[?10:8RlbUIDIX[_8;k^antZcv89::?;5YiePBIFUPZ?>`Snc_ds3457XadzTZdj]ALAPSW03cVif|Rk~0122576<^`nYM@M\WS47oZojxV\bh_OBCRUQ21m69<1]ei\NMBQTVZejxVoz<=>?319UmaTFEJY\^Rmbp^gr4567W`g{S[gkR@O@WRTXkdzTi|>?01321>Pnl[KFO^Y]_bos[`w789;8<6XfdSCNGVQUWjg{Sh?013\mhvX^`nYM@M\WS]`iuYby9:;=?3228RlbUIDIX[_Qlmq]fu567;Vcf|RXfdSCNGVQUWjg{Sh?011250=QamXJAN]XR^antZcv89:??=5YiePBIFUPZVif|Rk~0127[lkwW_co^LCLSVP\ghvXmx:;<9?>5:Tj`WGJKZ]YSnc_ds3453482\bh_OBCRUQ[fkwWl{;<=;Pilr\RlbUIDIX[_Qlmq]fu567=8;>7[gkR@O@WRTXkdzTi|>?0713?SocZHGH_Z\Pclr\at678?Uba}QYiePBIFUPZVif|Rk~0125543<^`nYM@M\WS]`iuYby9:;;>>4VhfQEHET_[Uha}Qjq1233ZojxV\bh_OBCRUQ[fkwWl{;<=9>149UmaTFEJY\^Rmbp^gr456?;91]ei\NMBQTVZejxVoz<=>7_hos[SocZHGH_Z\Pclr\at6781;:96XfdSCNGVQUWjg{Sh?01;04>Pnl[KFO^Y]_bos[`w7893Te`~PVhfQEHET_[Uha}Qjq123=4723_co^LCLSVP\ghvXmx:;===?;WkgVDKD[^XTo`~Pep2355YneyU]ei\NMBQTVZejxVoz<=??1078RlbUIDIX[_Qlmq]fu5669::0Zdj]ALAPSWYdeyUn}=>>1^kntZPnl[KFO^Y]_bos[`w788;:=l5YiePBIFUPZVcf|RXfdSCNGVQU98;0Zdj]ALAPSWYhz9:;<<<4VhfQEHET_[Ud~=>?0031?SocZHGH_Z\Pos234546:2\bh_OBCRUQ[jt789:8=85YiePBIFUPZVey<=>?_hos2>QfzyYN=i5WIMKM\(^CJ):%=-][UC"3*4&F[JCB:6V\TMKA3>^T\VMEH3Qe7<3Qfmq18\vr>3QyK@akem`8[dbc89:;0=0l;^cg`56785;;2n5Paef3456;984h7Rokd12349756l1Tmij?012?56<76j1Tmij?012?568e3Vkoh=>?0=3=f>Yflm:;<=2=>e9\eab789:7?7>1b:]b`a6789682i5Paef3456;<3:5n6Qnde2345:36k1Tmij?012?1;d?<7Pilr\[dhc89:;=55Paof34576>2Ujbi>?00]jiuYXign;<=?>8:]bja678;;=7Road1236ZojxVUjbi>?033;?Zgil9:;?<84_`lg4564W`g{SRoad12374>;179\ekb789>Te`~P_`lg4563911Tmcj?01722>Yffm:;<8Qfmq]\ekb789?:46Qnne2343713Vkeh=>?6^kntZYffm:;<;?7;^cm`567?8<0Sl`k0124[lkwWVkeh=>?70:8[dhc89:3=;5Paof345>XadzTSl`k012;5==Xign;<=7>6:]bja6780Uba}QPaof345?602Ujbi>?1135?Zgil9::_hos[Zgil9::=<64_lw{4567?2Ud~=>?0068[jt789:Te`~P_np34566?2Ud~=>?1068[jt789;Te`~P_np34576=2kohh|j;`lg4567Wfx;<=>=2:cm`5678Vey<=>?_hos[dhc89:;Sb|?01227>ei|>1hcx`{es08gv53gKOcxzCE13;?kGCg|~GI=Qfmq]mEAir|EO;=<>4n@FlqqJB8Vey<=>?279mEAir|EO;Sb|?012\mhvXfHNdyyBJ0^mq4567901eMIaztMG25==iIMe~xAK>_hos[kGCg|~GIhF[VLXN:5aAR]JKG753gKXSDAM_oCP[LIEg|~:m6`NS^KLFZhF[VCDNb{{_omw4567;h1eM^QFOC]mEVYNGKe~xR``t1234ZojxVdJ_RG@B^lBWZOHJfSca{01235d=iIZUBCOazt49mEVoi>2dJ_b{{e:lBWjssWge<=>>239mEVir|Vddx=>?1^kntZhF[fSca{01225a=iIZe~xRa}012365=iIZe~xRa}0123[lkwWgKXcxzPos2345733gKX~:5aAnwwK@733gKdyyAJ_hos[kGh}}EN=85aBDPQ=>hEM[XTJ^L6;o@FVWYNGK90bOI8;o@D[LIE?2dI^RG@Bg9mFWYNGKUfyu>?0133?kDUW@EIS`{w01235474nCP\MJDXe|r;<=><129mFWYNGKUfyu>?01]jiuc6`MR^KLFZiu89::Sdc_o@Q[LIEWfx;<=?>4:l@EVe=5aDhlOAZiu89:;Sdc_oFjjICXg{:;<=?9;oFjjJC6:2dOecAJ_hos[kBnfFO:;6`KotvLAc=iLfCHQnne2345433gNdyyAJ_`lg4567W`g{ScJ`uuMF[dhc89:;=<:4nEmvpJCXadzTbIaztNG21>hNXE8?7cBKS99mHAUXNZH37cBKS^KLF42hKLZUd~=>?0^kntZhKLZUd~=>?00`8jIBTWfx;<=?>c:lO@VYhz9:;=Rgbp^lO@VYhz9:;=<:4nMUFg>hK_LUjbi>?013f?kJPMVkeh=>?0^kntZhK_LUjbi>?013f?kJPMVcf|R`CWD30?kIBj2dDIRoad12344ehHMVcf|R`@E0;8jJpbzekr<?03:8jJpbzekr0b_K\c:lQAVYig}:;<109mV@Uh}}Ufyu>?010255=iZLYdyyQbuy234556;2dYI^azt^ov|5678Vcf|;5aRNO@W44?0101?kTHE^OTmcj?012\mhvXf[EF[HQnne234576:2dYC@YJ_hos[kTHE^O:86`ZDR:8jPBTWOYI46`ZDR]JKG733g_O_RG@B^lV@VYNGKe~xo5aUEQ\MJDh}}i0bXJ\_`lg45679l1eYI]Paof3456XadzTbXJ\_`lg45679j1eYI]Pnnv34576m2d^H^Qaou2344YneyUeYI]Pnnv34576<2d^[Hm4nTUF[dhc89:;=h5aUVG\ekb789:Te`~PnTUF[dhc89:;=h5aUVG\mhvXf\]N=95aVLC;?kPJIVCDN<:4nWOB[LIEWg\FMRG@Bnwwf>hQEHUBCOaztb9mRHGXign;<=>>e:lUIDYffm:;<=Qfmq]mRHGXign;<=>>f:lUIDYdeyUn}=>?0368jSKFWjg{Sh?012\mhvXf_GJSnc_ds34566k2d]ALQaou23447b3g\FMR``t1235ZojxVd]ALQaou2344733g\[M55aVQC\BVD?3g\[MRG@Bb9mRUGXign;<=>>e:lUTDYffm:;<=Qfmq]mRUGXign;<=>>e:lUTDYneyUeZ]O>3:lTA2=i_LUM_O94pnn\gim>3yegSnbdeo:8tjjX{jfy46~`l^qpaq>tsdV~r|hh4snwqg`Yaaeoem`kk;rmvvfcXd`dnbo<4uq;8rdjrmVibb45yamwf[jve02rh58>?xmg8|f?2;?rg|n:;-4CDu7?i91KLu=7d;D96?7|[hh1?5m522;9562e8k;14l?8{o1;1?76l:31:>453j9h:65o>a:f03a<7280:w^om:2:`>75>289?n=l>:9c23>pA:lh1<7?51;2xWdd=;1i1>>75126a4g7=0h;<7)=:1;3g6>"5;h0?:95m36f94?2e28;1:4uC39395~"5;h0?:>5rL2:1>4}#<>i4n80;66g>i3<10;66g;3083>>i3:j0;66an4;29?j26i3:17d=kf;29?j2603:17b=jd;29?j5b:3:17dk9:188m4672900e>h=:188k6ea2900c>jm:188m6`d2900c9?6:188m12>2900c9==:188k1772900el;50;9je7<722e8o:4?::m0gg<722c8jh4?::k0a4<722e?>l4?::m0b6<722e8jk4?::m77`<722e8j;4?::m0b=<722c?8h4?::m0``<722e99h4?:%00g?42l2d9?o4?;:m11f<72-88o7<:d:l17g<632e9::4?:%00g?42l2d9?o4=;:m123<72-88o7<:d:l17g<432e9:84?:%00g?42l2d9?o4;;:m121<72-88o7<:d:l17g<232e9:>4?:%00g?42l2d9?o49;:m127<72-88o7<:d:l17g<032e9:<4?:%00g?42l2d9?o47;:m125<72-88o7<:d:l17g<>32e99k4?:%00g?42l2d9?o4n;:m11g<72-88o7<:d:l17g5$31`>=2o?:3:1(?=l:968j75e2;10e5?50;&17f1=o1<7*=3b8;0>h5;k0>76g8d;29 75d21>0b?=m:798m2e=83.9?n474:l17g<032c5$31`>=2o013:1(?=l:968j75e2h10e:650;&17ff=<1<7*=3b8;0>h5;k0o76g7d;29 75d21>0b?=m:d98m=e=83.9?n474:l17g5$31`>=24;h:b>5<#::i1495a22`954=h5;k0:>65f8983>!44k32?7c<o??3:1(?=l:968j75e28>07d69:18'66e=0=1e>>l51498m=6=83.9?n474:l17g<6>21b?9>50;&17f<4;o1e>>l50:9j76c=83.9?n4<3g9m66d=921b?>j50;&17f<4;o1e>>l52:9a7=6=83;1<7>t$266>4543A93383>>{e;j;1<7?l:3c97`}K;1;1=vF>d09~H6>52;qe88h53c9'00c=>2w/?9;5ab9Ye5<6s8i1qW=>o6;80;66a>6c83>>o3>i5lj0;66a>3e83>>o3n3:1(?=l:5g8j75e2910e9j50;&17f<3m2d9?o4>;:k7g?6=,;9h69k4n31a>7=h5;k0876g;8;29 75d2=o0b?=m:598m11=83.9?n4;e:l17g<232c?:7>5$31`>1co3<3:1(?=l:5g8j75e2110e9=50;&17f<3m2d9?o46;:k76?6=,;9h69k4n31a>d=h5;k0i76g;0;29 75d2=o0b?=m:b98m6`=83.9?n4;e:l17g;7>5$31`>1cd40:0;6<4?:1y'713=;<:0D>9i;n003}K;1;1=vF>d09~H6>528q/88k51:'713=9mh0eo;50;9l611=831bn=4?::ka5?6=3`2n6=44b683>0<729q/?9;51108L61a3E93=7?t$061>13e3tcj:7>5;hc;>5<>i5<>0;66sm8g83>7<729q/?9;51518L61a3`;887>5;n021?6=3tyi<7>52z\a4>;02h;0q~6j:181[>b342m6<=;;|q154<72;q6;7o7;<:e>7723tyi=7>52z\a5>;02h<0q~<>2;296~;02;><7S<;7:p645=838pRo;4=68a1>{zj=;<6=4::285I5?93;pD;|N0<7<6s->>i7?4}%171?7b>2ci97>5;n073?6=3`h;6=44ic394?=ni10;66l8:186>5<7s-9?97??2:J03c=K;1;1=v*>43871g=zah<1<75fa983>>of93:17dl::188k7202900qo=70;295?6=8r.8884>329K72`5<5290;w)=;5;377>N4?o1b=>:50;9l643=831vo>50;0xZg6<5>0j=6s|b083>7}Yj816n?4>359~w7762909w095a79>f7<59<1v??=:18185?83;8>638:`:8yv46;3:1>v38:364?[43?2wx><:50;0xZg3<5>0i96srb062>5<69391=>u+35795170;66g5;h67>5<>o3?3:17d:7:188m1g=831b8n4?::k7`?6=3`>m6=44b01f>5<>290;w)=;5;51?M50n2c:?84?::k273<722c:?:4?::k27=<722c:?44?::k27d<722c:?o4?::k27f<722e9=84?::a56`=8331<7>t$266>24<@:=m7d?<5;29?l74>3:17d?<7;29?l7403:17d?<9;29?l74i3:17d?5;29?xd6<90;6>4?:1y'713=>81C?:h4i016>5<5<52z\27a=:9=:1><;4}r75>5<5sW?=70?{t=>0;6?uQ569>56c=9:<0q~=i:181[5a34;8i7?<7:p05<72;qU8=5212g956>:6=4={_62?874m3;856s|4383>7}Y<;16=>k512c8yv242909wS:<;<30a?74j2wx894?:3y]01=:9:o1=>m4}r66>5<5sW>>70?{t56`=9:<0q~:8:181[2034;8j7?<7:p0=<72;qU855212d956>j6=4={_6b?874n3;856s|4b83>7}Yh512c8yv2c2909wS:k;<30b?74j2wx8k4?:3y]0c=:9:l1=>m4}r30a?6=:r7:?h4=149>516=9:?0q~?a}#;=?1=:j4o04a>5<5<5<5<5<5<850;9j561=831b=>650;9j56?=831b=>o50;9j56d=831b=>m50;9l643=831vn<8k:180>5<7s-9?97<>8:J03c=n9:?1<75f12494?=h:8?1<75rb04f>5<4290;w)=;5;02<>N4?o1b=>;50;9j560=831d><;50;9~w40e2909wS?9b:?22`<59<1v>=7:181[54027::n4>379~w7ba2909wS369~w4162909wS?81:?22f<6;11v<9<:181[70;27::n4>389~w4122909wS?85:?22f<6;k1v<98:181[70?27::n4>3`9~w41>2909wS?89:?22f<6;j1v<9m:181[70j27::i4>349~w63?2909wS=:8:?22a<6;?1v<8l:181871k38:963>6d8270=z{8379~yg50k3:1h7=5fz&000<4?j1d?:650;9j70`=831b?;?50;9j735=831b?;;50;9j731=831b?;750;9j73d=831b?;j50;9j73`=831b?:?50;9j725=831b?:;50;9a72?=8331<7>t$266>7463A93483>>o6;?0;66g>3683>>o6;10;66g>3883>>o6;h0;66g>3c83>>o6;j0;66a=1483>>{e;>k1<7;50;2x 6222;;o7E=8f:k270<722c:?;4?::k272<722c:?54?::m150<722wi?:l50;194?6|,:>>6??7;I14b>o6;<0;66g>3783>>i59<0;66s|36:94?4|V:=370=8b;021>{t;{t;?91<770=89;30=>{t;?=1<7{t;?h1<7{t;?l1<7{t;>91<770=8a;30<>{t;>31<77723499n:336?850j3;8:6srb334>5<2290;w)=;5;4a?M50n2c:?84?::k273<722c:?:4?::k27=<722e9=84?::a63d=8391<7>t$266>35<@:=m7d?<5;29?l74>3:17b<>5;29?xd5>m0;694?:1y'713=><1C?:h4i016>5<5<6=44}c046?6=;3:1l0e<=::188m4512900c??::188yg52m3:197>50z&000<112B8;k5f12794?=n9:<1<75f12594?=n9:21<75`20794?=zj:=<6=4<:183!53=3<:7E=8f:k270<722c:?;4?::m150<722wi>h=50;194?6|,:>>6??7;I14b>o6;<0;66g>3783>>i59<0;66sm32;94?3=83:p(>:::7c8L61a3`;897>5;h302?6=3`;8;7>5;h305;|`2l0e<=::188m4512900c??::188yg26>3:1?7>50z&000<192B8;k5f12794?=n9:<1<75`20794?=zj:?i6=4<:183!53=3<:7E=8f:k270<722c:?;4?::m150<722wi?8j50;794?6|,:>>6;64H25e?l74=3:17d?<6;29?l74?3:17d?<8;29?j46=3:17pl<6183>0<729q/?9;56c9K72`6=44i015>5<5<6=44}c156?6==3:1N4?o1b=>;50;9j560=831b=>950;9j56>=831d><;50;9~f603290>6=4?{%171?0e3A93483>>o6;?0;66g>3683>>o6;10;66a=1483>>{e;?<1<7;50;2x 6222?k0D>9i;h301?6=3`;8:7>5;h303?6=3`;847>5;n021?6=3th8:54?:483>5}#;=?1:l5G36d8m4522900e<=9:188m4502900e<=7:188k7722900qo=9a;291?6=8r.888499:J03c=n9:?1<75f12494?=n9:=1<75f12:94?=h:8?1<75rb24`>5<2290;w)=;5;4b?M50n2c:?84?::k273<722c:?:4?::k27=<722e9=84?::a73c=83?1<7>t$266>3?<@:=m7d?<5;29?l74>3:17d?<7;29?l7403:17b<>5;29?xd4?90;684?:1y'713=>h1C?:h4i016>5<5<5<7>55;294~"4<<0=56F<7g9j563=831b=>850;9j561=831b=>650;9l643=831vn>9;:186>5<7s-9?9786;I14b>o6;<0;66g>3783>>o6;>0;66g>3983>>i59<0;66sm36494?3=83:p(>:::7:8L61a3`;897>5;h302?6=3`;8;7>5;h305;|`1a5<72<0;6=u+35792g=O;>l0e<=::188m4512900e<=8:188m45?2900c??::188yg4b:3:197>50z&000<1k2B8;k5f12794?=n9:<1<75f12594?=n9:21<75`20794?=zj8=;6=4::183!53=3>6;o4H25e?l74=3:17d?<6;29?l74?3:17d?<8;29?j46=3:17pl>7583>0<729q/?9;5689K72`6=44i015>5<5<6=44}c342?6==3:1N4?o1b=>;50;9j560=831b=>950;9j56>=831d><;50;9~f41?290>6=4?{%171?0>3A93483>>o6;?0;66g>3683>>o6;10;66a=1483>>{e9>k1<7;50;2x 6222?30D>9i;h301?6=3`;8:7>5;h303?6=3`;847>5;n021?6=3th:;n4?:483>5}#;=?1:55G36d8m4522900e<=9:188m4502900e<=7:188k7722900qo<9f;297?6=8r.888491:J03c=n9:?1<75f12494?=h:8?1<75rb352>5<4290;w)=;5;41?M50n2c:?84?::k273<722e9=84?::a6ac=8391<7>t$266>34<@:=m7d?<5;29?l74>3:17b<>5;29?xuf?3:1?vPn7:?751650;1xZ65?34;4523ty?>=4?:3y]076<5=;?65k4}r35f?6=:rT::o5216f953d?h7>53z\70a=:;;4=0:b>4523ty9:44?:2y]63?<5;=96??:;<16a?74>2wx>im50;0xZ7bd348n?7<>5:p56b=838pR<=k;<375?74l2wx=;h50;0x941c28{t9>;1<741634;<>7<>5:p525=838p1<9k:050?870<38:96s|16794?4|58=o6<9:;<342?46=2wx=:950;0x941c28=<70?88;021>{t9>31<741>34;5:p52d=838p1<9k:05a?870k38:96s|2ef94?4|5;o86<=9;<0ga?46=2wx><850;1x97702;;>70;39?0:?;5rs537>5<5s4>:87<;7:?752<70<9f;302>;5?80:?8522eg956352z?23a<5lo16>h>52078yv4b93:1>v3=e38150=::mo1=>84}r16e?6=:r789h4>369>70d=:8?0q~=:c;296~;4=l0:?55234f964352z?137<6;<16>;h52078yv4083:1>v3=738273=::>;1><;4}r14721=9:<0q~:>5;296~;39=0i=63;178150=z{:?26=4={<16a?46=278;:4>349~w63a2909w0=8c;16b>;4>909=85rs34`>5<5s48=h7<>5:?134<6;?1v>8>:181850k39==63<638150=z{:<86=4={<14g?51;278:94=149~w6022909w0=8c;151>;4>?09=85rs244>5<5s4986:181850k39=563<6`8150=z{:=86=4={<14g?50;278;94=149~w63?2909w0?8d;16<>;4?>09=85rs256>5<5s498m:181850k39=n63<6b8150=z{:;4?909=85rs252>5<5s498`8273=::?l1=>;4}r6e>5m70?;1;6e?846?3;8;63=6c8273=::?n1=>;4=21:>451348n<7?<8:?1a7<6;116=:>512:89415289370?84;30<>;6??0:?55216:956><58=j6<=7;<34g?7402.8?n4;e:l07g<73ty?h7>5fz\7`>;6<80?h63=16827==::?h1=>;4=34g>451349857?<8:?1a5<6;>16>h<512589417289=70?82;302>;6?=0:?8521649561<58=36<=8;<34e?74?27:;n4>369'76e=l51:p0f<72oqU8n5215390f=::8=1=>84=34g>450349857?<5:?01g<6;?16>h>5124897c5289=70?80;301>;6?;0:?:521669561<58==6<=9;<34379>52e=9:<0(>=l:5g8j65e2;1v9o50;gxZ1g<58>:69o4=334>452349857?<7:?01g<6;<16>h>5127897c5289>70?80;303>;6?;0:?8521669560<58==6<=:;<3427:;l4>349>52e=9:?0(>=l:5g8j65e2:1v9650;1xZ1><58>:6964=27g>45?3-98o7:j;o10f?2<6=4<{_64?87393><70=:d;303>"4;j0?i6`<3c86?xu3>3:1?vP;6:?204<3>2789i4>379'76e=l56:p00<72:qU8852153900=:;;4$21`>1c01<:>:5689607289<70=92;302>;4>=0:?:523749560<5:<36<=9;<15e?74=278:n4>399>73c=9:201>9?:01;?850:3;8463<75827==:;><1=>64$21`>1c:5189607289=70=92;301>;4>=0:?552374956><5:<36<=7;<15e?740278:n4>379>73c=9:?01>9?:014?850:3;8;63<758272=:;><1=>94$21`>1c:5089607289370=92;30<>;4>=0:?;523749563<5:<36<=8;<15e?74?278:n4>369>73c=9:=01>9?:015?850:3;8963<758273=:;><1=>84$21`>1c:5389607289>70=92;303>;4>=0:?8523749561<5:<36<=:;<15e?74>278:n4>349>73c=9:<01>9?:016?850:3;8:63<758270=:;><1=>;4$21`>1c:528 65d2=o0b>=m:b9~w6`=838pR>h4=062>6`<,:9h69k4n21a>a=z{<=1<7=l:5g8j65e2l1v8850;0xZ00<58>:6884$21`>1c5<5s493?7<<8:?7516>:0yK5a7710<,=?n6;5r$266>70?3Sk;6?uj:0d9y_54i38pj7?j:|kb3?6=3f>857>5;h1``?6=3f>9o7>5;n62e?6=3f9nh7>5;h12b?6=3`386=44o3:`>5<5<5<5<5<5<#::i1>8j4n31a>5=5<#::i1>8j4n31a>7=54o346>5<#::i1>8j4n31a>1=5<#::i1>8j4n31a>3=5<#::i1>8j4n31a>==5<#::i1>8j4n31a>d=o3i3:1(?=l:5`8j75e2810e9650;&17f<3j2d9?o4=;:k73?6=,;9h69l4n31a>6=h5;k0?76g;5;29 75d2=h0b?=m:498m12=83.9?n4;b:l17g<132c??7>5$31`>1do393:1(?=l:5`8j75e2010e9>50;&17f<3j2d9?o4n;:k0b?6=,;9h69l4n31a>g=h5;k0h76g:6;29 75d2=h0b?=m:e98m03=83.9?n4;b:l17g87>5$31`>1d=n=;0;6)<>m54c9m66d=9:10e9750;&17f<3j2d9?o4>4:9a6`2=83;;6<4>0zN0<4<6sA;o=6sC39095~"3=l0>7p*<44826a=nj90;66a=2683>>i5:00;66a=2c83>>i5:m0;66a=2g83>>i5;80;66a=3283>>i5;<0;66a=3783>>o6kh0;66g>cc83>>o6kj0;66g>ce83>>o6kl0;66g>cg83>>d6:j0;6<>5d;37I5?93;pD;|&000<5m=1b=no50;9j5fd=831b=nm50;9j5fb=831b=nk50;9j5f`=831bn>4?::m162<722e9>44?::m16g<722e9>i4?::m16c<722e9?<4?::m176<722e9?84?::m173<722h:<94?:282>6}K;1;1=vF>d09~ 62228:87dl::188k7202900eo>50;9a3?6=;3:1l0@>6>:0y'514=<:188mg3=831d>9950;9~wg6=838pRo>4=68b5>{t:8;1<72;296~Xe=27<6o;4}|`254<72:0:6>uC39395~N6l81v(>:::020?ld22900c?:8:188mg6=831i;7>53;294~"4<<0:<<5G36d8H6>628q/=9<544`8ylg62900eo;50;9l611=831vo>50;0xZg6<5>0j=6s|20394?4|5>098:5Q2558yv46:3:1>vPm5:?4>g36}K;1;1=vF>d09~ 62228:87dl::188k7202900eo>50;9a3?6=;3:1l0@>6>:0y'514=<:188mg3=831d>9950;9~wg6=838pRo>4=68b5>{t:8;1<72;296~Xe=27<6o;4}|`255<7210<6hu+35795465<5<5<5<>6;<4H25e?l74=3:17d?<6;29?j46=3:17pl>0883>6<729q/?9;5609K72`6=44i015>5<6=44}c33f?6==3:1N4?o1b=>;50;9j560=831b=>950;9j56>=831d><;50;9~f46d29086=4?{%171?043A93483>>o6;?0;66a=1483>>{e99n1<7=50;2x 6222?;0D>9i;h301?6=3`;8:7>5;n021?6=3th:5}#;=?1>c`83>6}Y9jk01<>i:015?877j3;8;6s|1bg94?5|V8in70??f;303>;68k0:?55rs03e>5<4sW;:j63>0g8270=:99=1=>84}r330?6==rT:<95211d956><58:<6<=:;<33=?74>27:379~w4762908wS?>1:?24<<6;<16==l51278yv7dk3:1>vP>cb9>55d=9:<0q~?lf;296~X6ko16==m51278yv77=3:1>vP>049>55c=:8?0q~??6;296~;68o09=85211g956352z?242<59<16==k51248yv7703:1>v3>088150=:99o1=>94}r33e?6=:r7:55c=9:20q~??b;296~;68k09=85211f956352z?24f<59<16==j51248yxd69l0;6449:dy'713=98o0e<>;:188m4eb2900e3483>>o6;?0;66g>3683>>i59<0;66sm10694?0=83:p(>:::7d8L61a3`;897>5;h302?6=3`;8;7>5;h305;n021?6=3th:=;4?:283>5}#;=?1:?5G36d8m4522900e<=9:188k7722900qo?>8;297?6=8r.888492:J03c=n9:?1<75f12494?=h:8?1<75rb03b>5<3290;w)=;5;45?M50n2c:?84?::k273<722c:?:4?::m150<722wi=>6??j;I14b>o6;<0;66g>3783>>o6;>0;66g>3983>>o6;00;66a=1483>>{t99>1<7:t^027?876l3;8:63>158273=:9821=>;4}r3`a?6=;rT:oh5210f9561<58;j6<=8;|q2gf<72:qU=nm4=03g>45234;:87?<5:p5fg=839pR379~w4ee2909wS?lb:?251<6;>1v389~w47a2909wS?>f:?253<6;?1v:187[76927:=;4>349>54>=9:<017p}>1283>7}:98n1><;4=03`>4523ty:=94?:3y>542=:8?011883>7}:98k1><;4=03`>45>3twi=?o50;:91?e|,:>>6<5;h32b?6=3`;:=7>5;h330?6=3`;ho7>5;h3`b?6=3f;9<7>5;c31=?6=>3:1N4?o1b=>;50;9j560=831b=>950;9j56>=831b=>750;9l643=831vn<<=:185>5<7s-9?978j;I14b>o6;<0;66g>3783>>o6;>0;66g>3983>>o6;00;66a=1483>>{e9;>1<7=50;2x 6222?80D>9i;h301?6=3`;8:7>5;n021?6=3th:>;4?:783>5}#;=?1:h5G36d8m4522900e<=9:188m4502900e<=7:188m45>2900c??::188yg7503:197>50z&000<59m1C?:h4i016>5<5<5<54z\2gd=:9;31=>64=001>45?34;9:7?<8:p5fc=839pR;4>389~w47a290?wS?>f:?26<<6;?16=?<512489441289=7p}>1083>6}Y98;01<<6:016?875<3;8:6s|11694?3|V8:?70?=9;30=>;6:;0:?8521369563<588=6<=:;|q2gf<72:qU=nm4=001>45034;9:7?<7:p5f`=838pR50;0xZ44734;947<>5:p577=838p1<<6:336?87503;896s|13094?4|58896??:;<312wx=?=50;0x94432;;>70?=8;303>{t9;?1<777234;947?<8:~f74?290?6=4?{%171?013A93483>>o6;?0;66g>3683>>i59<0;66sm23c94?2=83:p(>:::778L61a3`;897>5;h302?6=3`;8;7>5;n021?6=3th9>n4?:583>5}#;=?1::5G36d8m4522900e<=9:188m4502900c??::188yg45m3:187>50z&000<1>2B8;k5f12794?=n9:<1<75f12594?=h:8?1<75rb313>5<3290;w)=;5;46?M50n2c:?84?::k273<722c:?:4?::m150<722wi>>:50;694?6|,:>>6;84H25e?l74=3:17d?<6;29?l74?3:17b<>5;29?xd5;>0;6>4?:1y'713=>;1C?:h4i016>5<5<5bz?241<5<>16=<>51168947b28:?70?=a;330>;5:10:?85223c9563<5;8h6<=8;<01a?74?279?=4>379>662=9:?01?=8:016?xu6980;6ou21039611<58;;6;<32a?76927:>l4>109>67>=9:=01?;4=317>4513488;7?<6:p54`=83kp11d825c=:9;k1=4513489m7?<7:?16f<6;<16>?k512789757289<70<<4;303>{t9jk1<7:t^0ab?87683;hm63>1d82gd=:9;k1=no4}r3`f?6=:rT:oo5210g95fd54z\2gf=:98:1=nm4=03f>4ed34;9m7?lc:p5fb=838pRcg9>546=9jl01<?950;0xZ740348947<>5:p67?=838pR?<6;<01e?46=2wx>?l50;0xZ74e3489o7<>5:p67b=838pR??h50;0xZ74a3488<7<>5:p665=838pR?=<;<000?46=2wx>>850;0xZ7513488;7<>5:p553=838p1<>;:c78947728:>7p}>1383>7}:98;1n85210g954452z?25cl4>219~yvd72909wSl?;<31g?d43ty9>:4?:3y]671<588h6?<8;|q16<<72;qU>?74=00`>74>3ty9>o4?:3y]67d<588h6??j4=00`>74c3ty9>k4?:3y]67`<588h6?>?4=00`>7563ty9?>4?:3y]665<588h6?=<;|q170<72;qU>>;4=00`>7523ty9?;4?:3y]660<588h6?=9;|q2gd<72;qU=no4=00`>4ef3ty:oo4?:3y]5fd<588h64ed3ty:oi4?:3y]5fb<588h64eb3ty:ok4?:3y]5f`<588h6uC39395~N6l81v@>6=:0y'00c==2w/?9;51g38mg3=831d>9950;9jf5<722h<6=4<:183!53=3;;=6F<7g9je4<722ci97>5;n073?6=3tyi<7>52z\a4>;02h;0q~<>1;296~;02;><7S<;7:p644=838pRo;4=68a1>{zj::o6=4<:080I5?93;pD;|N0<7<6s->>i7;4}%171?7a92ci97>5;n073?6=3`h;6=44b683>6<729q/?9;51138L61a3`k:6=44ic794?=h:==1<75rsc294?4|Vk:01:4n1:p647=838p1:4=469]6117>52z\a1>;02k?0qpl<2583>6<62:qG?5?51zJ2`4=zD:296{#;=?1=k?4ic794?=h:==1<75fb183>>d029086=4?{%171?7792B8;k5fa083>>oe=3:17b<;7;29?xue83:1>vPm0:?4>d752z?4>7203W8?;6s|20094?4|Vk?01:4m5:~f66e29086<4<{M1;5?7|@8n:7pB<8382!22m3?0q)=;5;3e5>oe=3:17b<;7;29?ld72900n:4?:283>5}#;=?1==?4H25e?lg62900eo;50;9l611=831vo>50;0xZg6<5>0j=6s|20394?4|5>098:5Q2558yv46:3:1>vPm5:?4>g36}K;1;1=vF>d09~H6>528q/88k55:'713=9o;0eo;50;9l611=831bn=4?::`4>5<4290;w)=;5;335>N4?o1bm<4?::ka1?6=3f8?;7>5;|qa4?6=:rTi<638:`38yv4693:1>v38:364?[43?2wx><<50;0xZg3<5>0i96srb3d4>5<22;0>wA=71;3xL4b63tF84?4n{%66a?3<,=?o6>m=;%661?d23->>;7<;7:&716;%660?d63g>><7?4$57:>6263g>>=7>4}%171?7a<2ci<7>5;h`2>5<{I3g5>{#;=?1=io4ic794?=h:==1<75fb183>>oe93:17do7:188f2<72<0;6=u+3579554<@:=m7do9:188md>=831bm<4?::ka1?6=3f8?;7>5;|`0<5<7280;6=u+3579565<@:=m7b?<2;29?xue83:1>vPm0:?4>d7:18185?83;8>638:`:8yv46:3:1>v38:364?[43?2wx><=50;0xZg3<5>0i96srb2`:>5<4290;w)=;5;02=>N4?o1b=>;50;9j560=831d><;50;9~wg6=838pRo>4=68a4>{tj80;6?uQb09>7g?=9:<0q~<;7;296~X5<>16;7<;7:p717=839pR>:>;<59f0=:;k31=>;4}r1a7723twi>:=50;195?5|D:2:67dl::188k7202900eo>50;9a3?6=;3:1l0@>6>:0y'514=<:188mg3=831d>9950;9~wg6=838pRo>4=68b5>{t:8;1<72;296~Xe=27<6o;4}|`1<4<72:0:6>uC39395~N6l81v@>6=:0y'00c==2w/?9;51g48mg3=831d>9950;9jf5<722h<6=4<:183!53=3;;=6F<7g9O7=7=9r.:8?4;5c9~md7=831bn84?::m102<722wxn=4?:3y]f5=:?3k:7p}=1083>7}:?38?;6P=469~w7752909wSl:;<59f0=zuk83i7>53;397~J4080:wE?k1:O7=4=9r.?9h4:;|&000<6n>1bn84?::m102<722ci<7>5;c594?5=83:p(>:::022?M50n2F84<4>{%376?22j2wbm<4?::ka1?6=3f8?;7>5;|qa4?6=:rTi<638:`38yv4693:1>v38:364?[43?2wx><<50;0xZg3<5>0i96srb22b>5<42808wA=71;3xL4b63tF84?4>{%66a?35;h`3>5<0;6>4?:1y'713=99;0D>9i;M1;5?7|,8>969;m;|kb5?6=3`h>6=44o364>5<:18181=:==0R?:8;|q157<72;qUn8527;`6?x{e;ln1<7=52;6xH6>628qC=i?4}M1;6?7|,=?n685r$266>4`>3`h>6=44o364>5<009K72`>i5<>0;66smb583>7<729q/?9;51518L61a3`;887>5;n021?6=3tyi<7>52z\a4>;e<3;886s|20394?4|5>0j=63m4;021>{t:881<73;296~Xe=27<6o;4}|`13`<7210=6iu+357962c5<91<75f2d:94?=n;921<75f26d94?=e:>n1<7=50;2x 6222?;0D>9i;h301?6=3`;8:7>5;n021?6=3th9;;4?:283>5}#;=?1><74H25e?l74=3:17d?<6;29?j46=3:17pl=7683>1<729q/?9;5649K72`6=44i015>5<5<54;294~"4<<0=:6F<7g9j563=831b=>850;9j561=831d><;50;9~f71e29086=4?{%171?053A93483>>o6;?0;66a=1483>>{e:>i1<7:50;2x 6222;;j7E=8f:k270<722c:?;4?::k272<722e9=84?::p622=838pR?9;;<04g?46=2wx>h950;0xZ7c0348<;7?<7:p6cg=838pR?hn;<043?74=2wx5>4?:5y]=6=::>n1=>;4=355>452348<57?<5:p625=839pR?9<;<04`?74>279;o4>379~w7c?2909wS1v>>7:181[570279;44>379~w71a2909wS<8f:?13g<6;<1v?9::181840l38:963=778273=z{;;:6=4={<042?46=279;:4>379~w7752909w0<87;021>;5?j0:?85rs35;>5<5s48<57<>5:?13f<6;?1v?9n:181840j38:963=7b8272=zuk83n7>58;19e~"4<<094o5`29494?=n:l=1<75f2g`94?=n;9?1<75f29094?=n:l21<75f2gg94?=n:1>1<75m29c94?3=83:p(>:::7`8L61a3`;897>5;h302?6=3`;8;7>5;h305;|`1<=<72=0;6=u+357923=O;>l0e<=::188m4512900e<=8:188k7722900qo<79;297?6=8r.8884=199K72`6=44i015>5<6=44}r0;2?6=:rT94;5229;964352z\1a2=::1k1=>64}r0ef?6=:rT9jo5229c956352z\040=::1k1=>84}r0;6?6=:rT94?5229c956152z\1a==::121=>94}r0ea?6=:rT9jh5229:956052z\1<1=::121=>;4}r0;3?6=:r794l4=149>6=?=9:?0q~<78;296~;50109=85229;9560`}#;=?1>494o3:e>5<5<6=44i3:1>5<5<5<5<3290;w)=;5;45?M50n2c:?84?::k273<722c:?:4?::m150<722wi>4?50;194?6|,:>>6??7;I14b>o6;<0;66g>3783>>i59<0;66sm28094?5=83:p(>:::738L61a3`;897>5;h302?6=3f8:97>5;|`1=1<72:0;6=u+357924=O;>l0e<=::188m4512900c??::188yg4>=3:1:7>50z&000<59l1C?:h4i016>5<5<5<6=44}r0;b?6=:rT94k52287964352z\1a2=::081=>;4}r0ef?6=;rT9jo522849563<5;3:6<=:;|q040<72;qU?=;4=3;5>4513ty94?4?:3y]6=4<5;3=6<=8;|q1a<<72;qU>h74=3;6>4513ty9i84?:3y]6`3<5;3>6<=8;|q1ad<72;qU>ho4=3;6>45?3ty9i54?:3y]6`><5;3?6<=:;|q1b`<72;qU>kk4=3;7>4513ty95=4?:3y>6<0=:8?01?7>:015?xu5980;6?u22839643<5;396<=9;|q157<72;q6>4<5207897?2289>7p}=9283>7}::0>1><;4=3;6>45>3twi>4j50;697?0|,:>>6?7k;n06f?6=3`83i7>5;h6:>5<:::738L61a3`;897>5;h302?6=3f8:97>5;|`1=d<72:0;6=u+357927=O;>l0e<=::188m4512900c??::188yg4>j3:1?7>50z&000<5911C?:h4i016>5<5<n7>52z\11g=::0h1><;4}r0;a?6=;rT94h5228a9563<5;3j6<=:;|q7=?6=:rT?563=9b8273=z{:l1<77}::0i1><;4=3;a>4523ty9544?:3y>6l<50;194?6|,:>>6;?4H25e?l74=3:17d?<6;29?j46=3:17pl=a183>6<729q/?9;5639K72`6=44i015>5<6=44}c0b5?6=;3:1l0e<=::188m4512900c??::188yv42n3:1>vP=5g9>6d7=:8?0q~<7e;297~X50l16>l<5127897g7289>7p}:0;296~X28279m?4>379~w16=838pR9>4=3c3>4513ty95h4?:3y>6d4=:8?01?o>:016?xu51o0;6?u22`29643<5;k:6<=9;|a6d?=83>1?78t$266>7g>3f8=<7>5;h0;a?6=3`?:6=44i5394?=e:h21<7=50;2x 6222?;0D>9i;h301?6=3`;8:7>5;n021?6=3th9m;4?:283>5}#;=?1:?5G36d8m4522900e<=9:188k7722900qo6=44i015>5<6=44}r054?6=:rT9:=522`5964353z\1<`=::h21=>;4=3c5>4523ty>=7>52z\65>;5i10:?;5rs5394?4|V=;01?o9:015?xu5i=0;6?u22`:9643<5;k<6<=:;|q1e0<72;q6>l85207897g0289=7psm2`d94?2=;3:::3ce?j4193:17d<7e;29?l352900e9<50;9a6dc=8391<7>t$266>37<@:=m7d?<5;29?l74>3:17b<>5;29?xd5ij0;6>4?:1y'713=>;1C?:h4i016>5<5<53;294~"4<<09=55G36d8m4522900e<=9:188k7722900q~<91;296~X5>816>lj52078yv4?m3:1?vP=8d9>6dc=9:?01?ol:016?xu2:3:1>vP:2:?1e`<6;?1v9<50;0xZ14<5;kh6<=9;|q1ed<72;q6>lk5207897gc289>7p}=ac83>7}::hi1><;4=3cg>4513twi>o;50;697?0|,:>>6?l:;n056?6=3`83i7>5;h70>5<:::738L61a3`;897>5;h302?6=3f8:97>5;|`1f7<72:0;6=u+357927=O;>l0e<=::188m4512900c??::188yg4e;3:1?7>50z&000<5911C?:h4i016>5<5<7>52z\127=::k91><;4}r0;a?6=;rT94h522c69563<5;h96<=:;|q67?6=:rT>?63=b58273=z{=91<77}::k>1><;4=3`0>4523ty9n<4?:3y>6g4=:8?01?l<:015?x{e:kh1<7:53;4x 6222;hi7b<93;29?l4?m3:17d;;:188m12=831i>oo50;194?6|,:>>6;?4H25e?l74=3:17d?<6;29?j46=3:17pl=b983>6<729q/?9;5639K72`6=44i015>5<6=44}c0a=?6=;3:1l0e<=::188m4512900c??::188yv41;3:1>vP=629>6g?=:8?0q~<7e;297~X50l16>oo5127897d?289>7p}:4;296~X2<279nl4>379~w12=838pR9:4=3`;>4513ty9n;4?:3y>6gg=:8?01?l6:016?xu5j>0;6?u22c:9643<5;h26<=9;|a6f7=83>1?78t$266>7e63f8=87>5;h0;a?6=3`?>6=44i5794?=e:j:1<7=50;2x 6222?;0D>9i;h301?6=3`;8:7>5;n021?6=3th9nh4?:283>5}#;=?1:?5G36d8m4522900e<=9:188k7722900qo6=44i015>5<6=44}r050?6=:rT9:9522cd964353z\1<`=::j:1=>;4=3`f>4523ty>97>52z\61>;5k90:?;5rs5794?4|V=?01?lj:015?xu5jj0;6?u22b29643<5;hm6<=:;|q1fa<72;q6>ok5207897da289=7psm2b594?2=;3:::3a4?j41=3:17d<7e;29?l312900e9850;9a6f0=8391<7>t$266>37<@:=m7d?<5;29?l74>3:17b<>5;29?xd5k=0;6>4?:1y'713=>;1C?:h4i016>5<5<53;294~"4<<09=55G36d8m4522900e<=9:188k7722900q~<95;296~X5><16>n;52078yv4?m3:1?vP=8d9>6f0=9:?01?m;:016?xu2>3:1>vP:6:?1g3<6;?1v9850;0xZ10<5;i?6<=9;|q1g7<72;q6>n85207897e2289>7p}=c283>7}::j>1><;4=3a6>4513twi>nh50;697?0|,:>>6?mi;n052?6=3`8h47>5;h74>5<:::738L61a3`;897>5;h302?6=3f8:97>5;|`1gf<72:0;6=u+357927=O;>l0e<=::188m4512900c??::188yg4dl3:1?7>50z&000<5911C?:h4i016>5<5<52z\123=::jn1><;4}r0`;63=cd8273=z{==1<77}::jo1><;4=3ag>4523ty9oo4?:3y>6fe=:8?01?mk:015?x{e:m?1<7:53;4x 6222;n>7b<97;29?l4?m3:17d:n:188m1>=831i>i:50;194?6|,:>>6;?4H25e?l74=3:17d?<6;29?j46=3:17pl=d383>6<729q/?9;5639K72`6=44i015>5<6=44}c0g7?6=;3:1l0e<=::188m4512900c??::188yv41?3:1>vP=669>6a5=:8?0q~<7e;297~X50l16>i:5127897b5289>7p};a;296~X3i279h94>379~w1>=838pR964=3f1>4513ty9h=4?:3y>6a2=:8?01?j<:016?xu5l80;6?u22e09643<5;n86<=9;|a6=b=8391<7>t$266>77>3A93483>>o6;?0;66a=1483>>{e<;l1<7<50;2x 62228>87E=8f:k271<722e9=84?::a06e=8381<7>t$266>4243A93583>>i59<0;66sm41d94?4=83:p(>:::060?M50n2c:?94?::m150<722wi8<=50;094?6|,:>>6<:<;I14b>o6;=0;66a=1483>>{e<8n1<7<50;2x 62228>87E=8f:k271<722e9=84?::a07d=8381<7>t$266>4243A93583>>i59<0;66sm31;94?5=83:p(>:::738L61a3`;897>5;h302?6=3f8:97>5;|`042<72:0;6=u+357964><@:=m7d?<5;29?l74>3:17b<>5;29?xd48?0;6;4?:1y'713=>m1C?:h4i016>5<5<5<6=44}c136?6=;3:1N4?o1b=>;50;9j560=831d><;50;9~f66a29086=4?{%171?053A93483>>o6;?0;66a=1483>>{e;;;1<7=50;2x 6222?80D>9i;h301?6=3`;8:7>5;n021?6=3th9j44?:283>5}#;=?1><64H25e?l74=3:17d?<6;29?j46=3:17pl=c883>6<729q/?9;5609K72`6=44i015>5<6=44}c61a?6=;3:1N4?o1b=>;50;9j560=831d><;50;9~f15e290>6=4?{%171?0e3A93483>>o6;?0;66g>3683>>o6;10;66a=1483>>{e<9o1<7;50;2x 6222?h0D>9i;h301?6=3`;8:7>5;h303?6=3`;847>5;n021?6=3th?=?4?:283>5}#;=?1:?5G36d8m4522900e<=9:188k7722900qo:>c;290?6=8r.888495:J03c=n9:?1<75f12494?=n9:=1<75`20794?=zj=;m6=4<:183!53=38:46F<7g9j563=831b=>850;9l643=831vn?hk:186>5<7s-9?978n;I14b>o6;<0;66g>3783>>o6;>0;66g>3983>>i59<0;66sm2gd94?5=83:p(>:::708L61a3`;897>5;h302?6=3f8:97>5;|`044<72=0;6=u+357920=O;>l0e<=::188m4512900e<=8:188k7722900qo=?4;290?6=8r.8884=1`9K72`6=44i015>5<5<53;294~"4<<09=55G36d8m4522900e<=9:188k7722900qo<73;290?6=8r.888494:J03c=n9:?1<75f12494?=n9:=1<75`20794?=zj;2>6=4<:183!53=3<:7E=8f:k270<722c:?;4?::m150<722wi?hh50;094?6|,:>>6<:<;I14b>o6;=0;66a=1483>>{ti>0;6iuQa69>6`2=j916584m0:?04a94m0:?04g4m0:?1<49i7?<5:?77g<6;<168=k512789175289>70:>c;301>{t<;i1<7d;021>{t;ln1<7;3:1mvP63:?1a1<6kh16584m5:?13`<>;278<;4>379>6cb=9:=01?hi:016?85793;8;63=828270=::1?1=>;4}r0;g?6=:rT94n5229f9643:<7>52z\755=:<891><;4}r1e`?6=:rT8ji5241g956152z\04f=:;9n1n85rs56g>5<2sW>?h63;2d8273=:<:h1=>84=52f>45134>:>7?<6:p066=838pR9=?;<60f?74?2wx>;750;;xZ70>348n87?lc:?1289=70:>c;302>;5nm0:?;523139563<5;286<=9;|q76d<72;qU8?o4=50a>7723ty9hn4?:3y]6ae<5=8i6<=;;|q74f<72;qU8=m4=52e>7723ty8=h4?:6y>6`2=9jo01>?j:364?85713;8:63<07827<=::ol1=>84=222>451348397?<6:p776=838p1>?j:c7896462;;>7p}=7g83>7}::>o1>:h4=3:3>7723ty297>53z?1a1<6kk16584=469>6cb=9:?0q~098:5231d9563<5:8:6<=:;|q04d<72;q6?=o52558917a289=7p};1083>7}:<891=>:4=531>7723ty??l4?:3y>06e=9:>019=m:336?xu5k10;6?u22bd96f><5;i26??:;|q040<72=q6>5l5317897?02::>70=?7;302>;48?09=85rs3g6>5<4s48n87<=7:?1=2<5m<16>k751278yv57j3:1?v3=e582ga=:;9h1>994=3dg>45?3ty9i;4?:3y>6`2=:;301?h6:015?xu5m>0;6:u22d6967d<5;=n6?k8;<0;f?4b?2795:4=e69>750=9:=01>>=:016?84?83;896s|29094?5|5;2i6?6=;<0:3?4?:2794>4=149~w7c?290=w0;5?l09i55229`96`><5;3<6?k7;<13=?74=2794=4>379~w7>32909w0<7b;0;0>;50<09=85rs3g:>5<5s48n87<=f:?1=2<5m01v?kn:18184b<388?63=9681ad=z{:h<6=4={<0f0?44>278i:017?827m38:96s|40`94?4|5=;h6??:;<62b?74=2wx?hk50;0x96cc2k?01>ki:336?xu50l0;6nu229g9611<5;3o6?6j;<0b7?4?m279m44=8d9>6d`=:1o01?l::3:f?84ej383i63=c081<`=::j=1>5k4=3f6>7>b348h57?<5:?0ac<6;=1v?6i:18184?m3h>70<67;0;b>{t;991<7451349;87<>5:p6cg=838p1?9j:3db?857?38:96s|31:94?4|5;=n6>>7;<13=?46=2wx>k650;0x97`02k;01?h6:336?xu5nk0;69u229`96cd<5;3<6?hm;<133?74=278;5?:098:5226g9625<5::=6<=:;<60f?74027?

399>04e=9:=01?6<:014?xu48l0;6?u231`9f0=:;9l1><;4}r62a?6=:r7?=i4>359>04`=:8?0q~==4;296~;4:=098:52333956053z?04a<5<>16>k953538966a289=7p}=fb83>7}::on1><;4=227>4523ty9jh4?:5y>6=d=:oo01?78:3df?84an38:963<058273=z{::;6=4={<135?46=278<94>369~w7>62909w0<71;073>;50m0:?;5rs3:5>5<5s483=7l:;<0;f?4?>2wx8?j50;0x914a289?70:=e;021>{t:>>1<7g3<5;=n6?9;;|q122<72;qU>;94=3f6>7003-98o7<:d:l07g<53ty9:;4?:3y]630<5;im6?89;%10g?42l2d8?o4<;|q120<72;qU>;;4=3a4>7023-98o7<:d:l07g<33ty9:94?:3y]632<5;i:6?8;;%10g?42l2d8?o4:;|q126<72;qU>;=4=3`a>7043-98o7<:d:l07g<13ty9:?4?:3y]634<5;h>6?8=;%10g?42l2d8?o48;|q124<72;qU>;?4=3ce>7063-98o7<:d:l07g8h4=3c0>73a3-98o7<:d:l07gl50:p0d<72;qU8l522e790d=#;:i18o5a32`95>{t<10;6>uQ499]60c<5;n>6964$21`>1d=m:29~w10=838pR984=3a4>10<,:9h69l4n21a>1=z{=?1<7=l:5`8j65e2<1v9:50;0xZ12<5;hi69:4$21`>1d=m:69~w14=838pR9<4=3ce>14<,:9h69l4n21a>==z{=;1<72=;0(>=l:5`8j65e201v9>50;0xZ16<5;k869>4$21`>1d=m:c9~w01=838pR894=3ae>01<,:9h69l4n21a>f=z{<<1<7=l:5`8j65e2m1v8;50;0xZ03<5;i:68;4$21`>1d01?lm:468 65d2=h0b>=m:g9~w05=838pR8=4=3`6>05<,:9h69l4n21a>462:p15<72;qU9=522`1915=#;:i18o5a32`956=z{=31<7=l:5`8j65e28>0qpl45=:>089vB<8082M7c92wG?5<52zl71c<212.?9h49;|&000<6>?1bm:4?::k215<722c:444?::k201<722c8oi4?::k:7?6=3f;3n7>5;h1e`?6=3`;=n7>5;n3:?h7>5;h604?6=3f;5;n353?6=3f9m:7>5;n3af?6=3f?36=44o7294?=h;mo1<75m25394?d=93hp@>6>:0yK5a77?t$57f>1=z,:>>6<5<5<5<5<5<5<;|&000<5<81b=no50;9j5fd=831b=nm50;9j5fb=831b=nk50;9jf6<722e9>:4?::m16<<722e9>o4?::m16a<722e9>k4?::`241<72:0:6>uC39395~N6l81v(>:::020?ld22900c?:8:188mg6=831i;7>53;294~"4<<0:<<5G36d8H6>628q/=9<544`8ylg62900eo;50;9l611=831vo>50;0xZg6<5>0j=6s|20394?4|5>098:5Q2558yv46:3:1>vPm5:?4>g36}K;1;1=vF>d09~ 62228:87dl::188k7202900eo>50;9a3?6=;3:1l0@>6>:0y'514=<:188mg3=831d>9950;9~wg6=838pRo>4=68b5>{t:8;1<72;296~Xe=27<6o;4}|`255<72=0968u+35795465<5<>6??7;I14b>o6;<0;66g>3783>>i59<0;66s|11694?4|V8:?70??8;301>{t9jo1<7{t99?1<73e:k2gd<722c:<94?::k254<722c:oh4?::k2gg<722c:on4?::k2ga<722e:=?4?::`25a<72:0;6=u+357927=O;>l0e<=::188m4512900c??::188yg76<3:1?7>50z&000<1:2B8;k5f12794?=n9:<1<75`20794?=zj8;=6=4<:183!53=3<97E=8f:k270<722c:?;4?::m150<722wi=<650;694?6|,:>>6;;4H25e?l74=3:17d?<6;29?l74?3:17b<>5;29?xd69h0;684?:1y'713=>h1C?:h4i016>5<5<5<56;294~"4<<09=h5G36d8m4522900e<=9:188m4502900e<=7:188m45>2900c??::188yv7di3:1>vP>c`9>54b=9:<0q~??4;291~X68=16=70?>8;302>;69h0:?;5rs032>5<3sW;:=63>158273=:98<1=>84=03;>4523ty:oh4?:2y]5fc<58;=6<=:;<3270?>c;301>{t98>1<777234;:o7?<6:p543=838p170?>c;30=>{zj;836=4<:183!53=3<97E=8f:k270<722c:?;4?::m150<722wi>?o50;194?6|,:>>6;?4H25e?l74=3:17d?<6;29?j46=3:17pl=2b83>6<729q/?9;5639K72`6=44i015>5<6=44}c01a?6=;3:1N4?o1b=>;50;9j560=831d><;50;9~f75729086=4?{%171?4602B8;k5f12794?=n9:<1<75`20794?=z{8:?6=47{<330?43?27:==4>059>54c=99>01?<7:016?845i3;8:63=2b8273=::;o1=>84=313>4513ty:=<4?:9y>547=:==01;4=30`>4523489i7?<5:?175<6;<1vc`9~w4ee2909wS?lb:?25`<6kk1vcb9~w4ec2909wS?ld:?25`<6km1vcd9>54c=9jo0q~l<:180[d434;;87l?;<325?d73ty9>:4?:3y]671<5;836??:;|q16<<72;qU>?74=30b>7723ty9>o4?:3y]67d<5;8h6??:;|q16a<72;qU>?j4=30f>7723ty9>k4?:3y]67`<5;9;6??:;|q240<72;q6==:5b49>546=99?0q~?>2;296~;6980i963>1d8257=zuzh;6=4={_`3?875k3h87p}=2683>7}Y:;=01<7}Y:;h01<7}Y:;l01<cc83>7}Y9jh01<ce83>7}Y9jn01<6>:0yK5a77?t$57f>1=z,:>>65<<6=44ic294?=e?3:1?7>50z&000<6881C?:h4L2:2>4}#9=8188l4}hc2>5<1U>994}r026?6=:rTi9638:c78yxd6i>0;684=:4yO7=7=9rB:h<5rL2:1>4}#<5}#;=?1==<4H25e?lg12900el650;9je4<722ci97>5;n073?6=3th84=4?:083>5}#;=?1=>=4H25e?j74:3:17p}m0;296~Xe827<6l?4}r`2>5<5sWh:7095a79~w7762909w0=70;306>;02h20q~<>2;296~;02;><7S<;7:p645=838pRo;4=68a1>{zj;:;6=4;:387I5?93;pD;|N0<7>i7:4$57g>6e53->>97l:;%663?43?2.?9>4m0:l70c<63->>57=;1:l714<63t.8884>dd9jf5<722ci97>5;n073?6=3`9?=7>5;c594?5=939p@>6>:0yK5a75;h`3>5<0;6>4?:1y'713=99;0D>9i;hc2>5<1U>994}r026?6=:rTi9638:c78yxd4i90;6?4?:1y'713=9=90D>9i;h300?6=3f8:97>5;|qa4?6=:rTi<638:c28yv43?3:1>vP=469>3?43?2wx?9?50;0xZ626349j<7?<4:p7<`=838p1:4m5:?0e5<59<1vqook:187>4<4sE93=7?tH0f2?xJ40;03w)::e;68 13c2:i97)::5;`6?!22?38?;6*;528a4>h3h3=80;7p*<4482`c=nj90;66gm5;29?j43?3:17d=;1;29?g1=8391=7=tL2:2>4}O9m;0q)=;5;3ge>oe=3:17b<;7;29?ld72900n:4?:283>5}#;=?1==?4H25e?lg62900eo;50;9l611=831vo>50;0xZg6<5>0j=6s|20394?4|5>098:5Q2558yv46:3:1>vPm5:?4>g352z\a4>;02k:0q~<;7;296~X5<>16;7<;7:p717=838pR>:>;<59f0=zuk<;6=4::386I5?93;pD;|N0<7>i7:4$57g>6e53->>97l:;%663?43?2.?9>4m0:l70c<63->>87l>;o664?7<,=?j6>:;;o666?75}#;=?1==<4H25e?lg12900el650;9je4<722ci97>5;n073?6=3th84=4?:083>5}#;=?1=>=4H25e?j74:3:17p}m0;296~Xe827<6l?4}r`2>5<5sWh:7095a79~w7762909w0=70;306>;02h20q~<>2;296~;02;><7S<;7:p645=838pRo;4=68a1>{zj:hi6=4<:183!53=38:46F<7g9j563=831b=>850;9l643=831vo>50;0xZg6<5>0i<6s|b083>7}Yj816?ol51248yv43?3:1>vP=469>3?43?2wx?9:50;1xZ62334=1n8523c`956352z?4>g7<5:hi6??:;|a5=3=83?1>7;tL2:2>4}O9m;0qA=72;3x 13b2=1v(>:::0fg?ld22900c?:8:188mg6=831bn<4?::kb>{e;1:1<7?50;2x 62228987E=8f:m277<722wxn=4?:3y]f5=:?3k:7p}m1;296~Xe927<6l84}r025?6=:r784=4>339>3?g?3ty9=?4?:3y>3?43?2T98:5rs330>5<5sWh>7095b49~yg7d:3:197<55zN0<4<6sA;o=6sC39095~"3=l0?7p*<4482`a=nj<0;66a=4683>>oe83:17dl>:188md>=831i;7>55;294~"4<<0:6=44o364>5<51;294~"4<<0:?>5G36d8k4552900q~l?:181[d734=1m<5rsc394?4|Vk;01:4n6:p647=838p1>6?:011?81=i11v??=:18181=:==0R?:8;|q156<72;qUn8527;`6?x{e91h1<7=51;1xH6>628qC=i?4}M1;6?7|,=?n695r$266>4`63`h>6=44o364>5<009K72`>i5<>0;66s|b183>7}Yj916;7o>;|q154<72;q6;7<;7:\102=z{;;96=4={_`6?81=j<1vqo?68;291?4==rF84<4>{I3g5>{K;181=v*;5d87?x"4<<0:hi5fb483>>i5<>0;66gm0;29?ld62900el650;9a3?6==3:1l0el850;9je=<722cj=7>5;h`6>5<<6=44}c1;4?6=93:1l0c<==:188yvd72909wSl?;<59e4=z{k;1<72wx>728997095a99~w7752909w0952558Z7203ty9=>4?:3y]f0=:?3h>7psm5983>6<62:qG?5?51zJ2`4=zD:296{#;=?1=k?4ic794?=h:==1<75fb183>>d029086=4?{%171?7792B8;k5fa083>>oe=3:17b<;7;29?xue83:1>vPm0:?4>d752z?4>7203W8?;6s|20094?4|Vk?01:4m5:~f41b290>6?4:{M1;5?7|@8n:7pB<8382!22m3>0q)=;5;3g`>oe=3:17b<;7;29?ld72900eo?50;9je=<722h<6=4::183!53=3;;>6F<7g9je3<722cj47>5;hc2>5<:183!53=3;8?6F<7g9l564=831vo>50;0xZg6<5>0j=6s|b083>7}Yj816;7o9;|q154<72;q6?5>5120892<<50;0x92<5<>1U>994}r027?6=:rTi9638:c78yxd6jk0;684=:4yO7=7=9rB:h<5rL2:1>4}#<5}#;=?1==<4H25e?lg12900el650;9je4<722ci97>5;n073?6=3th84=4?:083>5}#;=?1=>=4H25e?j74:3:17p}m0;296~Xe827<6l?4}r`2>5<5sWh:7095a79~w7762909w0=70;306>;02h20q~<>2;296~;02;><7S<;7:p645=838pRo;4=68a1>{zj;896=4::386I5?93;pD;|N0<7>i7:4$57g>6e53->>97l:;%663?43?2.?9>4m0:l70c<63->>87l>;o664?7<,=?26>:>;o665?65}#;=?1==<4H25e?I5?93;p(<:=:57a?xof>3:17do7:188md7=831bn84?::m102<722wi?5>50;394?6|,:>>6<=<;I14b>i6;;0;66s|b183>7}Yj916;7o>;|qa5?6=:rTi=638:`48yv4693:1>v3<818277=:?3k37p}=1383>7}:?38?;6P=469~w7742909wSl:;<59f0=zuk9i57>53;294~"4<<09=45G36d8m4522900e<=9:188k7722900q~l?:181[d734=1n=5rsc394?4|Vk;01>l6:015?xu5<>0;6?uQ255892<5<>1v>:>:180[53927<6o;4=2`:>4523ty8n54?:3y>3?d6349i57<>5:~f4gd290>6>48{M1;5?7|@8n:7pB<838b!22m3>0(9;k:2a1?!22=3h>7)::7;073>"3=:0i<6`;4g82?!22<3h:7c::0;38 13>2:>:7c::1;28y!53=3;m86gm0;29?ld62900eo;50;9l611=831b?9?50;9a3?6==3819vB<8082M7c92w/?9;51ec8mg3=831d>9950;9jf5<722ci=7>5;hc;>5<0;684?:1y'713=9980D>9i;hc5>5<>oe=3:17b<;7;29?xd4090;6<4?:1y'713=9:90D>9i;n306?6=3tyi<7>52z\a4>;02h;0q~l>:181[d634=1m;5rs332>5<5s493<7?<2:?4>d>7>52z?4>7203W8?;6s|20194?4|Vk?01:4m5:~f6g729086=4?{%171?063A93483>>o6;?0;66a=1483>>{e;k31<7=50;2x 6222;;27E=8f:k270<722c:?;4?::m150<722wxn=4?:3y]f5=:?3h;7p}m1;296~Xe9278n44>379~wg3=838pRo;4=2c3>4513ty98:4?:3y]611<5>098:5rs262>5<4sW9?=63;4}r1:b?6=:r7<6o;4=2c3>7723ty8n54?:3y>3?d6349i57<>5:~f6bb290>6>48{M1;5?7|@8n:7pB<838b!22m3>0(9;k:2a1?!22=3h>7)::7;073>"3=:0i<6`;4g82?!22<3h:7c::0;38 13f2:>?7c::2;28y!53=3;n>6gm0;29?ld62900eo;50;9l611=831b?9:50;9a3?6==3819vB<8082M7c92w/?9;51ec8mg3=831d>9950;9jf5<722ci=7>5;hc;>5<0;684?:1y'713=9980D>9i;hc5>5<>oe=3:17b<;7;29?xd4090;6<4?:1y'713=9:90D>9i;n306?6=3tyi<7>52z\a4>;02h;0q~l>:181[d634=1m;5rs332>5<5s493<7?<2:?4>d>7>52z?4>7203W8?;6s|20194?4|Vk?01:4m5:~f6d>29086=4?{%171?4612B8;k5f12794?=n9:<1<75`20794?=zj:hi6=4<:183!53=38:56F<7g9j563=831b=>850;9l643=831vo>50;0xZg6<5>0i<6s|b083>7}Yj816?ol51248yvd22909wSl:;<1a=?74>2wx>9950;0xZ72034=1>994}r170?6=;rT889523c;9563<5:hi6<=:;|q0fd<72;q6;7l>;<1af?46=2wx?o650;0x92>3:1;7;5bz&000<61?1d=5k50;9j613=831b:=4?::k2l0e<=::188m4512900c??::188yg7>83:1?7>50z&000<5911C?:h4i016>5<5<53;294~"4<<0==6F<7g9j563=831b=>850;9l643=831vn<7<:180>5<7s-9?978=;I14b>o6;<0;66g>3783>>i59<0;66sm18694?2=83:p(>:::33b?M50n2c:?84?::k273<722c:?:4?::m150<722wx=5k50;0xZ4>b34;287<>5:p613=838pR?::;<3:5?74=2wx:=4?:2y]25=:90?1=>;4=0;3>4523ty:4n4?:3y]5=e<583>6<=9;|q107<72;qU>9<4=0;7>4513ty98>4?:3y]615<58386<=9;|q20c<72;qU=9h4=0;0>4523ty:4k4?:3y>5<3=:8?01<7?:015?xu5980;6?u21829643<583:6<=9;|q157<72;q6=4?5207894?3289>7p}>9383>7}:9091><;4=0;7>4503twi=l>50;697?0|,:>>65<o6=44b0;e>5<4290;w)=;5;42?M50n2c:?84?::k273<722e9=84?::a5t$266>34<@:=m7d?<5;29?l74>3:17b<>5;29?xd61l0;6>4?:1y'713=:820D>9i;h301?6=3`;8:7>5;n021?6=3ty:5o4?:3y]59g8270=:90n1=>;4}r3b3?6=:rT:m:5218d9560?h7>52z\70a=:90n1=>84}r3:g?6=:r7:5k4=149>53}#;=?1=5:4o05e>5<:::738L61a3`;897>5;h302?6=3f8:97>5;|`2<4<72:0;6=u+357926=O;>l0e<=::188m4512900c??::188yg7?:3:1?7>50z&000<5911C?:h4i016>5<5<52z\23c=:9181><;4}r43>5<4sW<;70?73;301>;6080:?85rs0:6>5<5sW;3963>828273=z{:lo6=4={_1e`>;6080:?;5rs0:3>5<5s4;3?7<>5:?2<7<6;<1v<6>:18187?938:963>838273=zuk;h=7>54;192~"4<<0:o<5`1ca94?=n>90;66g>c383>>o3;90;66l>c183>6<729q/?9;5609K72`6=44i015>5<6=44}c3aa?6=;3:1N4?o1b=>;50;9j560=831d><;50;9~f4da29086=4?{%171?4602B8;k5f12794?=n9:<1<75`20794?=z{8hh6=4={_3ag>;6jo09=85rs7294?5|V?:01{t<::1<770?mf;302>{zj8850;9j561=831d><;50;9~f6`0290?6=4?{%171?023A93483>>o6;?0;66g>3683>>i59<0;66sm1`;94?0=83:p(>:::7g8L61a3`;897>5;h302?6=3`;8;7>5;h305;n021?6=3thjj7>53;294~"4<<0==6F<7g9j563=831b=>850;9l643=831vn?<;:180>5<7s-9?978>;I14b>o6;<0;66g>3783>>i59<0;66sm1`g94?3=83:p(>:::7c8L61a3`;897>5;h302?6=3`;8;7>5;h305;|`22<<72:0;6=u+357927=O;>l0e<=::188m4512900c??::188yg7fj3:187>50z&000<59h1C?:h4i016>5<5<6=44}c3;`?6=<3:1N4?o1b=>;50;9j560=831b=>950;9l643=831vn5<7s-9?97<>c:J03c=n9:?1<75f12494?=n9:=1<75`20794?=zj83j6=4<:183!53=3<97E=8f:k270<722c:?;4?::m150<722wi=l850;194?6|,:>>6??7;I14b>o6;<0;66g>3783>>i59<0;66sm23494?5=83:p(>:::33;?M50n2c:?84?::k273<722e9=84?::a5g4=8391<7>t$266>77?3A93483>>o6;?0;66a=1483>>{e:981<7<50;2x 62228>87E=8f:k271<722e9=84?::a5=1=8381<7>t$266>4243A93583>>i59<0;66sm1b694?4=83:p(>:::060?M50n2c:?94?::m150<722wi=l<50;094?6|,:>>6<:<;I14b>o6;=0;66a=1483>>{e9k:1<7<50;2x 62228>87E=8f:k271<722e9=84?::pe2<728;pRl94=362>g6<58>m6o>4=0c4>g6<5;:;6o>4=`f9f5=:>90i<63>848a4>;6k;0i<63>8c8a4>;6110i<63:8;`3?870m3h;70?mb;`3?845:3h;70?nc;`3?85cm3h;7p}>5183>7}Y9<:01289=7p}>4583>7}Y9=>01<:i:c78yv5dl3:1>vP7c1=9:?0q~7<:18;[?4348?=7?lb:?167<4<816=lm5353896bb2:>?70?n9;303>;60m0:?:521`6956352z\2994}r1e`?6=;rT8ji5219697cb<582<6<=;;|q22g<721qU=;l4=362>4ed34;=m7?<5:?0b2<6;?16=l7512;89743289>70?7d;302>;6i=0:?;5rs0;;>5<5sW;2463>998102=z{=>o6=4;{_67`>;6i>0i963>a1870a=:;o=1=>94}r604?6=;rT??=521b39066<58i?6<=;;|q23`<72;qU=:k4=05f>7203ty:::4?:3y]531<587723ty:no4?:3y]5gd<58hi6?:8;|q6463:8;073>{t>90;6nuQ619>617=9jk01;>5255894?12?:0132?:01:72894g>289>70oi:015?87fm3;8:63>688270=:90k1=>;4}r1ga?6=:rT8hh523eg961153z?2ef70?l1;3ag>{t9h21<7=t=0c4>g7<58k26??:;<036?74<2wx=4750;0x94?f2;;>70?n6;301>{t9=l1<7:t=362>4eb34;?j7<;7:?2=3<6v3>9782<;4}rcf>5<5s4ko6>:>;7723ty9>?4?:7y>617=9jn01?<=:364?87f13;8:63>ad8272=:91n1=>;4=0c7>4503tyjh7>52z?b`?43?27=<7l>;|q22=<72:q6=;o51258940>2;;>70<=6;302>{t:9;1<7626348;>7<>5:p673=838p1?<;:015?845>38:96s|25494?4|5;>:6?2wx=lj50;1x94gd2k;01>jj:c3894gb2;;>7p}>8783>7}:91?1n852195964352z?2e`<6;116=o<52078yv7f93:1>v3>998a5>;6i;09=85rs361>5<0s48?=7<=7:?6;<3af?d634;2:7<;2:?bb?74=27:mo4>349~w4gf2909w0?n9;30<>;6ik09=85rs360>5<4s48?=7<=9:?2=3<5<:16=ll51248yv43<3:1?v3=40816g=:9hh1=>94=0`1>4513ty9884?:5y>617=:;n01<79:366?845>3;8963>b38270=z{8k<6=4={<3b3?43?27:m=4>a69~w7442909w0<=2;`2?845<38:96s|21294?2|5;:;6?:8;<43>62334;397l>;<3`6?d63ty:484?:3y>5=3=:==01<6;:0:6?xu6?o0;6?u216g9f0=:91>1=:h4}r3:f?6=:r7:554m5:?2e5<61k1v<6j:18187?j3h>70?66;3;a>{t9h91<777234;2m7?<6:p5d3=838p17723ty:o?4?:3y>5f4=:==01:0a1?xu6ij0;6?u21`a9611<58559yO7=7=9rB:h<5rL2:1>7}i<{#;=?1=;;4Z21b>4}4;3wd=8>50;9j011=831d?i;50;9j7c6=831b=n850;9l512=831b?h>50;9ja3<722c?>=4?::k25;hc1>5<5<1<75f1b794?=n;mn1<75`3g194?=h;o21<75`5983>>i3;m0;66l>b283>46=93;;wA=71;3xL4b63tF84?4>{%66a?55;n01=?6=3f89n7>5;n01`?6=3f89j7>5;n005?6=3f88?7>5;n001?6=3`;hm7>5;h3`f?6=3`;ho7>5;h3``?6=3`;hi7>5;h3`b?6=3`;o<7>5;c31g?6=990o6<;tL2:2>4}O9m;0q)=;5;3a7>o6kh0;66g>cc83>>o6kj0;66g>ce83>>o6kl0;66g>cg83>>o6l90;66gm3;29?j45?3:17b<=9;29?j45j3:17b<=d;29?j45n3:17b<<1;29?j44;3:17b<<5;29?g77<3:1?7?53zN0<4<6sA;o=6s+35795555<7s-9?97??1:J03c=K;1;1=v*>43871g=zah;1<75fb483>>i5<>0;66s|b183>7}Yj916;7o>;|q154<72;q6;7<;7:\102=z{;;96=4={_`6?81=j<1vqo?>1;297?7=;rF84<4>{I3g5>{#;=?1===4ic794?=h:==1<75fb183>>d029086=4?{%171?7792B8;k5C39395~"6<;0?9o5ri`394?=nj<0;66a=4683>>{tj90;6?uQb19>3?g63ty9=<4?:3y>3?43?2T98:5rs331>5<5sWh>7095b49~yg76n3:1?7?53zN0<4<6sA;o=6s+35795555<7s-9?97??1:J03c=K;1;1=v*>43871g=zah;1<75fb483>>i5<>0;66s|b183>7}Yj916;7o>;|q154<72;q6;7<;7:\102=z{;;96=4={_`6?81=j<1vqo?>0;290?5=>r.8884>119j54`=831b=t$266>34<@:=m7d?<5;29?l74>3:17b<>5;29?xd68>0;6>4?:1y'713=>81C?:h4i016>5<5<53;294~"4<<09=55G36d8m4522900e<=9:188k7722900q~?>f;297~X69o16==o512789460289>7p}>1083>7}Y98;01<>n:015?xu68=0;6?uQ11689460289=7p}>0483>7}Y99?01<>6:336?xu68?0;6?u211c9643<58:26<=:;|q242<72;q6==952078946>289=7psm10g94??==3np(>:::03f?l7693:17d?la;29?l76n3:17d??4;29?l7dl3:17d?le;29?l7dn3:17d?k0;29?j76:3:17o?>b;297?6=8r.888492:J03c=n9:?1<75f12494?=h:8?1<75rb037>5<4290;w)=;5;41?M50n2c:?84?::k273<722e9=84?::a540=8391<7>t$266>34<@:=m7d?<5;29?l74>3:17b<>5;29?xd6910;6;4?:1y'713=?91C?:h4i016>5<5<5<6=44}c32e?6==3:1l0e<=::188m4512900e<=8:188m45?2900c??::188yv7693:18vP>109>54d=9:<01b;301>{t98l1<7=t^03e?876<3;8:63>178270=z{8:?6=4={_330>;69=0:?85rs0ag>5<5sW;hh63>198270=z{8in6=4={_3`a>;6910:?;5rs0ae>5<5sW;hj63>198272=z{8n;6=4={_3g4>;6910:?55rs031>5<5sW;:>63>1`8150=z{8;86=4={<32f?46=27:=l4>349~w4732909w0?>4;021>;69h0:?;5rs036>5<5s4;::7<>5:?25d<6;>1v1`827==zuk;9m7>57;69e~"4<<0:>l5f10394?=n99>1<75f10d94?=n9jk1<75f1b`94?=n9ji1<75`13294?=e9;=1<7=50;2x 6222?;0D>9i;h301?6=3`;8:7>5;n021?6=3th:>?4?:583>5}#;=?1:;5G36d8m4522900e<=9:188m4502900c??::188yg75<3:1:7>50z&000<1n2B8;k5f12794?=n9:<1<75f12594?=n9:21<75f12;94?=h:8?1<75rb005>5<3290;w)=;5;02e>N4?o1b=>;50;9j560=831b=>950;9l643=831v:187[76927:>:4>349>574=9:<01<<;:016?xu68=0;69uQ11689440289=70?=2;301>;6:=0:?:5rs03e>5<5sW;:j63>238272=z{8ij6=4={_3`e>;6:=0:?;5rs0aa>5<5sW;hn63>25827==z{8ih6=4={_3`g>;6:=0:?45rs003>5<5sW;9<63>278150=z{88:6=4={<313?46=27:>;4>349~w4452909w0?=2;021>;6:?0:?;5rs000>5<5s4;987<>5:?263<6;>1vqo<=8;290?6=8r.888497:J03c=n9:?1<75f12494?=n9:=1<75`20794?=zj;8j6=4;:183!53=3<=7E=8f:k270<722c:?;4?::k272<722e9=84?::a67e=83>1<7>t$266>33<@:=m7d?<5;29?l74>3:17d?<7;29?j46=3:17pl=2d83>1<729q/?9;5679K72`6=44i015>5<5<54;294~"4<<0=96F<7g9j563=831b=>850;9j561=831d><;50;9~f755290?6=4?{%171?033A93483>>o6;?0;66g>3683>>i59<0;66sm22694?2=83:p(>:::778L61a3`;897>5;h302?6=3`;8;7>5;n021?6=3ty:<94?:cy>552=:==012`8241=::;21=>94=30b>4523489o7?<5:?16`<6;<16>>>512589755289<70<<4;302>{t98;1<7lt=032>72034;:<7?>1:?25`<69816=?o51038974?289=70<=a;302>;5:j0:?;5223g9561<5;9;6<=9;<006?74>279?94>349~w47a290iw0?>f;073>;6990:=k5210g954`<588j6l4>369>67e=9:=01?1=>94}r3`e?6=;rT:ol5210g95fg<588j64ee3ty:on4?:3y]5fe<588j64ec3ty:oh4?:3y]5fc<58;n64ea3ty:h=4?:3y]5a6<58;n6058a4>;6980i<63>1g8a4>{t:;=1<7{t:;h1<7{t:;l1<7{t::91<74623ty:=?4?:3y>547=j<16=v3>1g8a1>;6:h0:>=5r}r`3>5<5sWh;70?=c;`0?xu5:>0;6?uQ2358944d2;8<7p}=2883>7}Y:;301<7}Y:;n01<7}Y::;01<7}Y::?01<cc83>7}Y9jh01<ce83>7}Y9jn01<cg83>7}Y9jl01<6>:0yK5a77?t$57f>6=z,:>>6;h`6>5<<6=44ic294?=e?3:1?7>50z&000<6881C?:h4i`394?=nj<0;66a=4683>>{tj90;6?uQb19>3?g63ty9=<4?:3y>3?43?2T98:5rs331>5<5sWh>7095b49~yg?d290>6?4:{M1;5?7|@8n:7pB<8382!22m390q)=;5;3f7>oe=3:17b<;7;29?ld72900eo?50;9je=<722h<6=4::183!53=3;;>6F<7g9O7=7=9r.:8?4;5c9~md0=831bm54?::kb5?6=3`h>6=44o364>5<51;294~"4<<0:?>5G36d8k4552900q~l?:181[d734=1m<5rsc394?4|Vk;01:4n6:p647=838p1>6?:011?81=i11v??=:18181=:==0R?:8;|q156<72;qUn8527;`6?x{e90=1<7=52;6xH6>628qC=i?4}M1;6?7|,=?n6>5r$266>4c33`h>6=44o364>5<009K72`:::060?M50n2c:?94?::m150<722wxn=4?:3y]f5=:j=0:?95rs332>5<5s4=1m<52b58150=z{;;96=4={<59611<7p}=1283>7}Yj<16;7l:;|agd<72:0:6>uC39395~N6l81v@>6=:0y'00c=;2w/?9;51g38mg3=831d>9950;9jf5<722h<6=4<:183!53=3;;=6F<7g9je4<722ci97>5;n073?6=3tyi<7>52z\a4>;02h;0q~<>1;296~;02;><7S<;7:p644=838pRo;4=68a1>{zj:?>6=4<:080I5?93;pD;|N0<7<6s->>i7=4}%171?7a92ci97>5;n073?6=3`h;6=44b683>6<729q/?9;51138L61a3`k:6=44ic794?=h:==1<75rsc294?4|Vk:01:4n1:p647=838p1:4=469]6117>52z\a1>;02k?0qpl69;297?7=;rF84<4>{I3g5>{K;181=v*;5d80?x"4<<0:j<5fb483>>i5<>0;66gm0;29?g1=8391<7>t$266>4663A9<7p}=1383>7}Yj<16;7l:;|a6a?=8391=7=tL2:2>4}O9m;0qA=72;3x 13b2:1v(>:::0d2?ld22900c?:8:188mg6=831i;7>53;294~"4<<0:<<5G36d8md7=831bn84?::m102<722wxn=4?:3y]f5=:?3k:7p}=1083>7}:?38?;6P=469~w7752909wSl:;<59f0=zuki26=4<:387I5?93;pD;|N0<7<6s->>i7=4}%171?7a12ci97>5;n073?6=3`h;6=44b683>6<729q/?9;51138L61a3`k:6=44ic794?=h:==1<75rbc694?4=83:p(>:::060?M50n2c:?94?::m150<722wxn=4?:3y]f5=:j=0:?95rs332>5<5s4=1m<52b58150=z{;;96=4={<59611<7p}=1283>7}Yj<16;7l:;|a702=8391>7:tL2:2>4}O9m;0qA=72;3x 13b2:1v(>:::0d:?ld22900c?:8:188mg6=831i;7>53;294~"4<<0:<<5G36d8md7=831bn84?::m102<722win94?:383>5}#;=?1=9=4H25e?l74<3:17b<>5;29?xue83:1>vPm0:?a0?74<2wx>5:p644=838p1:4=469]61152z\a1>;02k?0qpl68;297?4={I3g5>{K;181=v*;5d80?x"4<<0:j45fb483>>i5<>0;66gm0;29?g1=8391<7>t$266>4663A9v38:`389g2=:8?0q~<>2;296~;02;><7S<;7:p645=838pRo;4=68a1>{zj;n36=4<:387I5?93;pD;|N0<7<6s->>i7=4}%171?7a12ci97>5;n073?6=3`h;6=44b683>6<729q/?9;51138L61a3`k:6=44ic794?=h:==1<75rbc694?4=83:p(>:::060?M50n2c:?94?::m150<722wxn=4?:3y]f5=:j=0:?95rs332>5<5s4=1m<52b58150=z{;;96=4={<59611<7p}=1283>7}Yj<16;7l:;|ab`<72:0969uC39395~N6l81v@>6=:0y'00c=;2w/?9;51g;8mg3=831d>9950;9jf5<722h<6=4<:183!53=3;;=6F<7g9je4<722ci97>5;n073?6=3thi87>52;294~"4<<0:8>5G36d8m4532900c??::188yvd72909wSl?;<`7>4533ty9=<4?:3y>3?g634h?6??:;|q157<72;q6;7<;7:\102=z{;;86=4={_`6?81=j<1vqohi:180>4<4sE93=7?tH0f2?xJ40;0:w)::e;18y!53=3;m=6gm5;29?j43?3:17dl?:188f2<72:0;6=u+3579557<@:=m7do>:188mg3=831d>9950;9~wg6=838pRo>4=68b5>{t:8;1<72;296~Xe=27<6o;4}|`f3?6=0381hvB<8082M7c92wG?5<58z&71`<43->>h7=l0:&0g6<3>91/88952558 1342k:0b9:i:09'00?=;=;0b9;>:09~ 62228n<7Wo?:0y02?{];:k1>v=7:2c9yl53:3:17dl?:188m6262900c?;<:18'66e=:<80b?=m:198k736290/>>m52408j75e2810c?:i:18'66e=:<80b?=m:398k72b290/>>m52408j75e2:10c?:7:18'66e=:<80b?=m:598f2<72m0=6huC39395~N6l81v@>6=:6y'00b=;j80(9;::c78 1302;><7)::3;`3?k23n3;0(9;6:262?k2293;0q)=;5;3f1>\f838p?;4<4;Y76g=:r936>?5}h176?6=3`h;6=44i262>5<5<#::i1>8<4n31a>4=m6=4+22a96045<#::i1>8<4n31a>6=36=4+22a9604oen3:1(?=l:b28j75e2810eoj50;&17f4n31a>6=h5;k0?76l8:186>6<0sE93=7?tH0f2?xJ40;02w)::d;1`6>"3=<0i96*;568102=#<<91n=5a45d95>"3=0088<5a44395>"3=h08895a44095>{#;=?1=h94ic294?=nj<0;66a=4683>>o4<=0;66g<4083>>d029086<4<{M1;5?7|@8n:7p*<4482`d=nj<0;66a=4683>>oe83:17o950;194?6|,:>>6<>>;I14b>of93:17dl::188k7202900q~l?:181[d734=1m<5rs332>5<5s4=1>994^364?xu59;0;6?uQb49>3?d23twi?l>50;194?6|,:>>6;<4H25e?l74=3:17d?<6;29?j46=3:17pl6<729q/?9;520:8L61a3`;897>5;h302?6=3f8:97>5;|qa4?6=:rTi<638:c28yvd22909wSl:;<1aa?74>2wx>9950;0xZ72034=1>994}r170?6=:rT889523cg956352z\004=:;h:1=>;4}r1a`?6=:r78m=4>379>7gc=:8?0q~=6f;296~;02k?01>o?:336?x{ei3:187<55zN0<4<6sA;o=6sC39093~"3=m08o?5+4479f0=#<<=1>994$570>g6m6<5+44;97174bb3`h;6=44ic794?=h:==1<75f35394?=e?3:1?7?53zN0<4<6sA;o=6s+35795ag5<7s-9?97??1:J03c=ni80;66gm5;29?j43?3:17p}m0;296~Xe827<6l?4}r025?6=:r7<6?:8;_073>{t:881<7o?:180>5<7s-9?978=;I14b>o6;<0;66g>3783>>i59<0;66s|b183>7}Yj916;7l?;|qa1?6=:rTi963<6=4={_073>;02;><7p}<4083>7}Y;=;01>o?:016?xu41o0;6?u27;`6?85f838:96srb0f94?2=:3?p@>6>:0yK5a779t$57g>6e53->>97l:;%663?43?2.?9>4m0:l70c<63->>57=;1:l714<63t.8884>dd9jf5<722ci97>5;n073?6=3`9?=7>5;c594?5=939p@>6>:0yK5a75;h`3>5<0;6>4?:1y'713=99;0D>9i;hc2>5<1U>994}r026?6=:rTi9638:c78yxd4i90;6>4?:1y'713=>;1C?:h4i016>5<5<994=68102=z{:>:6=4={_175>;4i90:?85rs2;e>5<5s4=1n8523`296437>54;091~J4080:wE?k1:O7=4=?r.?9i4:09~ 62228nn7dl?:188mg3=831d>9950;9j717=831i;7>53;397~J4080:wE?k1:'713=9mk0eo;50;9l611=831bn=4?::`4>5<4290;w)=;5;335>N4?o1bm<4?::ka1?6=3f8?;7>5;|qa4?6=:rTi<638:`38yv4693:1>v38:364?[43?2wx><<50;0xZg3<5>0i96srb2c3>5<4290;w)=;5;41?M50n2c:?84?::k273<722e9=84?::pf5<72;qUn=527;`3?xue=3:1>vPm5:?0e5<6;?1v?:8:181[43?27<6?:8;|q004<72;qU?9?4=2c3>4523ty85k4?:3y>3?d2349j<7<>5:~f73=83>1>7;tL2:2>4}O9m;0qA=72;5x 13c2:i97)::5;`6?!22?38?;6*;528a4>h3h3=80:7p*<4482``=nj90;66gm5;29?j43?3:17d=;1;29?g1=8391=7=tL2:2>4}O9m;0q)=;5;3ge>oe=3:17b<;7;29?ld72900n:4?:283>5}#;=?1==?4H25e?lg62900eo;50;9l611=831vo>50;0xZg6<5>0j=6s|20394?4|5>098:5Q2558yv46:3:1>vPm5:?4>g35}#;=?1:?5G36d8m4522900e<=9:188k7722900q~l?:181[d734=1n=5rsc794?4|Vk?01>o?:015?xu5<>0;6?uQ255892<5<>1v>:>:181[539278m=4>349~w6?a2909w095b49>7d6=:8?0qpl=5`83>6<729q/?9;520:8L61a3`;897>5;h302?6=3f8:97>5;|q007<72:qU?9<4=68001=::84}r`3>5<1sWh;7095b19>e?d734;o6o>4=309f5=::<0i<6s|35394?5|V:>:70953538973f289>7p}=5883>0}:i39?=63>d;175>;5:39?=63=5;175>;5=h09=85rs370>5<5sW8>?63=5;073>"4;j099?5a32`94>{t:<;1<7<7)=h4;k0:7p}=4g83>7}Y:=l01vP=4d9>e?43?2.8?n4=539m76d=;2wx>9650;0xZ72?34=1>994$21`>7353g98n7:4}ra2>5<5sWi:70<::c78 65d2j:0b>=m:19~wg`=838pRoh4=309f0=#;:i1o=5a32`95>{tjm0;6?uQbe9>5a52z\ag>;f2k?0(>=l:b28j65e2:1vo850;0xZg0<5>0i96*<3b8`4>h4;k0?7psm21194?g=03np@>6>:0yK5a77;t$57g>6dd3->>:7mi;%656?g<,=?36><:;%655?10897sU32c96~5>2:81qb=<1;29 75d2:9;7c<>m5d`9m66d=821bh44?:%00g?bf3g88n7?4;hf4>5<#::i1hl5a22`96>=nl?0;6)<>l54:9a65>=8391=7=tL2:2>4}O9m;0q)=;5;3ee>odl3:17dmj:188k6422900n>6m:180>6<2s-9?97=7b:k``?6=3`in6=44o206>5<>6;<4H25e?l74=3:17d?<6;29?j46=3:17pl<8`83>6<729q/?9;520:8L61a3`;897>5;h302?6=3f8:97>5;|q``?6=;rThh63<898273=:;131=>;4}raf>5<4sWin70=78;301>;4000:?;5rs206>5<5sW99963<8`8150=z{:2<6=4={<1;349~w6>?2909w0=79;021>;40h0:?;5r}rag>5<5sWio70=7b;ag?xudm3:1>vPle:?029086<4<{M1;5?7|@8n:7p*<4482bd=nkm0;66gle;29?j55=3:17o=7b;297?5==r.8884<8c9jga<722chi7>5;n111?6=3k9347>53;294~"4<<0=>6F<7g9j563=831b=>850;9l643=831vn>66:180>5<7s-9?978=;I14b>o6;<0;66g>3783>>i59<0;66sm39c94?5=83:p(>:::33;?M50n2c:?84?::k273<722e9=84?::pga<72:qUoi5239:9560<5:226<=:;|q`a?6=;rThi63<898270=:;131=>84}r111?6=:rT8>85239c964352z?0<=<59<16?5o51278yv5?03:1>v3<888150=:;1k1=>84}|q``?6=:rThh63<8c8``>{tkl0;6?uQcd9>7=d=kl1v><::181[55=2784o4<249~yg47i3:1?7?53zN0<4<6sA;o=6s+35795cg>i4:<0;66l<8c83>6<425}#;=?1:?5G36d8m4522900e<=9:188k7722900qo=79;297?6=8r.888492:J03c=n9:?1<75f12494?=h:8?1<75rb2:b>5<4290;w)=;5;02<>N4?o1b=>;50;9j560=831d><;50;9~wfb=839pRnj4=2:;>451349357?<5:pg`<72:qUoh5239:9563<5:226<=9;|q060<72;qU??;4=2:b>7723ty84:4?:3y>7=>=:8?01>6n:016?xu4010;6?u239;9643<5:2j6<=9;|pga<72;qUoi5239`9ga=z{jo1<7e2jo0q~==5;296~X4:<16?5l53378yxd58k0;6>4>:2yO7=7=9rB:h<5r$266>4`f3`io6=44ibg94?=h;;?1<75m39`94?5=;3?p(>:::2:a?lec2900enk50;9l773=831i?5650;194?6|,:>>6;<4H25e?l74=3:17d?<6;29?j46=3:17pl<8883>6<729q/?9;5639K72`6=44i015>5<6=44}c1;e?6=;3:1l0e<=::188m4512900c??::188yvec2908wSmk;<1;278444>349~wfc=839pRnk4=2:;>452349357?<6:p773=838pR><:;<1;e?46=2wx?5950;0x96>?2;;>70=7a;301>{t;121<77723493m7?<6:~wfb=838pRnj4=2:a>fb7}Y;;?01>6m:206?x{e;h:1<7=50;2x 6222?;0D>9i;h301?6=3`;8:7>5;n021?6=3th8m?4?:283>5}#;=?1:<5G36d8m4522900e<=9:188k7722900qo=n4;297?6=8r.888491:J03c=n9:?1<75f12494?=h:8?1<75rb214>5<5290;w)=;5;377>N4?o1b=>:50;9l643=831v>7i:18084713io70=n0;021>;4i;0:?;5rs2c2>5<4s48;m7mk;<1b6?46=278m94>379~w6g42909w0"4;j08?=5a32`94>{t;;l1<7"4;j08?=5a32`96>{t;;i1<7"4;j08?=5a32`90>{tlk0;6?uQdc9>65d=kl1/?>m5d`9m76d=82wxh44?:2y]`<=::9k1oh523`69563<,:9h6io4n21a>4=z{m=1<7=t^e58976>2jo01>o=:016?!54k3nj7c=;4i90:?;523259562<,:9h6io4n21a>1=zuz9?>7>52z\007=:?39?>6s|b183>7}Yj916;7l?;|q004<72;qU?9?4=68004=z{;?86=4<{_067>;02;?870>6`<3c83?xu5=80;6>uQ243892<5=816>==5d89'76e=:<80b>=m:09~w72a2908wS<;f:?4>72a348;?7j8;%10g?42:2d8?o4=;|q10`<72:qU>9k4=6810`=::991h;5+32a96045rs36;>5<4sW8?4638:36;?847;3n;7)=h4;k0?7p}<9883>7}:?3i:70"4;j08555a32`94>{t;0=1<7;58:08>k5+32a97<>5<5s4=1ni52211977b<,:9h6>77;o10f?452z?4>ge<5;:86>02d8?o4<;|q0<`<72;q6;7l9;<037?55>2.8?n4<999m76d=<2wvnk650;195?5|D:2:650;9a3?6=;3:1l0@>6>:0y'514=<:188mg3=831d>9950;9~wg6=838pRo>4=68b5>{t:8;1<72;296~Xe=27<6o;4}|`77a<72<086;uC39395~N6l81v@>6=:`y'00c=;2.?9i450:&71<<4<81e88?51:'713=9l30eo>50;9jf4<722ci97>5;n073?6=3`9?=7>5;c594?3=:3?p@>6>:0yK5a75;h`3>5<>d0290>6=4?{%171?77:2B8;k5fa783>>of03:17do>:188mg3=831d>9950;9~f6>7290:6=4?{%171?74;2B8;k5`12094?=z{k:1<77}:;1:1=><4=68b<>{t:881<73;296~Xe=27<6o;4}|`0e5<72;0;6=u+3579515<@:=m7d?<4;29?j46=3:17pl6<729q/?9;520;8L61a3`;897>5;h302?6=3f8:97>5;|qa4?6=:rTi<638:c28yvd62909wSl>;<1a=?74=2wx>9950;0xZ72034=1>994}r175?6=;rT88<523`29562<5:h26<=9;|q0=c<72;q6;7l:;<1b4?46=2wx?o650;0x92>oe83:17o950;194?6|,:>>6<>>;I14b>of93:17dl::188k7202900q~l?:181[d734=1m<5rs332>5<5s4=1>994^364?xu59;0;6?uQb49>3?d23twi954?:282>6}K;1;1=vF>d09~H6>528q/88k53:'713=9o;0eo;50;9l611=831bn=4?::`4>5<4290;w)=;5;335>N4?o1bm<4?::ka1?6=3f8?;7>5;|qa4?6=:rTi<638:`38yv4693:1>v38:364?[43?2wx><<50;0xZg3<5>0i96srb073>5<42808wA=71;3xL4b63tF84?4>{%66a?55;h`3>5<0;6>4?:1y'713=99;0D>9i;hc2>5<1U>994}r026?6=:rTi9638:c78yxd4n:0;6>4=:5yO7=7=9rB:h<5rL2:1>4}#<5<7s-9?97??1:J03c=ni80;66gm5;29?j43?3:17plm4;296?6=8r.8884>429K72`5<7}:?3k:70l;:336?xu59;0;6?u27;073>X5<>1v??<:181[d234=1n85r}cg2>5<42808wA=71;3xL4b63tF84?4>{%66a?55;h`3>5<0;6>4?:1y'713=99;0D>9i;M1;5?7|,8>969;m;|kb5?6=3`h>6=44o364>5<:18181=:==0R?:8;|q157<72;qUn8527;`6?x{e;m?1<7=52;6xH6>628qC=i?4}M1;6?7|,=?n6>5r$266>4`>3`h>6=44o364>5<009K72`>i5<>0;66smb583>7<729q/?9;51518L61a3`;887>5;n021?6=3tyi<7>52z\a4>;e<3;886s|20394?4|5>0j=63m4;021>{t:881<73;296~Xe=27<6o;4}|`0ba<72:0969uC39395~N6l81v@>6=:0y'00c=;2w/?9;51g;8mg3=831d>9950;9jf5<722h<6=4<:183!53=3;;=6F<7g9je4<722ci97>5;n073?6=3thi87>52;294~"4<<0:8>5G36d8m4532900c??::188yvd72909wSl?;<`7>4533ty9=<4?:3y>3?g634h?6??:;|q157<72;q6;7<;7:\102=z{;;86=4={_`6?81=j<1vqo:<0;297?4={I3g5>{K;181=v*;5d80?x"4<<0:j45fb483>>i5<>0;66gm0;29?g1=8391<7>t$266>4663A9v38:`389g2=:8?0q~<>2;296~;02;><7S<;7:p645=838pRo;4=68a1>{zj8>h6=46:28a!53=3;?o6a>4683>>o6j=0;66g>9683>>o6j<0;66g>b783>>o6j>0;66g>b983>>o6j00;66g>4483>>d64?:1y'713=>81C?:h4i016>5<5<53;294~"4<<0=>6F<7g9j563=831b=>850;9l643=831vn<:n:184>5<7s-9?97<>f:J03c=n9:?1<75f12494?=n9:=1<75f12:94?=n9:31<75f12c94?=h:8?1<75rs064>5<5sW;?;63>4`8150=z{8h?6=4={_3a0>;65<5sW;2;63>4c8273=z{8h>6=4={_3a1>;65<5sW;i:63>4`8272=z{8h<6=4={_3a3>;65<5sW;i463>4`827<=z{8h26=4={_3a=>;6<00:?;5rs066>5<5sW;?963>488270=z{8>36=4={<37f?46=27:8l4>349~w42>2909w0?;9;021>;65t$266>37<@:=m7d?<5;29?l74>3:17b<>5;29?xd2l3:1;7>50z&000<59o1C?:h4i016>5<5<5<5<7p}>b583>7}Y9k>018k51278yv7>?3:1>vP>969>1`<6;?1vh7?<6:p5g0=838pR4503ty:n:4?:3y]5g1<564}r3a;2l3;8m6s|5b83>7}:=l09=8525e8270=zuk;=>7>5a;c956}#;=?1=;<4o072>5<5<5<5<>6=44i2fg>5<5<4290;w)=;5;42?M50n2c:?84?::k273<722e9=84?::a502=8391<7>t$266>37<@:=m7d?<5;29?l74>3:17b<>5;29?xd6=?0;6>4?:1y'713=>81C?:h4i016>5<5<47>53;294~"4<<0==6F<7g9j563=831b=>850;9l643=831vn<;n:180>5<7s-9?978=;I14b>o6;<0;66g>3783>>i59<0;66sm14a94?5=83:p(>:::708L61a3`;897>5;h302?6=3f8:97>5;|`21a<72:0;6=u+357964><@:=m7d?<5;29?l74>3:17b<>5;29?xd6=l0;6>4?:1y'713=>81C?:h4i016>5<5<53;294~"4<<0=>6F<7g9j563=831b=>850;9l643=831vn<8>:184>5<7s-9?97<>f:J03c=n9:?1<75f12494?=n9:=1<75f12:94?=n9:31<75f12c94?=h:8?1<75rs072>5<5sW;>=63>608150=z{8h?6=4={_3a0>;6=:0:?85rs073>5<0sW;><63>528273=:9<>1=>84=075>45134;>47?<6:?21f<6;?16=;>51248yv7e>3:1>vP>b79>502=9:?0q~?m7;296~X6j>16=8851278yv7e03:1>vP>b99>50>=9:?0q~?m9;296~X6j016=8k51278yv73=3:1?vP>449>50g=9:<01<;l:016?xu4lm0;6?uQ3ef8943f289>7p}>6283>7}Y9?901<8?:016?xu6=;0;6?u21419643<58<:6<=:;|q211<72;q6=8:520789406289=7p}>5483>7}:9<<1><;4=042>4503ty:9:4?:3y>50>=:8?01<8>:01;?xu6=00;6?u214c9643<58?o6<=:;|q21g<72;q6=8m52078943c289=7p}=1083>7}:9<;4=07f>4513ty9=?4?:3y>50c=:8?01<8>:01:?xu6=o0;6?u21729643<58<:6<=n;|a7cd=8381<7>t$266>4243A93583>>i59<0;66sm2e594?5=83:p(>:::738L61a3`;897>5;h302?6=3f8:97>5;|`016<72:0;6=u+357924=O;>l0e<=::188m4512900c??::188yg?029086=4?{%171?063A93483>>o6;?0;66a=1483>>{ek10;6>4?:1y'713=>81C?:h4i016>5<5<5}#;=?1:?5G36d8m4522900e<=9:188k7722900qohn:180>5<7s-9?978=;I14b>o6;<0;66g>3783>>i59<0;66sm45094?2=83:p(>:::768L61a3`;897>5;h302?6=3`;8;7>5;n021?6=3th8j84?:283>5}#;=?1><64H25e?l74=3:17d?<6;29?j46=3:17plj3;297?6=8r.888491:J03c=n9:?1<75f12494?=h:8?1<75rbg194?0=83:p(>:::638L61a3`;897>5;h302?6=3`;8;7>5;h305;n021?6=3thm97>56;294~"4<<0=i6F<7g9j563=831b=>850;9j561=831b=>650;9j56?=831d><;50;9~fce=83<1<7>t$266>26<@:=m7d?<5;29?l74>3:17d?<7;29?l7403:17d?<9;29?j46=3:17pl;4583>3<729q/?9;56d9K72`6=44i015>5<5<5<53;294~"4<<0==6F<7g9j563=831b=>850;9l643=831vnk950;194?6|,:>>6??7;I14b>o6;<0;66g>3783>>i59<0;66sm15g94?3=83:p(>:::33g?M50n2c:?84?::k273<722c:?:4?::k27=<722e9=84?::a510=8391<7>t$266>37<@:=m7d?<5;29?l74>3:17b<>5;29?xd6>=0;6:4?:1y'713=:8l0D>9i;h301?6=3`;8:7>5;h303?6=3`;847>5;h30=?6=3`;8m7>5;n021?6=3thn97>57;294~"4<<09=k5G36d8m4522900e<=9:188m4502900e<=7:188m45>2900e<=n:188k7722900qo=k9;292?6=8r.8884=1d9K72`6=44i015>5<5<5<;57>53;294~"4<<09=55G36d8m4522900e<=9:188k7722900qo:?3;297?6=8r.888492:J03c=n9:?1<75f12494?=h:8?1<75rb52a>5<2290;w)=;5;02`>N4?o1b=>;50;9j560=831b=>950;9j56>=831d><;50;9~f16229086=4?{%171?063A93483>>o6;?0;66a=1483>>{e<:<1<7=50;2x 6222?;0D>9i;h301?6=3`;8:7>5;n021?6=3th2i7>52;294~"4<<0:8>5G36d8m4532900c??::188yged29096=4?{%171?73;2B8;k5f12694?=h:8?1<75rb274>5<5290;w)=;5;377>N4?o1b=>:50;9l643=831vn4l50;094?6|,:>>6<:<;I14b>o6;=0;66a=1483>>{e:mh1<7<50;2x 62228>87E=8f:k271<722e9=84?::a010=8381<7>t$266>4243A93583>>i59<0;66sm3e594?4=83:p(>:::060?M50n2c:?94?::m150<722wi8=950;094?6|,:>>6<:<;I14b>o6;=0;66a=1483>>{e<:21<7<50;2x 62228>87E=8f:k271<722e9=84?::p506=839pR<;?;<364?43?27::?4>519~w1202909wS:;7:?012<6;=1v>j::181[5c=278h84=469~w6`72909wS=i0:?`g?74<2wx=n850;1xZ4e134o86<=:;<637?74=2wx=9:50;1xZ42334;?87<;7:?707<6;?1v>k?:181[5b8272i7?<4:pa3<72;qUi;52fd8a1>{t<;:1<74=0;4>g6<5jk1n=523479f5=:100i<63=d88a4>;d13h;70=:4;`3?8??2k:01?j7:c289c`=j916i:4m0:?e8h7l?;<370?d734?36o>4=073>g6<5:l86o>4=d39f5=:;m?1n=523gf9f5=:<::1n=5rs2dg>5<5sW9mh63;38<0:?85rs8294?4|V0:01>hn:016?xu3;90;6?uQ422891572;><7p}7}Y;m>01>jn:c78yv7d=3:1>vP>c49>060=9:?0q~=kd;297~X4lm16=;<53ef890q~=i3;296~X4n:16?k=52558yv5a03:1>vP7cd=:8?0q~;7:181[3?34?36?:8;|q77a<72:qU8>j4=51g>72034lj6<=:;|qef?6=:r7mm7?<6:?eg?46=2wx5n4?:3y>5g5=9ji014m52558yvc32909w0k<:015?8c22;;>7p}l7;297~;6j:0:oi52c98150=:9=o1=>;4}rg2>5<5s4o:6?:8;<1ee?74>2wx8>;50;0x91512;;>70:<8;300>{tnl0;6>u2fd8102=:no0i963i1;301>{t;<>1<7720349>?7?<6:p51b=838p1<:j:336?873>3;8:6s|9783>6}:9k91=nh4=859643<58>n6<=8;|qe=?6=:r7m47l:;7723ty8984?:3y>703=:==01>;<:016?xudj3:1?v3la;`6?8e>2k?01nm52078yvcb2909w0k8:262?8ca2;;>7p}i0;297~;b?39?>63jf;301>;a938:96s|f783>7}:mo0:?;52f68150=z{:n36=4={<1g=?46=278h:4>359~w<>=838p146525589<1=9:<0q~:;1;296~;3;m088<52450964372034l:6<=9;|q747<72;q68==52078916e289>7p};0583>7}:<9?1><;4=524>4533tyh57>52z?`=?43?27h47?<6:p=<<72;q6544=469>=2<6;<1v>h;:18185a;3h>70=i5;021>{tn10;6>u21c195fc<5o21>994=06f>4513ty:5:4?:5y>5g5=9jh01<78:364?873k3;2;63:f;3:3>{tm;0;6?u2e08a1>;b;38:96s|c`83>7}:kh098:52c98270=z{8?:6=4={<364?d234;=>7?:1:p6a>=838p1?j7:364?84c?3;8:6s|3g;94?4|5:li6<=;;<1ee?46=2wx?io50;0x96bf2;><707l:c38yv52>3:1?v3<548a1>;4==0i963<568150=z{8><6=4={<370?d234;?o7?;7:p6a?=838p1?j6:364?84c?3;896s|34094?4|5:?86??:;<676?74?2wx9o4?:3y>1=j7;m;|qe6?6=;r7m?7<>5:?e3?74=27?8;4>359~wc2=838p1k;520789c1=9:<0q~?m4;291~;6j:09>:5215a95g2<54d334;=87?<5:p5g3=83=p1;6>=0:?;52e48270=:;m31=>;4=52:>4523ty:n;4?:9y>5g5=:;h01<:l:0`5?83a28h=70?92;3a2>;6>=0:?:52e48273=:;m31=>84=52:>4513ty:n:4?:9y>5g5=:;n01<:l:0`4?83a28h<70?92;3a3>;6>=0:?552e48272=:;m31=>94=52a>4513ty:n54?:8y>5g5=:;l01<:l:0`;?83a28h370?92;3a<>;4n<0:?852176956?<5l?1=>64=2f:>45?34>;n7?<7:p5g?=833p1;6>;0:n4523g79560<5845>349o57?<9:?74g<6;11v{t;m<1<7g3<5:n<6??:;|q772<72;q68>>5b49>06>=:8?0q~7k:1818?d2k?014k52078yv4ci3:1?v3=d88a1>;5l10i963=dc8150=z{0k1<7=t=8;9f0=:110i9636b;021>{t<=?1<7g7<5=>=6??:;|q74=<72;q68=7520789164289=7p};0`83>6}:<9h1><;4=526>45134>8:7?<6:p050=838p1>hk:c7891602;;>7p}=d783>6}:9k91=i>4=3f4>77234;?i7?<8:p513=839p1<:l:066?871:3;?963>478150=z{8<86=4={<356?71;27::94=149~w1242909w0:;2;301>;3<=09=85rsdf94?3|5l=1>8=4=g1956?<5o?1=>74=ga956?<5=>?6<=:;%10g?cd3g98n7>4}rga>5<2s4o<6?;>;45?34l>6<=:;45?34>?87?<6:&07f;|qfe?6==r7n;7<;f:?e7?74?27m97?<6:?eg?74?27?894>389'76e=mj1e?>l52:pa<<72b6<6;?16j84>369>bf<6;?1689:51258 65d2li0b>=m:29~w`>=83?p1h9525:89c5=9:?01k;512:89ce=9:?019:;:01;?!54k3oh7c=c;07I5?93;pD;|N0<7<5sg>>j79m;%66a?04}5;3wQ?>o52z36>7c=ufk86=44o23f>5<1<75`31`94?=h;l81<75fe783>>i4lk0;66g>of=3:17b?<1;29?j25;3:17b7?:188m627290/>>m532d8j75e2910e>=j:18'66e=;:l0b?=m:098m65c290/>>m532d8j75e2;10n>6<:182>5<7s-9?97=:0:J03c=h::21<75rb31g>5<0280{%66a?65;n01=?6=3f89n7>5;n01`?6=3`;hm7>5;h3`f?6=3k;9o7>57;59e~J4080:wE?k1:'713=::n0e?750;9l67d=831d>?j50;9a552=8391>7:tL2:2>4}O9m;0q)=;5;337>oe=3:17b<;7;29?ld72900n:4?:283>5}#;=?1==?4H25e?I5?93;p(<:=:57a?xof93:17dl::188k7202900qol;:181>5<7s-9?97?;3:J03c=n9:>1<75`20794?=z{k:1<70q~<>1;296~;02h;01o:52078yv46:3:1>v38:364?[43?2wx><=50;0xZg3<5>0i96srb032>5<42;0?wA=71;3xL4b63t.8884>029jf0<722e98:4?::ka4?6=3k=1<7=50;2x 62228::7E=8f:N0<4<6s-;?>7::b:je4<722ci97>5;n073?6=3thi87>52;294~"4<<0:8>5G36d8m4532900c??::188yvd72909wSl?;<`7>4533ty9=<4?:3y>3?g634h?6??:;|q157<72;q6;7<;7:\102=z{;;86=4={_`6?81=j<1vqo?>0;291?4=>r.8884>119j552=831b=no50;9j5fd=831b=>6;;4H25e?l74=3:17d?<6;29?l74?3:17b<>5;29?xd68>0;6>4?:1y'713=:820D>9i;h301?6=3`;8:7>5;n021?6=3ty:<94?:3y]552<58:<6<=:;|q2gd<72;qU=no4=02;>4503ty:oo4?:3y]5fd<58:36<=:;|q254<72;qU=4513ty:<84?:3y]553<58:<6??:;|q243<72;q6==6520789460289=7psm10g94?2=;3:::03f?l7di3:17d?>1;29?l77<3:17b?>2;29?g76?3:1?7>50z&000<1:2B8;k5f12794?=n9:<1<75`20794?=zj8;?6=4<:183!53=3<97E=8f:k270<722c:?;4?::m150<722wi=<850;194?6|,:>>6??7;I14b>o6;<0;66g>3783>>i59<0;66s|1bc94?5|V8ij70?>7;301>;69=0:?;5rs032>5<5sW;:=63>168273=z{8:?6=4={_330>;69=0:?85rs031>5<5sW;:>63>178150=z{8;86=4={<323?46=27:=;4>349~w4732909w0?>4;021>;69?0:?;5r}c01N4?o1b=>;50;9j560=831d><;50;9~f74f29086=4?{%171?053A93483>>o6;?0;66a=1483>>{e:;o1<7=50;2x 6222?80D>9i;h301?6=3`;8:7>5;n021?6=3ty:<94?:7y>552=:==01;4=30f>4513ty:=<4?:7y>547=:==0184=30f>4523ty:ol4?:2y]5fg<58;;6521169f5=:98;1n=5rs304>5<5sW89;63=298150=z{;826=4={_01=>;5:h09=85rs30g>5<5sW89h63=2d8150=z{8:>6=4={<330?d234;:<7??5:p544=838p1:c78947b28;97ps|b183>7}Yj916=?m5b29~w7402909wS<=7:?26f<5:>1v?<6:181[45127:>n4=289~w74e2909wS<=b:?26f<5:k1v?n4=2e9~w4ef2909wS?la:?26f<6kh1vn4>cc9~yg53k3:1?7?53zN0<4<6sA;o=6sC39095~"3=l0;7p*<4482b4=nj<0;66a=4683>>oe83:17o950;194?6|,:>>6<>>;I14b>of93:17dl::188k7202900q~l?:181[d734=1m<5rs332>5<5s4=1>994^364?xu59;0;6?uQb49>3?d23twi?9850;496?g|D:2:6m<:543?!22?38?;6*;528a4>h3h3=80:7p*<4482`==]i90:w?o5}[10e?4|:j09j7sfb183>>o4<80;66a=5083>!44k38><6`=3c83?>i5h5;k0:76a=4d83>!44k38><6`=3c81?>i5<10;6)<h5;k0876l8:18b>1"3=<0i96*;568102=#<<91n=5a45d95>"3=0088<5a44395>{#;=?1=hl4Z`296~4f2;21qW=3wbn=4?::k004<722e99<4?:%00g?4282d9?o4?;:m10c<72-88o7<:0:l17g<632e98h4?:%00g?4282d9?o4=;:m10=<72-88o7<:0:l17g<432cij7>5$31`>gcoek3:1(?=l:cg8j75e2;10eo850;&17f5<32;0>wA=71;3xL4b63tF84?48{%66`?5d:2.?984m5:&712<5<>1/88=5b19m01`=92.?944<409m007=92w/?9;51da8mg6=831bn84?::m102<722c88<4?::`4>5<42808wA=71;3xL4b63t.8884>d`9jf0<722e98:4?::ka4?6=3k=1<7=50;2x 62228::7E=8f:N0<4<6s-;?>7::b:je4<722ci97>5;n073?6=3tyi<7>52z\a4>;02h;0q~<>1;296~;02;><7S<;7:p644=838pRo;4=68a1>{zj:k;6=4<:183!53=3<97E=8f:k270<722c:?;4?::m150<722wxn=4?:3y]f5=:?3h;7p}m5;296~Xe=278m=4>379~w7202909wS<;7:?4>7203ty88<4?:3y]717<5:k;6<=:;|q0=c<72;q6;7l:;<1b4?46=2wvnl4?:581>0}K;1;1=vF>d09~H6>52>q/88j53b08 1322k?0(9;8:364?!22;3h;7c:;f;38 13>2:>:7c::1;38y!53=3;nh6gm0;29?ld22900c?:8:188m6262900n:4?:282>6}K;1;1=vF>d09~ 62228nj7dl::188k7202900eo>50;9a3?6=;3:1l0@>6>:0y'514=<:188mg3=831d>9950;9~wg6=838pRo>4=68b5>{t:8;1<72;296~Xe=27<6o;4}|`0e5<72:0;6=u+357927=O;>l0e<=::188m4512900c??::188yvd72909wSl?;<59f5=z{k?1<77}Y:==01:4=469~w6262909wS=;1:?0e5<6;<1v>7i:18181=j<16?l>52078yxd6l3:187<55zN0<4<6sA;o=6sC39093~"3=m08o?5+4479f0=#<<=1>994$570>g6m6<5+44;97174cb3`h;6=44ic794?=h:==1<75f35394?=e?3:1?7?53zN0<4<6sA;o=6s+35795ag5<7s-9?97??1:J03c=K;1;1=v*>43871g=zah;1<75fb483>>i5<>0;66s|b183>7}Yj916;7o>;|q154<72;q6;7<;7:\102=z{;;96=4={_`6?81=j<1vqo=n0;297?6=8r.888492:J03c=n9:?1<75f12494?=h:8?1<75rsc294?4|Vk:01:4m0:pf0<72;qUn8523`2956052z\102=:?38?;6s|35394?4|V:>:70=n0;301>{t;0l1<7;4i909=85r}c01>5<32;0>wA=71;3xL4b63tF84?48{%66`?5d:2.?984m5:&712<5<>1/88=5b19m01`=92.?944<409m007=92w/?9;51dd8mg6=831bn84?::m102<722c88<4?::`4>5<42808wA=71;3xL4b63t.8884>d`9jf0<722e98:4?::ka4?6=3k=1<7=50;2x 62228::7E=8f:N0<4<6s-;?>7::b:je4<722ci97>5;n073?6=3tyi<7>52z\a4>;02h;0q~<>1;296~;02;><7S<;7:p644=838pRo;4=68a1>{zj:k;6=4<:183!53=3<97E=8f:k270<722c:?;4?::m150<722wxn=4?:3y]f5=:?3h;7p}m5;296~Xe=278m=4>379~w7202909wS<;7:?4>7203ty88<4?:3y]717<5:k;6<=:;|q0=c<72;q6;7l:;<1b4?46=2wvo>50;7xZg6<5>0i<63n:c2894b=j916>?4m0:p717=83?pR>:>;<59717<5h088<521e8004=::;088<5rs372>5<5sW8>=63=2;073>"4;j099=5a32`94>{t:=l1<7<7)=h4;k0:7p}=4d83>7}Y:=o01l4=469'76e=:<:0b>=m:39~w72?2909wS<;8:?4>7203-98o7<:0:l07g<43tyij7>52z\ab>;5:3h>7)=gc=m:29~yg47;3:14785azN0<4<6sA;o=6sC39091~"3=m08nn5+4449gc=#vi4=7;l77`=83.9?n4<2d9m66d=821d??j50;&17f<4:l1e>>l51:9l77e=83.9?n4<2d9m66d=:21d??850;&17f<4:l1e>>l53:9j`<<72-88o7j7;o00f?6<3`n<6=4+22a9`==i::h1=65fd783>!44k3n37c<>m5d99m66d=;21i>=650;195?5|D:2:6<::188f6>e29086>4:{%171?5?j2chh7>5;haf>5<6=44b2:;>5<4290;w)=;5;41?M50n2c:?84?::k273<722e9=84?::a7=?=8391<7>t$266>34<@:=m7d?<5;29?l74>3:17b<>5;29?xd40h0;6>4?:1y'713=:820D>9i;h301?6=3`;8:7>5;n021?6=3tyhh7>53z\``>;4010:?;5239;95636=4={_111>;40h09=85rs2:4>5<5s49347<>5:?067:18185?138:963<8`8273=zuzio6=4={_ag?85?j3io7p}le;296~Xdm2784o4le:p773=838pR><:;<1;f?55=2wvn?>6:180>4<4sE93=7?tH0f2?x"4<<0:jl5fce83>>odm3:17b==5;29?g5?j3:1?7=55z&000<40k1boi4?::k`a?6=3f9997>5;c1;N4?o1b=>;50;9j560=831d><;50;9~f6>>29086=4?{%171?053A93483>>o6;?0;66a=1483>>{e;1k1<7=50;2x 6222;;37E=8f:k270<722c:?;4?::m150<722wxoi4?:2y]ga=:;121=>84=2::>4523tyhi7>53z\`a>;4010:?85239;956052z\060=:;1k1><;4}r1;3?6=:r78454=149>7=g=9:?0q~=78;296~;40009=85239c956052z\``>;40k0hh6s|cd83>7}Ykl16?5l5cd9~w6422909wS==5:?0{I3g5>{#;=?1=ko4ibf94?=nkl0;66a<2483>>d40k0;6>4<:4y'713=;1h0enj50;9jg`<722e8>84?::`0<=<72:0;6=u+357927=O;>l0e<=::188m4512900c??::188yg5?13:1?7>50z&000<1:2B8;k5f12794?=n9:<1<75`20794?=zj:2j6=4<:183!53=38:46F<7g9j563=831b=>850;9l643=831vnj50;1xZfb<5:236<=9;<1;=?74=2wxoh4?:2y]g`=:;121=>;4=2::>4513ty8>84?:3y]773<5:2j6??:;|q0<2<72;q6?565207896>f289>7p}<8983>7}:;131><;4=2:b>4513twxoi4?:3y]ga=:;1h1oi5rsbg94?4|Vjo01>6m:bg8yv55=3:1>vP<249>7=d=;;?0qpl6<729q/?9;5609K72`6=44i015>5<6=44}c1b6?6=;3:1N4?o1b=>;50;9j560=831d><;50;9~f65029096=4?{%171?73;2B8;k5f12694?=h:8?1<75rs2;e>5<4s48;57mk;<1b4?46=278m?4>379~w6g62909w0"4;j08>h5a32`94>{t;;n1<7"4;j08>h5a32`96>{t;;<1<77}Yl016>=o5cd9'76e=l11e?>l50:p`2<72:qUh:5221;9g`=:;h81=>;4$21`>a>7:bg896g7289>7)=278?:4>359'76e=l11e?>l53:~wg6=838pRo>4=68a4>{t;=;1<79h50;1xZ72a34=1>9h4=320>a1<,:9h6?;?;o10f?753z\10`=:?38?i63=028g2>"4;j099=5a32`96>{t:=21<7=t^36;?81=:=201?><:e28 65d2;?;7c=?3:1>v38:cd897642:8m7)=h4;k0;7p}<9483>7}:?3ho70"4;j085;5a32`95>{t;0>1<7;58:08>n5+32a97<05<5s4=1n;522119770<,:9h6>79;o10f?56}K;1;1=vF>d09~H6>528q/88k50:'713=9o;0eo;50;9l611=831bn=4?::`4>5<4290;w)=;5;335>N4?o1bm<4?::ka1?6=3f8?;7>5;|qa4?6=:rTi<638:`38yv4693:1>v38:364?[43?2wx><<50;0xZg3<5>0i96srb23f>5<42808wA=71;3xL4b63tF84?4>{%66a?65;h`3>5<0;6>4?:1y'713=99;0D>9i;hc2>5<1U>994}r026?6=:rTi9638:c78yxd6nk0;6;|N0<7>i7>4$57g>6e73-9h?7:90:&712<5<>1/88=5b19m01`=82.?994m1:l715<63->>57=;1:l714<63t.8884>d89Ye5<6s8<1qW=5;n07`?6=,;9h6?:l;o00f?6<3f8?n7>5$31`>72d3g88n7?4;n07e?6=,;9h6?:l;o00f?4<3f8?57>5$31`>72d3g88n7=4;n06;7>5$31`>72d3g88n7;4;n062?6=,;9h6?:l;o00f?0<3f8>97>5$31`>72d3g88n794;n060?6=,;9h6?:l;o00f?><3f8>?7>5$31`>72d3g88n774;n065?6=,;9h6?:l;o00f?g<3f8?j7>5$31`>72d3g88n7l4;n07a?6=,;9h6?:l;o00f?e<3f8?47>5$31`>72d3g88n7j4;c594?7a2l0:jvB<8082M7c92wG?5<59z&71a<4k;1/88;5b49'001=:==0(9;<:c28j12a291/88:5b09m006=92.?944<409m007=92w/?9;51g28^d6=:r;=6<=5}[10e?4|910:=7sfb183>>oe93:17d=;1;29?j43l3:1(?=l:36`?k44j3:07b<;b;29 75d2;>h7c<h7c<07b<:7;29 75d2;>h7c<3:1(?=l:36`?k44j3<07b<:5;29 75d2;>h7c<h7c<h7c<h7c<>m5b`9m66d=821bn44?:%00g?df3g88n7?4;h`;>5<#::i1nl5a22`96>=nj>0;6)<>l54:9jg0<72-88o7ln;o00f?3<3`i?6=4+22a9fd=i::h1:65fc283>!44k3hj7c<>m5b`9m66d=021bo<4?:%00g?df3g88n774;h`e>5<#::i1nl5a22`9e>=njm0;6)<>l5c:9jf3<72-88o7ln;o00f?b<3k=1<7;54;:xH6>628qC=i?4}M1;6??|,=?o6>m=;%661?d23->>;7<;7:&716><7?4$57:>6263g>>=7?4}%171?7a:2ci<7>5;h`2>5<{I3g5>{#;=?1=io4ic794?=h:==1<75fb183>>oe93:17do7:188f2<72<0;6=u+3579554<@:=m7do9:188md>=831bm<4?::ka1?6=3f8?;7>5;|`0<5<7280;6=u+3579565<@:=m7b?<2;29?xue83:1>vPm0:?4>d7:18185?83;8>638:`:8yv46:3:1>v38:364?[43?2wx><=50;0xZg3<5>0i96srb2c3>5<4290;w)=;5;41?M50n2c:?84?::k273<722e9=84?::a7g?=8391<7>t$266>77?3A93483>>o6;?0;66a=1483>>{e13:1>7>50z&000<6<:1C?:h4i017>5<6=44}r`3>5<5sWh;70751268yvd62909wSl>;<1a=?74>2wxn84?:3y]f0=:;h:1=>84}r073?6=:rT98:527;073>{t;=;1<7=t^262?85f83;8963o?:336?xu4j10;6?u27;`2?85e138:96srb`83>0<321qG?5?51zJ2`4=zD:2964u+44f97f4<,=?>6o;4$574>7203->>?7l?;o67b?6<,=??6o?4n573>4=#<<31?9?4n572>4=z,:>>65<>i5<>0;66g<4083>>d0290>6?4:{M1;5?7|@8n:7p*<4482`d=nj<0;66a=4683>>oe83:17dl>:188md>=831i;7>55;294~"4<<0:6=44o364>5<51;294~"4<<0:?>5G36d8k4552900q~l?:181[d734=1m<5rsc394?4|Vk;01:4n6:p647=838p1>6?:011?81=i11v??=:18181=:==0R?:8;|q156<72;qUn8527;`6?x{e;h:1<7=50;2x 6222?80D>9i;h301?6=3`;8:7>5;n021?6=3th8n44?:283>5}#;=?1><64H25e?l74=3:17d?<6;29?j46=3:17pl6:181>5<7s-9?97?;3:J03c=n9:>1<75`20794?=z{k:1<7<6=4={_073>;02;><7p}<4083>6}Y;=;01>o?:016?85e13;896s|8;296~;02k:0144=149~w6?a2909w095b49>7d6=:8?0q~=m8;296~;02k;01>l6:336?x{e9m0;684;:9yO7=7=9rB:h<5rL2:1><}#<g3<,=?<6?:8;%667?d73g>?j7>4$577>g74`53`h;6=44ic394?=nj<0;66a=4683>>o4<80;66l8:186>7<2sE93=7?tH0f2?x"4<<0:hl5fb483>>i5<>0;66gm0;29?ld62900el650;9a3?6==3:1l0el850;9je=<722cj=7>5;h`6>5<<6=44}c1;4?6=93:1l0c<==:188yvd72909wSl?;<59e4=z{k;1<72wx>728997095a99~w7752909w0952558Z7203ty9=>4?:3y]f0=:?3h>7psm3`294?5=83:p(>:::708L61a3`;897>5;h302?6=3f8:97>5;|`0f<<72:0;6=u+357964><@:=m7d?<5;29?l74>3:17b<>5;29?xd>29096=4?{%171?73;2B8;k5f12694?=h:8?1<75rsc294?4|Vk:0144>359~wg7=838pRo?4=2`:>4513tyi97>52z\a1>;4i90:?;5rs364>5<5sW8?;638:364?xu4<80;6>uQ353896g7289>70=m9;301>{t03:1>v38:c289<<59<1v>7i:18181=j<16?l>52078yv5e03:1>v38:c3896d>2;;>7psm2383>0<321qG?5?51zJ2`4=zD:2964u+44f97f4<,=?>6o;4$574>7203->>?7l?;o67b?6<,=??6o?4n573>4=#<<31?9?4n572>4=z,:>>65<>i5<>0;66g<4083>>d0290>6?4:{M1;5?7|@8n:7p*<4482`d=nj<0;66a=4683>>oe83:17dl>:188md>=831i;7>55;294~"4<<0:6=44o364>5<51;294~"4<<0:?>5G36d8k4552900q~l?:181[d734=1m<5rsc394?4|Vk;01:4n6:p647=838p1>6?:011?81=i11v??=:18181=:==0R?:8;|q156<72;qUn8527;`6?x{e;h:1<7=50;2x 6222?80D>9i;h301?6=3`;8:7>5;n021?6=3th8n44?:283>5}#;=?1><64H25e?l74=3:17d?<6;29?j46=3:17pl6:181>5<7s-9?97?;3:J03c=n9:>1<75`20794?=z{k:1<7<6=4={_073>;02;><7p}<4083>6}Y;=;01>o?:016?85e13;896s|8;296~;02k:0144=149~w6?a2909w095b49>7d6=:8?0q~=m8;296~;02k;01>l6:336?x{e:<0;684;:9yO7=7=9rB:h<5rL2:1><}#<g3<,=?<6?:8;%667?d73g>?j7>4$577>g74`53`h;6=44ic394?=nj<0;66a=4683>>o4<80;66l8:186>7<2sE93=7?tH0f2?x"4<<0:hl5fb483>>i5<>0;66gm0;29?ld62900el650;9a3?6==3:1l0el850;9je=<722cj=7>5;h`6>5<<6=44}c1;4?6=93:1l0c<==:188yvd72909wSl?;<59e4=z{k;1<72wx>728997095a99~w7752909w0952558Z7203ty9=>4?:3y]f0=:?3h>7psm3`294?5=83:p(>:::708L61a3`;897>5;h302?6=3f8:97>5;|`0f<<72:0;6=u+357964><@:=m7d?<5;29?l74>3:17b<>5;29?xd>29096=4?{%171?73;2B8;k5f12694?=h:8?1<75rsc294?4|Vk:0144>359~wg7=838pRo?4=2`:>4513tyi97>52z\a1>;4i90:?;5rs364>5<5sW8?;638:364?xu4<80;6>uQ353896g7289>70=m9;301>{t03:1>v38:c289<<59<1v>7i:18181=j<16?l>52078yv5e03:1>v38:c3896d>2;;>7psm3183>0<321qG?5?51zJ2`4=zD:2964u+44f97f4<,=?>6o;4$574>7203->>?7l?;o67b?6<,=??6o?4n573>4=#<<31?9?4n572>4=z,:>>65<>i5<>0;66g<4083>>d0290>6?4:{M1;5?7|@8n:7p*<4482`d=nj<0;66a=4683>>oe83:17dl>:188md>=831i;7>55;294~"4<<0:6=44o364>5<51;294~"4<<0:?>5G36d8k4552900q~l?:181[d734=1m<5rsc394?4|Vk;01:4n6:p647=838p1>6?:011?81=i11v??=:18181=:==0R?:8;|q156<72;qUn8527;`6?x{e;h:1<7=50;2x 6222?80D>9i;h301?6=3`;8:7>5;n021?6=3th8n44?:283>5}#;=?1><64H25e?l74=3:17d?<6;29?j46=3:17pl6:181>5<7s-9?97?;3:J03c=n9:>1<75`20794?=z{k:1<7<6=4={_073>;02;><7p}<4083>6}Y;=;01>o?:016?85e13;896s|8;296~;02k:0144=149~w6?a2909w095b49>7d6=:8?0q~=m8;296~;02k;01>l6:336?x{e;k0;684;:9yO7=7=9rB:h<5rL2:1><}#<g3<,=?<6?:8;%667?d73g>?j7>4$577>g74`53`h;6=44ic394?=nj<0;66a=4683>>o4<80;66l8:186>7<2sE93=7?tH0f2?x"4<<0:hl5fb483>>i5<>0;66gm0;29?ld62900el650;9a3?6==3:1l0el850;9je=<722cj=7>5;h`6>5<<6=44}c1;4?6=93:1l0c<==:188yvd72909wSl?;<59e4=z{k;1<72wx>728997095a99~w7752909w0952558Z7203ty9=>4?:3y]f0=:?3h>7psm3`294?5=83:p(>:::708L61a3`;897>5;h302?6=3f8:97>5;|`0f<<72:0;6=u+357964><@:=m7d?<5;29?l74>3:17b<>5;29?xd>29096=4?{%171?73;2B8;k5f12694?=h:8?1<75rsc294?4|Vk:0144>359~wg7=838pRo?4=2`:>4513tyi97>52z\a1>;4i90:?;5rs364>5<5sW8?;638:364?xu4<80;6>uQ353896g7289>70=m9;301>{t03:1>v38:c289<<59<1v>7i:18181=j<16?l>52078yv5e03:1>v38:c3896d>2;;>7psm3b83>0<321qG?5?51zJ2`4=zD:2964u+44f97f4<,=?>6o;4$574>7203->>?7l?;o67b?6<,=??6o?4n573>4=#<<31?9?4n572>4=z,:>>65<>i5<>0;66g<4083>>d0290>6?4:{M1;5?7|@8n:7p*<4482`d=nj<0;66a=4683>>oe83:17dl>:188md>=831i;7>55;294~"4<<0:6=44o364>5<51;294~"4<<0:?>5G36d8k4552900q~l?:181[d734=1m<5rsc394?4|Vk;01:4n6:p647=838p1>6?:011?81=i11v??=:18181=:==0R?:8;|q156<72;qUn8527;`6?x{e;h:1<7=50;2x 6222?80D>9i;h301?6=3`;8:7>5;n021?6=3th8n44?:283>5}#;=?1><64H25e?l74=3:17d?<6;29?j46=3:17pl6:181>5<7s-9?97?;3:J03c=n9:>1<75`20794?=z{k:1<7<6=4={_073>;02;><7p}<4083>6}Y;=;01>o?:016?85e13;896s|8;296~;02k:0144=149~w6?a2909w095b49>7d6=:8?0q~=m8;296~;02k;01>l6:336?x{e;m0;684;:9yO7=7=9rB:h<5rL2:1><}#<g3<,=?<6?:8;%667?d73g>?j7>4$577>g74`53`h;6=44ic394?=nj<0;66a=4683>>o4<80;66l8:186>7<2sE93=7?tH0f2?x"4<<0:hl5fb483>>i5<>0;66gm0;29?ld62900el650;9a3?6==3:1l0el850;9je=<722cj=7>5;h`6>5<<6=44}c1;4?6=93:1l0c<==:188yvd72909wSl?;<59e4=z{k;1<72wx>728997095a99~w7752909w0952558Z7203ty9=>4?:3y]f0=:?3h>7psm3`294?5=83:p(>:::708L61a3`;897>5;h302?6=3f8:97>5;|`0f<<72:0;6=u+357964><@:=m7d?<5;29?l74>3:17b<>5;29?xd>29096=4?{%171?73;2B8;k5f12694?=h:8?1<75rsc294?4|Vk:0144>359~wg7=838pRo?4=2`:>4513tyi97>52z\a1>;4i90:?;5rs364>5<5sW8?;638:364?xu4<80;6>uQ353896g7289>70=m9;301>{t03:1>v38:c289<<59<1v>7i:18181=j<16?l>52078yv5e03:1>v38:c3896d>2;;>7psm3d83>0<321qG?5?51zJ2`4=zD:2964u+44f97f4<,=?>6o;4$574>7203->>?7l?;o67b?6<,=??6o?4n573>4=#<<31?9?4n572>4=z,:>>65<>i5<>0;66g<4083>>d0290>6?4:{M1;5?7|@8n:7p*<4482`d=nj<0;66a=4683>>oe83:17dl>:188md>=831i;7>55;294~"4<<0:6=44o364>5<51;294~"4<<0:?>5G36d8k4552900q~l?:181[d734=1m<5rsc394?4|Vk;01:4n6:p647=838p1>6?:011?81=i11v??=:18181=:==0R?:8;|q156<72;qUn8527;`6?x{e;h:1<7=50;2x 6222?80D>9i;h301?6=3`;8:7>5;n021?6=3th8n44?:283>5}#;=?1><64H25e?l74=3:17d?<6;29?j46=3:17pl6:181>5<7s-9?97?;3:J03c=n9:>1<75`20794?=z{k:1<7<6=4={_073>;02;><7p}<4083>6}Y;=;01>o?:016?85e13;896s|8;296~;02k:0144=149~w6?a2909w095b49>7d6=:8?0q~=m8;296~;02k;01>l6:336?x{ej3:197:58zN0<4<6sA;o=6sC3909=~"3=m08o?5+4479f0=#<<=1>994$570>g6m6=5+4469f4=i<<:1=6*;588004=i<<;1=6s+35795c4>oe=3:17b<;7;29?l5393:17o950;796?3|D:2:65<2290;w)=;5;336>N4?o1bm;4?::kb5<6290;w)=;5;307>N4?o1d=><50;9~wg6=838pRo>4=68b5>{tj80;6?uQb09>3?g13ty9=<4?:3y>7=6=9:801:4n8:p644=838p1:4=469]61152z\a1>;02k?0qpl6<729q/?9;5639K72`6=44i015>5<6=44}c1a=?6=;3:1l0e<=::188m4512900c??::188yg?=8381<7>t$266>4243A93583>>i59<0;66s|b183>7}Yj91657?<4:pf4<72;qUn<523c;95606=4={_`6?85f83;8:6s|25594?4|V;><70952558yv5393:1?vP<409>7d6=9:?01>l6:016?xu?2909w095b19>=?46=2wx?4h50;0x927g?=:8?0qpll:186>1"3=<0i96*;568102=#<<91n=5a45d94>"3==0i=6`;5182?!22139?=6`;5082?x"4<<0:j?5fb183>>oe93:17dl::188k7202900e>:>:188f2<72<0968uC39395~N6l81v(>:::0fb?ld22900c?:8:188mg6=831bn<4?::kb>{e;1:1<7?50;2x 62228987E=8f:m277<722wxn=4?:3y]f5=:?3k:7p}m1;296~Xe927<6l84}r025?6=:r784=4>339>3?g?3ty9=?4?:3y>3?43?2T98:5rs330>5<5sWh>7095b49~yg5f83:1?7>50z&000<1:2B8;k5f12794?=n9:<1<75`20794?=zj:h26=4<:183!53=38:46F<7g9j563=831b=>850;9l643=831vn44?:383>5}#;=?1=9=4H25e?l74<3:17b<>5;29?xue83:1>vPm0:?:>4533tyi=7>52z\a5>;4j00:?;5rsc794?4|Vk?01>o?:015?xu5<>0;6?uQ255892<5<>1v>:>:180[539278m=4>349>7g?=9:?0q~650;0x924}O9m;0qA=72;;x 13c2:i97)::5;`6?!22?38?;6*;528a4>h3oe83:17dl>:188mg3=831d>9950;9j717=831i;7>55;091~J4080:wE?k1:'713=9mk0eo;50;9l611=831bn=4?::ka5?6=3`k36=44b683>0<729q/?9;51108L61a3`k=6=44i`:94?=ni80;66gm5;29?j43?3:17pl<8183>4<729q/?9;51218L61a3f;8>7>5;|qa4?6=:rTi<638:`38yvd62909wSl>;<59e3=z{;;:6=4={<1;4?74:27<6l64}r026?6=:r7<6?:8;_073>{t:891<7o?:180>5<7s-9?978=;I14b>o6;<0;66g>3783>>i59<0;66sm3c;94?5=83:p(>:::33;?M50n2c:?84?::k273<722e9=84?::a=?6=:3:1l0e<=;:188k7722900q~l?:181[d73431=>:4}r`2>5<5sWh:70=m9;302>{tj<0;6?uQb49>7d6=9:<0q~<;7;296~X5<>16;7<;7:p717=839pR>:>;<1b4?74=278n44>349~w=<72;q6;7l?;<;964352z?4>g3<5:k;6??:;|q0f=<72;q6;7l>;<1a=?46=2wvn<>50;790?>|D:2:67)::7;073>"3=:0i<6`;4g83?!22<3h:7c::0;38 13>2:>:7c::1;38y!53=3;m>6gm0;29?ld62900eo;50;9l611=831b?9?50;9a3?6==3819vB<8082M7c92w/?9;51ec8mg3=831d>9950;9jf5<722ci=7>5;hc;>5<0;684?:1y'713=9980D>9i;hc5>5<>oe=3:17b<;7;29?xd4090;6<4?:1y'713=9:90D>9i;n306?6=3tyi<7>52z\a4>;02h;0q~l>:181[d634=1m;5rs332>5<5s493<7?<2:?4>d>7>52z?4>7203W8?;6s|20194?4|Vk?01:4m5:~f6g729086=4?{%171?053A93483>>o6;?0;66a=1483>>{e;k31<7=50;2x 6222;;37E=8f:k270<722c:?;4?::m150<722wi57>52;294~"4<<0:8>5G36d8m4532900c??::188yvd72909wSl?;<;95627}Yj<16?l>51248yv43?3:1>vP=469>3?43?2wx?9?50;1xZ626349j<7?<5:?0f<<6;<1v54?:3y>3?d73431><;4}r1:b?6=:r7<6o;4=2c3>7723ty8n54?:3y>3?d6349i57<>5:~wg6=83lpRo>4=68a4>;f2k:0167g6<5:h1n=523b8a4>;4l3h;70=j:c289g4=e8a4>;683h;7p}m1;29b~Xe927<6o?4=`8a5>;6l3h:70<=:c38973=j816?=4m1:?0f?d6349h6o?4=2f9f4=:;l0i=63m:c389f:6=4i{_175>;02:>:70o5353894b=;=;01?<53538973=;=;01>>5353896d=;=;01>m5353896b=;=;01>k535389g<4<816o7=;1:?g>62634;;6>:>;|q10a<72;qU>9j4=029611<,:9h6?:l;o10f?652z\10g=:l38?;6*<3b810f=i;:h1=6s|25c94?4|V;>j70m52558 65d2;>h7c=vP=489>f?43?2.8?n4=4b9m76d=;2wx>8650;0xZ73?349n6?:8;%10g?43k2d8?o4;;|q112<72;qU>894=2f9611<,:9h6?:l;o10f?3:7>52z\113=:;j098:5+32a961e5<5sW8>963"4;j098n5a32`93>{t:<>1<7<7)=h4;k037p}=5283>7}Y:<901?;52558 65d2;>h7c=vP=509>67<5<>1/?>m525a8j65e2h1v?:i:181[43n27:h7<;7:&07f<5l5b:p61c=838pR?:j;52z\10==:?38?;6*<3b810f=i;:h1h6s|bc83>7}Yjk16==4m5:&07f=m:09~wg>=838pRo64=b8a1>"4;j0im6`<3c81?xue?3:1>vPm7:?a>g3<,:9h6oo4n21a>6=z{j<1<7m5b`9m76d=<2wxo84?:3y]g0=:;m0i96*<3b8ae>h4;k0>7p}l4;296~Xd<278o7l:;%10g?df3g98n784}ra0>5<5sWi870=m:c78 65d2kk0b>=m:69~wf4=838pRn<4=229f0=#;:i1nl5a32`9<>{tk80;6?uQc09>603tyij7>52z\ab>;5:3h>7)=gg=m:e9~yg47;3:1=n4>a;0;I5?93;pD;|N0<7<2s->>h7=mc:&7139;31>xi4:k0;6)<h5;k0;76a<2883>!44k399m6`=3c82?>i4:10;6)<h5;k0976a<2683>!44k399m6`=3c80?>i4;?0;6)<h5;k0?76a<3483>!44k399m6`=3c86?>i4;=0;6)<h5;k0=76a<3283>!44k399m6`=3c84?>i4;;0;6)<h5;k0376a<3083>!44k399m6`=3c8:?>i4:o0;6)<h5;k0j76a<2e83>!44k399m6`=3c8a?>i4:j0;6)<h5;k0h76a<2783>!44k399m6`=3c8g?>oc=3:1(?=l:e68j75e2910ei=50;&17f;:kg6?6=,;9h6i:4n31a>7=h5;k0876gj0;29 75d2m>0b?=m:598ma`=83.9?n4k4:l17g<232coi7>5$31`>a2ock3:1(?=l:e68j75e2110eil50;&17fd=h5;k0i76gk6;29 75d2m>0b?=m:b98ma6=83.9?n4k4:l17g6}K;1;1=vF>d09~ 62228lj7dmk:188mfc=831d??;50;9a7=d=8391?7;t$266>6>e3`io6=44ibg94?=h;;?1<75m39:94?5=83:p(>:::708L61a3`;897>5;h302?6=3f8:97>5;|`0<<<72:0;6=u+357927=O;>l0e<=::188m4512900c??::188yg5?i3:1?7>50z&000<5911C?:h4i016>5<5<?289>70=79;302>{t;;?1<7>2;;>70=7a;302>{z{jn1<7e2jn0q~mj:181[eb3493n7mj;|q060<72;qU??;4=2:a>6423twi>=750;195?5|D:2:6<::188f6>e29086>4:{%171?5?j2chh7>5;haf>5<6=44b2:;>5<4290;w)=;5;41?M50n2c:?84?::k273<722e9=84?::a7=?=8391<7>t$266>34<@:=m7d?<5;29?l74>3:17b<>5;29?xd40h0;6>4?:1y'713=:820D>9i;h301?6=3`;8:7>5;n021?6=3tyhh7>53z\``>;4010:?;5239;95636=4={_111>;40h09=85rs2:4>5<5s49347<>5:?067:18185?138:963<8`8273=zuzio6=4={_ag?85?j3io7p}le;296~Xdm2784o4le:p773=838pR><:;<1;f?55=2wvn?>n:180>4<4sE93=7?tH0f2?x"4<<0:jl5fce83>>odm3:17b==5;29?g5?j3:1?7=55z&000<40k1boi4?::k`a?6=3f9997>5;c1;N4?o1b=>;50;9j560=831d><;50;9~f6>>29086=4?{%171?053A93483>>o6;?0;66a=1483>>{e;1k1<7=50;2x 6222;;37E=8f:k270<722c:?;4?::m150<722wxoi4?:2y]ga=:;121=>84=2::>4523tyhi7>53z\`a>;4010:?85239;956052z\060=:;1k1><;4}r1;3?6=:r78454=149>7=g=9:?0q~=78;296~;40009=85239c956052z\``>;40k0hh6s|cd83>7}Ykl16?5l5cd9~w6422909wS==5:?0{I3g5>{#;=?1=ko4ibf94?=nkl0;66a<2483>>d40k0;6>4<:4y'713=;1h0enj50;9jg`<722e8>84?::`0<=<72:0;6=u+357927=O;>l0e<=::188m4512900c??::188yg5?13:1?7>50z&000<1:2B8;k5f12794?=n9:<1<75`20794?=zj:2j6=4<:183!53=38:46F<7g9j563=831b=>850;9l643=831vnj50;1xZfb<5:236<=9;<1;=?74=2wxoh4?:2y]g`=:;121=>;4=2::>4513ty8>84?:3y]773<5:2j6??:;|q0<2<72;q6?565207896>f289>7p}<8983>7}:;131><;4=2:b>4513twxoi4?:3y]ga=:;1h1oi5rsbg94?4|Vjo01>6m:bg8yv55=3:1>vP<249>7=d=;;?0qpl=0b83>6<62:qG?5?51zJ2`4=z,:>>65<=8391<7>t$266>34<@:=m7d?<5;29?l74>3:17b<>5;29?xd4000;6>4?:1y'713=>;1C?:h4i016>5<5<53;294~"4<<09=55G36d8m4522900e<=9:188k7722900q~mk:180[ec349347?<6:?0<<<6;<1vnk50;1xZfc<5:236<=:;<1;=?74>2wx??;50;0xZ6423493m7<>5:p7=1=838p1>67:336?85?i3;896s|39:94?4|5:226??:;<1;e?74>2wvnj50;0xZfb<5:2i6nj4}raf>5<5sWin70=7b;af?xu4:<0;6?uQ337896>e2:8>7psm21f94?5=939p@>6>:0yK5a75<<::188f6>?29086=4?{%171?053A93483>>o6;?0;66a=1483>>{e;131<7=50;2x 6222?80D>9i;h301?6=3`;8:7>5;n021?6=3th84l4?:283>5}#;=?1><64H25e?l74=3:17d?<6;29?j46=3:17p}ld;297~Xdl278454>379>7=?=9:?0q~mj:180[eb349347?<5:?0<<<6;?1v><::181[55=2784l4=149~w6>02909w0=78;021>;40h0:?85rs2:;>5<5s49357<>5:?0{t;;?1<75<42808wA=71;3xL4b63t.8884>f`9jga<722chi7>5;n111?6=3k93n7>53;191~"4<<084o5fce83>>odm3:17b==5;29?g5?03:1?7>50z&000<1:2B8;k5f12794?=n9:<1<75`20794?=zj:226=4<:183!53=3<97E=8f:k270<722c:?;4?::m150<722wi?5o50;194?6|,:>>6??7;I14b>o6;<0;66g>3783>>i59<0;66s|ce83>6}Ykm16?565124896>>289>7p}le;297~Xdm278454>349>7=?=9:<0q~==5;296~X4:<16?5o52078yv5??3:1>v3<898150=:;1k1=>;4}r1;7=g=9:<0qp}ld;296~Xdl2784o4ld:pg`<72;qUoh5239`9g`=z{:8>6=4={_111>;40k08>85r}c03b?6=;3;1?vB<8082M7c92w/?9;51gc8mfb=831boh4?::m060<722h84o4?:280>0}#;=?1?5l4ibf94?=nkl0;66a<2483>>d4010;6>4?:1y'713=>;1C?:h4i016>5<5<53;294~"4<<0=>6F<7g9j563=831b=>850;9l643=831vn>6n:180>5<7s-9?97<>8:J03c=n9:?1<75f12494?=h:8?1<75rsbf94?5|Vjn01>67:015?85?13;896s|cd83>6}Ykl16?565127896>>289=7p}<2483>7}Y;;?01>6n:336?xu40>0;6?u239:9643<5:2j6<=:;|q0<=<72;q6?575207896>f289=7ps|ce83>7}Ykm16?5l5ce9~wfc=838pRnk4=2:a>fc52z\060=:;1h1??;4}|`155<72:0:6>uC39395~N6l81v(>:::0db?lec2900enk50;9l773=831i?5l50;197?3|,:>>6>6m;hag>5<9i;h301?6=3`;8:7>5;n021?6=3th8444?:283>5}#;=?1:?5G36d8m4522900e<=9:188k7722900qo=7a;297?6=8r.8884=199K72`6=44i015>5<6=44}rag>5<4sWio70=78;302>;4000:?85rsbg94?5|Vjo01>67:016?85?13;8:6s|33794?4|V:8>70=7a;021>{t;1=1<77723493m7?<5:p7=>=838p1>66:336?85?i3;8:6srsbf94?4|Vjn01>6m:bf8yveb2909wSmj;<1;f?eb3ty8>84?:3y]773<5:2i6><:;|a652=8391=7=tL2:2>4}O9m;0q)=;5;3ee>odl3:17dmj:188k6422900n>6m:180>6<2s-9?97=7b:k``?6=3`in6=44o206>5<>6;<4H25e?l74=3:17d?<6;29?j46=3:17pl<8`83>6<729q/?9;520:8L61a3`;897>5;h302?6=3f8:97>5;|q``?6=;rThh63<898273=:;131=>;4}raf>5<4sWin70=78;301>;4000:?;5rs206>5<5sW99963<8`8150=z{:2<6=4={<1;349~w6>?2909w0=79;021>;40h0:?;5r}rag>5<5sWio70=7b;ag?xudm3:1>vPle:?05;n111?6=3k9347>53;294~"4<<0=>6F<7g9j563=831b=>850;9l643=831vn>66:180>5<7s-9?978=;I14b>o6;<0;66g>3783>>i59<0;66sm39c94?5=83:p(>:::33;?M50n2c:?84?::k273<722e9=84?::pga<72:qUoi5239:9560<5:226<=:;|q`a?6=;rThi63<898270=:;131=>84}r111?6=:rT8>85239c964352z?0<=<59<16?5o51278yv5?03:1>v3<888150=:;1k1=>84}|q``?6=:rThh63<8c8``>{tkl0;6?uQcd9>7=d=kl1v><::181[55=2784o4<249~yg47>3:1?7?53zN0<4<6sA;o=6s+35795cg>i4:<0;66l<8c83>6<425}#;=?1:?5G36d8m4522900e<=9:188k7722900qo=79;297?6=8r.888492:J03c=n9:?1<75f12494?=h:8?1<75rb2:b>5<4290;w)=;5;02<>N4?o1b=>;50;9j560=831d><;50;9~wfb=839pRnj4=2:;>451349357?<5:pg`<72:qUoh5239:9563<5:226<=9;|q060<72;qU??;4=2:b>7723ty84:4?:3y>7=>=:8?01>6n:016?xu4010;6?u239;9643<5:2j6<=9;|pga<72;qUoi5239`9ga=z{jo1<7e2jo0q~==5;296~X4:<16?5l53378yxd58>0;6>4>:2yO7=7=9rB:h<5r$266>4`f3`io6=44ibg94?=h;;?1<75m39`94?5=;3?p(>:::2:a?lec2900enk50;9l773=831i?5650;194?6|,:>>6;<4H25e?l74=3:17d?<6;29?j46=3:17pl<8883>6<729q/?9;5639K72`6=44i015>5<6=44}c1;e?6=;3:1l0e<=::188m4512900c??::188yvec2908wSmk;<1;278444>349~wfc=839pRnk4=2:;>452349357?<6:p773=838pR><:;<1;e?46=2wx?5950;0x96>?2;;>70=7a;301>{t;121<77723493m7?<6:~wfb=838pRnj4=2:a>fb7}Y;;?01>6m:206?x{e;h:1<7=50;2x 6222?;0D>9i;h301?6=3`;8:7>5;n021?6=3th8m?4?:283>5}#;=?1:<5G36d8m4522900e<=9:188k7722900qo=n4;297?6=8r.888491:J03c=n9:?1<75f12494?=h:8?1<75rb2c5>5<4290;w)=;5;42?M50n2c:?84?::k273<722e9=84?::a7d>=8391<7>t$266>37<@:=m7d?<5;29?l74>3:17b<>5;29?xd4ih0;6>4?:1y'713=>81C?:h4i016>5<5<53;294~"4<<0==6F<7g9j563=831b=>850;9l643=831vn>oj:180>5<7s-9?978>;I14b>o6;<0;66g>3783>>i59<0;66sm3c294?5=83:p(>:::738L61a3`;897>5;h302?6=3f8:97>5;|`0f7<72:0;6=u+357924=O;>l0e<=::188m4512900c??::188yg5e<3:1?7>50z&000<192B8;k5f12794?=n9:<1<75`20794?=zj:h=6=4<:183!53=3<:7E=8f:k270<722c:?;4?::m150<722wi?>950;094?6|,:>>6<:<;I14b>o6;=0;66a=1483>>{t;0l1<7=t=32:>fb<5:k;6??:;<1b6?74>2wx?l?50;1x976f2jn01>o=:336?85f<3;8:6s|3`194?5|5;:i6nj4=2c7>772349j:7?<6:p7d3=839p1?>l:bf896g12;;>70=n8;302>{t;h=1<7=t=32g>fb<5:k36??:;<1be?74>2wx?l750;1x976b2jn01>on:336?85fk3;8:6s|3c194?5|5;:=6nj4=2`7>772349i:7?<6:p7dd=839p1?>i:bf896gd2;;>70=ne;302>{t;k?1<7fb<5:h=6??:;|q0ea<72:q6><>5ce9>7dc=:8?01>l?:015?xu4io0;6>u22169ga=:;k:1><;4=2`1>4513ty8n<4?:2y>653=km16?o<5207896d3289=7p}<2c83>7}Y;;h01?>8:206?!54k399m6`<3c83?xu4:00;6?uQ33;897612:8>7)=h4;k0:7p}<2983>7}Y;;201?>::206?!54k399m6`<3c81?xu4:>0;6?uQ335897632:8>7)=h4;k087p}<3783>7}Y;:<01???:206?!54k399m6`<3c87?xu4;<0;6?uQ3278976a2:8>7)=h4;k0>7p}<3583>7}Y;:>01?>j:206?!54k399m6`<3c85?xu4;:0;6?uQ3218976c2:8>7)=h4;k0<7p}<3383>7}Y;:801?>l:206?!54k399m6`<3c8;?xu4;80;6?uQ3238976e2:8>7)=h4;k027p}<2g83>7}Y;;l01?>n:206?!54k399m6`<3c8b?xu4:m0;6?uQ33f8976>2:8>7)=h4;k0i7p}<2b83>7}Y;;i01?>7:206?!54k399m6`<3c8`?xu4:?0;6?uQ334896502;;>7)=h4;k0o7p}k5;296~Xc=279<:4le:&07f;4j?0:?85+32a9`1=i;:h1=6s|d383>6}Yl;16>=;5cd9>7g2=9:?0(>=l:e68j65e2;1vi?50;1xZa7<5;:?6nk4=2`1>4523-98o7j;;o10f?5"4;j0o86`<3c87?xucn3:1?vPkf:?14c349'76e=l=1e?>l55:p``<72:qUhh5221g9g`=:;hi1=>;4$21`>a2k:bg896gf289>7)=53z\gf>;58k0hi63{tl00;6>uQd89>65g=kl16?l:51278 65d2m>0b>=m:`9~wa1=839pRi94=32:>fc<5:k96<=:;%10g?b33g98n7l4}rf5>5<4sWn=70h4;k0h7p}k0;290~Xc8279<54ld:?0e5<6;?16?>951268 65d2m>0b>=m:e9~yvd72909wSl?;<59f5=z{k;1<7;58:0o96*<3b810f=i;:h1<6s|25`94?5|V;>i709525`897642m90(>=l:36`?k54j3;0q~<;a;297~X59750;1xZ72>34=1>974=320>a7<,:9h6?:l;o10f?547>53z\11==:?38>463=028f4>"4;j098n5a32`90>{t:<=1<7=t^374?81=:<=01?><:ed8 65d2;>h7c=3:1?vP=579>3?42>279<>4ke:&07f<5l56:p603=839pR?;:;<59603<5;:86ij4$21`>72d3g98n794}r060?6=;rT999527;060>;58:0oo6*<3b810f=i;:h146s|24194?5|V;?87095241897642mh0(>=l:36`?k54j330q~<:1;297~X5=816;7<:1:?1469h50;1xZ72a34=1>9h4=320>a1<,:9h6?:l;o10f?d53z\10`=:?38?i63=028g2>"4;j098n5a32`9g>{t:=21<7=t^36;?81=:=201?><:e28 65d2;>h7c=;3:1>v38:c`897642:8i7)=h4;k0;7p}<9083>7}:?3h270"4;j085?5a32`95>{t;0:1<7;58:08>55+32a97<45<5s4=1n:522119771<,:9h6>7=;o10f?552z?4>f0<5;:86>=9;%10g?5>:2d8?o4;;|q0=a<72;q6;7m:;<037?54=2.8?n4<939m76d==2wx?4m50;0x924<359'76e=;080b>=m:79~w6?e2909w095c29>655=;:90(>=l:2;1?k54j3=0q~=6a;296~;02j801?><:211?!54k392>6`<3c8;?xu4100;6?u27;a2?847;398=6*<3b80=7=i;:h156s|38594?4|5>0ij63=02806c=#;:i1?4<4n21a>d=z{:3>6=4={<59fa=::991??j4$21`>6?53g98n7l4}r1:0?6=:r7<6om4=320>64d3-98o7=62:l07g3?d1348;?7==6:&07f<41;1e?>l5d:~f4e029086?4;{M1;5?7|@8n:7pB<8382!22m3:0q)=;5;3e=>oe=3:17b<;7;29?ld72900n:4?:283>5}#;=?1==?4H25e?lg62900eo;50;9l611=831vno:50;094?6|,:>>6<:<;I14b>o6;=0;66a=1483>>{tj90;6?uQb19>f1<6;=1v??>:18181=i816n94=149~w7752909w0952558Z7203ty9=>4?:3y]f0=:?3h>7psm9183>6<52=qG?5?51zJ2`4=zD:296{#;=?1=k74ic794?=h:==1<75fb183>>d029086=4?{%171?7792B8;k5fa083>>oe=3:17b<;7;29?xde<3:1>7>50z&000<6<:1C?:h4i017>5<6=44}r`3>5<5sWh;70l;:017?xu5980;6?u27;c2?8d32;;>7p}=1383>7}:?38?;6P=469~w7742909wSl:;<59f0=zuk>9?7>53;090~J4080:wE?k1:O7=4=9r.?9h4?;|&000<6n01bn84?::m102<722ci<7>5;c594?5=83:p(>:::022?M50n2cj=7>5;h`6>5<<6=44}c`7>5<5290;w)=;5;377>N4?o1b=>:50;9l643=831vo>50;0xZg6<5k>1=>:4}r025?6=:r7<6l?4=c696437>52z?4>7203W8?;6s|20194?4|Vk?01:4m5:~f6c529086?4;{M1;5?7|@8n:7pB<8382!22m3:0q)=;5;3e=>oe=3:17b<;7;29?ld72900n:4?:283>5}#;=?1==?4H25e?lg62900eo;50;9l611=831vno:50;094?6|,:>>6<:<;I14b>o6;=0;66a=1483>>{tj90;6?uQb19>f1<6;=1v??>:18181=i816n94=149~w7752909w0952558Z7203ty9=>4?:3y]f0=:?3h>7psm43;94?2=;3:::50:?j25<3:17d<;0;29?l7al3:17d:=3;29?g2503:1?7>50z&000<1:2B8;k5f12794?=n9:<1<75`20794?=zj=8=6=4<:183!53=38:46F<7g9j563=831b=>850;9l643=831vn9<8:180>5<7s-9?978>;I14b>o6;<0;66g>3783>>i59<0;66s|43694?4|V=8?70:=7;021>{t:=:1<7;3:?0:?85rs500>5<5sW>9?63;298273=z{=8>6=4={<61;4>379~w7762909w0:=6;021>;3:>0:?;5r}c1f:::738L61a3`;897>5;h302?6=3f8:97>5;|`0a0<72:0;6=u+357927=O;>l0e<=::188m4512900c??::188yg5b>3:1?7>50z&000<5911C?:h4i016>5<5<52z\0a6=:;l<1><;4}r00b?6=:rT9?k523d5956352z\0a<=:;l=1=>84}r1ff?6=:rT8io523d795637>52z\0a7=:;l?1=>84}r1f0?6=:r78i:4=149>7`0=9:?0q~=j5;296~;4m<09=8523d495605}#;=?1=9=4H25e?l74<3:17b<>5;29?xd4k1C?:h4i016>5<5<5<58;294~"4<<09>=5G36d8m4522900e<=9:188m4502900e<=7:188m45>2900e<=n:188m45e2900c??::188yg55;3:187>50z&000<59h1C?:h4i016>5<5<6=44}c3eb?6=;3:1N4?o1b=>;50;9j560=831d><;50;9~f<4=8391<7>t$266>34<@:=m7d?<5;29?l74>3:17b<>5;29?xd4980;684?:1y'713=>k1C?:h4i016>5<5<5<55;294~"4<<0=m6F<7g9j563=831b=>850;9j561=831b=>650;9l643=831vn>?::186>5<7s-9?978m;I14b>o6;<0;66g>3783>>o6;>0;66g>3983>>i59<0;66sm30594?3=83:p(>:::7c8L61a3`;897>5;h302?6=3`;8;7>5;h305;|`05<<72<0;6=u+35792d=O;>l0e<=::188m4512900e<=8:188m45?2900c??::188yg56j3:197>50z&000<112B8;k5f12794?=n9:<1<75f12594?=n9:21<75`20794?=zj:oj6=4<:183!53=38:46F<7g9j563=831b=>850;9l643=831vn>kl:180>5<7s-9?97<>8:J03c=n9:?1<75f12494?=h:8?1<75rb0a:>5<5290;w)=;5;377>N4?o1b=>:50;9l643=831vl=50;6xZd50R<=>;<1;7?4402wx?>m;<13f?43?2wx?h<50;7xZ6c5349n>7<;7:?0a=<4m;165?4>349>7`g=9:?0q~k9:186[c1349?o7l?;<172?d7349;n7l?;<12a?d73ty8ho4?:3y]7ad<5:nh6??:;|q0bf<72;qU?km4=0a:>4533tyj97>57z\b1>;5;m0i<63>fc8a4>;6k>0i<6360;`3?825;3h;70=j2;`3?xu3::0;6>uQ431891442;><70:=9;617>{t190;6>uQ919>=5<5<>16?im51268yv7d03:1>v3>c68a1>;6k009=85rs233>5<5s49:h7?<5:?054<59<1v>?=:181856l3;8:63<128150=z{:;?6=4={<12`?74?278=84=149~w6712909w0=>d;30<>;49>09=85rs23;>5<4s49:h7?<9:?066<6;<16?<752078yv56i3:1?v3<1e827d=:;;91=>84=23a>7723ty8>?4?:3y>74c=j<16??=52078yv7am3:1>v3>fc8004=:9ol1><;4}r1f=?6=:r78i547`g=:8?0q~<:523da956352z?0a=<4mk16?hm52078yv44n3:1?v3=3e816<=:;l21>>h4=2g`>4513ty98=4?:2y>66b=:;n019<6:363?8?5289=7p}7}:;l81n8523d:97`5g3<5081><;4}r17g?6=710=;=;017}:<;91n85243;907253z?17a<6kk16=n95255896cf289=7p}<4e83>1}:;=i1n85235g9643<5:;o6<=m;<117?74?2wx??k:336?xu6nm0;69u222f95fg<58li6?:k;<61=?7al27:jk4>349'76e=9oi0b>=m:19~w62e2903w0=;6;065>;4379>74?=9:<01>?m:016?!54k39?m6`<3c83?xu4<00;65u2354961`<5:>n6<=8;<125?74>278=>4>349>743=9:201>?8:01;?85613;8463<1c827==#;:i1?9o4n21a>4=z{:>36=47{<172?43m2788h4>379>747=9:201>?<:01;?856=3;8:63<168270=:;831=>94=23a>4503-98o7=;a:l07g<53ty88:4?:9y>710=:=201>:j:016?85693;8963<128272=:;8?1=>;4=234>450349:57?<5:?05g<6;?1/?>m535c8j65e2:1vqo=l4;29=?e=98qG?5?51zJ2`4=zD:296?ua44d9<2=#<<6=44o2d3>5<6<52=qG?5?51zJ2`4=zD:296{#;=?1=k=4ic794?=h:==1<75fb183>>d029086=4?{%171?7792B8;k5C39395~"6<;0?9o5ri`394?=nj<0;66a=4683>>{ej=0;6?4?:1y'713=9=90D>9i;h300?6=3f8:97>5;|qa4?6=:rTi<63m4;300>{t:8;1<7;e<38:96s|20094?4|5>098:5Q2558yv46;3:1>vPm5:?4>g30}K;1;1=vF>d09~H6>52hq/88k52:&71a<4k;1/88;5b49'001=:==0(9;<:c28j12a281/88:5b09m006=92.?944<409m007=82w/?9;51g68mg6=831bn<4?::ka1?6=3f8?;7>5;h175?6=3k=1<7;52;7xH6>628qC=i?4}%171?7ci2ci97>5;n073?6=3`h;6=44ic394?=ni10;66l8:186>5<7s-9?97??2:J03c=ni?0;66gn8;29?lg62900eo;50;9l611=831vn>6?:182>5<7s-9?97?<3:J03c=h9:81<75rsc294?4|Vk:01:4n1:pf4<72;qUn<527;c5?xu5980;6?u23929564<5>0j46s|20094?4|5>098:5Q2558yv46;3:1>vPm5:?4>g35}#;=?1><74H25e?l74=3:17d?<6;29?j46=3:17p}m0;296~Xe827<6o>4}r`2>5<5sWh:70=m9;302>{t:==1<7"3=<0i96*;568102=#<<91n=5a45d95>"3==0i=6`;5182?!22139?=6`;5083?x"4<<0:j95fb183>>oe93:17dl::188k7202900e>:>:188f2<72<0968uC39395~N6l81v(>:::0fb?ld22900c?:8:188mg6=831bn<4?::kb>{e;1:1<7?50;2x 62228987E=8f:m277<722wxn=4?:3y]f5=:?3k:7p}m1;296~Xe927<6l84}r025?6=:r784=4>339>3?g?3ty9=?4?:3y>3?43?2T98:5rs330>5<5sWh>7095b49~yg5e13:1?7>50z&000<5901C?:h4i016>5<5<994=68102=z{:>:6=4<{_175>;02k?01>l6:016?xu4j10;6?u27;`2?85e138:96srb2d3>5<22:0m=;%661?d23->>;7<;7:&716;%660?d63g>><7?4$57b>6233g>>>7>4}%171?7b:2ci<7>5;h`2>5<1<75m7;291?4==rF84<4>{I3g5>{#;=?1=io4ic794?=h:==1<75fb183>>oe93:17do7:188f2<72<0;6=u+3579554<@:=m7do9:188md>=831bm<4?::ka1?6=3f8?;7>5;|`0<5<7280;6=u+3579565<@:=m7b?<2;29?xue83:1>vPm0:?4>d7:18185?83;8>638:`:8yv46:3:1>v38:364?[43?2wx><=50;0xZg3<5>0i96srb2`:>5<4290;w)=;5;02=>N4?o1b=>;50;9j560=831d><;50;9~f6de29086=4?{%171?4612B8;k5f12794?=n9:<1<75`20794?=z{k:1<784}r`6>5<5sWh>70=m9;302>{t:==1<77p}7}:?3h:70=mb;021>{t;k21<7;4j009=85r}c166?6==391;vB<8082M7c92wG?5<5az&71`<53->>h7=l2:&710;%66=?5392d?9<4?;|&000<6n=1bn=4?::ka5?6=3`h>6=44o364>5<:6=44b683>0<52>65<<6=44ic294?=nj80;66gn8;29?g1=83?1<7>t$266>4653A9t$266>4543A93383>>{tj90;6?uQb19>3?g63tyi=7>52z\a5>;02h<0q~<>1;296~;4090:??527;c;?xu59;0;6?u27;073>X5<>1v??<:181[d234=1n85r}c1b4?6=;3:1N4?o1b=>;50;9j560=831d><;50;9~f6d>29086=4?{%171?4612B8;k5f12794?=n9:<1<75`20794?=z{k:1<784}r`6>5<5sWh>70=n0;302>{t:==1<75127896d>289>7p}<9g83>7}:?3h>70=n0;021>{t;k21<7;4j009=85r}c;7>5<4290;w)=;5;02=>N4?o1b=>;50;9j560=831d><;50;9~f7`529086=4?{%171?063A93483>>o6;?0;66a=1483>>{e<=i1<7<50;2x 62228>87E=8f:k271<722e9=84?::a6c2=8391<7>t$266>77?3A93483>>o6;?0;66a=1483>>{e:o<1<7=50;2x 6222;;37E=8f:k270<722c:?;4?::m150<722wi89l50;194?6|,:>>6;<4H25e?l74=3:17d?<6;29?j46=3:17pl85;296?6=8r.8884>429K72`5<?;7>52z\702=:<=i1><;4}r1e4?6=:rT8j=523g2961154z\0ga=:1=0:?85245`9563<5>?1=>:4}r;0>5<1sW3870;5n9088<523g29712<5:?96>:>;<;7>7723ty:<=4?:7y]556<5>91n=522dg9f5=::o:1n=523g29f5=:;<81n=5rs3gg>5<4sW8nh63=ed8a5>;5n=0:?85rs3ge>5<4sW8nj63=f18a5>;5n?0:?85rs01g>5<4sW;8h63;4=;0i96s|7283>7}:?:098:52958273=z{;l86=4={<0e6?74=279j94=149~w7`22909w0;5n?09=85rs271>5<5s49>>7<;7:?70g<6;?1v?kj:18184bm38?;63=f58273=z{;l;6=4={<0e4?43?279j;4>379~w12f2909w0:;c;300>;35<4s49m<7l>;<166?d6348m>7<>5:p31<72;q6;>4m5:?41?46=2wvn94<4sE93=7?tH0f2?xJ40;0:w)::e;48y!53=3;o:6gid;29?l53n3:17b==5;29?g1=8391<7>t$266><`<@:=m7d?<4;29?lc12900c??::188yv`c2909wShk;<59a3=z{;;:6=4={<596437p}=1383>7}Y;=l01:4>359~yg5c83:1?7?53zN0<4<6sA;o=6sC39095~"3=l0=7p*<4482`3=nnm0;66g<4g83>>i4:<0;66l8:180>5<7s-9?977i;I14b>o6;=0;66gj6;29?j46=3:17p}id;296~Xal27<6h84}r025?6=:r7<6??:;_111>{t:881<70qpl;0183>6<62:qG?5?51zJ2`4=zD:296{#;=?1=i84igf94?=n;=l1<75`33794?=e?3:1?7>50z&000<>n2B8;k5f12694?=nm?0;66a=1483>>{tnm0;6?uQfe9>3?c13ty9=<4?:3y>3?46=2T8>85rs331>5<5sW9?j638:017?x{e<:91<7=51;1xH6>628qC=i?4}M1;6?7|,=?n6;5r$266>4b13`lo6=44i26e>5<6=44b683>6<729q/?9;59g9K72`7>52z\00c=:?3;886srb51e>5<42808wA=71;3xL4b63tF84?4>{%66a?05;n111?6=3k=1<7=50;2x 62220l0D>9i;h300?6=3`o=6=44o336>5<:18181=:8?0R><:;|q157<72;qU?9h4=68271=zuk9h47>52;294~"4<<0:8>5G36d8m4532900c??::188yg5dk3:1>7>50z&000<6<:1C?:h4i017>5<6=44}c1`e?6=;3:1l0e<=::188m4512900c??::188yg25:3:1>7>50z&000<6<:1C?:h4i017>5<6=44}c1g6?6=:3:1l0e<=;:188k7722900q~:=0;290~X3:916?n?5432896e22=8;70:=0;111>{ti:0;6?uQa29>7g`=i=1v>h>:181[5a9278o947}Y<=201>m;:564?xu3;80;6>uQ4238913d2=9;70=l6;604>{t<;i1<79o6s|a583>7}Yi=16?oh51238yv26i3:1>vP;1`9>00e=<8k0q~=kf;296~X4lo16?n;53ef8yv2603:1>vP;199>00e=<9i0q~=jd;296~X4mm1688m53df8yv5b:3:1>vP7g`=;l80q~k9:180[c1349h97k9;<1ab?c13ty:<=4?:4y]556<5:i:6l94=57`>d1<5:i=6l94=2a7>4673ty8j?4?:3y]7c4<5:i>6>h?;|q0gc<72:qU?nh4=2a6>6b3349o<7==5:p7ad=838pR>jm;<1ab??73ty8jn4?:3y]7ce<5:hm6>hl;|q75<<72;qU8<74=57`>15>3ty?844?:3y]01?<5:i>69:8;|q777<72;qU8><4=510>6423ty?==4?:3y]046<5=?h69??;|qb1?6=;rTj963;4jo0j96s|a383>7}Yi;16?n;5a39~w6e02909wS=l7:?0g=<59<1v>mm:181[5dj278on4=149~w6`b2908wS=ie:?71f<4nm16?n853gf8yv5b93:1>vP7f3=;l:0q~:=a;296~X3:h1688m543c8yv5a;3:1>vP7f3=;o90q~=if;296~X4no168=>53378yv24m3:1>vP;3d9>06`=;;?0q~=i6;296~X4n?16?n853g48yv5a03:1>vP7f3=;o20q~:;e;290~X3o70=l6;67`>{t;mo1<739oi6s|30g94?4|5=?h6>?i;<1ab?56m2wx=8>50;0x96e128?;70=l5;364>{t9131<74>>349h:7?79:p7a7=83?p1>j?:gf891672on019=<:gf8915a2on01>j=:336?xu6<=0;6?u23b49512<5:i>6<:;;|q764<72;q68?>5fe9>074=:8?0q~;6:18185d=3?370=la;302>{t=h0;6?u23b491==:;jk1=>;4}r635?6=:r78o84056=;=l0q~=?b;296~;3=j08>o77<;<1`2??4349h877<;|q27}:<5m4=2a7>7cc3ty:?<4?:3y>7f7=9:;01>li:`18yv25;3:1>v3:4}r;3>5<4s49h977?;<1ab?5cj278h?4>359~w40e2909w0=l1;35f>;4k?0::o5rs0;;>5<5s49h:7?68:?0g0<6k?1v9=;:18185d=3>8<63;32800c=z{:i26=4={<1`;4k<0:455rs34:>5<5s49h=7<99:?71f<5>01v>j<:18185d=39o963;4k=09ik5rs01g>5<5s49h=7?8h63;3g800c=z{8hi6=4={<1`2?7ej278o84>c49~w36=838p1>m9:72896ed289?7p}=1083>7}:;1:1=><4=503>62a3ty99h4?:3y]60c<5=?h6?;j;%10g?42l2d8?o4?;|q11f<72;qU>8m4=57`>73d3-98o7<:d:l07g<63ty9::4?:3y]631<5=?h6?88;%10g?42l2d8?o4=;|q123<72;qU>;84=57`>7013-98o7<:d:l07g<43ty9:84?:3y]633<5=?h6?8:;%10g?42l2d8?o4;;|q121<72;qU>;:4=57`>7033-98o7<:d:l07g<23ty9:>4?:3y]635<5=?h6?8<;%10g?42l2d8?o49;|q127<72;qU>;<4=57`>7053-98o7<:d:l07g<03ty9:<4?:3y]637<5=?h6?8>;%10g?42l2d8?o47;|q125<72;qU>;>4=57`>7073-98o7<:d:l07g<>3ty99k4?:3y]60`<5=?h6?;i;%10g?42l2d8?o4n;|q11g<72;qU>8l4=57`>73e3-98o7<:d:l07g52z\;1>;4k80?j6*<3b8;0>h4;k0;7p}73;296~X?;278o<4;d:&07f;|q;6?6=;rT3>63;3=j0?o6*<3b8;0>h4;k097p}71;297~X?9278o<4;a:?71f<3i2.8?n474:l07g<43ty53z\4b>;4k80?463;5b87<>"4;j0386`<3c87?xu0m3:1?vP8e:?0g4<3?27?9n4;7:&07f;3=j0?:6*<3b8;0>h4;k0=7p}8c;297~X0k278o<4;5:?71f<3=2.8?n474:l07g<03ty53z\4f>;4k80?863;5b870>"4;j0386`<3c8;?xu0i3:1?vP8a:?0g4<3;27?9n4;3:&07f;3=j0?>6*<3b8;0>h4;k0j7p}88;297~X00278o<4;1:?71f<392.8?n474:l07g53z\43>;4k80?<63;5b874>"4;j0386`<3c8`?xu0>3:1?vP86:?0g4<4n27?9n4;3=j0>;6*<3b8;0>h4;k0n7p}7c;297~X?k278o<4:6:?71f<2>2.8?n474:l07g52z\;f>;3=j0>96*<3b8;0>h4;k0:<6s|8`83>7}Y0h1688m5559'76e=0=1e?>l5109~w=?=838pR574=57`>05<,:9h65:4n21a>444:p<3<72;qU4;5244a915=#;:i1495a32`950=z{1:1<7=l:968j65e28<0q~=;0;296~X4<916?oh53528 65d2:9m7c=vP<3d9>7g`=;:o0(>=l:21e?k54j3;0q~=wKLOu?}ABSxFG \ No newline at end of file +$543==)&&IEX#x8;/,,GV(q02$%#K]M.w;8*+)NGKG%z45!..KLFV(q02$%#_OB.w`8*+)Pi{zXI#x>;1;84Zhh|9:;<<640^llp5678Vcf|R>Pnnv3456692;97<>=;031?446:2;96B[[PTV9VD:693:5n6?=:SC?54<76;1:?l5>4;F?56<76l1:87Jnt`C?56<768:0=94FNQWW>A:6;3:5=95>4;KMTPR=Lh~jM1?<:13;2=54=6<3E^X][[:P>27?699?1:87AZTQWW>Wct}e~7=>4?>`920?W;9:0;2k5>4;Pfwpjs4891<3?>;069[dbc89:;0<=50?c853>47>091e>462H69=7?111915?OIX\^1M1<>:0442@D[YY4N_GQA875=97;0?k5<:HLSQQ2=AGZ^X7J33;2=57=32@D[YY4KaucB86<76o1?6B[[PTV9U95=87;?794@UURVP?Tb{|f0>4?>997>T:4294o794]erwop95=87i087Zfmdp?7?69n2>1Sljk012386<7681>46;5D=694;e<=3NjxlO34;2==>3=MZ6?6=0j;48JJUSS2M6?6=0>2:79MKVR\3NjxlO34;2=b>3=G\^[YY4^<583:42<=3E^X][[:Sgpqir;<3:546;5Q=694;b<=3Xnxb{<583:c=22Vkoh=>?0=694;7<>81<=66>;878E9776<1J049B84?9<2K7=3;4A=03:2=F4;;1=3;4A=02:0=F4;85;6O3228;:0=F4;9586O32?68E959<2K783:4A=7=0>G;>7>0M1914:C?<;2\c:COA5Yj}q:;<=j4AMG3[hs89:;=h5NLD2\ip~789::=i5NLD2\ip~789:9==5NLD2\ip~789:Te`~;;@NF51=FFM8=7L@K2C35?DHC:K8?7L@K379BJA5E9?1JBI=M279BJA5E;=1JBI:9;@LG0G713HDO8O<9;@LG0G513HDO8O:9;@LG1G713HDO9O<9;@LG1G513HDO9O:9;@LG1G333HDO495NSsd`?DUunVddx=>?10g8EVtaWge<=>>_hos[DUunVddx=>?10;8EZ@TJ5;;245N_GQA847912KTJ^L313<:?DYA[K6:?374A^DPF973601JSK]M<07==>GXNZH7=;06;@]EWG:6?730MRH\B=3;:<=FWOYI0<718:C\BVD;9730MRH\B=03:<=FWOYI0??19:C\BVD;:;4i7LQISC>17?7912KTJ^L322<;?DYA[K69255N_GQA868?3HUM_O2;>99B[CUE4<437LQISC>5:==FWOYI0:07;@]EWG:?611JSK]M<8<7?Dekz8<0Mnb}_HLU[lkwWHig~RGAV048EjssGLo0Mb{{OD]nq}6789897LaztNG\ip~789:Te`~PAnwwK@Yj}q:;<=?;;CC@P`=EIJ^Te`~PB@AW50=EIJ^y86LJRSg8F@TUW`g{SOK]R048F@TUzo<0NH\]rsg8F@TUz{Uecy>?0001?GCUZ{xTbbz?013\mhvXJLXY~Qaou2344733K_MI55MUR]JJCI63J>0O<8B6:A14HCI>2I99@KA3:A;I7=DM:1H@F=4CMP0?FHS12IDA@G[TDF4?FTBI]OO>6M\6:Aoadt6m2Igil|>_`lg4567:;1H`ho}1^cm`5678Vcf|RMce`p2[dhc89:;=>5Llj78GimBf820OaeJn^KMRZojxVIggH`PIOT23>EkcVLXA<:4Cmi\MKPXadzTOaePIOT25>B33M6;285K<02=1>B;984>7I2>2?58@974294>7I2>3?68@979<2N7>384D=194;2B;<7>0H1;14:F?2;20:FWEFMXadzTHYOLK058@QGDC{l<7IZNCJpqb>BSIJAy~R``t1235723:2=Ci}k7=3;4D`vbE==Ci}kJ0=06;EcweD:68730HlznA=32:<=Ci}kJ0<<1b:FbpdG;9:0;245KaucB845902NjxlO31?:8@drfI585m6Jnt`C?7?6902NjxlO33?c8@drfI5>1<364D`vbE92902NjxlO35?:8@drfI5<546Jnt`C?3;>?0101?Air|FOTmcj?012\mhvXLfCHQnne23457c3Me~xBKPos2345473Me~xBKPos2345YneyUOcxz@E^mq4567981N>6K\5:GP85823LY7=3;4ER>1:0=B[595;6K\<583:0=B[5>5m6K\_`lg45679h1N_Road1234ZojxVOXSl`k01235d=B[Vkeh=>?10c8AVYffm:;<4ER]`iuYby9:;>d:GP[fkwWl{;<=?=0:GP[fkwWl{;<=?Pilr\AVYdeyUn}=>?10;8AVYhz9:;<<64ER]lv5678Vcf|RK\_np34566=2O_MNEk;DVBGNYffm:;<=?1028AQGDCVif|Rk~012363=B\HI@Snc_ds3456XadzTIYOLK^antZcv89:;=55Jn``oaQt53Lx87H|=4:D@HN40JIMJ2:DE0>@Al8=0JKj>-Hl;?C@c9$Ce=45IFe3.Mk7602LMh<#Fn3;8BCb6%@d9=55IFe3.Mk5>3OLo= Ga30;8BCb6%@d8>45IFe3.Mk5412LMh<#Fn53;?C@c9$Ce9o5IFe3.MkYney>0JKj=7:DE`7+Nf11MJi<"Io3:?C@c:$Ce=<64FGf1)Lh512LMh?#Fn33;?C@c:$Ce?45IFe0.Mk5602LMh?#Fn5;8BCb5%@d?=55IFe0.Mk3>3OLo> Ga50:8BCb5%@d=n6HId3/JjZojx=1MJi=8;GDg7(Oi02LMh>#Fn0;8BCb4%@d:=55IFe1.Mk4>3OLo? Ga20:8BCb4%@d856HId2/Jj67?3OLo? Ga489EBa5*Ag>:46HId2/Jj0d#asgmp<=Aiz~YC@KA1:E0?BHC92C97D>=;H31?L453@997D:=;H71?L053@=?7DOLS49JEFU6=2CJO^<:;HC@W6>7DANCUf8MJGD\Vkeh=>?0328MJGD\Vkeh=>?0^kntZOHIJ^Tmcj?0122`>OHIJ^Taxv?012f?LIFK]Ufyu>?013e?LIFK]Ufyu>?0132b>OHIJ^Taxv?01215`=NGHI_S`{w01237472CDMNZ}6:KLF@TUm2CDNH\]_lw{4567n2CDNH\]_lw{456798:0EBLJRS]nq}6789;;==5FOCGQVZkrp9:;<=119JKGCUZVg~t=>?033e?LIEM[XTaxv?012055=NGKOY^Rczx123467a3@EII_\Pmtz34563991BCOK]R^ov|5678=;m7DAMESP\ip~789:>==5FOCGQVZkrp9:;<8?>0:KLF@TUWds<=>?6033?LIEM[XTaxv?01245c=NGKOY^Rczx1234=773@EII_\Pmtz3456?98:0EBLJRS]nq}67893:=?5FOCGQVZkrp9:;?219JKGCUZVey<=>?_hos[LIEM[XTc>?0137?LIEE=1BCO]n;HMAWZTbims:>6G@BR]Qadb~Whdo<=>?2`9JKGUXZlkouRoad1234ZojxVCDN^Q]e`fz[dhc89:;=0EBM\5:KLGV723@EH_?;4INAP7f=NGJYTmcj?010e?LID[Vif|Rk~012361=NGJYTo`~Pep2345YneyUBCN]Pclr\at6789;m7DALS^antZcv89::>95FOBQ\ghvXmx:;<?003e?LID[Vif|Rk~012161=NGJYTo`~Pep2347YneyUBCN]Pclr\at678;;m7DALS^antZcv89:8>95FOBQ\ghvXmx:;<>Qfmq]JKFUXkdzTi|>?023e?LID[Vif|Rk~012761=NGJYTo`~Pep2341YneyUBCN]Pclr\at678=;m7DALS^antZcv89:>>95FOBQ\ghvXmx:;<8Qfmq]JKFUXkdzTi|>?043e?LID[Vif|Rk~012561=NGJYTo`~Pep2343YneyUBCN]Pclr\at678?;m7DALS^antZcv89:<>95FOBQ\ghvXmx:;<:Qfmq]JKFUXkdzTi|>?063e?LID[Vif|Rk~012;61=NGJYTo`~Pep234=YneyUBCN]Pclr\at6781;37DALS^knt1=NGE:h7DAC0^ov|5678m1BCA>Pmtz34566m2CD@=Qbuy234576m2CD@=Qbuy234546l2CD@=Qbuy23455682CD@=Qbuy2345Yney>0EBB>c:KLH4Yig}:;<1:KLV@WXe|r;<=>Pilr5?LIUMXx>7DA]W1f8MJTP8Vkeh=>?0328MJTP8Vkeh=>?0^kntZOHZ^:Tmcj?0122`>OHZ^:Taxv?012f?LIU_9Ufyu>?013e?LIU_9Ufyu>?0132b>OHZ^:Taxv?01215`=NG[];S`{w0123747d:KLVR7Xign;<=>=0:KLVR7Xign;<=>Pilr\MJTP9Vkeh=>?00a8MJTP9Vey<=>?1d9JKWQ6Wfx;<=>Pilr\MJTP9Vey<=>?169JKWcflpl0EB\jae{\ekb789:986G@Rdcg}Zgil9:;?00d8MJTbimsTbbz?01310>OHZlkouR``t1235ZojxVCD^hoky^llp56798o0EB\jae{\kw6789897DA]e`fz[jt789:Te`~PINPfeaXg{:;<=?<;HMPa>OH[Vif|Rk~0123a>OH[Vif|Rk~0122a>OH[Vif|Rk~0121a>OH[Vif|Rk~0120a>OH[Vif|Rk~0127a>OH[Vif|Rk~0126a>OH[Vif|Rk~01250>OH]9i0EB[?_lw{4567l2CDY=Qbuy23457b3@E^7D^C2sf8MUJ5zVddx=>?1328MUJ5zVddx=>?1^kntZOWD;xTbbz?01327>Oi8:1Bb<=4Io00?Lh4;2Ce8>5Fn418Mk0b3BON\RFFESCQGM_03EELENOCd:NSIZGCLVY^NR?k;MRN[DBCWZ_IS?j4LQO\EABX[\HT?o5CPL]AQVRUA8n0@]CPCNWMP@TX9m1G\@QLOTLWAWY5l2F[ARM@UOVFVZ5?3EZFSIHI_89OTHYCNOU:m6B_M^FEBZ77i2F[ARJIF^32e>JWEVNMJR?=a:NSIZBANV;8m6B_M^FEBZ73i2F[ARJIF^36e>JWEVNMJR?9a:NSIZBANV;JWEVNMJRj7A^B_EDE[73f3EZFSIHI_34b?IVJWMLMS?9n;MRN[A@AW;2j7A^B_EDE[7?>3EZFSIHI_2c8HUKXLOLT?=74LQO\@C@X<01G\@QKFG]6=>JWEVNMJR86;MRN[A@AW>30@]CPDGD\<<=KXDUOJKQ6a:NSIZ^HZ;U::6B[ilgqg>JSadoy0<=50?c8HQojm{6:?3k4LUknawYffm:;<=<=;MVji`tXign;<=>Pilr\HQojm{Ujbi>?0136?Iifl8n0@bok1^llp5679;:0@bok1^llp5679Vcf|RB`ae3\jjr789;:h6Cnde]\eab789:m7@v`r^Pfwpjs48:5j6Cwos]Qavsk|5;:2k5Bxnp\V`urd}6:>3h4Mymq[Wct}e~7=>0j;LzlvZTb{|f0<0j;LzlvZTb{|f0?0j;LzlvZTb{|f0>0j;LzlvZTb{|f090j;LzlvZTb{|f080j;LzlvZTb{|f0;0j;LzlvZTb{|f0:0j;LzlvZTb{|f050j;LzlvZTb{|f040=;O21?K753G897C=>;N68KAETm2EOO^Qfmq]L@FU6;2EY>85@R3@27>IU;<1D^>L>5:MQ7G443FX??6A]529LV35?013`?JhdmVey<=>?_hos[JhdmVey<=>?159Lqq6b3Fb3F4Rgbp^Mvp=763Yi0\#<2:RP`>VTWjg{Sh?012g?UUXkdzTi|>?00f8TVYdeyUn}=>?2e9SWZejxVoz<=>>;P68U969=2[7==0:;P>25;34?>59R86813X6?6=0;;P>7:1=V4<4?7\29>59R82833X63295^<8>a:S\5Ziu89:;Sdc_P]2[jt789::96\N<1<5?WG;99437_O31083:3=UI5;:285]A=3=1>TF4;4>7_O33?78VD:36<1YM1;15:PB83823[K7;3;4R@>;:0=UI535?6\NM49QEHET991YM@M\_Ntfvig~Gol9:6\NMBQ\KscudhsDjkQfmq]QEHETWF|n~aovOgd2b>TFEJYTC{k}l`{Lj724R@O@WZgil9:;=Rgbp^PBIFUXign;<=?>119QEHETW`g{S_OBCR36?WGJMGn0^LCJN^ov|5678l1YM@KA_lw{45679o1YM@KA_lw{456798l0^LCJN^ov|5678;;n7_OBEO]nq}67899m7_OBEO]nq}67899:i6\NMDL\ip~789:?j6\NMDL\ip~789:?=k5]ALGM[hs89:;9;SCNAKYj}q:;<=Qfmqa8VDKBFVey<=>?1d9QEHCIWfx;<=>Pilr\VDKBFVey<=>?199QEHTbims:<6\NMSgb`|Yffm:;<=<9;SCNV`gcqVkeh=>?0^kntZTFE[ojhtQnne23457682XJA_kndx]bja67888=7_OBRdcg}Zgil9:;=Rgbp^PBIWcflpUjbi>?00324>TFE[ojhtQbuy2345763[KF^hoky^ov|56788;97_OBRdcg}Zkrp9:;<2:PBIWcflpUfyu>?010254=UIDXnmiwPmtz345649=1YM@\jae{\ip~789:Te`~6;SCN[Wcflp;37_OB_Sgb`|YneyUYM@Q]e`fz50=UIZOGh6\NSDN\ip~789:n7_O\EM]nq}6789;m7_O\EM]nq}6789;:j6\NSDN\ip~789:9=h5]ARGO[hs89:;?k5]ARGO[hs89:;?1:PBW@JXe|r;<=>Pilr`?WGX8Vg~t=>?0e9QEZ6Xe|r;<=>>e:PB[5Yj}q:;<=?>e:PB[5Yj}q:;<=<>d:PB[5Yj}q:;<==>0:PB[5Yj}q:;<=Qfmqa8VDY6Wds<=>?d:PB[4Yj}q:;<=?j;SC\5Zkrp9:;<??;SC\5Zkrp9:;k;SC\6Zkrp9:;<4R@]1[hs89:;Sdcc:PB[6Yj}q:;<=j4R@]0[hs89:;=h5]A^1\ip~789::=h5]A^1\ip~789:9=i5]A^1\ip~789:8==5]A^1\ip~789:Te`~l;SC\0Zkrp9:;7_K\rg78V@Uuzm1YI^|}_omw4566:91YI^|}_omw4566W`g{S_K\rs]mkq6788;>7_ABCRf8VJKD[Vkeh=>?0328VJKD[Vkeh=>?0^kntZTHEJYTmcj?012255=UGDIXSnc_ds34565>2XDAN]Pclr\at6789Uba}Q]OLAP[fkwWl{;<=>>279QKHETWjg{Sh?013\mhvXZFGH_Rmbp^gr456698:0^BCLS^kntZTHEJY:>6\\d:PP[fkwWl{;<=>k;SQ\ghvXmx:;<i5]S^antZcv89:8h6\\_bos[`w789>o7_]Pclr\at678?90^YB:;Sgb`|02Xnmiw=119Qadb~W`g{S_kndx3`?WcflpUd~=>?00g8V`gcqVey<=>?_hos[WcflpUd~=>?00a8V`gcqVey<=>>1d9Qadb~Wfx;<=?Pilr\V`gcqVey<=>>169QacBhflo0^hhKoog\kw6789897_kiDnlf[jt789:Te`~PRddGkkcXg{:;<=?n;SgeBwijZZ:n7_kiFsmnVV6Imq~::6\jfGpliWU7FlrSl`k012377=UmoLyc`\\0Og{pZgil9:;?0011?WcaN{ef^^>Aeyv\ekb789;Te`~PRddEvjkU[9DntyQnne234476>2XnjK|`mSQ3J`~sWhdo<=>=339Qac@ugdXX7_kiFsmnVV6Imq~Tc>?0113?WcaN{ef^^>Aeyv\kw6789Uba}Q]egDqkhTT8GosxRa}01235a=UmoLyc`\\0Qpf65=UmoLyc`\\0Qpf[lkwW[omJabRR2Sv`76i2XnjK|`mSQ3[lkwW[omJabRR22e>TbnOxda_]81`9Qac@ugdXX;Rgbp^PfbCthe[Y<=;5]egPBW3=UmoXn}55]egPfuLhf3[om^h\yoaf?WcaZl{Tmcj?01216>Tbn[ozSl`k0123[lkwW[om^hPaof34566981Yik\jq^antZcv89:;>55]egPfuZejxVoz<=>?_hos[WcaZl{To`~Pep23457692Xnj_k~_bos[`w789;946\jfSgr[fkwWl{;<=?Pilr\V``UmxUha}Qjq12354763[om^hPclr\at678;837_kiRds\ghvXmx:;?05325>Tbn[ozSnc_ds3453502Xnj_k~_bos[`w789?Te`~PRddQatYdeyUn}=>?50f8V``UmxUd~=>?0328V``UmxUd~=>?0^kntZTbn[ozSb|?0122=>Tbn\xliczm;SgeQwabf}Ce=<5]egWqc`hsWhdo<=>?299QacSuoldSl`k0123[lkwW[omYijnu]bja6789;:<6\jfTpdakrXg{:;<=<9;SgeQwabf}Ud~=>?0^kntZTbn\xliczPos23457c3[olYijnuQzjf0>`9Qavsk|5;92n5]erwop974294j7_k|umv?568>3[oxyaz31?;8V`urd}692o5]erwop95=8730^h}zlu>0:g=Umzgx1:50?;8V`urd}6?245]erwop93912Xnxb{<7<:?Wct}e~7;374Rdqvhq:?601Yi~{ct=;=63=UmzgxR>Pnnv3456XadzT^h}zlu]3[kis89:;=;5\CRK@W44<[JYBO^Qfmq]PGVOD[8h0_DIMUR]JJCIf3ZCLSO[\IEZa?VO@WK_XBLCJ5:QT84823Z]7>394SV>0>4823Z]7?3:4Sbnq0>Ud{}k0_cj]ALAPSW2<[zo86ZVPD78Plkbz11_e`k}<1<;?Qojm{6:255[ilgq878f3]cfi2<:1<;?Qojm{682:5[ilgqPF753]cfiZL_bos[`w789:9m6ZfmdpWGZejxVoz<=>?_hos[Qojm{^HSnc_ds34566?2^yeIo{a29UGF?<^@O\SYW_E29UTDe<^YKTe`~PVQC21>PWI{l>7[^Nrsf8RUGuzVddx=>?1328RUGuzVddx=>?1^kntZPWI{xTbbz?0132e>Pnl[KFO^Y]d:Tj`WGJKZ]Y:9e>8:Tj`WGJKZ]Y:9ePclr\at67899=7[gkR@O@WRT1?0132<>Pnl[KFO^Y]65i\ghvXmx:;<<=9;WkgVDKD[^X=8fQlmq]fu5679Vcf|RXfdSCNGVQU>=aTo`~Pep23447582\bh_OBCRUQ21mXadzTZdj]ALAPSW03c8;>7[gkR@O@WRTXkdzTi|>?0113?SocZHGH_Z\Pclr\at6789Uba}QYiePBIFUPZVif|Rk~0123543<^`nYM@M\WS]`iuYby9:;=>>4VhfQEHET_[Uha}Qjq1235ZojxV\bh_OBCRUQ[fkwWl{;<=?>149UmaTFEJY\^Rmbp^gr4565;91]ei\NMBQTVZejxVoz<=>=_hos[SocZHGH_Z\Pclr\at678;;:96XfdSCNGVQUWjg{Sh?01104>Pnl[KFO^Y]_bos[`w7899Te`~PVhfQEHET_[Uha}Qjq12374723_co^LCLSVP\ghvXmx:;<9=?;WkgVDKD[^XTo`~Pep2341YneyU]ei\NMBQTVZejxVoz<=>;1078RlbUIDIX[_Qlmq]fu567=::0Zdj]ALAPSWYdeyUn}=>?5^kntZPnl[KFO^Y]_bos[`w789?:=85YiePBIFUPZVif|Rk~012575=QamXJAN]XR^antZcv89:=Sdc_WkgVDKD[^XTo`~Pep234376=2\bh_OBCRUQ[fkwWl{;<=9<0:Tj`WGJKZ]YSnc_ds3451XadzTZdj]ALAPSWYdeyUn}=>?7036?SocZHGH_Z\Pclr\at67819;7[gkR@O@WRTXkdzTi|>?09]jiuYQamXJAN]XR^antZcv89:3=<;4VhfQEHET_[Uha}Qjq123=66<^`nYM@M\WS]`iuYby9:;5Rgbp^Tj`WGJKZ]YSnc_ds345?69<1]ei\NMBQTVZejxVoz<=??319UmaTFEJY\^Rmbp^gr4577W`g{S[gkR@O@WRTXkdzTi|>?11321>Pnl[KFO^Y]_bos[`w788;8<6XfdSCNGVQUWjg{Sh?003\mhvX^`nYM@M\WS]`iuYby9::=>2:Tj`WGJKZ]YSb|?012257=QamXJAN]XR^mq4567:880Zdj]ALAPSWYhz9:;<>?:;WkgVDKD[^XTc>?01]jiu0<_hx{_H?k;YKOMK^*PMH+<#?/SUWA$5(6(HYHED84XRVOMG1e:ZPPZOJXEOFICZNTHMM[FII[]XJECZ\119[WQYU\EU[^B^JRU[4?]USW_IH:6Vkb^Kg55=_ldUFmga}Vdppmjh682RoaRCfnnpUawungg?0Tb2?>79[k9699?1Sc1>1279[k969;01Sc1>1_hos7>^t|01SyIBoegof>Yflm:;<=2?>b9\eab789:7==0l;^cg`56785;:2n5Paef3456;9;4n7Rokd1234974294h7Rokd12349746k1Tmij?012?5;d?<30k;^cg`56785>1<3l4_`fg45674=4i7Rokd1234939j2Ujhi>?01>5:g=Ximn;<=>37?`8[dbc89:;050m;^cg`567853546Qnne2345713Vkeh=>?0^kntZYffm:;<=?7;^cm`56798<0Sl`k0122[lkwWVkeh=>?10:8[dhc89:9=;5Paof3454XadzTSl`k01215==Xign;<==>6:]bja678:Uba}QPaof3455602Ujbi>?0535?Zgil9:;8Rgbp^]bja678=;37Road123140:_hos[Zgil9:;9<64_`lg45619?1Tmcj?014\mhvXWhdo<=>9199\ekb789=::6Qnne2342YneyUTmcj?0152<>Yffm:;<5?9;^cm`5670Vcf|RQnne234=7?3Vkeh=>?9048[dhc89:2Sdc_^cm`5671820Sl`k013353=Xign;<<>Pilr\[dhc89;;=55Paof34476>2Ujbi>?10]jiuYXign;<8:]nq}6789=0Sb|?01220>Yhz9:;Yhz9:;=Rgbp^]lv56798?0mijjrd9bja6789Ud~=>?0308ekb789:Tc>?01]jiuYffm:;<=Q`r123445et;2nmj45kisg`plii;2lxn95foco7?lie{01eMIaztMG35==iIMe~xAK?_hos[kGCg|~GI=?>0:lB@jssDL:Tc>?0105?kGCg|~GI=Q`r1234ZojxVdJHb{{LD2\kw6789;27cOKotvOA47?3gKOcxzCE0]jiuYiIMe~xAK>129mEV1?1308jDUh}}Uecy>?00]jiuYiIZe~xR``t12354b1:lAVZOHJVg~t=>?03324>hEZVCDNRczx12346743gHYSDAM_lw{4567W`g{i6`MR^KLFZiu89:;>?5aBS]JKGYhz9:;?1d9mFWYNGKUd~=>?1308jGTXAFHTc>?00]jiuYiJ[UBCOQ`r123542?0103?kBnfEOTc>?01]jiuYiL`dGIRa}012353=iL`dDI<<4nEkmK@YneyUeHd`@E058jAir|FOm7cJ`uuMF[dhc89:;>95aDnwwK@Yffm:;<=Qfmq]m@jssGLUjbi>?01320>hCg|~DIRgbp^lGkprHM8?0bD^C259mHAU?3gFO_RH\B99mHAUXAFH:86`CDR]JKGYiDMYTEBL`uu`8jIBTW@EIcxzl;oNGWZgil9:;<hK_Li0bAYJ_`lg45679l1e@ZKPaof3456XadzTbAYJ_`lg45679l1e@ZKPilr\jIQB9:1eCHl4nNG\ekb789::o6`@E^cm`5678Vcf|R`@E^cm`56788i0bBKPilr\jJC612dDzh|cax225>hH~lxgmt>Paof3456502dDzh|cax2\ekb789:Te`~PnNtfvig~8Vkeh=>?00;8jJpbzekr=:5aR@OOS@1hUIZUjbi>?01]jiuYiZHYTmcj?0122a>hUIZUba}QaR@Q2f>hUIZUd~=>?00a8jWGTWfx;<=>Pilr\jWGTWfx;<=>>4:lQAVe>0:lQAVir|Vg~t=>?0032?kTB[fS`{w012354763gXN_b{{_lw{4567:8;;7c\JSnww[hs89:;?<=4nSGPkprXe|r;<=>Pilr5?kTHEJY:>6`]OLAP[lkwWgXDAN]>6:lQKHQBm2dYC@YJ_`lg4567:;1e^BCXE^cm`5678Vcf|R`]OLUF[dhc89:;=<<4nSMNS@YneyUe^BCXE068jPBT02d^H^QISC:8jPBTW@EI=95aUEQ\MJDXf\NXSDAMotva?kSC[VCDNb{{c:lV@VYffm:;<=?j;oWGWZgil9:;hR_LUjbi>?013f?kSPMVkeh=>?0^kntZhR_LUjbi>?013f?kSPMVcf|R`ZWD37?kPJI11eZ@OPIN@20>hQEHUBCOQaVLC\MJDh}}h0b[CN_HMAkprd3g\FMRoad12344cvhdVigg45om]`hnci02zd`R}lls:8tjjX{zo46~`ru]`hng3yeyxR}|eu38v6=uid20~ybPtxrfb>uh}{inSkgceocnaa=tg|xhiRbfndla6>sw12|j`xkPchl:?sgk}lUd|o64xb;645~km2rh58=9xmr`01+2uIJ{=56?;AB{7=b=N381=v]n8;1;g?44i3;88o>m5;`3=a}i;1?1=6`<8785?!5?<39:0yPe=<40j09?l4>35`3f00(?=m:57e?g50l3:18o4>1;44I5?93;p(?=m:57f?xJ40;0:w)::9;48y!53=391q&:>b;29?jg72900c>kl:188m6eb2900c9:<:188m14d2900c9<8:188kd7=831d8<;50;9j7ad=831d8<=50;9l7`>=831d?ij50;9ja6<722cmh7>5;h1f`?6=3f9hj7>5;n1g3?6=3`9m;7>5;n620?6=3`>?87>5;n61`?6=3f>;n7>5;hc1>5<=h:=h:?=1<7*=3e811`=i::i1?65`27494?"5;m099h5a22a90>=h:??1<7*=3e811`=i::i1965`27694?"5;m099h5a22a92>=h:?91<7*=3e811`=i::i1;65`27094?"5;m099h5a22a9<>=h:?;1<7*=3e811`=i::i1565`27294?"5;m099h5a22a9e>=h:!44l32?7c<4290/>>j5859m66e=921b4?4?:%00`?>33g88o7<4;h:2>5<#::n1495a22a97>=n?o0;6)<07d9j:18'66b=0=1e>>m55:9j3a<72-88h76;;o00g?0<3`=h6=4+22f9<1=i::i1;65f7c83>!44l32?7c<>j5859m66e=121b;44?:%00`?>33g88o7o4;h5;>5<#::n1495a22a9f>=n?>0;6)<>m5d:9j!44l32?7c<o?i3:1(?=k:968j75d28;07d66:18'66b=0=1e>>m51398m=>=83.9?i474:l17f<6;21b4:4?:%00`?>33g88o7?;;:k;2?6=,;9o65:4n31`>43<3`2;6=4+22f9<1=i::i1=;54i263>5<#::n1?>h4n31`>5=5<#::n1?>h4n31`>7=:183!53=3;8<6F<7g9l57`=831vn>m>:182g?4d2=:p@>6>:0yK5a576d<,=?26;5r$266>d?e2900c>=7:188k6242900e4=50;9j04d=831b=?k50;9l53>=831b89650;9l63g=831d>ij50;9l56g=831b8k4?:%00`?2b3g88o7>4;h6g>5<#::n18h5a22a95>=n>m53:9j0=<72-88h7:j;o00g?2<3`><6=4+22f90`=i::i1965f4783>!44l3>n7c<>j54d9m66e=?21b894?:%00`?2b3g88o764;h60>5<#::n18h5a22a9=>=n<;0;6)<:18'66b=>m5b:9j05<72-88h7:j;o00g?e<3`9m6=4+22f90`=i::i1h65f5683>!44l3>n7c<>j54d9m66e=n21i?5=50;394?6|,:>>6>;?;I14b>i5;00;66sm41d94?3=:36>:0yK5a57?t$57:>4=z,:>>65<36=44i`f94?=nil0;66g7e;29?g1=83?1<7>t$266>c`<@:=m7A=71;3x 45a2=?=7pgn3;29?lg22900e4k50;9jf7<722e9854?::a3:17p}nd;296~Xfl27<64k4}r:f>5<5sW2n706i:012?xu59;0;6?u27;c6?8>a2;;=7p}ne;296~Xfm27<6l=4}r027?6=:r7<6?:7;_07<>{t:8>1<76<1sE93=7?tH0f0?xJ40;0:w)::9;38y!53=3;n46gm2;29?j4303:17dok:188mdc=831bm84?::`4>5<2290;w)=;5;de?M50n2F84<4>{%30b?22>2wbm>4?::kb1?6=3`3n6=44ic094?=h:=21<75rb2:3>5<6290;w)=;5;304>N4?o1d=?h50;9~fd`=8381<7>t$266>4273A93083>>i59?0;66s|ae83>7}Yim16;77j;|qba?6=:rTji63nf;305>{t:881<7;fn38::6s|20194?4|5:2;6<37p}=1483>7}Yj;16;7l=;|a56c=83;:6>4>3z&000<6;l1d=>o50;9j13<722c>;7>5;h1e>5<>o3:3:17d:<:188m12=831b884?::k72?6=3`><6=44i5:94?=nt$266>24<@:=m7d?<2;29?l74;3:17d?<4;29?l74=3:17d?<6;29?l74?3:17d?<8;29?l7413:17b<>6;29?xd6;j0;644?:1y'713=?;1C?:h4i011>5<5<6=44i015>5<5<5<53;294~"4<<0==6F<7g9j564=831b=>=50;9l640=831v<=n:181[74i27:?i4=179~w00=838pR884=01a>4553ty>;7>52z\63>;6;k0:?>5rs2d94?4|V:l01<=m:017?xu383:1>vP;0:?27g<6;<1v9?50;0xZ17<589i6<=9;|q76?6=:rT?>63>3c8272=z{=91<7389~w13=838pR9;4=01`>4553ty?:7>52z\72>;6;j0:?>5rs5594?4|V==01<=l:017?xu303:1>vP;8:?27f<6;<1v9o50;0xZ1g<589h6<=9;|q7g?6=:rT?o63>3b8272=z{=n1<7389~w45e2909w0?;6;m0:??5rs01`>5<5s4;8o7<>6:?27a<6;:1vqo?8f;29`?5=nr.8884>7g9l53>=831b?>650;9j53b=831b=;h50;9j527=831b=:=50;9j523=831b=:950;9j53e=831b=:750;9j52d=831b=:j50;9j70>=831i=;750;;94?6|,:>>6?<=;I14b>o6;;0;66g>3283>>o6;=0;66g>3483>>o6;?0;66g>3683>>o6;10;66g>3883>>i59?0;66sm17c94?3=83:p(>:::33f?M50n2c:??4?::k276<722c:?94?::k270<722e9=;4?::a53d=8391<7>t$266>77>3A93383>>o6;:0;66a=1783>>{t9?21<7{t9?n1<76s|17d94?4|V8{t9>;1<7{t9>?1<7{t9?i1<7{t9>h1<7{t;<21<7{zj:=h6=4k:28e!53=39>o4=o0;66g<6083>>o4>:0;66g<6483>>o4>>0;66g<6883>>o4>k0;66g<6e83>>o4>o0;66g<7083>>o4?:0;66g<7483>>d4?00;644?:1y'713=:;80D>9i;h306?6=3`;8?7>5;h300?6=3`;897>5;h302?6=3`;8;7>5;h305;n022?6=3th8;l4?:483>5}#;=?1>3:17pl<7c83>6<729q/?9;520;8L61a3`;8>7>5;h307?6=3f8::7>5;|q03=<72;qU?:64=25a>7713ty89k4?:3y]70`<5:=26<=<;|q024<72;qU?;?4=25:>4553ty8:>4?:3y]735<5:=26<=;;|q020<72;qU?;;4=25:>4513ty8::4?:3y]731<5:=26<=:;|q02<<72;qU?;74=25:>4503ty8:o4?:3y]73d<5:=26<=6;|q02a<72;qU?;j4=25:>45?3ty8:k4?:3y]73`<5:=j6<==;|q034<72;qU?:?4=25b>4533ty8;>4?:3y]725<5:=j6<=<;|q030<72;qU?:;4=25b>4523ty8;44?:3y>72?=:8<01>9m:011?xu4?h0;6?u236c9640<5:=i6<=<;|a64>=83?1<7>t$266>3d<@:=m7d?<2;29?l74;3:17d?<4;29?l74=3:17b<>6;29?xd5>j0;6>4?:1y'713=>:1C?:h4i011>5<5<54;294~"4<<0=96F<7g9j564=831b=>=50;9j562=831d><850;9~f71429086=4?{%171?4612B8;k5f12094?=n9:91<75`20494?=zj:?n6=4::183!53=3<27E=8f:k277<722c:?>4?::k271<722c:?84?::m153<722wi?:950;194?6|,:>>6;?4H25e?l74:3:17d?<3;29?j46>3:17pl=e283>6<729q/?9;520;8L61a3`;8>7>5;h307?6=3f8::7>5;|`07<<72<0;6=u+35792d=O;>l0e<==:188m4542900e<=;:188m4522900c??9:188yg7?k3:1?7>50z&000<1:2B8;k5f12094?=n9:91<75`20494?=zj=;:6=4<:183!53=3<:7E=8f:k277<722c:?>4?::m153<722wi?8l50;194?6|,:>>6;?4H25e?l74:3:17d?<3;29?j46>3:17pl<5e83>0<729q/?9;5699K72`5<5<N4?o1b=><50;9j565=831b=>:50;9j563=831d><850;9~f605290>6=4?{%171?0f3A93383>>o6;:0;66g>3583>>o6;<0;66a=1783>>{e;?>1<7;50;2x 6222?h0D>9i;h306?6=3`;8?7>5;h300?6=3`;897>5;n022?6=3th8:;4?:483>5}#;=?1:l5G36d8m4552900e<=<:188m4532900e<=::188k7712900qo=98;291?6=8r.88849a:J03c=n9:81<75f12194?=n9:>1<75f12794?=h:8<1<75rb24b>5<2290;w)=;5;4:?M50n2c:??4?::k276<722c:?94?::k270<722e9=;4?::a73e=83?1<7>t$266>3g<@:=m7d?<2;29?l74;3:17d?<4;29?l74=3:17b<>6;29?xd4>l0;684?:1y'713=>01C?:h4i011>5<5<6=44o335>5<55;294~"4<<0=m6F<7g9j564=831b=>=50;9j562=831b=>;50;9l640=831vn>9=:186>5<7s-9?9786;I14b>o6;;0;66g>3283>>o6;=0;66g>3483>>i59?0;66sm36694?3=83:p(>:::7;8L61a3`;8>7>5;h307?6=3`;887>5;h301?6=3f8::7>5;|`033<72<0;6=u+35792==O;>l0e<==:188m4542900e<=;:188m4522900c??9:188yg4b93:197>50z&000<1k2B8;k5f12094?=n9:91<75f12694?=n9:?1<75`20494?=zj;o96=4::183!53=34?::k271<722c:?84?::m153<722wi=;k50;794?6|,:>>6;o4H25e?l74:3:17d?<3;29?l74<3:17d?<5;29?j46>3:17pl>7183>0<729q/?9;5689K72`5<5<N4?o1b=><50;9j565=831b=>:50;9j563=831d><850;9~f413290>6=4?{%171?0f3A93383>>o6;:0;66g>3583>>o6;<0;66a=1783>>{e9><1<7;50;2x 6222?k0D>9i;h306?6=3`;8?7>5;h300?6=3`;897>5;n022?6=3th:;54?:483>5}#;=?1:45G36d8m4552900e<=<:188m4532900e<=::188k7712900qo?8a;291?6=8r.888499:J03c=n9:81<75f12194?=n9:>1<75f12794?=h:8<1<75rb05`>5<2290;w)=;5;4:?M50n2c:??4?::k276<722c:?94?::k270<722e9=;4?::a52c=83?1<7>t$266>3><@:=m7d?<2;29?l74;3:17d?<4;29?l74=3:17b<>6;29?xd5?90;6>4?:1y'713=>81C?:h4i011>5<5<7>53;294~"4<<0=>6F<7g9j564=831b=>=50;9l640=831vn?ji:180>5<7s-9?978=;I14b>o6;;0;66g>3283>>i59?0;66s|a583>6}Yi=168=h5ae9>044=im1v<6m:181[7?j27:4n4=179~w65?2908wS=<8:?23c<4;116?>752048yv?42908wS7<;<626?gb34>:=7?<2:p04d=838pR9?m;<63b?>b3ty::54?:3y]53><58=m6<87;|q70=<72:qU8964=27f>45534;3o7?<2:p63g=839pR?8n;<047?46>2789h4>329~w7bc2909wS3`9~w40c2909w0?8f;35`>;6>l09=;5rs04e>5<5s4;:181870n3;<=63>738153=z{8=86=4={<34b?70;27:;94=179~w4122909w0?8f;341>;6??09=;5rs054>5<5s4;7`8153=z{8=i6=4={<34b?70j27:;n4=179~w41c2909w0?8f;34`>;6?l09=;5rs3ff>5<5s48n?7?<2:?1`c<59?1v??8:180846038::63=e28276=:<8;1=>=4}r63b?6=:r7?044=j;1v9?=:187826:38?463=718276=::>81=><4=3fe>4553ty9i=4?:3y>6`7=:8<01?ji:010?xu4=h0;6?u234g9562<5:?i6??9;|q01f<72;q6?8k51278963c2;;=7p}>6b83>7}:9>l1=;m4=3g1>7713ty9:k4?:3y>625=9:801?9?:335?xu5?80;6?u22619565<5;=96??9;|q03=<72;q6?:m536:8961028987p};1183>7}:<9l1mh52403964057>52z?01`<59?16?:951208yv52n3:1>v3<7b801c=:;?:1><84}r05`?6=:r79:h4=179>624=9:90q~=91;296~;4?j08:<52370964052z?03f<4>:16?;:52048yv51=3:1>v3<7b8020=:;?<1><84}r153?6=:r78;n4<669>73>=:8<0q~=99;296~;4?j08:45237c964052z?03f<4?:16?::52048yv5203:1>v3>7g801==:;>=1><84}r141?6=:r78;n4<749>720=:8<0q~=9b;296~;4?j08:o5237a964052z?03f<4>m16?;k52048yv51n3:1>v3<7b802c=:;>:1><84}r145?6=:r78;n4<709>724=:8<0q~<9b;297~;5>j09=;5219a9565<5;=;6<==;|q7b?6=98qU8k5212g90c=::821=>:4=34`>454348=i7?<2:?07<<6;:16>h?5127897c5289>70?9e;307>;6?90:??521609563<58=?6<=:;<342?74=27:;54>349>52g=9:?01<9l:016?870m3;896*<3b87a>h4;k0;7p};d;2954}Yk54e9>64>=9:?01?8l:011?841m3;8?63<388270=::l;1=>:4=3g1>45334;=i7?<5:?235<6;<16=:<512689413289870?86;307>;6?10:??5216c9562<58=h6<=;;<34a?74<2.8?n4;e:l07g<63ty?o7>510y]0f=:9:o18n5220:9565<5;329>6`7=9:901?k=:010?871m3;8863>718271=:9>81=>=4=057>45534;<:7?<4:?23=<6;=16=:o51208941d289870?8e;307>"4;j0?i6`<3c81?xu3i3:1==uQ4`9>56c=<651208965>289?70=:b;306>;5m80:??522d09564<58339>522=9:>01<99:011?87003;8?63>7`8276=:9>i1=><4=05f>4553-98o7:j;o10f?536=4<{_6;?874m3>370=:d;301>"4;j0?i6`<3c87?xu3?3:1?vP;7:?27`<3?2789i4>359'76e=l55:p03<72:qU8;5212g903=:;=4$21`>1c329>732=9:>01>89:010?85103;8?63<6`8277=:;?i1=>;4=24f>452349<<7?<5:?037<6;<16?::512789611289>7)=339>732=9:?01>89:016?85103;8963<6`8270=:;?i1=>=4=24f>455349<<7?<4:?037<6;=16?::512689611289?7)=349>732=9:901>89:011?85103;8863<6`8271=:;?i1=>:4=24f>453349<<7?<3:?037<6;;16?::51218961128987)=:18f[2634;8i7:>;<154?74:278:?4>359>732=9:801>89:017?85103;8>63<6`8276=:;?i1=><4=24f>454349<<7?<2:?037<6;:16?::51208961128997)=5<5sW9m70?n7c=3;296~;40:09?45241d9f7=zuk>>;7>532802?3esE93=7?tH0f0?xJ40;09wc::a;65?!2213<0q)=;5;05=>\>l38pi7?i:|X07d<5so0:i7sfa583>>i3;=0;66g>i3:>0;66a;1483>>i4m10;66g<1g83>>o>;3:17b<7d;29?j27j3:17d=i8;29?l57k3:17d:;8;29?l25j3:17d<9a;29?j25=3:17d>m50:9j0d<72-88h7:m;o00g?7<3`>36=4+22f90g=i::i1>65f4683>!44l3>i7c<>j54c9m66e=<21b884?:%00`?2e3g88o7;4;h67>5<#::n18o5a22a92>=n<:0;6)<>m58:9j04<72-88h7:m;o00g??<3`>;6=4+22f90g=i::i1m65f3g83>!44l3>i7c<>j54c9m66e=k21b9;4?:%00`?2e3g88o7j4;h76>5<#::n18o5a22a9a>=n==0;6)<>m51198m04=83.9?i4;b:l17f<6921b9<4?:%00`?2e3g88o7?=;:k64?6=,;9o69l4n31`>45<3`>26=4+22f90g=i::i1=954b3g7>5<683;1==uC39395~N6l:1v@>6=:0y'00?==2w/?9;513c8mdb=831d>?650;9l67g=831d>?m50;9l67c=831d>>>50;9l664=831d>>:50;9l660=831d>>950;9j5fe=831b=nj50;9j5fc=831b=nh50;9j5a6=831b=i?50;9a57?=83;;6i4>4zN0<4<6sA;o?6s+35796`25<5<5<628qC=i=4}%171?7782ci>7>5;n076<729q/?9;5fd9K72`52z\a6>;02k80qpl>0d83>6<62:qG?5?51zJ2`6=z,:>>6<>?;h`1>5<36=44i`f94?=e?3:1?7>50z&000>{tim0;6?uQae9>3??b3ty9=?4?:3y>3?4302T9855rs330>5<5sWh97095b39~yg76k3:1?7?53zN0<4<6sA;o?6s+35795565<7s-9?97hj;I14b>J4080:w)?{n1l0;66gm2;29?j4303:17p}nd;296~Xfl27<64k4}r026?6=:r7<6?:7;_07<>{t:891<7k:18;>2l0e<==:188m4542900e<=;:188m4522900c??9:188yg77<3:1?7>50z&000<1:2B8;k5f12094?=n9:91<75`20494?=zj8:=6=4<:183!53=3<:7E=8f:k277<722c:?>4?::m153<722wi==650;794?6|,:>>6;74H25e?l74:3:17d?<3;29?l74<3:17d?<5;29?j46>3:17pl>0883>6<729q/?9;5629K72`5<N4?o1b=><50;9j565=831d><850;9~f46e290>6=4?{%171?46m2B8;k5f12094?=n9:91<75f12694?=n9:?1<75`20494?=z{8ih6=4<{_3`g>;68j0:?>5211:956253z\2`5=:99i1=>:4=02;>4523ty:=n4?:2y]54e<58:h6<==;<330?74;2wx==?50;7xZ46634;;o7?<5:?241<6;;16==851218946>28987p}>0d83>6}Y99o01<>9:011?87703;8>6s|1bg94?4|V8in70??8;307>{t9m;1<76s|11094?4|V8:970??b;022>{t9991<777134;;n7?<2:p552=838p1<>;:335?877j3;8?6s|11794?4|58:=6??9;<33f?74<2wx==950;0x946f2;;=70??b;301>{t9921<777134;;m7?<2:p55?=838p1<>6:335?877i3;8?6srb03a>5<>2?0nw)=;5;32f>o6880;66g>d183>>o6kl0;66g>cb83>>o6km0;66g>cg83>>o69j0;66g>0d83>>i68o0;66l>1`83>1<729q/?9;5679K72`5<5<56;294~"4<<0=j6F<7g9j564=831b=>=50;9j562=831b=>;50;9j560=831d><850;9~f47429086=4?{%171?053A93383>>o6;:0;66a=1783>>{e98?1<7=50;2x 6222?80D>9i;h306?6=3`;8?7>5;n022?6=3th:=:4?:583>5}#;=?1:;5G36d8m4552900e<=<:188m4532900c??9:188yg7613:1:7>50z&000<59o1C?:h4i011>5<5<6=44i015>5<50;1xZ4b734;:m7?<4:?252<6;=1v339>547=9:80q~?lc;297~X6kj16=ce83>7}Y9jn01:017?xu6ko0;6?uQ1bd89476289=7p}>1b83>7}Y98i015;307>;69>0:??5rs02e>5<5sW;;j63>188153=z{8;;6=4={<32e?46>27:=44>339~w4762909w0?>1;022>;6900:?>5rs031>5<5s4;:?7<>6:?25<<6;=1v188270=z{8;=6=4={<323?46>27:=44>379~yg75?3:147;5cz&000<6:>1b=nm50;9j5a6=831b=>6;k4H25e?l74:3:17d?<3;29?l74<3:17d?<5;29?l74>3:17b<>6;29?xd69o0;6;4?:1y'713=>l1C?:h4i011>5<5<6=44i015>5<N4?o1b=><50;9j565=831d><850;9~f444290=6=4?{%171?0b3A93383>>o6;:0;66g>3583>>o6;<0;66g>3783>>i59?0;66sm13794?3=83:p(>:::33f?M50n2c:??4?::k276<722c:?94?::k270<722e9=;4?::p5fe=83>pR349>575=9:?0q~?k0;297~X6l916=?8512689444289=7p}>1b83>1}Y98i01<<9:010?876n3;8?63>228276=z{8:n6=4<{_33a>;6:?0:??52133956555z\244=:9;<1=>84=03e>45534;9=7?<2:?266<6;;1v359>575=9:>0q~?k1;296~X6l816=vP>1e9>573=:8<0q~?>e;296~;6:?09=;52137956452z?25c<59?16=?;51218yv7583:1>v3>208153=:9;?1=>:4}r316?6=:r7:>>4=179>573=9:?0qpl=2883>1<729q/?9;5679K72`5<5<54;294~"4<<0=96F<7g9j564=831b=>=50;9j562=831d><850;9~f74c290?6=4?{%171?003A93383>>o6;:0;66g>3583>>i59?0;66sm23d94?2=83:p(>:::748L61a3`;8>7>5;h307?6=3`;887>5;n022?6=3th9?<4?:583>5}#;=?1:85G36d8m4552900e<=<:188m4532900c??9:188yg44=3:187>50z&000<1>2B8;k5f12094?=n9:91<75f12694?=h:8<1<75rb31;>5<4290;w)=;5;41?M50n2c:??4?::k276<722e9=;4?::p557=83hp1<>>:36;?877l3;;=63>1c8244=:9;=1==?4=30:>4553489n7?<2:?16a<6;=16>?h512689756289870<<5;306>;5;10:??5rs02f>5;5:k0:?>5223f9565<5;8m6<=<;<005?74:279?84>329>66>=9:90q~?>c;29e~;69j09855211f954e<58;i644>329>67d=9:>01?63=308271=:::?1=>:4}r3`g?6=pRcd9>571=9jo0q~?lf;296~X6ko16=d19>55b=9m:01;6:>0:h<5rsc294?2|Vk:01<>>:`f8946b2hn01vP=299>67?=:8<0q~<=a;296~X5:h16>?l52048yv45k3:1>vP=2b9>67b=:8<0q~<=e;296~X5:l16>?h52048yv4483:1>vP=319>667=:8<0q~<<4;296~X5;=16>>;52048yv44?3:1>vP=369>66>=:8<0q~??2;296~;6880i>63>0e8247=z{8:m6=4={<33a?d534;:n7??f:p54b=838p17}Yim16=?75b19~w74?2909wS<=8:?26<<5:11v?44=2`9~w74d2909wS<=c:?26<<5:j1v?44=2d9~w7572909wS<<0:?26<<5;91v?==:181[44:27:>44=339~w7532909wS<<4:?26<<5;=1v?=9:181[44>27:>44=379~w7502909wS<<7:?26<<5;>1v44>cb9~w4ec2909wS?ld:?26<<6km1v44>cd9~w4ea2909wS?lf:?26<<6ko1v44>d19~w4b62909wS?k1:?26<<6l81vqo7::180>4<4sE93=7?tH0f0?xJ40;0:w)::9;78y!53=3;m?6gm2;29?j4303:17dok:188f2<72:0;6=u+3579b`=O;>l0e4k50;9jf7<722e9854?::pea<72;qUmi527;;f?xu59;0;6?u27;07<>X5<11v??<:181[d534=1n?5r}c13`?6=;3;1?vB<8082M7c;2wG?5<51z&71<<23t.8884>f29jf7<722e9854?::kb`?6=3k=1<7=50;2x 6222oo0D>9i;h;f>5<m2wx><<50;0x92<5<11U>964}r027?6=:rTi>638:c08yxd4:=0;6>4>:2yO7=7=9rB:h>5rL2:1>4}#<<3196s+35795c55<7s-9?97hj;I14b>o>m3:17dl=:188k72?2900q~ok:181[gc34=15h5rs331>5<5s4=1>964^36;?xu59:0;6?uQb39>3?d53twi?=l50;195?5|D:2:6N4?o1b5h4?::ka6?6=3f8?47>5;|qb`?6=:rTjh638:8g8yv46:3:1>v38:36;?[4302wx><=50;0xZg4<5>0i>6srb23f>5<42808wA=71;3xL4b43tF84?4>{%66=?35;hcg>5<0;6>4?:1y'713=nl1C?:h4i8g94?=nj;0;66a=4983>>{tim0;6?uQae9>3??b3ty9=?4?:3y>3?4302T9855rs330>5<5sWh97095b39~yg4a?3:197<55zN0<4<6sA;o?6sC3909e~"3=00>7)::8;1`6>"3=90i>6*;53810==#<=o1mi5a45c95>"3>ofm3:17dl=:188k72?2900e>:>:188f2<72<0968uC39395~N6l:1v(>:::0f`?ld52900c?:7:188mdb=831bmh4?::kb1?6=3k=1<7;50;2x 6222ol0D>9i;hc0>5<>oe:3:17b<;8;29?xd4090;6<4?:1y'713=9::0D>9i;n31b?6=3tyjh7>52z\b`>;020o0q~oj:181[gb34=1m>5rs331>5<5s493<7?=f:?4>d352z?4>72?3W8?46s|20694?4|Vk801:4m2:~f6d>29086=4?{%171?46i2B8;k5f12094?=n9:91<75`20494?=z{hn1<7=4}r07{t;=;1<7=t^262?81=j;16?o751208yv5e03:1>v38:`g896d>2;;=7psm26694?5=939p@>6>:0yK5a57?t$57:>0=z,:>>65<36=44i`f94?=e?3:1?7>50z&000>{tim0;6?uQae9>3??b3ty9=?4?:3y>3?4302T9855rs330>5<5sWh97095b39~yg4?:3:1?7?53zN0<4<6sA;o?6sC39095~"3=00>7p*<4482b2=nj;0;66a=4983>>ofl3:17o950;194?6|,:>>6kk4H25e?I5?93;p(<=i:575?xo>m3:17dl=:188k72?2900q~ok:181[gc34=15h5rs331>5<5s4=1>964^36;?xu59:0;6?uQb39>3?d53twi>5h50;195?5|D:2:6N4?o1G?5?51z&27c<3=?1ve4k50;9jf7<722e9854?::pea<72;qUmi527;;f?xu59;0;6?u27;07<>X5<11v??<:181[d534=1n?5r}c13e?6=;3;1?vB<8082M7c;2wG?5<51z&71<<23t.8884>f89jf7<722e9854?::kb`?6=3k=1<7=50;2x 6222oo0D>9i;M1;5?7|,89m69;9;|k:a?6=3`h96=44o36;>5<628qC=i=4}M1;6?7|,=?2685r$266>4`f3`h96=44o36;>5<419K72`5<7}:?33n70l>:335?xu59:0;6?u27;07<>X5<11v??;:181[d534=1n?5r}c04b?6=03<1hv*<44813c=h:>?1<75f2d594?=n:ok1<75f9283>>o5?=0;66g=e983>>o4810;66g=8183>>d5?l0;6>4?:1y'713=>81C?:h4i011>5<5<53;294~"4<<09=l5G36d8m4552900e<=<:188k7712900qo<88;290?6=8r.888495:J03c=n9:81<75f12194?=n9:>1<75`20494?=zj;=j6=4;:183!53=3<=7E=8f:k277<722c:?>4?::k271<722e9=;4?::a62e=8391<7>t$266>34<@:=m7d?<2;29?l74;3:17b<>6;29?xd5?m0;694?:1y'713=:8h0D>9i;h306?6=3`;8?7>5;h300?6=3f8::7>5;|q130<72;qU>:;4=35g>7713ty9i:4?:3y]6`1<5;=36<=;;|q1bd<72;qU>ko4=35;>4553ty2?7>54z\:7>;5?l0:??522659564<5;=j6<==;|q131<72:qU>::4=35f>454348=838pR?k7;<04e?74<2wx?=650;0xZ66?348:850;0x971b2;;=70<87;307>{t:881<7771348<47?<3:p645=838p1?97:335?840l3;8>6s|26;94?4|5;=j6??9;<04`?74;2wx>:l50;0x971d2;;=70<8d;300>{zj;2h6=47:28b!53=383o6a=8683>>o5m>0;66g=fc83>>o48<0;66g=8283>>o5m10;66g=fd83>>o50<0;66l=8c83>0<729q/?9;56c9K72`5<5<N4?o1b=><50;9j565=831b=>:50;9l640=831vn?6n:180>5<7s-9?97<>9:J03c=n9:81<75f12194?=h:8<1<75rs3:4>5<5sW83;63=8`8153=z{;o<6=4={_0f3>;50k0:?85rs3da>5<5sW8mn63=8c8277=z{::>6=4={_131>;50k0:?>5rs3:0>5<5sW83?63=8c8271=z{;o36=4={_0f<>;5000:?95rs3df>5<5sW8mi63=888276=z{;2>6=4={_0;1>;5000:??5rs3:;>5<5s483n7<>6:?15a;79a~"4<<09555`28294?=n:l=1<75f2g`94?=n;9?1<75f29194?=n:l31<75f2d794?=n:lk1<75f2d:94?=n:oo1<75m28594?2=83:p(>:::748L61a3`;8>7>5;h307?6=3`;887>5;n022?6=3th95?4?:283>5}#;=?1><74H25e?l74:3:17d?<3;29?j46>3:17pl=9283>6<729q/?9;5609K72`5<N4?o1b=><50;9j565=831d><850;9~f7?1290=6=4?{%171?46n2B8;k5f12094?=n9:91<75f12694?=n9:?1<75f12494?=h:8<1<75rs3;3>5<5sW82<63=978153=z{;o<6=4={_0f3>;51:0:??5rs3da>5<4sW8mn63=968277=::081=><4}r131?6=:rT8<852285956552z\1<6=::0=1=>:4}r0f=?6=:rT9i452284956552z\1a0=::0<1=>:4}r0fe?6=:rT9il52284956352z\1a==::0?1=><4}r0ea?6=:rT9jh52287956552z?1=2<59?16>4<51218yv46:3:1>v3=938153=::091=>=4}r027?6=:r795>4=179>6<0=9:80q~<64;296~;51<09=;5228495603}#;=?1>4k4o37`>5<6<729q/?9;5609K72`5<N4?o1b=><50;9j565=831d><850;9~f7?d29086=4?{%171?4612B8;k5f12094?=n9:91<75`20494?=z{;?h6=4={_06g>;51j09=;5rs3:e>5<4sW83j63=9e8277=::0h1=><4}r6:>5<5sW>270<6d;307>{t;o0;6?uQ3g9>652z?1=g<59?16>4m51218yxd5i=0;694<:7y'713=:h>0c?8?:188m7>a2900e8>50;9j05<722h9m>4?:283>5}#;=?1:<5G36d8m4552900e<=<:188k7712900qo5<4290;w)=;5;02=>N4?o1b=><50;9j565=831d><850;9~w7072909wS<90:?1e7<59?1v?6i:180[4?n279m>4>339>6d7=9:80q~;?:181[37348j?7?<3:p05<72;qU8=522`3956552z?1e6<59?16>l<51208yv4f83:1>v3=a08153=::h81=>=4}|`1ed<72=086;u+35796dg5<>d5i00;6>4?:1y'713=>81C?:h4i011>5<5<53;294~"4<<0=>6F<7g9j564=831b=>=50;9l640=831vn?o7:180>5<7s-9?97<>9:J03c=n9:81<75f12194?=h:8<1<75rs342>5<5sW8==63=a98153=z{;2m6=4<{_0;b>;5i00:??522`595647}Y<816>l951218yv4f=3:1>v3=a88153=::h21=><4}r0b2?6=:r79m:4=179>6d>=9:90qpl=b183>1<42?q/?9;52c28k7052900e?6i:188m04=831b8?4?::`1ec<72:0;6=u+357924=O;>l0e<==:188m4542900c??9:188yg4fl3:1?7>50z&000<1:2B8;k5f12094?=n9:91<75`20494?=zj;kn6=4<:183!53=38:56F<7g9j564=831b=>=50;9l640=831v?8=:181[41:279mh4=179~w7>a2908wS<7f:?1ec<6;;16>lj51208yv352909wS;=;<0bb?74;2wx8?4?:3y]07=::hn1=>=4}r0bf?6=:r79mk4=179>6dc=9:80q~3}#;=?1>o84o340>5<6<729q/?9;5609K72`5<N4?o1b=><50;9j565=831d><850;9~f7d329086=4?{%171?4612B8;k5f12094?=n9:91<75`20494?=z{;<86=4={_057>;5j=09=;5rs3:e>5<4sW83j63=b48277=::k91=><4}r70>5<5sW?870{t<:0;6?uQ429>6g5=9:90q~7>52z?1f6<59?16>o:51218yxd5jj0;694<:7y'713=:ki0c?8;:188m7>a2900e8:50;9j01<722h9no4?:283>5}#;=?1:<5G36d8m4552900e<=<:188k7712900qo5<4290;w)=;5;02=>N4?o1b=><50;9j565=831d><850;9~w7032909wS<94:?1fd<59?1v?6i:180[4?n279no4>339>6g?=9:80q~;;:181[33348in7?<3:p01<72;qU89522c;956552z?1fg<59?16>oo51208yv4e03:1>v3=b88153=::kk1=>=4}|`1g7<72=086;u+35796f46=44i3:e>5<>d5k80;6>4?:1y'713=>81C?:h4i011>5<5<53;294~"4<<0=>6F<7g9j564=831b=>=50;9l640=831vn?m?:180>5<7s-9?97<>9:J03c=n9:81<75f12194?=h:8<1<75rs346>5<5sW8=963=c18153=z{;2m6=4<{_0;b>;5k80:??522cd95646=4={_76?84d93;8?6s|4483>7}Y<<16>oh51218yv4el3:1>v3=c08153=::j:1=><4}r0aa?6=:r79nk4=179>6f6=9:90qpl=c983>1<42?q/?9;52b:8k7012900e?6i:188m00=831b8;4?::`1g2<72:0;6=u+357924=O;>l0e<==:188m4542900c??9:188yg4d=3:1?7>50z&000<1:2B8;k5f12094?=n9:91<75`20494?=zj;i=6=4<:183!53=38:56F<7g9j564=831b=>=50;9l640=831v?89:181[41>279o;4=179~w7>a2908wS<7f:?1g2<6;;16>n;51208yv312909wS;9;<0`3?74;2wx8;4?:3y]03=::j?1=>=4}r0`7?6=:r79o:4=179>6f0=9:80q~3}#;=?1>i>4o344>5<0;66l=cg83>6<729q/?9;5609K72`5<N4?o1b=><50;9j565=831d><850;9~f7eb29086=4?{%171?4612B8;k5f12094?=n9:91<75`20494?=z{;<<6=4={_053>;5kl09=;5rs3a:>5<4sW8h563=cg8277=::jn1=><4}r74>5<5sW?<70{t<>0;6?uQ469>6fb=9:90q~52z?1ga<59?16>nk51218yxd5l?0;694<:7y'713=:m<0c?87:188m7>a2900e9o50;9j0=<722h9h84?:283>5}#;=?1:<5G36d8m4552900e<=<:188k7712900qo5<4290;w)=;5;02=>N4?o1b=><50;9j565=831d><850;9~w70?2909wS<98:?1`1<59?1v?6i:180[4?n279h84>339>6a5=9:80q~:n:181[2f348o97?<3:p0=<72;qU85522e1956552z?1`0<59?16>i:51208yv4c:3:1>v3=d28153=::m>1=>=4}|`1<`<72:0;6=u+357964g<@:=m7d?<2;29?l74;3:17b<>6;29?xd3:h0;6?4?:1y'713=9=:0D>9i;h305?6=3f8::7>5;|`772<72;0;6=u+3579516<@:=m7d?<1;29?j46>3:17pl;0`83>7<729q/?9;51528L61a3`;8=7>5;n022?6=3th?5}#;=?1=9>4H25e?l7493:17b<>6;29?xd3910;6?4?:1y'713=9=:0D>9i;h305?6=3f8::7>5;|`763<72;0;6=u+3579516<@:=m7d?<1;29?j46>3:17pl<0883>6<729q/?9;5609K72`5<l0e<==:188m4542900c??9:188yg57>3:1:7>50z&000<1l2B8;k5f12094?=n9:91<75f12694?=n9:?1<75f12494?=h:8<1<75rb221>5<4290;w)=;5;42?M50n2c:??4?::k276<722e9=;4?::a75`=8391<7>t$266>34<@:=m7d?<2;29?l74;3:17b<>6;29?xd4:80;6>4?:1y'713=>;1C?:h4i011>5<5<53;294~"4<<09=45G36d8m4552900e<=<:188k7712900qo5<4290;w)=;5;40?M50n2c:??4?::k276<722e9=;4?::a060=83?1<7>t$266>3d<@:=m7d?<2;29?l74;3:17d?<4;29?l74=3:17b<>6;29?xd3800;684?:1y'713=>k1C?:h4i011>5<5<6=44o335>5<;h7>53;294~"4<<0=>6F<7g9j564=831b=>=50;9l640=831vn9?8:187>5<7s-9?978:;I14b>o6;;0;66g>3283>>o6;=0;66a=1783>>{e<8k1<7=50;2x 6222;;27E=8f:k277<722c:?>4?::m153<722wi>kj50;794?6|,:>>6;o4H25e?l74:3:17d?<3;29?l74<3:17d?<5;29?j46>3:17pl=fg83>6<729q/?9;5639K72`5<N4?o1b=><50;9j565=831b=>:50;9l640=831vn>>;:187>5<7s-9?97<>b:J03c=n9:81<75f12194?=n9:>1<75`20494?=zj;2:6=4<:183!53=38:56F<7g9j564=831b=>=50;9l640=831vn?6;:187>5<7s-9?978;;I14b>o6;;0;66g>3283>>o6;=0;66a=1783>>{e:1<1<7=50;2x 6222?;0D>9i;h306?6=3`;8?7>5;n022?6=3th8il4?:383>5}#;=?1=9>4H25e?l7493:17b<>6;29?xuf<3:1hvPn4:?1a1:4=514>7713ty8oi4?:7y]7fb<5=826<==;<602?74:27?<44>339>05b=9:8019?8:011?xu3:>0;6?uQ4358914f2;;=7p};1483>7}Y<8?019?7:335?xu4m10;6?uQ3d:896c?2;>37p}<1g83>7}Y;8l01><;:c08yv?4290jwS7<;<0f0?7dk27297l=;<04b??4349;:7?<3:?1ba<6;=16>kh512089666289?70<74;306>;50?0:??5rs3:g>5<5sW83h63=8d8153=z{=:i6=4={_63f>;38l09=;5rs2d;>5<5sW9m463;088271=z{::h6=4={_13g>;48m0i>6s|45:94?3|V=>370:=9;307>;3;?0:?>5241;9565<5=:o6<=<;|q76g<72;qU8?l4=515>4533ty9:l4?:8y]63g<5;o?6349>6fg=9:9019?8:010?84al3;8?63<008277=::1>1=>=4}r611?6=:rT?>852434964052z\1`a=:<;<1=>?4}r633?6=:rT?<:5241c964057z?1a1<6l916?289870=?6;302>;5no0:?>523139565<5;2=6<=<;|q065<72;q6?777=:8<0q~<70;296~;5?o094=5229396406=4<{<0f0?7dl27297<;8:?1ba<6;;1v?h8:18084a?38?463<0g8277=:;;;1=><4}r13e?6=:r7804g=9:90q~:?c;296~;38l0:?<5241f9640897>52z?772<6;8168>852048yv4d13:1>v3=d181g<=::jk1><84}r131?6=6<>=;9?01>>8:010?857>38::6s|2d794?5|5;o?6?<7;<0:339~w66e2908w0;48k0985522gf956352z?1a1<5:h16>k751218yv4b?3:1;v3=e5816f=::>l1>h94=3:`>7c0348247628997p}=8283>6}::1i1>5=4=3;;>7>4348387<>6:p6`>=83h64=22:>4553483=7?<3:p6=3=838p1?6l:3:6?84?>38::6s|2d;94?4|5;o?6?=?;<0:ho50;0x97c32;9?70<68;0fe>{t;k=1<7750349;m7l=;|q74=<72;q68=o51238916>2;;=7p};1783>7}:<8=1><84=53b>4553ty8i44?:3y>7`>=j;16?ho52048yv4?n3:1ov3=8g810==::0o1>5h4=3c7>7>a348jm7<7f:?1f5<50o16>o8529d897dd2;2m70;5k1094k522e496=`<5;ij6<==;<1fe?7492wx>4>50;0x97>a2k801?77:3;3?xu48:0;6?u23109565<5::?6??9;|q1bd<72;q6>:h52gc896602;;=7p}<0983>7}::>l1?=64=22:>7713ty9j54?:3y>6c1=il16>k752048yv4aj3:18v3=8b81bg=::021>kl4=224>455349;>7<>6:p622=832p1?k;:0f2?840<38?463=7g8131=:;9<1=><4=515>45234>;57?<5:?752<6;=16>5:51268yv57m3:1>v3<0c8a6>;48o09=;5rs53:>5<5s4>:47?<1:?75d<59?1v><;:181855<38?463<208276=z{::o6=4<{<13`?430279j:4<409>75`=9:90q~54z?14652gg897`a2;;=70=?4;307>{t;9:1<7771349;87?<4:p6=4=838p1?6=:36;?84?m3;8?6s|29594?4|5;296o<4=3:`>7>03ty?>54?:3y>07g=9:;019<6:335?xu5?<0;6?u22669f7=::>l1>:;4}r05<,:9h6?;j;o10f?452z\122=::m:1>;94$21`>73b3g98n7=4}r052?6=:rT9:;522b:9630<,:9h6?;j;o10f?252z\120=::j81>;;4$21`>73b3g98n7;4}r050?6=:rT9:9522ca9632<,:9h6?;j;o10f?052z\126=::k<1>;=4$21`>73b3g98n794}r056?6=:rT9:?522c29634<,:9h6?;j;o10f?>52z\124=::hk1>;?4$21`>73b3g98n774}r054?6=:rT9:=522`69636<,:9h6?;j;o10f?go7>52z\11f=::0o1>8m4$21`>73b3g98n7l4}r6`>5<5sW>h7S<:d:&07f<3j2d8?o4?;|q7e?6=:rT?m63=d787e>"4;j0?n6`<3c82?xu303:1?vP;8:\11c=::m<1855+32a90g=i;:h1>6s|4683>7}Y<>16>i>5469'76e=l53:p03<72;qU8;522b:903=#;:i18o5a32`90>{t<<0;6?uQ449>6f4=<<1/?>m54c9m76d==2wx894?:3y]01=::ki1895+32a90g=i;:h1:6s|4283>7}Y<:16>o85429'76e=l57:p07<72;qU8?522c2907=#;:i18o5a32`9<>{t<80;6?uQ409>6dg=<81/?>m54c9m76d=12wx8=4?:3y]05=::h>18=5+32a90g=i;:h1m6s|3g83>7}Y;o16>4k53g9'76e=l5b:p12<72;qU9:522e2912=#;:i18o5a32`9g>{t=?0;6?uQ579>6f>==?1/?>m54c9m76d=l2wx984?:3y]10=::j81985+32a90g=i;:h1i6s|5583>7}Y==16>om5559'76e=l5f:p16<72;qU9>522c4916=#;:i18o5a32`955=z{<81<7=l:5`8j65e28;0q~;>:181[36348jm7;>;%10g?2e3g98n7?=;|q64?6=:rT><63=a5864>"4;j0?n6`<3c827>{t<00;6?uQ489>6m54c9m76d=9=1vqo=l6;2956<5?39>wA=71;3xL4b43tF84?4={o66e?3>3->>5784}%171?71;2cj87>5;h37`?6=3`;3n7>5;h375?6=3`9hh7>5;h;0>5<5<5<36=44i50a>5<5<5<>i4lh0;66l=4383>g<62kqG?5?51zJ2`6=zD:296{#;=?1=?l4i`f94?=h:;21<75`23c94?=h:;i1<75`23g94?=h:::1<75f1ba94?=n9jn1<75f1bg94?=n9jl1<75f1e294?=e9;31<7l59;dxH6>628qC=i=4}%171?43:2c:on4?::k2ga<722c:oh4?::k2gc<722c:h=4?::ka4?6=3f8947>5;n01e?6=3f89o7>5;n01a?6=3f88<7>5;c335?6=;3;1?vB<8082M7c;2w/?9;51128mg4=831d>9650;9jea<722h<6=4<:183!53=3ln7E=8f:N0<4<6s-;8j7::6:j=`<722ci>7>5;n0752z\b`>;020o0q~<>2;296~;02;>37S<;8:p645=838pRo<4=68a6>{zj8:n6=4<:080I5?93;pD5;c594?5=83:p(>:::gg8L61a3E93=7?t$01e>1313tc2i7>5;h`1>5<36=44}rcg>5<5sWko70959d9~w7752909w09525:8Z72?3ty9=>4?:3y]f7=:?3h97psm11f94?2=:3?p(>:::02g?l7793:17d?k0;29?l77m3:17b??2;29?g77=3:1?7>50z&000<192B8;k5f12094?=n9:91<75`20494?=zj8:?6=4<:183!53=38:56F<7g9j564=831b=>=50;9l640=831v<>>:181[77927:<84>339~w4b72909wS?k0:?240<6;:1v<>j:181[77m27:<94>329~w4652909wS??2:?241<59?1v<><:181877=38::63>058277=zuk;:n7>58;49`~"4<<0:=o5f1ba94?=n99;1<75f11g94?=n9m:1<75f1bf94?=n9jo1<75f1bd94?=h99l1<75m10c94?5=83:p(>:::708L61a3`;8>7>5;h307?6=3f8::7>5;|`254<72:0;6=u+357927=O;>l0e<==:188m4542900c??9:188yg76;3:1?7>50z&000<1:2B8;k5f12094?=n9:91<75`20494?=zj8;>6=4;:183!53=3<>7E=8f:k277<722c:?>4?::k271<722e9=;4?::a541=83?1<7>t$266>3g<@:=m7d?<2;29?l74;3:17d?<4;29?l74=3:17b<>6;29?xd6900;6;4?:1y'713=:8l0D>9i;h306?6=3`;8?7>5;h300?6=3`;897>5;h302?6=3f8::7>5;|q2gf<72;qU=nm4=03b>4543ty:<<4?:4y]557<58;j6<==;<325?74:27:=84>329>541=9:90q~??e;290~X68l16=5;306>{t9m:1<7=t^0f3?876;3;8>63>148271=z{8io6=4={_3``>;69>0:?95rs0af>5<5sW;hi63>168270=z{8im6=4={_3`b>;69>0:??5rs02e>5<5sW;;j63>188153=z{8;;6=4={<32e?46>27:=44>339~w4762909w0?>1;022>;6900:?>5rs031>5<5s4;:?7<>6:?25<<6;=1v188270=z{8;=6=4={<323?46>27:=44>379~yg4513:1?7>50z&000<1:2B8;k5f12094?=n9:91<75`20494?=zj;8i6=4<:183!53=3<:7E=8f:k277<722c:?>4?::m153<722wi>?j50;194?6|,:>>6;<4H25e?l74:3:17d?<3;29?j46>3:17pl=2g83>6<729q/?9;5629K72`5<l0e<==:188m4542900c??9:188yv7793:14v3>00810==:99n1==?4=03a>466348957?<2:?16g<6;:16>?j51218974a289870<<1;307>{t99o1<76t=02f>72?34;;h7??e:?25g<68l16>?751218974e289970<=d;306>;5:o0:??52223956452z\2gf=:98h1=nm4}r3``?6=:rT:oi5210`95fb52z\2g`=:98h1=nk4}r3`b?6=:rT:ok5210`95f`53z\2`5=:99n1=i>4=03a>4b73tyi<7>53z\a4>;6880jh63>0d8b`>{t:;21<7{t:;i1<7{t:::1<74653ty:55c=j;16=vPnd:?26<?650;0xZ74?34;957<=8:p67g=838pR??m50;0xZ74d34;957<=c:p67c=838pR?>>50;0xZ75734;957<<0:p5fe=838pR4<4sE93=7?tH0f0?xJ40;0:w)::9;68y!53=3;oi6gm2;29?j4303:17dok:188f2<72:0;6=u+3579b`=O;>l0@>6>:0y'56`=<<<0qd7j:188mg4=831d>9650;9~wdb=838pRlj4=68:a>{t:881<73;296~Xe:27<6o<4}|`2e<<72<0968uC39395~N6l:1v@>6=:0y'00?=<2w/?9;51ed8mg4=831d>9650;9jea<722cji7>5;hc6>5<0;684?:1y'713=no1C?:h4i`194?=ni<0;66g6e;29?ld52900c?:7:188yg5?83:1=7>50z&000<6;91C?:h4o00e>5<0j?6s|20094?4|5:2;6<37p}=1583>7}Yj;16;7l=;|a657=83>1>7:tL2:2>4}O9m90qA=72;:x 13>2=1/88653b08 1372k80(9;=:36;?!23m3ko7c:;a;38 1332:>:7c:;c;38y!53=3;n<6gnd;29?ld52900c?:7:188m6262900n:4?:282>6}K;1;1=vF>d29~ 62228nh7dl=:188k72?2900elj50;9a3?6=;3:1N4?o1b5h4?::ka6?6=3f8?47>5;|qb`?6=:rTjh638:8g8yv46:3:1>v38:36;?[4302wx><=50;0xZg4<5>0i>6srb2c3>5<5290;w)=;5;374>N4?o1b=>?50;9l640=831vlj50;0xZdb<5>0jh6s|25:94?4|V;>3709525:8yv5393:1>vP<409>7d6=9:;0q~=6f;296~;02k801>o?:335?x{eih0;694>:2yO7=7=9rB:h>5rL2:1>=}#<<3186*;5980g7=#<<:1n?5+440961><,=>n6lj4n56b>4=#<<>1?9?4n56`>5=z,:>>6;hcg>5<{I3g7>{#;=?1=im4ic094?=h:=21<75fae83>>d029086=4?{%171?`b3A937p}=1283>7}Yj;16;7l=;|pea<72;qUmi527;cg?xu5<10;6?uQ25:892<5<11v>:>:181[53927<6o<4}|`54?6==3819vB<8082M7c;2wG?5<5az&71<<33->>47=l2:&715;%661?53<2d?8i4>;|&000<6m;1bmi4?::kba?6=3`h96=44o36;>5<?6=44b683>0<52>65<36=44i`f94?=nil0;66gn5;29?g1=83?1<7>t$266>c`<@:=m7do<:188md3=831b5h4?::ka6?6=3f8?47>5;|`0<5<7280;6=u+3579566<@:=m7b?=f;29?xufl3:1>vPnd:?4>v38:36;?[4302wx><:50;0xZg4<5>0i>6srb2`a>5<4290;w)=;5;02=>N4?o1b=><50;9j565=831d><850;9~wdb=838pRlj4=68b`>{til0;6?uQad9>7gd=9:90q~<;8;296~X5<116;7<;8:p712=839pR>:;;<59f7=:;kh1=><4}r1ae?6=:r7<6lk4=2`a>7713twi=5950;796?3|D:2:65;c594?3=83:p(>:::gd8L61a3`k86=44i`794?=n1l0;66gm2;29?j4303:17pl<8183>4<729q/?9;51228L61a3f;9j7>5;|qb`?6=:rTjh638:8g8yvgb2909wSoj;<59e6=z{;;96=4={<1;4?75n27<6l;4}r027?6=:r7<6?:7;_07<>{t:8>1<77<2sE93=7?tH0f0?xJ40;0:w)::9;68y!53=3;oj6gm2;29?j4303:17dok:188mdc=831bm84?::`4>5<2290;w)=;5;de?M50n2cj?7>5;hc6>5<>i5<10;66sm39294?7=83:p(>:::013?M50n2e:>k4?::pea<72;qUmi527;;f?xufm3:1>vPne:?4>d57>52z?0<5<6:o16;7o:;|q156<72;q6;7<;8:\10==z{;;?6=4={_`1?81=j;1vqo?7d;297?7=;rF84<4>{I3g7>{K;181=v*;5887?x"4<<0:j>5fb383>>i5<10;66gnd;29?g1=8391<7>t$266>cc<@:=m7d7j:188mg4=831d>9650;9~wdb=838pRlj4=68:a>{t:881<73;296~Xe:27<6o<4}|`2=d<72<0968uC39395~N6l:1v@>6=:0y'00?=<2w/?9;51ed8mg4=831d>9650;9jea<722cji7>5;hc6>5<0;684?:1y'713=no1C?:h4i`194?=ni<0;66g6e;29?ld52900c?:7:188yg5?83:1=7>50z&000<6;91C?:h4o00e>5<0j?6s|20094?4|5:2;6<37p}=1583>7}Yj;16;7l=;|a1=<72:0:6>uC39395~N6l:1v@>6=:0y'00?=<2w/?9;51g18mg4=831d>9650;9jea<722h<6=4<:183!53=3ln7E=8f:k:a?6=3`h96=44o36;>5<628qC=i=4}M1;6?7|,=?2695r$266>4ba3`h96=44o36;>5<>of=3:17o950;794?6|,:>>6kh4H25e?lg42900el;50;9j=`<722ci>7>5;n075}#;=?1=>>4H25e?j75n3:17p}nd;296~Xfl27<64k4}rcf>5<5sWkn7095a29~w7752909w0=70;31b>;02h?0q~<>3;296~;02;>37S<;8:p642=838pRo<4=68a6>{zj8ho6=4::386I5?93;pD>57:4}%171?7cn2ci>7>5;n075<7s-9?97hi;I14b>of;3:17do::188m50;394?6|,:>>6<=?;I14b>i6:o0;66s|ae83>7}Yim16;77j;|qba?6=:rTji638:`18yv46:3:1>v3<81826c=:?3k>7p}=1283>7}:?38?46P=499~w7732909wSl=;<59f7=zuk89?7>55;091~J4080:wE?k3:O7=4=ir.?944;;%66>87=;1:l70f<73t.8884>e29jea<722cji7>5;h`1>5<36=44i262>5<0;684=:4yO7=7=9rB:h>5r$266>4bd3`h96=44o36;>5<>of=3:17o950;794?6|,:>>6kh4H25e?I5?93;p(<=i:575?xof;3:17do::188m50;394?6|,:>>6<=?;I14b>i6:o0;66s|ae83>7}Yim16;77j;|qba?6=:rTji638:`18yv46:3:1>v3<81826c=:?3k>7p}=1283>7}:?38?46P=499~w7732909wSl=;<59f7=zuk9i57>53;294~"4<<09=l5G36d8m4552900e<=<:188k7712900q~ok:181[gc34=1mi5rs`g94?4|Vho01>l6:010?xu5<10;6?uQ25:892<5<11v>:>:180[53927<6o<4=2`:>4553ty8n54?:3y>3?gb349i57<>6:~f4gb290>6>48{M1;5?7|@8n87pB<838b!2213>0(9;7:2a1?!2283h97)::2;07<>"3:7c:;c;28y!53=3;m96gnd;29?lgb2900eo<50;9l61>=831b?9?50;9a3?6==3819vB<8082M7c;2w/?9;51ea8mg4=831d>9650;9jea<722cji7>5;hc6>5<0;684?:1y'713=no1C?:h4i`194?=ni<0;66g6e;29?ld52900c?:7:188yg5?83:1=7>50z&000<6;91C?:h4o00e>5<0j?6s|20094?4|5:2;6<37p}=1583>7}Yj;16;7l=;|a7d6=8391<7>t$266>37<@:=m7d?<2;29?l74;3:17b<>6;29?xd4j00;6>4?:1y'713=:8k0D>9i;h306?6=3`;8?7>5;n022?6=3tyjh7>52z\b`>;02hn0q~oj:181[gb349i57?<3:pf7<72;qUn?523`2956552z\10==:?38?46s|35394?5|V:>:70=n0;306>;4j00:??5rs2;e>5<5s4=1n?523`2964052z?4>dc<5:h26??9;|a7ag=83?1?79tL2:2>4}O9m90qA=72;cx 13>2=1/88653b08 1372k80(9;=:36;?!23m3ko7c:;a;38 12a2ho0b9:m:09'003=;=>0b9:k:19~ 62228o?7dok:188mdc=831bn?4?::m10=<722c8894?::`4>5<22;0>wA=71;3xL4b43t.8884>db9jf7<722e9854?::kb`?6=3`kn6=44i`794?=e?3:197>50z&000>of=3:17d7j:188mg4=831d>9650;9~f6>7290:6=4?{%171?7482B8;k5`13d94?=z{hn1<7m2wxmh4?:3y]e`=:?3k87p}=1383>7}:;1:1=?h4=68b1>{t:891<74;296~Xe:27<6o<4}|`0f<<72:0;6=u+357964g<@:=m7d?<2;29?l74;3:17b<>6;29?xd4jk0;6>4?:1y'713=:8k0D>9i;h306?6=3`;8?7>5;n022?6=3tyjh7>52z\b`>;02hn0q~oj:181[gb349in7?<3:pf7<72;qUn?523c;956552z\10==:?38?46s|35694?5|V:>?70=m9;306>;4jk0:??5rs2`b>5<5s4=1mh523c`964052z?4>g4<5:h26??9;|a5<>=83=197lt$266>4??3f;2<7>5;h072?6=3`<;6=44i0:f>5<86=44i367>5<h6=44b0;4>5<4290;w)=;5;41?M50n2c:??4?::k276<722e9=;4?::a5<4=8391<7>t$266>77>3A93383>>o6;:0;66a=1783>>{e9091<7=50;2x 6222?;0D>9i;h306?6=3`;8?7>5;n022?6=3th:584?:283>5}#;=?1:?5G36d8m4552900e<=<:188k7712900qo?66;290?6=8r.8884=1c9K72`5<5<52z\2=5=:90<1><84}r072?6=:rT98;521819564?3;8>63>938277=z{82n6=4={_3;a>;61>0:?>5rs360>5<5sW8??63>978276=z{;>?6=4={_070>;61<0:?>5rs06`>5<5sW;?o63>948277=z{83:6=4={<3:3?46>27:5?4>329~w7752909w0?62;022>;61:0:?>5rs330>5<5s4;2?7<>6:?2=3<6;;1v<7;:18187>=38::63>978271=zuk;j>7>54;192~"4<<0:m?5`18f94?=n>90;66g>a883>>o3<10;66l>a083>6<729q/?9;5609K72`5<N4?o1b=><50;9j565=831d><850;9~f4g729086=4?{%171?4612B8;k5f12094?=n9:91<75`20494?=z{83o6=4={_3:`>;6i909=;5rs7294?5|V?:01:011?87>n3;8>6s|1`;94?4|V8k270?n1;307>{t<=21<7n3;8?6s|18g94?4|58k:6??9;<3b4?74:2wx=4h50;0x94?a2;;=70?n0;307>{zj82=6=4;:285!53=3;3:6a>8083>>o183:17d?77;29?l5a03:17o?75;297?6=8r.888491:J03c=n9:81<75f12194?=h:8<1<75rb0:0>5<4290;w)=;5;40?M50n2c:??4?::k276<722e9=;4?::a5=2=8391<7>t$266>77>3A93383>>o6;:0;66a=1783>>{t91;1<76}Y>916=5;5120894>428997p}>8683>7}Y91=01<6::010?xu4n10;6?uQ3g:894>428987p}>8383>7}:91?1><84=0:7>4553ty:4>4?:3y>5=5=:8<01<6;:010?x{e9j91<7:53;4x 62228i87b?me;29?l072900e5<7s-9?978>;I14b>o6;;0;66g>3283>>i59?0;66sm1b294?5=83:p(>:::718L61a3`;8>7>5;h307?6=3f8::7>5;|`2g4<72:0;6=u+357964?<@:=m7d?<2;29?l74;3:17b<>6;29?xu6jl0;6?uQ1cg894e62;;=7p}90;297~X1827:o?4>339>5f6=9:80q~?l4;296~X6k=16=n<51218yv25j3:1>vP;2c9>5f6=9:90q~?mf;296~;6k;09=;521b3956452z?2g5<59?16=n?51218yxd6>>0;694?:1y'713=:8i0D>9i;h306?6=3`;8?7>5;h300?6=3f8::7>5;|`0b7<72=0;6=u+357920=O;>l0e<==:188m4542900e<=;:188k7712900qo?nb;292?6=8r.88849e:J03c=n9:81<75f12194?=n9:>1<75f12794?=n9:<1<75`20494?=zjhi1<7=50;2x 6222?;0D>9i;h306?6=3`;8?7>5;n022?6=3th9>84?:283>5}#;=?1:<5G36d8m4552900e<=<:188k7712900qo?m0;291?6=8r.88849a:J03c=n9:81<75f12194?=n9:>1<75f12794?=h:8<1<75rb045>5<4290;w)=;5;41?M50n2c:??4?::k276<722e9=;4?::a5db=83>1<7>t$266>77e3A93383>>o6;:0;66g>3583>>i59?0;66sm19d94?2=83:p(>:::778L61a3`;8>7>5;h307?6=3`;887>5;n022?6=3th:m;4?:583>5}#;=?1>6;29?xd61j0;6>4?:1y'713=>;1C?:h4i011>5<5<53;294~"4<<09=45G36d8m4552900e<=<:188k7712900qo<=7;297?6=8r.8884=189K72`5<l0e<==:188m4542900c??9:188yg47;3:1>7>50z&000<6<91C?:h4i012>5<l0e<=>:188k7712900qo?l6;296?6=8r.8884>419K72`5<52;294~"4<<0:8=5G36d8m4562900c??9:188yg7e:3:1>7>50z&000<6<91C?:h4i012>5<5<69rTj863=438b`>;6a88b`>;5880jh63na;cg?8072hn01<68:`f894e32hn01<6k:`f894?f2hn01865ae9>5=6=im16=oj5ae9>675=im16=lk5ae9>7ag=im1v<:k:181[73l27:n?4>309~w4>e2909wS?7b:?223<6;:1v<:>:181[73927:8n4m2:p7fb=838pR>mk;<1e6?74:2wx5>4?:9y]=6=::=81=nj4=300>62634;ji7=;1:?0`d<4<=16=ll5126894>a289?70?n6;306>{t91n1<7;6000:?<5rs04;>5<4=2d1>45434;jn7?<6:?160<6;;16=5h5121894g128987p}>9`83>7}Y90k01<7n:36;?xu3<10;69uQ45:894g>2k801;6k?0:?<5rs0:3>5<5sW;3<63>81810==z{8;6>>09=;5rs2d2>5<5sW9m=63;6jm09855rs4:94?4|V<20186525:8yv07290hwS8?;<076?7dk27=<7<;8:?2==<1827:m?490:?2<3<1827:o>490:?2eg<6;;16mn4>329>5g6=9:901<89:011?87>k3;8>6s|3ec94?4|V:nj70=ka;07<>{t9k;1<7=t=0cf>g4<5:nj6o<4=0`1>7713ty:nh4?:3y>5gb=j;16=n=51cg8yv7fi3:1?v3>a88ba>;6ik09=;52211956752z?2=f<59?16=l651208yv73k3:18v3=4382`5=:9=i1>964=0;;>42d34;i<7?<2:p5=c=838p1<77:0:f?87?n38::6s|ac83>7}:ih088<52ab8153=z{;886=49{<076?7dn279>>4=499>5dd=9:90163>a78271=z{hk1<7<5?:1mh5rs046>5<4s4;=;7?<4:?223<59?16>?951218yv47:3:1>v3=008004=::991><84}r012?6=:r79>84>329>671=:8<0q~<;7;296~;5<;09?=521`:956553z?2e`{t9k91<745234;i87<>6:p5d5=838p1<7n:`g894g32;;=7p}=4283>2}::=81>?64=4:9f7=:91:1mh521cf9e`=:9021>9=4=`a9564<58ko6<==;|q2ef<72;q6=ll5127894gc2;;=7p}=4583>6}::=81>?o4=0;;>72334;jh7?<3:p613=839p1?:=:30`?87fl3;8863>b58276=z{;>=6=4;{<076?45m27:554=479>671=9:801<58k96?=5ad9>673=:8<0q~869~w4>62909w0?70;`1?87?>3;3=6s|18f94?4|583j6o<4=0c1>4?c3ty:5=4?:3y>5=b=j;16=4651828yv7f=3:1>v3>a78153=:90i1=>=4}r3b3?6=:r7:m54=179>5d2=9:;0q~?l5;296~;6k=0i>63>c78153=z{8i?6=4={<3`0?43027:o>4>c59~w4gb2909w0?ne;07<>;6>>0:?>5r}c1`1?6=9<08h7;<{M1;5?7|@8n87pB<8381k22i3=;7)::9;48y!53=3;=>6T<3`8265=uf;?h7>5;h676?6=3f9o>7>5;h1ff?6=3`;h47>5;n375?6=3`o86=44i53a>5<>o60h0;66g60;29?j25j3:17d?l7;29?l5c13:17b=je;29?j5a;3:17b;7:188k15?2900n{#;=?1=?m4i`f94?=h:;21<75`23c94?=h:;i1<75`23g94?=h:::1<75`22094?=h::>1<75`22494?=n9ji1<75f1bf94?=n9jo1<75f1bd94?=n9m:1<75f1e394?=n9m81<75m13;94?772m0:9vB<8082M7c;2w/?9;51c78m4ed2900e:188m4b52900eo>50;9l67>=831d>?o50;9l67e=831d>?k50;9l666=831d>><50;9l662=831d>>850;9a557=8391=7=tL2:2>4}O9m90q)=;5;334>oe:3:17b<;8;29?lgc2900n:4?:283>5}#;=?1jh5G36d8H6>628q/=>h54448yl?b2900eo<50;9l61>=831vlj50;0xZdb<5>02i6s|20094?4|5>09855Q25:8yv46;3:1>vPm2:?4>g46}K;1;1=vF>d29~ 62228:;7dl=:188k72?2900elj50;9a3?6=;3:1N4?o1G?5?51z&27c<3=?1ve4k50;9jf7<722e9854?::pea<72;qUmi527;;f?xu59;0;6?u27;07<>X5<11v??<:181[d534=1n?5r}c32g?6=;3;1?vB<8082M7c;2w/?9;51128mg4=831d>9650;9jea<722h<6=4<:183!53=3ln7E=8f:N0<4<6s-;8j7::6:j=`<722ci>7>5;n0752z\b`>;020o0q~<>2;296~;02;>37S<;8:p645=838pRo<4=68a6>{zj8:o6=4;:285!53=3;;h6g>1b83>>o68l0;66g>0083>>i68;0;66l>0683>6<729q/?9;5639K72`5<N4?o1b=><50;9j565=831d><850;9~f46129086=4?{%171?4612B8;k5f12094?=n9:91<75`20494?=z{8;h6=4<{_32g>;68>0:??52116956452z\24`=:99=1=>=4}r335?6=:rT:<<5211695657>52z\247=:99<1><84}r337?6=:r7:<:4=179>550=9:80q~??4;296~;68=09=;521149565a}#;=?1=5<5<5<5<5<4?::m153<722wi=>6;<4H25e?l74:3:17d?<3;29?j46>3:17pl>1283>6<729q/?9;5639K72`5<3:1N4?o1b=><50;9j565=831b=>:50;9j563=831b=>850;9l640=831vn5<7s-9?97<>e:J03c=n9:81<75f12194?=n9:>1<75f12794?=h:8<1<75rs02f>5<3sW;;i63>198276=:9891=>=4=036>4513ty:on4?:3y]5fe<58;36<==;|q25f<72:qU=45434;:?7?<2:p557=838pR<>>;<325?74:2wx=nh50;0xZ4ea34;:97?<2:p5a6=838pR6:p546=838p16s|10394?4|58;:6??9;<323?74;2wx=<<50;0x94742;;=70?>7;300>{t98>1<777134;:;7?<5:~f440290<694n{%171?75?2c:l0e<==:188m4542900c??9:188yg76n3:187>50z&000<1>2B8;k5f12094?=n9:91<75f12694?=h:8<1<75rb002>5<1290;w)=;5;4e?M50n2c:??4?::k276<722c:?94?::k270<722c:?;4?::m153<722wi=?=50;694?6|,:>>6??m;I14b>o6;;0;66g>3283>>o6;=0;66a=1783>>{t99o1<7:t^02f?875<3;8>63>1g8276=:9;;1=><4}r335?6=2wx=6:p54c=838p1<<;:335?875;3;8>6s|10d94?4|58;m6??9;<317?74;2wx=?>50;0x94462;;=70?=3;300>{zj;826=4;:183!53=3<<7E=8f:k277<722c:?>4?::k271<722e9=;4?::a67d=83>1<7>t$266>30<@:=m7d?<2;29?l74;3:17d?<4;29?j46>3:17pl=2e83>1<729q/?9;5649K72`5<5<54;294~"4<<0=:6F<7g9j564=831b=>=50;9j562=831d><850;9~f756290?6=4?{%171?023A93383>>o6;:0;66g>3583>>i59?0;66sm22194?2=83:p(>:::768L61a3`;8>7>5;h307?6=3`;887>5;n022?6=3th9?84?:583>5}#;=?1:85G36d8m4552900e<=<:188m4532900c??9:188yv7793:1nv3>00810==:99n1==?4=03a>46634;9;7??1:?16<<6;=16>?l51208974c289970<=f;306>;5;80:?9522219562<5;9>6<=<;|q24`<72kq6==k525:8946c28:n70?>b;33a>;6:>0:k4>359>667=9:901?=<:010?844=3;8>6s|10a94?d|58;h6?:7;<33`?76k27:=o4>1b9>571=98i01?<6:011?845j3;8863=2e8271=::;l1=>=4=312>4553488?7?<2:?170<6;=1vcb9>571=9ji0q~?ld;296~X6km16=?951bf8yv7dm3:1>vP>cd9>571=9jo0q~?lf;296~X6ko16=vP>d19>54d=9m:0q~?k1;296~X6l816=vP>d39>54d=9m80q~l?:187[d734;;=7ok;<33a?gc34;:o7ok;|q16=<72;qU>?64=30:>7713ty9>l4?:3y]67g<5;8i6??9;|q16f<72;qU>?m4=30g>7713ty9>h4?:3y]67c<5;8m6??9;|q175<72;qU>>>4=312>7713ty9??4?:3y]664<5;986??9;|q171<72;qU>>:4=316>7713ty:557=j;16==j51108yv77n3:1>v3>0d8a6>;69k0:5<5s4;:o7l=;<313?76l2wvlj50;0xZdb<58826o>4}r0155213;967>52z\16d=:9;31>?o4}r01g?6=:rT9>n5213;967e52z\16`=:9;31>?k4}r004?6=:rT9?=5213;96667>52z\177=:9;31>><4}r000?6=:rT9?95213;966252z\173=:9;31>>84}r3`g?6=:rT:on5213;95fe52z\2ga=:9;31=nj4}r3`a?6=:rT:oh5213;95fc52z\2gc=:9;31=nh4}r3g4?6=:rT:h=5213;95a652z\2`4=:9;31=i?4}r3g6?6=:rT:h?5213;95a41}K;1;1=vF>d29~H6>528q/88753:'713=9l?0eo<50;9l61>=831bmi4?::`4>5<4290;w)=;5;df?M50n2F84<4>{%30b?22>2wb5h4?::ka6?6=3f8?47>5;|`a5?6=:3:1l0e<=>:188k7712900q~ok:181[gc34h:6<=>;|q157<72;q6;77j;<`2>7713ty9=>4?:3y>3?4302T9855rs337>5<5sWh97095b39~yge029086<4<{M1;5?7|@8n87pB<8382!221390q)=;5;3e7>oe:3:17b<;8;29?lgc2900n:4?:283>5}#;=?1jh5G36d8m7}:?38?46P=499~w7742909wSl=;<59f7=zuk9>97>53;397~J4080:wE?k3:O7=4=9r.?944<;|&000<6n:1bn?4?::m10=<722cjh7>5;c594?5=83:p(>:::gg8L61a3`3n6=44ic094?=h:=21<75rs`f94?4|Vhn01:46e:p644=838p1:4=499]61>52z\a6>;02k80qpl69;297?7=;rF84<4>{I3g7>{K;181=v*;5880?x"4<<0:j>5fb383>>i5<10;66gnd;29?g1=8391<7>t$266>cc<@:=m7d7j:188mg4=831d>9650;9~wdb=838pRlj4=68:a>{t:881<73;296~Xe:27<6o<4}|`1`d<72:0:6>uC39395~N6l:1v@>6=:0y'00?=;2w/?9;51g18mg4=831d>9650;9jea<722h<6=4<:183!53=3ln7E=8f:k:a?6=3`h96=44o36;>5<4=:5yO7=7=9rB:h>5rL2:1>4}#<<31?6s+35795cg5<7s-9?97hj;I14b>o>m3:17dl=:188k72?2900qol>:181>5<7s-9?97?;0:J03c=n9:;1<75`20494?=z{hn1<72;296~;020o01o?52048yv46;3:1>v38:36;?[4302wx><:50;0xZg4<5>0i>6srb277>5<42;0?wA=71;3xL4b43tF84?4>{%66=?55;hcg>5<0;6>4?:1y'713=nl1C?:h4i8g94?=nj;0;66a=4983>>{ej80;6?4?:1y'713=9=:0D>9i;h305?6=3f8::7>5;|qb`?6=:rTjh63m1;305>{t:881<7;e938::6s|20194?4|5>09855Q25:8yv46<3:1>vPm2:?4>g453;090~J4080:wE?k3:O7=4=9r.?944<;|&000<6nh1bn?4?::m10=<722cjh7>5;c594?5=83:p(>:::gg8L61a3`3n6=44ic094?=h:=21<75rbc394?4=83:p(>:::063?M50n2c:?<4?::m153<722wxmi4?:3y]ea=:j80:?<5rs331>5<5s4=15h52b08153=z{;;86=4={<5961>37p}=1583>7}Yj;16;7l=;|a6a?=8391>7:tL2:2>4}O9m90qA=72;3x 13>2:1v(>:::0db?ld52900c?:7:188mdb=831i;7>53;294~"4<<0mi6F<7g9j=`<722ci>7>5;n0752;294~"4<<0:8=5G36d8m4562900c??9:188yvgc2909wSok;<`2>4563ty9=?4?:3y>3??b34h:6??9;|q156<72;q6;7<;8:\10==z{;;?6=4={_`1?81=j;1vqohm:180>7<3sE93=7?tH0f0?xJ40;0:w)::9;18y!53=3;mm6gm2;29?j4303:17dok:188f2<72:0;6=u+3579b`=O;>l0e4k50;9jf7<722e9854?::af4<72;0;6=u+3579516<@:=m7d?<1;29?j46>3:17p}nd;296~Xfl27i=7?<1:p644=838p1:46e:?a5?46>2wx><=50;0x92<5<11U>964}r020?6=:rTi>638:c08yxdak3:1?7?53zN0<4<6sA;o?6sC39095~"3=0087p*<4482b6=nj;0;66a=4983>>ofl3:17o950;194?6|,:>>6kk4H25e?l?b2900eo<50;9l61>=831vlj50;0xZdb<5>02i6s|20094?4|5>09855Q25:8yv46;3:1>vPm2:?4>g458;09`~J4080:wE?k3:O7=4=0r.?944<;%664;5c9'004=:=20(9:j:`f8j12f281/88:53538j12d281v(>:::0f:?_?c28q8:7sU32c96~5?2:k1qd=;2;29?lgc2900e>:>:188k733290/>>j52418j75d2910c?;=:18'66b=:<90b?=l:098k737290/>>j52418j75d2;10c?:i:18'66b=:<90b?=l:298k72>290/>>j52418j75d2=10n:4?:e85>`}K;1;1=vF>d29~H6>52>q/88653b08 1372k80(9;=:36;?!23m3ko7c:;a;38 1332:>:7c:;c;38y!53=3;n:6T6d;0x73<4<3wQ?>o52z1;>67=u`9?>7>5;hcg>5<:6=44o377>5<#::n1>8=4n31`>5=5<#::n1>8=4n31`>7=m6=4+22f960554o36:>5<#::n1>8=4n31`>1=h5;j0;76gmc;29 75c2kn0b?=l:098mgg=83.9?i4md:l17f<532ci57>5$31g>gb54ic194?"5;m0ih6`=3b87?>d0290>6>48{M1;5?7|@8n87pB<838:!22039h>6*;518a6>"3=;09855+45g9ea=i<=k1=6*;558004=i<=i1=6*;548001=i<=n1=6s+35795`1>i5<10;66g<4583>>o4<80;66l8:180>4<4sE93=7?tH0f0?x"4<<0:hn5fb383>>i5<10;66gnd;29?g1=8391<7>t$266>cc<@:=m7d7j:188mg4=831d>9650;9~wdb=838pRlj4=68:a>{t:881<73;296~Xe:27<6o<4}|`0e5<72:0;6=u+357927=O;>l0e<==:188m4542900c??9:188yg5em3:1?7>50z&000<5901C?:h4i011>5<5<964=6810==z{:>?6=4={_170>;4jl0:??5rs262>5<5sW9?=637d6=:8<0qpln:187>7<2sE93=7?tH0f0?xJ40;0"3=90i>6*;53810==#<=o1mi5a45c95>"3==088<5a45a95>{#;=?1=h>4i`f94?=nj;0;66a=4983>>o4<80;66l8:180>4<4sE93=7?tH0f0?x"4<<0:hn5fb383>>i5<10;66gnd;29?g1=8391<7>t$266>cc<@:=m7d7j:188mg4=831d>9650;9~wdb=838pRlj4=68:a>{t:881<73;296~Xe:27<6o<4}|`0e5<72:0;6=u+357927=O;>l0e<==:188m4542900c??9:188yvgc2909wSok;<59ea=z{k81<77}Y:=201:4=499~w6262909wS=;1:?0e5<6;;1v>7i:18181=j;16?l>52048yxd6l3:187<55zN0<4<6sA;o?6sC39093~"3=108o?5+4429f7=#<<81>964$56f>dbj6<5+4469717h6<5r$266>4c73`ko6=44ic094?=h:=21<75f35394?=e?3:1?7?53zN0<4<6sA;o?6s+35795ae5<7s-9?97hj;I14b>o>m3:17dl=:188k72?2900q~ok:181[gc34=15h5rs331>5<5s4=1>964^36;?xu59:0;6?uQb39>3?d53twi?l>50;194?6|,:>>6;<4H25e?l74:3:17d?<3;29?j46>3:17p}nd;296~Xfl27<6lj4}r`1>5<5sWh970=n0;307>{t:=21<751208yv5>n3:1>v38:c0896g72;;=7psm2383>1<5272?3->?i7ok;o67e?7<,=??6>:>;o67g?75<:6=44b683>6<62:qG?5?51zJ2`6=z,:>>65<36=44i`f94?=e?3:1?7>50z&000>oe:3:17b<;8;29?xufl3:1>vPnd:?4>7>52z?4>72?3W8?46s|20194?4|Vk801:4m2:~f6g729086=4?{%171?053A93383>>o6;:0;66a=1783>>{tim0;6?uQae9>3?gc3tyi>7>52z\a6>;4i90:?>5rs36;>5<5sW8?4638:36;?xu4<80;6?uQ353896g728997p}<9g83>7}:?3h970=n0;022>{zj;?1<7:52;7xH6>628qC=i=4}M1;6?1|,=?36>m=;%664?d53->>>7<;8:&70`;%660?5392d?8n4>;|&000<6m91bmi4?::ka6?6=3f8?47>5;h175?6=3k=1<7=51;1xH6>628qC=i=4}%171?7ck2ci>7>5;n076<729q/?9;5fd9K72`>i5<10;66s|ae83>7}Yim16;77j;|q157<72;q6;7<;8:\10==z{;;86=4={_`1?81=j;1vqo=n0;297?6=8r.888492:J03c=n9:81<75f12194?=h:8<1<75rs`f94?4|Vhn01:4nd:pf7<72;qUn?523`2956552z\10==:?38?46s|35394?4|V:>:70=n0;306>{t;0l1<7;4i909=;5r}c06f?6=;3:1l0e<==:188m4542900c??9:188yv53:3:1?vP<439>3?53<2799o4>329~wdb=83;f2hn0167<4}r06e?6==r7j6>:>;<3g>62634896>:>;<06>626348>n7<>6:p602=838pR?;;;<06>72?3-98o7<:3:l07g<73ty99?4?:3y]604<5;81>964$21`>7343g98n7?4}r064?6=:rT99=521e810==#;:i1>8=4n21a>7=z{;>m6=4={_07b>;f2;>37)=h4;k087p}=4883>7}Y:=301:4=499'76e=:<90b>=m:59~wgc=838pRok4=379f7=#;:i1ni5a32`94>{tjj0;6?uQbb9>6752z\ae>;6l3h97)=34k1n?5+32a9fa=i;:h1?6s|b283>7}Yj:16;7l=;%10g?dc3g98n7:4}|`141<72h036iuC39395~N6l:1v@>6=:4y'00>=;ki0(9;>:ba8 13c2h1/88=53378 13d2>1v(>:::0f6?_?c2;q8;7=::|X07d<5s:31??4ro212>5<#::n1?>>4n31`>5=5<#::n1?>>4n31`>7=54o205>5<#::n1?>>4n31`>1=h5;j0;76gk6;29 75c2m=0b?=l:098ma2=83.9?i4k7:l17f<532co?7>5$31g>a154ibf94?"5;m0o;6`=3b87?>d5800;6>4>:2yO7=7=9rB:h>5r$266>4`e3`ij6=44ib`94?=h;;?1<75m39`94?5=;3?p(>:::2:a?lef2900enl50;9l773=831i?5650;194?6|,:>>6;<4H25e?l74:3:17d?<3;29?j46>3:17pl<8883>6<729q/?9;5639K72`5<l0e<==:188m4542900c??9:188yvef2908wSmn;<1;339~wfd=839pRnl4=2:;>455349357?<3:p773=838pR><:;<1;e?46>2wx?5950;0x96>?2;;=70=7a;306>{t;121<77713493m7?<3:~wfg=838pRno4=2:a>fg7}Y;;?01>6m:206?x{e:9k1<7=51;1xH6>628qC=i=4}%171?7aj2chm7>5;haa>5<6=44b2:a>5<42:0>w)=;5;1;f>odi3:17dmm:188k6422900n>67:180>5<7s-9?978=;I14b>o6;;0;66g>3283>>i59?0;66sm39;94?5=83:p(>:::708L61a3`;8>7>5;h307?6=3f8::7>5;|`06;29?xudi3:1?vPla:?0<=<6;:16?5751208yvee2908wSmm;<1;329~w6422909wS==5:?068:18185?038::63<8`8277=z{:236=4={<1;=?46>2784l4>329~yvef2909wSmn;<1;f?ef3tyhn7>52z\`f>;40k0hn6s|33794?4|V:8>70=7b;111>{zj;:i6=4<:080I5?93;pD5;c1;f?6=;3919v*<44805<4290;w)=;5;41?M50n2c:??4?::k276<722e9=;4?::a7=g=8391<7>t$266>77>3A93383>>o6;:0;66a=1783>>{tkh0;6>uQc`9>7=>=9:901>66:011?xudj3:1?vPlb:?0<=<6;;16?5751218yv55=3:1>vP<249>7=g=:8<0q~=77;296~;40109=;5239c956452z?0<<<59?16?5o51218yxudi3:1>vPla:?05<5sW99963<8c8060=zuk8;o7>53;397~J4080:wE?k3:'713=9oh0eno50;9jgg<722e8>84?::`0>i4:<0;66l<8983>6<729q/?9;5639K72`5<N4?o1b=><50;9j565=831d><850;9~f6>f29086=4?{%171?4612B8;k5f12094?=n9:91<75`20494?=z{jk1<7=t^bc896>?289870=79;306>{tkk0;6>uQcc9>7=>=9:801>66:010?xu4:<0;6?uQ337896>f2;;=7p}<8683>7}:;121><84=2:b>4553ty8454?:3y>7=?=:8<01>6n:010?x{tkh0;6?uQc`9>7=d=kh1vnl50;0xZfd<5:2i6nl4}r111?6=:rT8>85239`97735}#;=?1:<5G36d8m4552900e<=<:188k7712900qo=n2;297?6=8r.888491:J03c=n9:81<75f12194?=h:8<1<75rb2c7>5<4290;w)=;5;42?M50n2c:??4?::k276<722e9=;4?::a761=8381<7>t$266>4273A93083>>i59?0;66s|38d94?5|5;:j6no4=2c3>771349j>7?<3:p7d7=839p1?>m:bc896g52;;=70=n4;307>{t;h91<7fg<5:k?6??9;|q074<72;qU?>?4=32`>6423-98o7=<0:l07g<73ty8>k4?:3y]77`<5;:i6><:;%10g?5482d8?o4>;|q06a<72;qU??j4=32b>6423-98o7=<0:l07g<53ty8>n4?:3y]77e<5;:26><:;%10g?5482d8?o4<;|q063<72;qU??84=214>7713-98o7=<0:l07g<33tyo47>52z\g<>;58j0hn6*<3b8g3>h4;k0;7p}k6;297~Xc>279m5d69m76d=92wxh94?:2y]`1=::9k1oo523`09564<,:9h6i94n21a>7=z{m91<7=t^e18976>2jh01>o?:011?!54k3n<7c=m5d69m76d=<2wv>:=:181[53:27<6>:=;|qb`?6=:rTjh638:`f8yv5393:1>vP<409>3?5392wx>8:50;1xZ73334=1>8:4=327>a><,:9h6?;<;o10f?6>7>53z\117=:?38>>63=058g2>"4;j099>5a32`95>{t:<:1<7=t^373?81=:<:01?>;:e68 65d2;?87c=3?43n279<94k3:&07f<5=:1e?>l53:p61?=839pR?:6;<5961?<5;:?6nj4$21`>7343g98n7:4}r1:=?6=:r7<6ok4=327>6563-98o7=68:l07g<73ty85:4?:3y>3?dd348;87==f:&07f<4111e?>l51:p7<3=838p1:4ma:?141<4:m1/?>m538:8j65e2;1v>7;:18181=j016>=:533a8 65d2:337c=v38:c1897632:8=7)=h4;k0?7psmf483>6<62:qG?5?51zJ2`6=zD:296{#;=?1=h74ic094?=h:=21<75fae83>>d029086=4?{%171?`b3A9>:6sf9d83>>oe:3:17b<;8;29?xufl3:1>vPnd:?4>7>52z?4>72?3W8?46s|20194?4|Vk801:4m2:~f15?290>6>49{M1;5?7|@8n87pB<838b!221390(9;7:2a1?!2283h97)::2;07<>"3:7c:;c;38y!53=3;nm6gnd;29?lgb2900eo<50;9l61>=831b?9?50;9a3?6==3819vB<8082M7c;2w/?9;51ea8mg4=831d>9650;9jea<722cji7>5;hc6>5<0;684?:1y'713=no1C?:h4i`194?=ni<0;66g6e;29?ld52900c?:7:188yg5?83:1=7>50z&000<6;91C?:h4o00e>5<0j?6s|20094?4|5:2;6<37p}=1583>7}Yj;16;7l=;|a7d6=8381<7>t$266>4273A93083>>i59?0;66sm3c;94?5=83:p(>:::33b?M50n2c:??4?::k276<722e9=;4?::pea<72;qUmi527;cg?xufm3:1>vPne:?0f<<6;;1v?:7:181[43027<6?:7;|q004<72:qU?9?4=2c3>456349i57?<3:p7<`=838p1:4m2:?0e5<59?1v>l7:18181=il16?o752048yxd6<80;6>4>:2yO7=7=9rB:h>5rL2:1>4}#<<31?6s+35795c55<7s-9?97hj;I14b>o>m3:17dl=:188k72?2900q~ok:181[gc34=15h5rs331>5<5s4=1>964^36;?xu59:0;6?uQb39>3?d53twi954?:282>6}K;1;1=vF>d29~H6>528q/88753:'713=9o90eo<50;9l61>=831bmi4?::`4>5<4290;w)=;5;df?M50n2c2i7>5;h`1>5<36=44}rcg>5<5sWko70959d9~w7752909w09525:8Z72?3ty9=>4?:3y]f7=:?3h97psm15f94?5=939p@>6>:0yK5a57?t$57:>6=z,:>>65<36=44i`f94?=e?3:1?7>50z&000>oe:3:17b<;8;29?xufl3:1>vPnd:?4>7>52z?4>72?3W8?46s|20194?4|Vk801:4m2:~f6cb29086?4;{M1;5?7|@8n87pB<8382!221390q)=;5;3ee>oe:3:17b<;8;29?lgc2900n:4?:283>5}#;=?1jh5G36d8m5}#;=?1=9>4H25e?l7493:17b<>6;29?xufl3:1>vPnd:?a5?7492wx><<50;0x92<>m27i=7<>6:p645=838p1:4=499]61>52z\a6>;02k80qplke;297?7=;rF84<4>{I3g7>{K;181=v*;5880?x"4<<0:io5fb383>>i5<10;66gnd;29?g1=8391<7>t$266>cc<@:=m7A=71;3x 45a2=?=7pg6e;29?ld52900c?:7:188yvgc2909wSok;<59=`=z{;;96=4={<5961>37p}=1283>7}Yj;16;7l=;|a7a4=8391>7:tL2:2>4}O9m90qA=72;3x 13>2:1v(>:::0db?ld52900c?:7:188mdb=831i;7>53;294~"4<<0mi6F<7g9j=`<722ci>7>5;n0752;294~"4<<0:8=5G36d8m4562900c??9:188yvgc2909wSok;<`2>4563ty9=?4?:3y>3??b34h:6??9;|q156<72;q6;7<;8:\10==z{;;?6=4={_`1?81=j;1vqo=i8;297?4={I3g7>{K;181=v*;5880?x"4<<0:jl5fb383>>i5<10;66gnd;29?g1=8391<7>t$266>cc<@:=m7d7j:188mg4=831d>9650;9~fg7=8381<7>t$266>4273A93083>>i59?0;66s|ae83>7}Yim16n<4>309~w7752909w0959d9>f4<59?1v??<:18181=:=20R?:7;|q151<72;qUn?527;`1?x{e<;h1<7=52;6xH6>628qC=i=4}M1;6?7|,=?26>5r$266>4`f3`h96=44o36;>5<419K72`5<7}:?33n70l>:335?xu59:0;6?u27;07<>X5<11v??;:181[d534=1n?5r}c37=?6=1391nv*<44820<=h9=>1<75f1c494?=n9031<75f1c594?=n9k21<75f1c;94?=n9kk1<75f1c`94?=n9=81<75m15:94?5=83:p(>:::738L61a3`;8>7>5;h307?6=3f8::7>5;|`203<72:0;6=u+357927=O;>l0e<==:188m4542900c??9:188yg73?3:1;7>50z&000<5:91C?:h4i011>5<5<6=44i015>5<5<52z\201=:9==1><84}r3a2?6=:rT:n;5215:956452z\2=<=:9=21=>=4}r3a3?6=:rT:n:52155956552z\2f==:9==1=>:4}r3a=?6=:rT:n452155956352z\2fd=:9==1=>84}r3af?6=:rT:no5215495657>52z\207=:9=<1=><4}r371?6=:r7:854=179>511=9:80q~?;6;296~;6j7>58;09=~"4<<0>j6a:b;29?l7e>3:17d?69;29?l7e?3:17d?m8;29?l7e13:17d?ma;29?l7ej3:17o;j:180>5<7s-9?978>;I14b>o6;;0;66g>3283>>i59?0;66sm5e83>2<729q/?9;52328L61a3`;8>7>5;h307?6=3`;887>5;h301?6=3`;8:7>5;h303?6=3f8::7>5;|q6f?6=:rT>n63:d;022>{t9k<1<79883>7}Y903018k51218yv7e?3:1>vP>b69>1a<6;:1vh7?<4:p5g?=838pR4523ty:nl4?:3y]5gg<584}r3af?6=:rT:no525e8272=z{<4}|`21c<72h0j6<=t$266>43a3f;?i7>5;h3a2?6=3`;?h7>5;h3a5;h3ae?6=3`;in7>5;h376?6=3`9o57>5;h354?6=3k;><7>53;294~"4<<0==6F<7g9j564=831b=>=50;9l640=831vn<;>:180>5<7s-9?978>;I14b>o6;;0;66g>3283>>i59?0;66sm14194?5=83:p(>:::738L61a3`;8>7>5;h307?6=3f8::7>5;|`210<72:0;6=u+357924=O;>l0e<==:188m4542900c??9:188yg72?3:1?7>50z&000<1:2B8;k5f12094?=n9:91<75`20494?=zj8?26=4<:183!53=3<97E=8f:k277<722c:?>4?::m153<722wi=8o50;194?6|,:>>6??6;I14b>o6;;0;66g>3283>>i59?0;66sm14`94?5=83:p(>:::738L61a3`;8>7>5;h307?6=3f8::7>5;|`21a<72:0;6=u+357927=O;>l0e<==:188m4542900c??9:188yg72m3:1;7>50z&000<5:91C?:h4i011>5<5<6=44i015>5<5<52z\20`=:9<84}r3a2?6=:rT:n;52142956457z\20a=:9<:1=>=4=072>45434;>?7?<3:?210<6;:16=8751218943c28987p}>b983>7}Y9k201<;>:011?xu6j00;6?uQ1c;8943428997p}>b`83>7}Y9kk01<;::011?xu6jk0;6?uQ1c`8943e28997p}>4383>6}Y9=801<;8:010?87213;8>6s|3e;94?4|V:n270?:7;306>{t9?:1<76s|15d94?4|58?;6??9;<36a?74:2wx=8?50;0x94362;;=70?:e;307>{t9<81<777134;>i7?<4:p502=838p1<;::335?872m3;896s|14494?4|58?<6??9;<36e?74:2wx=8650;0x943>2;;=70?:a;307>{t:881<777134;>n7?<3:p645=838p1<;m:335?872m3;8:6s|14a94?4|58?o6??9;<36a?74?2wvn>h9:181>5<7s-9?97?;0:J03c=n9:;1<75`20494?=zj;n36=4<:183!53=3<:7E=8f:k277<722c:?>4?::m153<722wi?8=50;194?6|,:>>6;?4H25e?l74:3:17d?<3;29?j46>3:17pl67;297?6=8r.888491:J03c=n9:81<75f12194?=h:8<1<75rbb794?5=83:p(>:::738L61a3`;8>7>5;h307?6=3f8::7>5;|`fg?6=;3:1N4?o1b=><50;9j565=831d><850;9~f`c=8391<7>t$266>34<@:=m7d?<2;29?l74;3:17b<>6;29?xda?3:1?7>50z&000<1:2B8;k5f12094?=n9:91<75`20494?=zj=9o6=4;:183!53=34?::k271<722e9=;4?::a7c6=8391<7>t$266>77>3A93383>>o6;:0;66a=1783>>{em90;6>4?:1y'713=>81C?:h4i011>5<5<4?::k271<722c:?84?::k273<722e9=;4?::ab7<72?0;6=u+35792`=O;>l0e<==:188m4542900e<=;:188m4522900e<=9:188k7712900qoh6:185>5<7s-9?979?;I14b>o6;;0;66g>3283>>o6;=0;66g>3483>>o6;?0;66a=1783>>{e<:l1<7850;2x 6222?o0D>9i;h306?6=3`;8?7>5;h300?6=3`;897>5;h302?6=3f8::7>5;|`0b0<72:0;6=u+357924=O;>l0e<==:188m4542900c??9:188yg`329086=4?{%171?4612B8;k5f12094?=n9:91<75`20494?=zj8>i6=4::183!53=38:i6F<7g9j564=831b=>=50;9j562=831b=>;50;9l640=831vn<:<:180>5<7s-9?978>;I14b>o6;;0;66g>3283>>i59?0;66sm17394?1=83:p(>:::303?M50n2c:??4?::k276<722c:?94?::k270<722c:?;4?::k272<722e9=;4?::aa7<72>0;6=u+3579676<@:=m7d?<2;29?l74;3:17d?<4;29?l74=3:17d?<6;29?l74?3:17b<>6;29?xd4l?0;6;4?:1y'713=:8l0D>9i;h306?6=3`;8?7>5;h300?6=3`;897>5;h302?6=3f8::7>5;|`741<72:0;6=u+357964?<@:=m7d?<2;29?l74;3:17b<>6;29?xd4nl0;6>4?:1y'713=>;1C?:h4i011>5<5<;:7>55;294~"4<<09=h5G36d8m4552900e<=<:188m4532900e<=::188k7712900qo:?0;297?6=8r.888491:J03c=n9:81<75f12194?=h:8<1<75rb512>5<4290;w)=;5;42?M50n2c:??4?::k276<722e9=;4?::ag<<72;0;6=u+3579516<@:=m7d?<1;29?j46>3:17pl<5683>7<729q/?9;51528L61a3`;8=7>5;n022?6=3th2n7>52;294~"4<<0:8=5G36d8m4562900c??9:188yg4ck3:1>7>50z&000<6<91C?:h4i012>5<l0e<=>:188k7712900qo=k4;296?6=8r.8884>419K72`5<;>7>52;294~"4<<0:8=5G36d8m4562900c??9:188yg24;3:1>7>50z&000<6<91C?:h4i012>5<<58?m6<:k;|q707<72;qU89<4=274>4563ty8h?4?:3y]7a4<5:n96?:7;|q0ag<72;qU?hl4=b;956753z\2g==:m90:??523gg956453z\204=:9=;1>964=51g>4543tyn?7>52z\f7>;aj3h97p};1c83>7}Y<8h01?jl:012?xu60m0;6?uQ19f894?>2k80q~o=:187[g534;i97?lc:?ef?gc34;??7?<2:p=c<728=pR4h4=0`6>db<58326lj4=b59ea=:;;5lh0jh63l6;cg?852<3ko7077:`f897b>2hn01km5ae9>a1db<58>o6lj4=2gf>db<5mo1mi523e09ea=:;o21mi5243`9ea=z{:l36=4={_1e<>;4n109855rs0:b>5<5sW;3m63;018277=z{0:1<7=t^82894d228in70=i5;306>{t<;h1<7{t;m31<7=t^2f:?872n39o5636b;305>{t;lo1<7{t=10;6?uQ599>1=<5<11v9=7:180[24027??54=499>b2<6;;1vk650;0x9c1=9:901k752048yvc62909w0k?:010?8c52;;=7p}l4;297~;6j<0:ok52c48153=:9=h1=><4}rff>5<5s4nn6?:7;<1e1?74;2wx8>>50;0x91562;;=70:<3;305>{tnk0;6>u2fc810==:nj0i>63je;306>{t;<>1<772?349>?7?<3:p51g=838p1<:m:335?873;3;8?6s|9783>6}:9k?1=i?4=859640<58>i6<=;;|qe2?6=:r7m97l=;7713ty8984?:3y>703=:=201>;<:011?xud03:1?v3l7;`1?8e12k801n752048yvce2909w0k;:262?8cd2;;=7p}jd;297~;b<39?>63jc;306>;bm38::6s|f283>7}:mj0:?>52f58153=z{:n>6=4={<1g2?46>278h94>309~w<>=838p146525:89<1=9:90q~:72?34on6<=<;|q0ba<72;q6?kk52048916128997p}7}:<9:1><84=521>4563tyh:7>52z?`2?43027h97?<3:p=<<72;q6544=499>=2<6;;1v>ki:18185bm3h970=i0;022>{tn<0;6>u21c795a6<5o?1>964=06a>4543ty:544?:5y>5g3=9jn01<76:36;?87313;2563:f;3:=>{tlo0;6?u2dd8a6>;b838::6s|c683>7}:k>098552c48277=z{8>n6=4={<37`?d534;>j7?;e:p6a?=838p1?j6:36;?84c03;8?6s|3g694?4|5:l=6<=>;<1e1?46>2wx?8850;1x96322k801>;;:c0896302;;=7p}>4583>7}:9=;1n?5215;951252z?1`d<5<116>i651208yv52:3:1>v3<528153=:<:n1=>:4}r7a>5<5s4?36o<4=4d91g=z{ll1<7=t=g29640<5o>1=><4=562>4563tym=7>52z?e6?46>27m87?<3:p5g0=83?p1;6=o0:n;52173956457z?2f0<5:h16=9751c5890`=9k=01<8>:010?8c5289970=k6;306>;38=0:??5rs0`;>5b99>50`=9k201<8>:017?8c5289870=k6;307>;38=0:?>5rs0`:>5b89>50`=9k301<8>:016?8c5289?70=k6;300>;38?0:?>5rs0`b>5<>s4;i97<<0:?20<<6jh169k4>b`9>50`=9kk01>h?:011?87193;8:63j2;301>;4l?0:?852414956259z?2f0<5;;16=9751c`890`=9kh01<;i:0`a?85a83;8?63>608272=:m;0:?;523e49560<5=:=6<=:;|q2ff<72;q6=o;522689`4=9:=0q~=k3;296~;4l;0i>638?7<>6:p6ad=839p1?jn:c0897b>2k801?jl:335?xu>i3:1?v369;`1?8??2k8014l52048yv2383:1>v3;398ba>;3<809=;5rs520>5<5s4>;87<>6:?0b`<6;:1v9>::180827>38::63;018276=:<:;1=>=4}r635?6=:r78j54m2:?747<59?1v?j8:18087e=3;o>63=d98153=:9=h1=>;4}r376?6=;r7:844>439>50`=9=801<:<:335?xu6>90;6?u214d9536<58<:6??9;|q77`<72;q68>j51208915a2;;=7p}ja;291~;b<38>863i0;302>;a:3;8:63i9;302>;3;o0:??5+32a9a<=i;:h1<6s|e983>0}:m=099?52f18270=:n;0:??52f88270=:<:l1=>=4$21`>`?1>8>4=g29562<5o81=>=4=g;9562<5=9m6<=9;%10g?c>3g98n7<4}rg5>5<2s4o?6?:i;45434l96<=;;45434>8j7?<4:&07f7?<5:?e=?74:27??k4>349'76e=m01e?>l54:~f6da290n65rL2:1>7}i<{#;=?1m;5U9e8275=uS98m7>if93:17b=?b;29?j5cl3:17dk<:188m6`02900el<50;9l57c=831d8:6yO7=7=9rB:h>5rL2:1>4}#<<31<6s+357957b6>:0yK5a55;n01e?6=3f89o7>5;n01a?6=3k;;=7>53;090~J4080:wE?k3:'713=99:0eo<50;9l61>=831bmi4?::`4>5<4290;w)=;5;df?M50n2F84<4>{%30b?22>2wb5h4?::ka6?6=3f8?47>5;|`a5?6=:3:1l0e<=>:188k7712900q~ok:181[gc34h:6<=>;|q157<72;q6;77j;<`2>7713ty9=>4?:3y>3?4302T9855rs337>5<5sWh97095b39~yg77m3:1?7<54zN0<4<6sA;o?6s+35795565<7s-9?97hj;I14b>J4080:w)?{n1l0;66gm2;29?j4303:17plm1;296?6=8r.8884>419K72`5<7}:?33n70l>:335?xu59:0;6?u27;07<>X5<11v??;:181[d534=1n?5r}c33`?6==381:v*<44824a=n99;1<75f1ba94?=n9jn1<75f11g94?=h9981<75m11794?2=83:p(>:::778L61a3`;8>7>5;h307?6=3`;887>5;n022?6=3th:<94?:283>5}#;=?1><74H25e?l74:3:17d?<3;29?j46>3:17p}>0083>7}Y99;01<>;:011?xu6kj0;6?uQ1ba89462289?7p}>ce83>7}Y9jn01<>::011?xu68l0;6?uQ11g8946228987p}>0383>7}Y99801<>;:335?xu68:0;6?u21179640<58:?6<=<;|a54d=83>1?78t$266>47e3`;ho7>5;h33a?6=3`;;=7>5;n33b?6=3k;:87>53;294~"4<<0=>6F<7g9j564=831b=>=50;9l640=831vn:180>5<7s-9?978=;I14b>o6;;0;66g>3283>>i59?0;66sm10194?5=83:p(>:::33:?M50n2c:??4?::k276<722e9=;4?::p5fe=839pR329~w46b2909wS??e:?251<6;:1v<>>:181[77927:=<4>339~w46a2909wS??f:?256<59?1v128277=z{8;:6=4={<325?46>27:=>4>329~yg4513:1?7>50z&000<1;2B8;k5f12094?=n9:91<75`20494?=zj;8i6=4<:183!53=3<97E=8f:k277<722c:?>4?::m153<722wi>?h50;194?6|,:>>6;<4H25e?l74:3:17d?<3;29?j46>3:17p}>0083>3}:99;1>964=02g>46634;:n7??1:?16<<6;:16>?l51208974a28987p}>0d83>3}:99o1>964=02g>46b34;:n7??e:?16<<6;;16>?l51218974a28997p}>cb83>6}Y9ji01<>k:0a`?876j3;ho6s|1bf94?4|V8io70??d;3``>{tj90;6>uQb19>557=im16==k5ae9~w74?2909wS<=8:?16<<59?1v?o4=179~w74b2909wS<=e:?16c<59?1v<>=:18187793h970??d;336>{t99l1<7g4<58;i6<>i;|pea<72;qUmi5213;9f5=z{;836=4={_01<>;6:009>55rs30b>5<5sW89m63>28816d=z{;8h6=4={_01g>;6:009>n5rs30f>5<5sW89i63>28816`=z{8ih6=4={_3`g>;6:00:on5rs0ag>5<5sW;hh63>2882ga=zuk9?o7>53;397~J4080:wE?k3:O7=4=9r.?944?;|&000<6n:1bn?4?::m10=<722cjh7>5;c594?5=83:p(>:::gg8L61a3`3n6=44ic094?=h:=21<75rs`f94?4|Vhn01:46e:p644=838p1:4=499]61>52z\a6>;02k80qpl<4783>3<52hqG?5?51zJ2`6=zD:2965u+44;94>"3=108o=5+3b1900d<,=?96?:7;%67a?gc3g>?m7?4$577>6263g>?o7?4}%171?7ci2P2h7?t2`8~^65f2;q9o75;n066?6=,;9o6?;>;o00g?6<3f8><7>5$31g>7363g88o7?4;n07b?6=,;9o6?;>;o00g?4<3f8?57>5$31g>7363g88o7=4;c594?g=<3kp@>6>:0yK5a579t$57;>6e53->><7l=;%666?4302.?8h4nd:l70d<63->>87=;1:l70f<63t.8884>eb9Y=a<5s;k1>54rZ21b>7}5k38=6pgnd;29?l5393:17b<:2;29 75c2;?:7c<>m50:9jfd<72-88h7lm;o00g?7<3`h26=4+22f9fg=i::i1>65fb283>!44l3hi7c<1>7;tL2:2>4}O9m90qA=72;5x 13?2:i97)::0;`1?!22:38?46*;4d8b`>h3h34}O9m90q)=;5;3gg>oe:3:17b<;8;29?lgc2900n:4?:283>5}#;=?1jh5G36d8H6>628q/=>h54448yl?b2900eo<50;9l61>=831vlj50;0xZdb<5>02i6s|20094?4|5>09855Q25:8yv46;3:1>vPm2:?4>g45}#;=?1:?5G36d8m4552900e<=<:188k7712900q~ok:181[gc34=1mi5rsc094?4|Vk801>o?:010?xu5<10;6?uQ25:892<5<11v>:>:181[539278m=4>339~w6?a2909w095b39>7d6=:8<0qpln:187>7<2sE93=7?tH0f0?xJ40;0"3=90i>6*;53810==#<=o1mi5a45c95>"3==088<5a45a95>{#;=?1=hk4i`f94?=nj;0;66a=4983>>o4<80;66l8:180>4<4sE93=7?tH0f0?x"4<<0:hn5fb383>>i5<10;66gnd;29?g1=8391<7>t$266>cc<@:=m7A=71;3x 45a2=?=7pg6e;29?ld52900c?:7:188yvgc2909wSok;<59=`=z{;;96=4={<5961>37p}=1283>7}Yj;16;7l=;|a7d6=8391<7>t$266>34<@:=m7d?<2;29?l74;3:17b<>6;29?xufl3:1>vPnd:?4>db3709525:8yv5393:1>vP<409>7d6=9:80q~=6f;296~;02k801>o?:335?x{e9m0;694=:4yO7=7=9rB:h>5rL2:1>2}#<<21?n<4$573>g4<,=?96?:7;%67a?gc3g>?m7?4$577>6263g>?o7?4}%171?7bn2cjh7>5;h`1>5<36=44i262>5<0;6>4>:2yO7=7=9rB:h>5r$266>4bd3`h96=44o36;>5<3g8713=za0o1<75fb383>>i5<10;66s|ae83>7}Yim16;77j;|q157<72;q6;7<;8:\10==z{;;86=4={_`1?81=j;1vqo=n0;297?6=8r.888492:J03c=n9:81<75f12194?=h:8<1<75rs`f94?4|Vhn01:4nd:pf7<72;qUn?523`2956552z\10==:?38?46s|35394?4|V:>:70=n0;306>{t;0l1<7;4i909=;5r}c01>5<32;0>wA=71;3xL4b43tF84?48{%665<42808wA=71;3xL4b43t.8884>db9jf7<722e9854?::kb`?6=3k=1<7=50;2x 6222oo0D>9i;M1;5?7|,89m69;9;|k:a?6=3`h96=44o36;>5<9i;h306?6=3`;8?7>5;n022?6=3tyjh7>52z\b`>;02hn0q~l=:181[d5349j<7?<3:p61>=838pR?:7;<5961>52z\004=:;h:1=><4}r1:b?6=:r7<6o<4=2c3>7713twxmi4?:4y]ea=:?3ko70o5ae9>5a7ok;|q004<72d;175>;5:39?=6s|24094?4|V;?970<=:36;?!54k38>=6`<3c83?xu5=90;6?uQ242894b=:=20(>=l:372?k54j3;0q~<;f;296~X5l52:p61?=838pR?:6;<5961><,:9h6?;>;o10f?5=l:c`8j65e291voo50;0xZgg<58n1n?5+32a9fg=i;:h1=6s|b883>7}Yj016m7l=;%10g?de3g98n7<4}r`0>5<5sWh87095b39'76e=jk1e?>l53:~f76329036;4n{M1;5?7|@8n87pB<8386!22039io6*;508`g>"3=m0j7)::3;111>"3=j0<7p*<4482`3=]1m09w?l5288~^65f2;q9h7<8:|m06c<72-88h7==e:l17f<732e8>i4?:%00`?55m2d9?n4>;:m06f<72-88h7==e:l17f<532e8>;4?:%00`?55m2d9?n4<;:kg2?6=,;9o6i;4n31`>5=1<7*=3e8g1>h5;j0:76gk3;29 75c2m?0b?=l:398mfb=83.9?i4k5:l17f<432h9<44?:282>6}K;1;1=vF>d29~ 62228li7dmn:188mfd=831d??;50;9a7=d=8391?7;t$266>6>e3`ij6=44ib`94?=h;;?1<75m39:94?5=83:p(>:::708L61a3`;8>7>5;h307?6=3f8::7>5;|`0<<<72:0;6=u+357927=O;>l0e<==:188m4542900c??9:188yg5?i3:1?7>50z&000<5901C?:h4i011>5<5<?289970=79;307>{t;;?1<7>2;;=70=7a;307>{z{jk1<7e2jk0q~mm:181[ee3493n7mm;|q060<72;qU??;4=2:a>6423twi>=o50;195?5|D:2:6<::188f6>e29086>4:{%171?5?j2chm7>5;haa>5<6=44b2:;>5<4290;w)=;5;41?M50n2c:??4?::k276<722e9=;4?::a7=?=8391<7>t$266>34<@:=m7d?<2;29?l74;3:17b<>6;29?xd40h0;6>4?:1y'713=:830D>9i;h306?6=3`;8?7>5;n022?6=3tyhm7>53z\`e>;4010:?>5239;956463<888276=z{:8>6=4={_111>;40h09=;5rs2:4>5<5s49347<>6:?067:18185?138::63<8`8276=zuzij6=4={_ab?85?j3ij7p}lb;296~Xdj2784o4lb:p773=838pR><:;<1;f?55=2wvn?>m:180>4<4sE93=7?tH0f0?x"4<<0:jo5fc`83>>odj3:17b==5;29?g5?j3:1?7=55z&000<40k1bol4?::k`f?6=3f9997>5;c1;N4?o1b=><50;9j565=831d><850;9~f6>>29086=4?{%171?053A93383>>o6;:0;66a=1783>>{e;1k1<7=50;2x 6222;;27E=8f:k277<722c:?>4?::m153<722wxol4?:2y]gd=:;121=>=4=2::>4553tyhn7>53z\`f>;4010:??5239;956552z\060=:;1k1><84}r1;3?6=:r78454=179>7=g=9:80q~=78;296~;40009=;5239c956552z\`e>;40k0hm6s|cc83>7}Ykk16?5l5cc9~w6422909wS==5:?05<4290;w)=;5;42?M50n2c:??4?::k276<722e9=;4?::a761=8381<7>t$266>4273A93083>>i59?0;66s|38d94?5|5;:j6no4=2c3>771349j>7?<3:p7d7=838p1?>m:bc896g52;;=7p}<2g83>7}Y;;l01?>m:206?!54k399i6`<3c83?xu4:m0;6?uQ33f8976f2:8>7)=h4;k0:7p}<2b83>7}Y;;i01?>6:206?!54k399i6`<3c81?xu4:?0;6?uQ334896502;;=7)=h4;k087p}k6;296~Xc>279;4i;0:??5+32a9`0=i;:h1=6s|d283>6}Yl:16>=75cc9>7d6=9:80(>=l:e78j65e2;1vnj50;6xZfb<5;:26no4=2c3>4543498;7?<1:&07f099=522169`1=#;:i1>8?4n21a>4=z{;>m6=4<{_07b>;02;>m70=6`<3c81?xu5<00;6>uQ25;892<5<016>=:5ce9'76e=:<;0b>=m:29~w6?02909w095bb9>652=;;l0(>=l:2;5?k54j3:0q~=65;296~;02kk01?>;:20g?!54k392:6`<3c82?xu41=0;6?u27;`:?847<399o6*<3b80=3=i;:h1>6s|39g94?4|5>0i?63=058063=#;:i1?484n21a>6=zuk9;n7>53;397~J4080:wE?k3:O7=4=9r.?944?;|&000<6n:1bn?4?::m10=<722cjh7>5;c594?5=83:p(>:::gg8L61a3`3n6=44ic094?=h:=21<75rs`f94?4|Vhn01:46e:p644=838p1:4=499]61>52z\a6>;02k80qpl<1d83>6<62:qG?5?51zJ2`6=zD:296{#;=?1=k=4ic094?=h:=21<75fae83>>d029086=4?{%171?`b3A937p}=1283>7}Yj;16;7l=;|a5ce=83;:6?4>fzN0<4<6sA;o?6sC3909e~"3=00;7)::8;1`4>"4k:0?9o5+440961><,=>n6lj4n56b>5=#<=l1mh5a45`95>"3==088<5a45a95>{#;=?1=il4Z8f95~712tP8?l4={0:95d>o4<80;66a=4d83>!44l38?h6`=3b83?>i5h5;j0:76a=4c83>!44l38?h6`=3b81?>i5h5;j0876a=5883>!44l38?h6`=3b87?>i5=10;6)<h5;j0>76a=5683>!44l38?h6`=3b85?>i5=?0;6)<h5;j0<76a=5483>!44l38?h6`=3b8;?>i5==0;6)<h5;j0276a=5383>!44l38?h6`=3b8b?>i5=90;6)<h5;j0i76a=4g83>!44l38?h6`=3b8`?>i5<00;6)<h5;j0o76l8:182b?c=9oqG?5?51zJ2`6=zD:2964u+44:97f4<,=?;6o<4$571>72?3->?i7ok;o67e?6<,=>m6lk4n56a>4=#<<>1?9?4n56`>4=z,:>>6;[;g>7}6>3;86pT<3`814>=980velj50;9je`<722c88<4?::m10`<72-88h7<;d:l17f<732e98n4?:%00`?43l2d9?n4>;:m10g<72-88h7<;d:l17f<532e98l4?:%00`?43l2d9?n4<;:m11<<72-88h7<;d:l17f<332e9954?:%00`?43l2d9?n4:;:m112<72-88h7<;d:l17f<132e99;4?:%00`?43l2d9?n48;:m110<72-88h7<;d:l17f5=h5;j0:76gm5;29 75c2k=0b?=l:398mg2=83.9?i4m7:l17f<432ch?7>5$31g>g1od93:1(?=k:c58j75d2?10en>50;&17a==h5;j0276gmc;29 75c2k=0b?=l:`98mgg=83.9?i4m7:l17f5$31g>g1d0290>6947{M1;5?7|@8n87pB<838:!22039h>6*;518a6>"3=;09855+45g9ea=i<=k1<6*;4g8ba>h3h30}K;1;1=vF>d29~ 62228nh7dl=:188k72?2900elj50;9je`<722cj97>5;c594?3=83:p(>:::gd8L61a3`k86=44i`794?=n1l0;66gm2;29?j4303:17pl<8183>4<729q/?9;51228L61a3f;9j7>5;|qb`?6=:rTjh638:8g8yvgb2909wSoj;<59e6=z{;;96=4={<1;4?75n27<6l;4}r027?6=:r7<6?:7;_07<>{t:8>1<7o?:180>5<7s-9?978=;I14b>o6;;0;66g>3283>>i59?0;66sm3c;94?5=83:p(>:::33:?M50n2c:??4?::k276<722e9=;4?::a=?6=:3:1l0e<=>:188k7712900q~ok:181[gc3431=>?4}rcf>5<5sWkn70=m9;307>{tj;0;6?uQb39>7d6=9:90q~<;8;296~X5<116;7<;8:p717=839pR>:>;<1b4?74:278n44>339~w=<72;q6;7ok;<;964052z?4>g4<5:k;6??9;|q0f=<72;q6;7oj;<1a=?46>2wvnl4?:487>=}K;1;1=vF>d29~H6>520q/88653b08 1372k80(9;=:36;?!23m3ko7c:;a;28 12a2ho0b9:m:09'002=;=;0b9:l:09~ 62228l97dok:188mdc=831bn?4?::m10=<722c88<4?::`4>5<22;0>wA=71;3xL4b43t.8884>db9jf7<722e9854?::kb`?6=3`kn6=44i`794?=e?3:197>50z&000>of=3:17d7j:188mg4=831d>9650;9~f6>7290:6=4?{%171?7482B8;k5`13d94?=z{hn1<7m2wxmh4?:3y]e`=:?3k87p}=1383>7}:;1:1=?h4=68b1>{t:891<74;296~Xe:27<6o<4}|`0e5<72:0;6=u+357927=O;>l0e<==:188m4542900c??9:188yg5e13:1?7>50z&000<5901C?:h4i011>5<5<;7E=8f:k274<722e9=;4?::pea<72;qUmi529;305>{til0;6?uQad9>7g?=9:90q~l=:181[d5349j<7?<3:p61>=838pR?:7;<5961>53z\004=:;h:1=><4=2`:>4553ty36=4={<59ea=:138::6s|38d94?4|5>0i>63<84}|`2`?6==3>14vB<8082M7c;2wG?5<59z&71=<4k;1/88>5b39'004=:=20(9:j:`f8j12f291/89h5ad9m01d=92.?994<409m01e=92w/?9;51g08mdb=831bmh4?::ka6?6=3f8?47>5;h175?6=3k=1<7;52;7xH6>628qC=i=4}%171?7ck2ci>7>5;n075<7s-9?97hi;I14b>of;3:17do::188m50;394?6|,:>>6<=?;I14b>i6:o0;66s|ae83>7}Yim16;77j;|qba?6=:rTji638:`18yv46:3:1>v3<81826c=:?3k>7p}=1283>7}:?38?46P=499~w7732909wSl=;<59f7=zuk9j<7>53;294~"4<<0=>6F<7g9j564=831b=>=50;9l640=831vn>l6:180>5<7s-9?97<>9:J03c=n9:81<75f12194?=h:8<1<75rb883>7<729q/?9;51528L61a3`;8=7>5;n022?6=3tyjh7>52z\b`>;>289:7p}ne;296~Xfm278n44>329~wg4=838pRo<4=2c3>4543ty9854?:3y]61><5>09855rs262>5<4sW9?=63<4}r:94?4|5>0jh636:335?xu41o0;6?u27;`1?85f838::6s|3c:94?4|5>0ji63s->>47=l2:&715;%660?5392d?8n4>;|&000<6n;1bmi4?::kba?6=3`h96=44o36;>5<:6=44b683>0<52>65<36=44i`f94?=nil0;66gn5;29?g1=83?1<7>t$266>c`<@:=m7do<:188md3=831b5h4?::ka6?6=3f8?47>5;|`0<5<7280;6=u+3579566<@:=m7b?=f;29?xufl3:1>vPnd:?4>v38:36;?[4302wx><:50;0xZg4<5>0i>6srb2c3>5<4290;w)=;5;41?M50n2c:??4?::k276<722e9=;4?::a7g?=8391<7>t$266>77>3A93383>>o6;:0;66a=1783>>{e13:1>7>50z&000<6<91C?:h4i012>5<5<5sWko70751238yvgb2909wSoj;<1a=?74;2wxn?4?:3y]f7=:;h:1=>=4}r07{t;=;1<7=t^262?85f83;8>63o?:335?xu4j10;6?u27;cf?85e138::6srb3794?3=<32p@>6>:0yK5a577t$57;>6e53->><7l=;%666?4302.?8h4nd:l70d<73->?j7oj;o67f?7<,=??6>:>;o67g?75}#;=?1jk5G36d8md5=831bm84?::k:a?6=3`h96=44o36;>5<51;294~"4<<0:?=5G36d8k44a2900q~ok:181[gc34=15h5rs`g94?4|Vho01:4n3:p644=838p1>6?:00e?81=i<1v??<:18181=:=20R?:7;|q151<72;qUn?527;`1?x{e;h:1<7=50;2x 6222?80D>9i;h306?6=3`;8?7>5;n022?6=3th8n44?:283>5}#;=?1><74H25e?l74:3:17d?<3;29?j46>3:17pl6:181>5<7s-9?97?;0:J03c=n9:;1<75`20494?=z{hn1<76336=4={_07<>;02;>37p}<4083>6}Y;=;01>o?:011?85e13;8>6s|8;296~;02hn0144=179~w6?a2909w095b39>7d6=:8<0q~=m8;296~;02ho01>l6:335?x{e;90;684;:9yO7=7=9rB:h>5rL2:1><}#<<21?n<4$573>g4<,=?96?:7;%67a?gc3g>?m7>4$56e>dci6<5+4469717h6<5r$266>4`53`ko6=44i`g94?=nj;0;66a=4983>>o4<80;66l8:186>7<2sE93=7?tH0f0?x"4<<0:hn5fb383>>i5<10;66gnd;29?lgb2900el;50;9a3?6==3:1N4?o1bm>4?::kb1?6=3`3n6=44ic094?=h:=21<75rb2:3>5<6290;w)=;5;304>N4?o1d=?h50;9~wdb=838pRlj4=68:a>{til0;6?uQad9>3?g43ty9=?4?:3y>7=6=9;l01:4n5:p645=838p1:4=499]61>52z\a6>;02k80qpl6<729q/?9;5639K72`5<l0e<==:188m4542900c??9:188yg?=8381<7>t$266>4273A93083>>i59?0;66s|ae83>7}Yim1657?<1:pe`<72;qUmh523c;95653709525:8yv5393:1?vP<409>7d6=9:801>l6:011?xu?2909w095ae9>=?46>2wx?4h50;0x927g?=:8<0qpl{I3g7>{K;1815v*;5980g7=#<<:1n?5+440961><,=>n6lj4n56b>5=#<=l1mh5a45`95>"3==088<5a45a95>{#;=?1=k<4i`f94?=nil0;66gm2;29?j4303:17d=;1;29?g1=83?1>7;tL2:2>4}O9m90q)=;5;3gg>oe:3:17b<;8;29?lgc2900elk50;9je0<722h<6=4::183!53=3lm7E=8f:kb7?6=3`k>6=44i8g94?=nj;0;66a=4983>>{e;1:1<7?50;2x 622289;7E=8f:m26c<722wxmi4?:3y]ea=:?33n7p}ne;296~Xfm27<6l=4}r026?6=:r784=4>2g9>3?g23ty9=>4?:3y>3?4302T9855rs337>5<5sWh97095b39~yg5f83:1?7>50z&000<1:2B8;k5f12094?=n9:91<75`20494?=zj:h26=4<:183!53=38:56F<7g9j564=831b=>=50;9l640=831vn44?:383>5}#;=?1=9>4H25e?l7493:17b<>6;29?xufl3:1>vPnd:?:>4563tyji7>52z\ba>;4j00:?>5rsc094?4|Vk801>o?:010?xu5<10;6?uQ25:892<5<11v>:>:180[539278m=4>339>7g?=9:80q~650;0x922wx?o650;0x926947{M1;5?7|@8n87pB<838:!22039h>6*;518a6>"3=;09855+45g9ea=i<=k1<6*;4g8ba>h3h30}K;1;1=vF>d29~ 62228nh7dl=:188k72?2900elj50;9je`<722cj97>5;c594?3=83:p(>:::gd8L61a3`k86=44i`794?=n1l0;66gm2;29?j4303:17pl<8183>4<729q/?9;51228L61a3f;9j7>5;|qb`?6=:rTjh638:8g8yvgb2909wSoj;<59e6=z{;;96=4={<1;4?75n27<6l;4}r027?6=:r7<6?:7;_07<>{t:8>1<7o?:180>5<7s-9?978=;I14b>o6;;0;66g>3283>>i59?0;66sm3c;94?5=83:p(>:::33:?M50n2c:??4?::k276<722e9=;4?::a=?6=:3:1l0e<=>:188k7712900q~ok:181[gc3431=>?4}rcf>5<5sWkn70=m9;307>{tj;0;6?uQb39>7d6=9:90q~<;8;296~X5<116;7<;8:p717=839pR>:>;<1b4?74:278n44>339~w=<72;q6;7ok;<;964052z?4>g4<5:k;6??9;|q0f=<72;q6;7oj;<1a=?46>2wvn>j50;790?>|D:2:6"3:7c:;c;38y!53=3;m>6gnd;29?lgb2900eo<50;9l61>=831b?9?50;9a3?6==3819vB<8082M7c;2w/?9;51ea8mg4=831d>9650;9jea<722cji7>5;hc6>5<0;684?:1y'713=no1C?:h4i`194?=ni<0;66g6e;29?ld52900c?:7:188yg5?83:1=7>50z&000<6;91C?:h4o00e>5<0j?6s|20094?4|5:2;6<37p}=1583>7}Yj;16;7l=;|a7d6=8391<7>t$266>34<@:=m7d?<2;29?l74;3:17b<>6;29?xd4j00;6>4?:1y'713=:830D>9i;h306?6=3`;8?7>5;n022?6=3th26=4=:183!53=3;?<6F<7g9j567=831d><850;9~wdb=838pRlj4=88274=z{ho1<728987p}m2;296~Xe:278m=4>329~w72?2909wS<;8:?4>72?3ty88<4?:2y]717<5:k;6<==;<1a=?74:2wx47>52z?4>db<5009=;5rs2;e>5<5s4=1n?523`2964052z?4>dc<5:h26??9;|a7`<72<0?65uC39395~N6l:1v@>6=:8y'00>=;j80(9;?:c08 1352;>37):;e;cg?k23i3:0(9:i:`g8j12e281/88:53538j12d281v(>:::0d1?lgc2900elk50;9jf7<722e9854?::k004<722h<6=4::386I5?93;pD5;hcf>5<=831vn>6?:182>5<7s-9?97?<0:J03c=h9;l1<75rs`f94?4|Vhn01:46e:pe`<72;qUmh527;c0?xu59;0;6?u2392957`<5>0j96s|20194?4|5>09855Q25:8yv46<3:1>vPm2:?4>g45}#;=?1:?5G36d8m4552900e<=<:188k7712900qo=m9;297?6=8r.8884=189K72`5<:::063?M50n2c:?<4?::m153<722wxmi4?:3y]ea=:13;8=6s|ad83>7}Yil16?o751218yvd52909wSl=;<1b4?74;2wx>9650;0xZ72?34=1>964}r175?6=;rT88<523`29564<5:h26<==;|q;>5<5s4=1mi529;022>{t;0l1<7;4i909=;5rs2`;>5<5s4=1mh523c;9640s->>47=l2:&715;%660?5392d?8n4>;|&000<6n;1bmi4?::kba?6=3`h96=44o36;>5<:6=44b683>0<52>65<36=44i`f94?=nil0;66gn5;29?g1=83?1<7>t$266>c`<@:=m7do<:188md3=831b5h4?::ka6?6=3f8?47>5;|`0<5<7280;6=u+3579566<@:=m7b?=f;29?xufl3:1>vPnd:?4>v38:36;?[4302wx><:50;0xZg4<5>0i>6srb2c3>5<4290;w)=;5;41?M50n2c:??4?::k276<722e9=;4?::a7g?=8391<7>t$266>77>3A93383>>o6;:0;66a=1783>>{e13:1>7>50z&000<6<91C?:h4i012>5<5<5sWko70751238yvgb2909wSoj;<1a=?74;2wxn?4?:3y]f7=:;h:1=>=4}r07{t;=;1<7=t^262?85f83;8>63o?:335?xu4j10;6?u27;cf?85e138::6srbb83>0<321qG?5?51zJ2`6=zD:2964u+44:97f4<,=?;6o<4$571>72?3->?i7ok;o67e?6<,=>m6lk4n56a>4=#<<>1?9?4n56`>4=z,:>>65<>i5<10;66g<4083>>d0290>6?4:{M1;5?7|@8n87p*<4482`f=nj;0;66a=4983>>ofl3:17doj:188md3=831i;7>55;294~"4<<0mj6F<7g9je6<722cj97>5;h;f>5<:183!53=3;8<6F<7g9l57`=831vlj50;0xZdb<5>02i6s|ad83>7}Yil16;7o<;|q157<72;q6?5>513d892<=50;0x92<5<11U>964}r020?6=:rTi>638:c08yxd4i90;6>4?:1y'713=>;1C?:h4i011>5<5<53;294~"4<<09=45G36d8m4552900e<=<:188k7712900qo750;094?6|,:>>6<:?;I14b>o6;80;66a=1783>>{tim0;6?uQae9>=?7492wxmh4?:3y]e`=:;k31=>=4}r`1>5<5sWh970=n0;307>{t:=21<75120896d>28997p}7:18181=im1657<>6:p7<`=838p1:4m2:?0e5<59?1v>l7:18181=il16?o752048yxdc290>6947{M1;5?7|@8n87pB<838:!22039h>6*;518a6>"3=;09855+45g9ea=i<=k1<6*;4g8ba>h3h30}K;1;1=vF>d29~ 62228nh7dl=:188k72?2900elj50;9je`<722cj97>5;c594?3=83:p(>:::gd8L61a3`k86=44i`794?=n1l0;66gm2;29?j4303:17pl<8183>4<729q/?9;51228L61a3f;9j7>5;|qb`?6=:rTjh638:8g8yvgb2909wSoj;<59e6=z{;;96=4={<1;4?75n27<6l;4}r027?6=:r7<6?:7;_07<>{t:8>1<7o?:180>5<7s-9?978=;I14b>o6;;0;66g>3283>>i59?0;66sm3c;94?5=83:p(>:::33:?M50n2c:??4?::k276<722e9=;4?::a=?6=:3:1l0e<=>:188k7712900q~ok:181[gc3431=>?4}rcf>5<5sWkn70=m9;307>{tj;0;6?uQb39>7d6=9:90q~<;8;296~X5<116;7<;8:p717=839pR>:>;<1b4?74:278n44>339~w=<72;q6;7ok;<;964052z?4>g4<5:k;6??9;|q0f=<72;q6;7oj;<1a=?46>2wvn<>50;790?>|D:2:6"3:7c:;c;38y!53=3;m>6gnd;29?lgb2900eo<50;9l61>=831b?9?50;9a3?6==3819vB<8082M7c;2w/?9;51ea8mg4=831d>9650;9jea<722cji7>5;hc6>5<0;684?:1y'713=no1C?:h4i`194?=ni<0;66g6e;29?ld52900c?:7:188yg5?83:1=7>50z&000<6;91C?:h4o00e>5<0j?6s|20094?4|5:2;6<37p}=1583>7}Yj;16;7l=;|a7d6=8391<7>t$266>34<@:=m7d?<2;29?l74;3:17b<>6;29?xd4j00;6>4?:1y'713=:830D>9i;h306?6=3`;8?7>5;n022?6=3th26=4=:183!53=3;?<6F<7g9j567=831d><850;9~wdb=838pRlj4=88274=z{ho1<728987p}m2;296~Xe:278m=4>329~w72?2909wS<;8:?4>72?3ty88<4?:2y]717<5:k;6<==;<1a=?74:2wx47>52z?4>db<5009=;5rs2;e>5<5s4=1n?523`2964052z?4>dc<5:h26??9;|pea<72oqUmi527;cg?8g=im16=i4nd:?16?gc348>6lj4=229ea=:;k0jh63k5ae9>f?gc34i1mi52d;cg?8772hn0q~oj:18e[gb34=1mh52a;cf?87c2ho01?<5ad9>60dc<5:i1mh523e8ba>;4m3kn70l5ad9>g?gb34n1mh52118ba>{t;=;1<7ht^262?81=;=;01l4<409>5a<4<816>?4<409>60<4<816?=4<409>7g<4<816?n4<409>7a<4<816?h4<409>f?53927h6>:>;9j4n21a>5=z{;>h6=4={_07g>;c2;>37)=h4;k0:7p}=4c83>7}Y:=h01n4=499'76e=:=n0b>=m:39~w72f2909wS<;a:?a>72?3-98o7<;d:l07g<43ty9944?:3y]60?<5:o1>964$21`>72c3g98n7:4}r069j4n21a>0=z{;?<6=4={_063>;4k38?46*<3b810a=i;:h1:6s|24494?4|V;?=70=m:36;?!54k38?h6`<3c84?xu5=<0;6?uQ2478966=:=20(>=l:36g?k54j320q~<:4;296~X5==16>84=499'76e=:=n0b>=m:89~w7352909wS<:2:?16?4302.8?n4=4e9m76d=i2wx>8>50;0xZ73734;o6?:7;%10g?43l2d8?o4m;|q10c<72;qU>9h4=`810==#;:i1>9j4n21a>f=z{;>26=4={_07=>;02;>37)=h4;k0o7p}m8;296~Xe027:<7l=;%10g?d03g98n7>4}r`5>5<5sWh=70j5b39'76e=j>1e?>l51:pf0<72;qUn852c;`1?!54k3h<7c={tk:0;6?uQc29>7`7>52z\`6>;4l3h97)=:181[e6349h6o<4$21`>g1l5b39'76e=j>1e?>l57:pfc<72;qUnk52318a6>"4;j0i;6`<3c8;?xuem3:1>vPme:?11?d53-98o7l8;o10f??=l:c58j65e2h1voo50;0xZgg<58n1n?5+32a9f2=i;:h1n6s|b883>7}Yj016m7l=;%10g?d03g98n7m4}r`0>5<5sWh87095b39'76e=j>1e?>l5d:~f763290:o7?n:3:xH6>628qC=i=4}M1;6?3|,=?36>ll;%665?ed3->>h7o4$570>6423->>o794}%171?7c?2P2h7v?6:009yj55j3:1(?=k:20b?k44k3:07b==9;29 75c2:8j7c<3:1(?=k:20b?k44k3>07b=<5;29 75c2:8j7c<>j5d09m66e=821bh=4?:%00`?b63g88o7?4;hae>5<#::n1h<5a22a96>=nkl0;6)<>m54:9j`f<72-88h7j>;o00g?3<3`ni6=4+22f9`4=i::i1:65fd`83>!44l3n:7c<290/>>j5d09m66e=021bh54?:%00`?b63g88o774;hf5>5<#::n1h<5a22a9e>=nl=0;6)<>m5c:9jga<72-88h7j>;o00g?b<3k8;57>53;397~J4080:wE?k3:'713=9oh0eno50;9jgg<722e8>84?::`0>i4:<0;66l<8983>6<729q/?9;5639K72`5<N4?o1b=><50;9j565=831d><850;9~f6>f29086=4?{%171?4612B8;k5f12094?=n9:91<75`20494?=z{jk1<7=t^bc896>?289870=79;306>{tkk0;6>uQcc9>7=>=9:801>66:010?xu4:<0;6?uQ337896>f2;;=7p}<8683>7}:;121><84=2:b>4553ty8454?:3y>7=?=:8<01>6n:010?x{tkh0;6?uQc`9>7=d=kh1vnl50;0xZfd<5:2i6nl4}r111?6=:rT8>85239`97736}K;1;1=vF>d29~ 62228li7dmn:188mfd=831d??;50;9a7=d=8391?7;t$266>6>e3`ij6=44ib`94?=h;;?1<75m39:94?5=83:p(>:::708L61a3`;8>7>5;h307?6=3f8::7>5;|`0<<<72:0;6=u+357927=O;>l0e<==:188m4542900c??9:188yg5?i3:1?7>50z&000<5901C?:h4i011>5<5<?289970=79;307>{t;;?1<7>2;;=70=7a;307>{z{jk1<7e2jk0q~mm:181[ee3493n7mm;|q060<72;qU??;4=2:a>6423twi>=l50;195?5|D:2:6<::188f6>e29086>4:{%171?5?j2chm7>5;haa>5<6=44b2:;>5<4290;w)=;5;41?M50n2c:??4?::k276<722e9=;4?::a7=?=8391<7>t$266>34<@:=m7d?<2;29?l74;3:17b<>6;29?xd40h0;6>4?:1y'713=:830D>9i;h306?6=3`;8?7>5;n022?6=3tyhm7>53z\`e>;4010:?>5239;956463<888276=z{:8>6=4={_111>;40h09=;5rs2:4>5<5s49347<>6:?067:18185?138::63<8`8276=zuzij6=4={_ab?85?j3ij7p}lb;296~Xdj2784o4lb:p773=838pR><:;<1;f?55=2wvn?>l:180>4<4sE93=7?tH0f0?x"4<<0:jo5fc`83>>odj3:17b==5;29?g5?j3:1?7=55z&000<40k1bol4?::k`f?6=3f9997>5;c1;N4?o1b=><50;9j565=831d><850;9~f6>>29086=4?{%171?053A93383>>o6;:0;66a=1783>>{e;1k1<7=50;2x 6222;;27E=8f:k277<722c:?>4?::m153<722wxol4?:2y]gd=:;121=>=4=2::>4553tyhn7>53z\`f>;4010:??5239;956552z\060=:;1k1><84}r1;3?6=:r78454=179>7=g=9:80q~=78;296~;40009=;5239c956552z\`e>;40k0hm6s|cc83>7}Ykk16?5l5cc9~w6422909wS==5:?0{I3g7>{#;=?1=kl4ibc94?=nkk0;66a<2483>>d40k0;6>4<:4y'713=;1h0eno50;9jgg<722e8>84?::`0<=<72:0;6=u+357927=O;>l0e<==:188m4542900c??9:188yg5?13:1?7>50z&000<1:2B8;k5f12094?=n9:91<75`20494?=zj:2j6=4<:183!53=38:56F<7g9j564=831b=>=50;9l640=831vno50;1xZfg<5:236<=<;<1;=?74:2wxoo4?:2y]gg=:;121=><4=2::>4543ty8>84?:3y]773<5:2j6??9;|q0<2<72;q6?565204896>f28997p}<8983>7}:;131><84=2:b>4543twxol4?:3y]gd=:;1h1ol5rsb`94?4|Vjh01>6m:b`8yv55=3:1>vP<249>7=d=;;?0qpl=0d83>6<62:qG?5?51zJ2`6=z,:>>65<=8391<7>t$266>34<@:=m7d?<2;29?l74;3:17b<>6;29?xd4000;6>4?:1y'713=>;1C?:h4i011>5<5<53;294~"4<<09=45G36d8m4552900e<=<:188k7712900q~mn:180[ef349347?<3:?0<<<6;;1vnl50;1xZfd<5:236<==;<1;=?74;2wx??;50;0xZ6423493m7<>6:p7=1=838p1>67:335?85?i3;8>6s|39:94?4|5:226??9;<1;e?74;2wvno50;0xZfg<5:2i6no4}raa>5<5sWii70=7b;aa?xu4:<0;6?uQ337896>e2:8>7psm21d94?5=939p@>6>:0yK5a55<<::188f6>?29086=4?{%171?053A93383>>o6;:0;66a=1783>>{e;131<7=50;2x 6222?80D>9i;h306?6=3`;8?7>5;n022?6=3th84l4?:283>5}#;=?1><74H25e?l74:3:17d?<3;29?j46>3:17p}la;297~Xdi278454>329>7=?=9:80q~mm:180[ee349347?<2:?0<<<6;:1v><::181[55=2784l4=179~w6>02909w0=78;022>;40h0:??5rs2:;>5<5s49357<>6:?0{t;;?1<75<42808wA=71;3xL4b43t.8884>fc9jgd<722chn7>5;n111?6=3k93n7>53;191~"4<<084o5fc`83>>odj3:17b==5;29?g5?03:1?7>50z&000<1:2B8;k5f12094?=n9:91<75`20494?=zj:226=4<:183!53=3<97E=8f:k277<722c:?>4?::m153<722wi?5o50;194?6|,:>>6??6;I14b>o6;;0;66g>3283>>i59?0;66s|c`83>6}Ykh16?565121896>>28997p}lb;297~Xdj278454>339>7=?=9:90q~==5;296~X4:<16?5o52048yv5??3:1>v3<898153=:;1k1=><4}r1;7=g=9:90qp}la;296~Xdi2784o4la:pgg<72;qUoo5239`9gg=z{:8>6=4={_111>;40k08>85r}c025?6=;3;1?vB<8082M7c;2w/?9;51g`8mfg=831boo4?::m060<722h84o4?:280>0}#;=?1?5l4ibc94?=nkk0;66a<2483>>d4010;6>4?:1y'713=>;1C?:h4i011>5<5<53;294~"4<<0=>6F<7g9j564=831b=>=50;9l640=831vn>6n:180>5<7s-9?97<>9:J03c=n9:81<75f12194?=h:8<1<75rsbc94?5|Vjk01>67:010?85?13;8>6s|cc83>6}Ykk16?565120896>>28987p}<2483>7}Y;;?01>6n:335?xu40>0;6?u239:9640<5:2j6<==;|q0<=<72;q6?575204896>f28987ps|c`83>7}Ykh16?5l5c`9~wfd=838pRnl4=2:a>fd52z\060=:;1h1??;4}|`140<72:0:6>uC39395~N6l:1v(>:::0da?lef2900enl50;9l773=831i?5l50;197?3|,:>>6>6m;hab>5<9i;h306?6=3`;8?7>5;n022?6=3th8444?:283>5}#;=?1:?5G36d8m4552900e<=<:188k7712900qo=7a;297?6=8r.8884=189K72`5<5<4sWij70=78;307>;4000:??5rsb`94?5|Vjh01>67:011?85?13;8?6s|33794?4|V:8>70=7a;022>{t;1=1<77713493m7?<2:p7=>=838p1>66:335?85?i3;8?6srsbc94?4|Vjk01>6m:bc8yvee2909wSmm;<1;f?ee3ty8>84?:3y]773<5:2i6><:;|a650=8391=7=tL2:2>4}O9m90q)=;5;3ef>odi3:17dmm:188k6422900n>6m:180>6<2s-9?97=7b:k`e?6=3`ii6=44o206>5<4?::m153<722wi?5750;194?6|,:>>6;<4H25e?l74:3:17d?<3;29?j46>3:17pl<8`83>6<729q/?9;520;8L61a3`;8>7>5;h307?6=3f8::7>5;|q`e?6=;rThm63<898276=:;131=><4}raa>5<4sWii70=78;306>;4000:?>5rs206>5<5sW99963<8`8153=z{:2<6=4={<1;2784l4>339~w6>?2909w0=79;022>;40h0:?>5r}rab>5<5sWij70=7b;ab?xudj3:1>vPlb:?05;n111?6=3k9347>53;294~"4<<0=>6F<7g9j564=831b=>=50;9l640=831vn>66:180>5<7s-9?978=;I14b>o6;;0;66g>3283>>i59?0;66sm39c94?5=83:p(>:::33:?M50n2c:??4?::k276<722e9=;4?::pgd<72:qUol5239:9565<5:226<==;|q`f?6=;rThn63<898277=:;131=>=4}r111?6=:rT8>85239c964052z?0<=<59?16?5o51208yv5?03:1>v3<888153=:;1k1=>=4}|q`e?6=:rThm63<8c8`e>{tkk0;6?uQcc9>7=d=kk1v><::181[55=2784o4<249~yg4703:1?7?53zN0<4<6sA;o?6s+35795cd>i4:<0;66l<8c83>6<425}#;=?1:?5G36d8m4552900e<=<:188k7712900qo=79;297?6=8r.888492:J03c=n9:81<75f12194?=h:8<1<75rb2:b>5<4290;w)=;5;02=>N4?o1b=><50;9j565=831d><850;9~wfg=839pRno4=2:;>454349357?<2:pgg<72:qUoo5239:9564<5:226<=<;|q060<72;qU??;4=2:b>7713ty84:4?:3y>7=>=:8<01>6n:011?xu4010;6?u239;9640<5:2j6<=<;|pgd<72;qUol5239`9gd=z{jh1<7e2jh0q~==5;296~X4:<16?5l53378yxd4i90;6>4?:1y'713=>81C?:h4i011>5<5<7>53;294~"4<<0==6F<7g9j564=831b=>=50;9l640=831vn>o;:180>5<7s-9?978>;I14b>o6;;0;66g>3283>>i59?0;66sm3`494?5=83:p(>:::738L61a3`;8>7>5;h307?6=3f8::7>5;|`0e=<72:0;6=u+357924=O;>l0e<==:188m4542900c??9:188yg5fi3:1?7>50z&000<192B8;k5f12094?=n9:91<75`20494?=zj:kh6=4<:183!53=3<:7E=8f:k277<722c:?>4?::m153<722wi?lk50;194?6|,:>>6;?4H25e?l74:3:17d?<3;29?j46>3:17pl6<729q/?9;5609K72`5<N4?o1b=><50;9j565=831d><850;9~f6d329086=4?{%171?063A93383>>o6;:0;66a=1783>>{e;k<1<7=50;2x 6222?;0D>9i;h306?6=3`;8?7>5;n022?6=3th8?:4?:383>5}#;=?1=9>4H25e?l7493:17b<>6;29?xu41o0;6>u221c9gd=:;h:1><84=2c1>4543ty8m<4?:2y>65d=kh16?l<5204896g328987p}6}::9i1ol523`69640<5:k=6<=<;|q0e0<72:q6>=j5c`9>7d0=:8<01>o7:010?xu4i>0;6>u221g9gd=:;h21><84=2cb>4543ty8m44?:2y>65`=kh16?lo5204896gd28987p}6}::9=1ol523c69640<5:h=6<=<;|q0eg<72:q6><>5c`9>7de=:8<01>oj:010?xu4j<0;6?u221:9gd=:;k<1><84}r1b`?6=;r79=<4la:?0e`<59?16?o>51218yv5fn3:1?v3=048`e>;4j909=;523c0956553z?1437g2=9:90q~==b;296~X4:k16>=653378 65d2:8j7c=vP<289>651=;;?0(>=l:20b?k54j3;0q~==8;296~X4:116>=853378 65d2:8j7c=vP<269>653=;;?0(>=l:20b?k54j390q~=<6;296~X4;?16>vP<349>646=;;?0(>=l:20b?k54j3?0q~=<4;296~X4;=16>=h53378 65d2:8j7c=vP<329>65c=;;?0(>=l:20b?k54j3=0q~=<2;296~X4;;16>=j53378 65d2:8j7c=vP<309>65e=;;?0(>=l:20b?k54j330q~==f;296~X4:o16>=l53378 65d2:8j7c=vP<2e9>65g=;;?0(>=l:20b?k54j3h0q~==c;296~X4:j16>=753378 65d2:8j7c=3:1>vP<279>761=:8<0(>=l:20b?k54j3n0q~j=:181[b5348;47mm;%10g?b63g98n7>4}rf3>5<4sWn;703;8>6*<3b8g5>h4;k0:7p}lf;297~Xdn279<;4lb:?0f1<6;;1/?>m5d09m76d=:2wxoh4?:2y]g`=::9?1oo523c09564<,:9h6i?4n21a>6=z{mn1<7=t^ef897762jh01>l?:011?!54k3n:7c=;4ij0:??5+32a9`4=i;:h1:6s|d`83>6}Ylh16>=k5cc9>7dg=9:80(>=l:e38j65e2>1vi750;1xZa?<5;:o6nl4=2c;>4553-98o7j>;o10f?>"4;j0o=6`<3c8:?xuc>3:1?vPk6:?14g339'76e=l81e?>l5a:p`1<72:qUh95221c9gg=:;h81=><4$21`>a76:b`896g728997)=309'76e=l81e?>l5d:~wdb=838pRlj4=68b`>{til0;6?uQad9>3?gb3ty88<4?:3y]717<5>088<5rs36f>5<4sW8?i638:36f?847<3n97)=h4;k0;7p}=4b83>6}Y:=i01:4=4b9>652=l91/?>m525f8j65e281v?:m:180[43j27<6?:m;<030?ea3-98o7<;d:l07g<53ty98l4?:2y]61g<5>098l522169g`=#;:i1>9j4n21a>6=z{;?26=4<{_06=>;02;?270uQ24:892<5=116>=:5db9'76e=:=n0b>=m:49~w7302908wS<:7:?4>730348;87jm;%10g?43l2d8?o49;|q113<72:qU>884=68113=::9>1hl5+32a961b5<4sW8>9638:376?847<3n27)=h4;k037p}=5583>6}Y:<>01:4=559>652=l11/?>m525f8j65e201v?;=:180[42:27<6?;=;<030?b13-98o7<;d:l07g099=522169`1=#;:i1>9j4n21a>g=z{;>m6=4<{_07b>;02;>m70uQ25;892<5<016>=:5ce9'76e=:=n0b>=m:e9~w6?42909w095b99>652=;;h0(>=l:2;1?k54j3:0q~=61;296~;02k<01?>;:20:?!54k392>6`<3c82?xu4190;6?u27;`6?847<39946*<3b80=7=i;:h1>6s|39d94?4|5>0i863=058062=#;:i1?4<4n21a>6=z{:3n6=4={<59g6=::9>1?>84$21`>6?53g98n7:4}r1:`?6=:r7<6n<4=327>6523-98o7=62:l07g<23ty85n4?:3y>3?e6348;87=<4:&07f<41;1e?>l56:p7m53808j65e2>1v>7n:18181=jo16>=:53208 65d2:397c=13:1>v38:cg897632:9:7)=h4;k027p}<9683>7}:?3hh70"4;j085?5a32`9e>{t;0?1<7;58=08>i5+32a97<45<5s4=1n452216977e<,:9h6>7=;o10f?e52z?4>g5<5;:?6><9;%10g?5>:2d8?o4k;|a5f?=8391>7:tL2:2>4}O9m90qA=72;3x 13>291v(>:::0db?ld52900c?:7:188mdb=831i;7>53;294~"4<<0mi6F<7g9j=`<722ci>7>5;n0752;294~"4<<0:8=5G36d8m4562900c??9:188yvgc2909wSok;<`2>4563ty9=?4?:3y>3??b34h:6??9;|q156<72;q6;7<;8:\10==z{;;?6=4={_`1?81=j;1vqo7?:180>7<3sE93=7?tH0f0?xJ40;0:w)::9;28y!53=3;mm6gm2;29?j4303:17dok:188f2<72:0;6=u+3579b`=O;>l0e4k50;9jf7<722e9854?::af4<72;0;6=u+3579516<@:=m7d?<1;29?j46>3:17p}nd;296~Xfl27i=7?<1:p644=838p1:46e:?a5?46>2wx><=50;0x92<5<11U>964}r020?6=:rTi>638:c08yxd39l0;6>4=:5yO7=7=9rB:h>5rL2:1>4}#<<31<6s+35795cg5<7s-9?97hj;I14b>o>m3:17dl=:188k72?2900qol>:181>5<7s-9?97?;0:J03c=n9:;1<75`20494?=z{hn1<72;296~;020o01o?52048yv46;3:1>v38:36;?[4302wx><:50;0xZg4<5>0i>6srb2fg>5<42;0?wA=71;3xL4b43tF84?4>{%66=?65;hcg>5<0;6>4?:1y'713=nl1C?:h4i8g94?=nj;0;66a=4983>>{ej80;6?4?:1y'713=9=:0D>9i;h305?6=3f8::7>5;|qb`?6=:rTjh63m1;305>{t:881<7;e938::6s|20194?4|5>09855Q25:8yv46<3:1>vPm2:?4>g494?:580>3}#;=?18?:4o53e>5<:6=44i0df>5<5<4290;w)=;5;41?M50n2c:??4?::k276<722e9=;4?::a077=8391<7>t$266>77>3A93383>>o6;:0;66a=1783>>{e<;81<7=50;2x 6222?;0D>9i;h306?6=3`;8?7>5;n022?6=3ty?=k4?:3y]04`<5=896??9;|q104<72;qU>9?4=501>4553ty:jh4?:2y]5cc<5=886<==;<615?74:2wx89?7?<3:p076=838p19<<:335?82593;8?6s|20094?4|5=8:6??9;<616?74;2wvn>k<:186>6<0s-9?97=j3:m0``<722c98=4?::k0a1<722c8i;4?::k0`a<722h8i?4?:283>5}#;=?1:<5G36d8m4552900e<=<:188k7712900qo=j0;297?6=8r.888492:J03c=n9:81<75f12194?=h:8<1<75rb2g2>5<4290;w)=;5;02=>N4?o1b=><50;9j565=831d><850;9~w6bb2909wS=ke:?0a4<59?1v?:?:181[438278i?4>339~w6c32909wS=j4:?0a7<6;:1v>k9:181[5b>278i=4>339~w6bc2909wS=kd:?0a5<6;:1v>ji:18185b:38::63278i<4>329~yg53m3:197>50z&000<1j2B8;k5f12094?=n9:91<75f12694?=n9:?1<75`20494?=zj:;o6=47:183!53=389=6F<7g9j564=831b=>=50;9j562=831b=>;50;9j560=831b=>950;9j56>=831d><850;9~f644290?6=4?{%171?46j2B8;k5f12094?=n9:91<75f12694?=h:8<1<75rb323>5<4290;w)=;5;42?M50n2c:??4?::k276<722e9=;4?::a=7<72:0;6=u+357927=O;>l0e<==:188m4542900c??9:188yg5693:197>50z&000<1j2B8;k5f12094?=n9:91<75f12694?=n9:?1<75`20494?=zj:;86=4::183!53=34?::k271<722c:?84?::m153<722wi?<;50;794?6|,:>>6;l4H25e?l74:3:17d?<3;29?l74<3:17d?<5;29?j46>3:17pl<1683>0<729q/?9;56`9K72`5<5<N4?o1b=><50;9j565=831b=>:50;9j563=831d><850;9~f67e290>6=4?{%171?0>3A93383>>o6;:0;66g>3583>>o6;<0;66a=1783>>{e;l?1<7=50;2x 6222;;27E=8f:k277<722c:?>4?::m153<722wi?h950;194?6|,:>>6??6;I14b>o6;;0;66g>3283>>i59?0;66sm1b`94?4=83:p(>:::063?M50n2c:?<4?::m153<722wxm=4?:5y]e5=Yi81U=?k4=2:0>75>3ty8=h4?:3y]74c<5:;n6?:7;|q04g<72;qU?=l4=22a>72?3ty8hi4?:4y]7ab<5:no6?:7;<1f7?5cl272>7?<2:?0a0<6;;1vh=50;7xZ`5<5:>h6lj4=265>db<5::i6lj4=23f>db52z\0b2=:9jh1=>?4}rc1>5<0sWk970<e;297~X39l1688272<7<;8:p5fg=838p17}:;8n1=><4=232>7713ty8=?4?:3y>74b=9:901>?<:335?xu49=0;6?u230f9562<5:;>6??9;|q053<72;q6?6}:;8n1=>84=200>455349:57<>6:p74g=839p1>?k:014?855;3;8?63<1c8153=z{:896=4={<12a?d53499?7<>6:p5c`=838p1k;;<1f1?46>2wx>>h50;0x975b2;8370=j7;306>{t;l<1<76c1349n;7<>6:p616=839p1?=j:30b?85b;38?<63:6=4<{<00a?45m27?>94=409>=7<6;:1v>jj:18185cl3h970=j3;1ga>{t180;6?u2918a6>;>:38::6s|35a94?2|5:>h6?:7;<172?53927:jn4ne:?145<6;:1v9?i:181826m3h970:=4;62b>{t9j31<7=t=31f>4ec34;h57<;8:?0a0<6;:1v>:k:187853k3h970=;e;022>;49m0:?552331956252z?04g;6nj098h5243695cc<5;:;6<==;%10g?7al2d8?o4?;|q00g<721q6?9852408962b289>70=>1;300>;49:0:?>523079562<5:;<6<=<;<12=?74;278=o4>339'76e=;=k0b>=m:19~w62>2903w0=;6;064>;4349>74?=9:?01>?m:016?!54k39?m6`<3c82?xu4<10;65u2354961`<5:>n6<=<;<125?74=278=>4>349>743=9:901>?8:011?85613;8863<1c8271=#;:i1?9o4n21a>7=z{:><6=47{<172?4312788h4>339>747=9:801>?<:017?856=3;8>63<168271=:;831=><4=23a>4543-98o7=;a:l07g<43twi?n:50;;9g?76sE93=7?tH0f0?xJ40;09wc::a;:4?!2213<0q)=;5;31<>i3<;0;66a>o4km0;66a63;29?l`c2900e?kk:188m7ca2900e?h>:188m45f2900n:=50;196?2|D:2:6N4?o1G?5?51z&27c<3=?1ve4k50;9jf7<722e9854?::af4<72;0;6=u+3579516<@:=m7d?<1;29?j46>3:17p}nd;296~Xfl27i=7?<1:p644=838p1:46e:?a5?46>2wx><=50;0x92<5<11U>964}r020?6=:rTi>638:c08yxd5ml0;684=:4yO7=7=9rB:h>5rL2:1>d}#<<31>6*;5980g7=#<<:1n?5+440961><,=>n6lj4n56b>4=#<=l1mh5a45`95>"3==088<5a45a94>{#;=?1=k;4i`f94?=nil0;66gm2;29?j4303:17d=;1;29?g1=83?1>7;tL2:2>4}O9m90q)=;5;3gg>oe:3:17b<;8;29?lgc2900elk50;9je0<722h<6=4::183!53=3lm7E=8f:kb7?6=3`k>6=44i8g94?=nj;0;66a=4983>>{e;1:1<7?50;2x 622289;7E=8f:m26c<722wxmi4?:3y]ea=:?33n7p}ne;296~Xfm27<6l=4}r026?6=:r784=4>2g9>3?g23ty9=>4?:3y>3?4302T9855rs337>5<5sWh97095b39~yg5e13:1?7>50z&000<59h1C?:h4i011>5<5<964=6810==z{:>:6=4<{_175>;02k801>l6:011?xu4j10;6?u27;cf?85e138::6srb3d3>5<22;0>wA=71;3xL4b43tF84?4n{%66=?4<,=?36>m=;%664?d53->>>7<;8:&70`;%67b?gb3g>?n7?4$577>6263g>?o7>4}%171?7a=2cjh7>5;hcf>5<{I3g7>{#;=?1=im4ic094?=h:=21<75fae83>>ofm3:17do::188f2<72<0;6=u+3579bc=O;>l0el=50;9je0<722c2i7>5;h`1>5<36=44}c1;4?6=93:1l0c<<<50;0x96>7288m7095a49~w7742909w09525:8Z72?3ty9=94?:3y]f7=:?3h97psm3c;94?5=83:p(>:::33b?M50n2c:??4?::k276<722e9=;4?::pea<72;qUmi527;cg?xufm3:1>vPne:?0f<<6;:1v?:7:181[43027<6?:7;|q004<72:qU?9?4=68a6>;4j00:??5rs2`;>5<5s4=1mh523c;96402}K;1;1=vF>d29~H6>52hq/88752:&71=<4k;1/88>5b39'004=:=20(9:j:`f8j12f281/89h5ad9m01d=92.?984<459m01b=82w/?9;51d68mdb=831bmh4?::ka6?6=3f8?47>5;h170?6=3k=1<7;52;7xH6>628qC=i=4}%171?7ck2ci>7>5;n075<7s-9?97hi;I14b>of;3:17do::188m50;394?6|,:>>6<=?;I14b>i6:o0;66s|ae83>7}Yim16;77j;|qba?6=:rTji638:`18yv46:3:1>v3<81826c=:?3k>7p}=1283>7}:?38?46P=499~w7732909wSl=;<59f7=zuk9i57>53;294~"4<<09=l5G36d8m4552900e<=<:188k7712900qo=mb;297?6=8r.8884=1`9K72`5<5<5sWko7095ae9~wdc=838pRlk4=2`a>4543tyi>7>52z\a6>;4j00:?>5rs36;>5<5sW8?4638:36;?xu4<=0;6>uQ356896d>289970=mb;306>{t;kk1<7;4jk09=;5rs2`;>5<5s4=1n?523c;96402}K;1;1=vF>d29~H6>52hq/88752:&71=<4k;1/88>5b39'004=:=20(9:j:`f8j12f281/89h5ad9m01d=92.?994<409m01e=82w/?9;51g78mdb=831bmh4?::ka6?6=3f8?47>5;h175?6=3k=1<7;52;7xH6>628qC=i=4}%171?7ck2ci>7>5;n075<7s-9?97hi;I14b>of;3:17do::188m50;394?6|,:>>6<=?;I14b>i6:o0;66s|ae83>7}Yim16;77j;|qba?6=:rTji638:`18yv46:3:1>v3<81826c=:?3k>7p}=1283>7}:?38?46P=499~w7732909wSl=;<59f7=zuk9j<7>53;294~"4<<0==6F<7g9j564=831b=>=50;9l640=831vn>l6:180>5<7s-9?97<>a:J03c=n9:81<75f12194?=h:8<1<75rs`f94?4|Vhn01:4nd:pe`<72;qUmh523c;95653709525:8yv5393:1?vP<409>7d6=9:801>l6:011?xu41o0;6?u27;`1?85f838::6s|3c:94?4|5>0ji63=50;9l640=831vn?h=:180>5<7s-9?978>;I14b>o6;;0;66g>3283>>i59?0;66sm45594?4=83:p(>:::063?M50n2c:?<4?::m153<722wi>k:50;194?6|,:>>6??6;I14b>o6;;0;66g>3283>>i59?0;66sm2g494?5=83:p(>:::33:?M50n2c:??4?::k276<722e9=;4?::a010=8391<7>t$266>34<@:=m7d?<2;29?l74;3:17b<>6;29?xd0=3:1>7>50z&000<6<91C?:h4i012>5<52z\0ag=:;lh1>964}r1``?6=<4=679567626343?6??9;|qe`?6=>rTmh6383;cg?84bm3ko70uQ2df897cb2ho01?h;:011?xu5mo0;6>uQ2dd897`72ho01?h9:011?xu6;h0;6>uQ12c896ce2k801>;=:c08yv142909w09<:36;?8?328987p}=f283>7}::o81=><4=3d7>7713ty9j84?:3y>6c4=9:901?h9:335?xu4=;0;6?u2340961><5=>=6<=<;|q1a`<72;q6>hk525:897`328987p}=f183>7}::o:1>964=3d5>4543ty?884?:3y>011=9:;019:9:335?xu5mj0;6>u23d`9e`=:;<81mh522g09640g4<5>?1><84}|`75g<72:0:6>uC39395~N6l:1v@>6=:0y'00?=>2w/?9;51e:8mcg=831b?9h50;9l773=831i;7>53;294~"4<<02o6F<7g9j567=831bi>4?::m153<722wxjl4?:3y]bd=:?3o87p}=1383>7}:?38::6P<249~w7742909wS=;f:?4>4563twi?i>50;195?5|D:2:6<::188f2<72:0;6=u+3579=f=O;>l0e<=>:188m`5=831d><850;9~wcg=838pRko4=68f7>{t:881<73;296~X4oai3:17d=;f;29?j55=3:17o950;194?6|,:>>64m4H25e?l7493:17dk<:188k7712900q~hn:181[`f34=1i>5rs331>5<5s4=1><84^206?xu59:0;6?uQ35d892<6;81vqo:=e;297?7=;rF84<4>{I3g7>{K;181=v*;5885?x"4<<0:h55ff`83>>o4>d029086=4?{%171??d3A93083>>ob;3:17b<>6;29?xuai3:1>vPia:?4>`57>52z?4>7713W9996s|20194?4|V:>m70951238yxd3;h0;6>4>:2yO7=7=9rB:h>5rL2:1>4}#<<31:6s+35795a>>i59?0;66s|f`83>7}Ynh16;7k<;|q157<72;q6;7<>6:\060=z{;;86=4={_17b>;0289:7psm3b:94?4=83:p(>:::063?M50n2c:?<4?::m153<722wi?nm50;094?6|,:>>6<:?;I14b>o6;80;66a=1783>>{e;m21<7<50;2x 62228>;7E=8f:k274<722e9=;4?::a7fg=8391<7>t$266>77>3A93383>>o6;:0;66a=1783>>{e<8n1<7<50;2x 62228>;7E=8f:k274<722e9=;4?::p04d=83>pR9?m;<1`5?26j278o84;1c9>04d=;;?0q~o?:181[g7349ij7o>;|q0af<72;qU?hm4=2a7>6ce3ty8oh4?:5y]7fc<5=?<6>mk;<1`2?5dl278o947f0=<;h0q~:=7;296~X3:>1688954358yvg62909wSo>;<1ab?75m2wx8<;50;0xZ17234>>;7:>5:p7ad=838pR>jm;<1`1?5c12wx8<=50;0xZ17434>>;7:?7:p7`>=838pR>k7;<663?5b02wx?ij50;0xZ6bc349ij7=kd:pa6<72:qUi>523b79a6=:;kl1i>5rsgf94?3|Von01>m>:`6891302h>01>m9:`6896e32on0q~=jd;296~X4mm16?n;53d`8yv5dn3:1>vP7a6=;;?0q~=k7;296~X4l>16?i652048yv5a?3:1>vP7g`=;o=0q~:>4;296~X39=1688954268yv23<3:1>vP;459>7f3=<=80q~:=d;296~X3:m168?k53378yv27j3:1>vP;0c9>001=<9h0q~o=:180[g5349h97o=;<1ab?g53ty2j7>52z\:b>;4k<02j6s|3b594?4|V:i<70=l8;022>{t;jh1<7;4k?08j55rs506>5<5sW>9963;568760=z{:on6=4={_1fa>;4k<08ih5rs2db>5<5sW9mm63;3;h08>85rs2d2>5<5sW9m=63;4k<08j>5rs56:>5<3sW>?56312?3ty8hl4?:3y]7ag<5:i=6>jn;|q05`<72;q6889530d896da2:;n7p}>4e83>7}:;j<1=9j4=2a6>42c3ty:4o4?:3y>7f7=91h01>m9:0:a?xu6<80;6?u23b49517<5:i>6<:>;|q75f<72;q6804b=:8<0q~;6:18185d=3?370=la;307>{t=h0;6?u23b491==:;jk1=><4}r1eg?6=:r78o847cd=;=l0q~=?b;296~;3=>08>;77<;<1`2??4349h877<;|q27}:<<=1>5j4=2a7>7cc3ty:>h4?:3y>7f7=9;o01>li:`28yv26m3:1>v3?4}r;3>5<0s49h977?;<1ab??7349o<7hn;<1ef?`f34>9i7hn;<60e?`f349o47?<1:p53>=838p1>m>:04;?85d>3;=46s|18c94?4|5:i=6<7n;<1`1?7d02wx8?h50;0x96e22=8i70:=e;17b>{t;j31<7456349hm7<>6:p5=6=838p1>m9:0:3?85d=3;3m6s|27c94?4|5:i:6?8n;<663?41i2wx?i?50;0x96e22:n970=k0;17b>{t:mn1<77bc34>>;7m9:047?85d<38nj6s|12c94?4|5:i:6<=n;<1`0?74i2wx8>l50;0x96e22=9370:{t9kn1<74dc349h97?l7:p25<72;q6?n85619>7fe=9:;0q~<>2;296~;4090:>k5240`971`j7>52z\11c=:<<=1>8h4$21`>73b3g98n7>4}r06`?6=:rT99i52445960b<,:9h6?;j;o10f?752z\12==:<<=1>;64$21`>73b3g98n7<4}r053?6=:rT9::524459631<,:9h6?;j;o10f?552z\123=:<<=1>;84$21`>73b3g98n7:4}r051?6=:rT9:8524459633<,:9h6?;j;o10f?352z\121=:<<=1>;:4$21`>73b3g98n784}r057?6=:rT9:>524459635<,:9h6?;j;o10f?17>52z\127=:<<=1>;<4$21`>73b3g98n764}r055?6=:rT9:<524459637<,:9h6?;j;o10f??52z\125=:<<=1>;>4$21`>73b3g98n7o4}r06g?6=:rT99n52445960e<,:9h6?;j;o10f?d6=4={_:6?85d93>m7)=4349h=7:k;%10g?>33g98n7?4}r:1>5<4sW2970=l1;6`?822?3>h7)=:180[>6349h=7:n;<663?2f3-98o76;;o10f?5370::7;6;?!54k32?7c=>;7:8;%10g?>33g98n7;4}r5g>5<4sW=o70=l1;65?822?3>=7)=?70::7;67?!54k32?7c=>;7:<;%10g?>33g98n774}r5:>5<4sW=270=l1;61?822?3>97)=;<663?263-98o76;;o10f?d;70::7;63?!54k32?7c=>;7=i;%10g?>33g98n7j4}r:g>5<4sW2o70=l1;74?822?3?<7)=d349h=7;9;<663?313-98o76;;o10f?`7)=1:p<<<72;qU4452445916=#;:i1495a32`957=z{121<7=l:968j65e2890q~68:181[>034>>;7;>;%10g?>33g98n7?;;|q;2?6=:rT3:63;56864>"4;j0386`<3c821>{t090;6?uQ819>001=<01/?>m5859m76d=9?1v>:?:181[538278nk4<419'76e=;:l0b>=m:19~w65b2909wS=m532d8j65e281v>=k:181[54l278nk4<3e9'76e=;:l0b>=m:39~yx{zHIIp=56?:c02`5cd>tJKNv>r@ARxyEF \ No newline at end of file diff --git a/cpld/XC95144XL/WarpSE.pad b/cpld/XC95144XL/WarpSE.pad index eb9340d..3a6643e 100644 --- a/cpld/XC95144XL/WarpSE.pad +++ b/cpld/XC95144XL/WarpSE.pad @@ -1,7 +1,7 @@ Release 8.1i - Fit P.20131013 Copyright(c) 1995-2003 Xilinx Inc. All rights reserved - 3-20-2023 11:54PM + 3-25-2023 0:52AM NOTE: This file is designed to be imported into a spreadsheet program such as Microsoft Excel for viewing, printing and sorting. The pipe '|' @@ -90,7 +90,7 @@ P69|GND||GND|||||||||| P70|nBERR_FSB|O|I/O|OUTPUT||||||||| P71|TIE||I/O|||||||||| P72|nBR_IOB|O|I/O|OUTPUT||||||||| -P73|nBG_IOB|I|I/O|INPUT||||||||| +P73|TIE||I/O|||||||||| P74|nVMA_IOB|O|I/O|OUTPUT||||||||| P75|GND||GND|||||||||| P76|nBERR_IOB|I|I/O|INPUT||||||||| diff --git a/cpld/XC95144XL/WarpSE.pnx b/cpld/XC95144XL/WarpSE.pnx index 338ba7f..8d0e15a 100644 --- a/cpld/XC95144XL/WarpSE.pnx +++ b/cpld/XC95144XL/WarpSE.pnx @@ -15,4 +15,4 @@ sr (SLOW|FAST|slow|fast) "SLOW" dir (BIDIR|bidir|INPUT|input|OUTPUT|output) "BIDIR"> ]> - + diff --git a/cpld/XC95144XL/WarpSE.rpt b/cpld/XC95144XL/WarpSE.rpt index a2cb901..8ccbdce 100644 --- a/cpld/XC95144XL/WarpSE.rpt +++ b/cpld/XC95144XL/WarpSE.rpt @@ -1,7 +1,7 @@ cpldfit: version P.20131013 Xilinx Inc. Fitter Report -Design Name: WarpSE Date: 3-20-2023, 11:54PM +Design Name: WarpSE Date: 3-25-2023, 0:52AM Device Used: XC95144XL-10-TQ100 Fitting Status: Successful @@ -9,22 +9,22 @@ Fitting Status: Successful Macrocells Product Terms Function Block Registers Pins Used/Tot Used/Tot Inps Used/Tot Used/Tot Used/Tot -121/144 ( 84%) 440 /720 ( 61%) 227/432 ( 53%) 96 /144 ( 67%) 72 /81 ( 89%) +119/144 ( 83%) 428 /720 ( 59%) 230/432 ( 53%) 94 /144 ( 65%) 71 /81 ( 88%) ** Function Block Resources ** Function Mcells FB Inps Pterms IO Block Used/Tot Used/Tot Used/Tot Used/Tot -FB1 18/18* 25/54 26/90 11/11* -FB2 12/18 16/54 15/90 8/10 -FB3 9/18 34/54 81/90 10/10* -FB4 18/18* 24/54 44/90 10/10* -FB5 16/18 30/54 77/90 8/10 -FB6 18/18* 34/54 61/90 10/10* +FB1 18/18* 28/54 39/90 11/11* +FB2 10/18 8/54 11/90 8/10 +FB3 7/18 33/54 81/90 10/10* +FB4 17/18 34/54 40/90 10/10* +FB5 16/18 32/54 72/90 8/10 +FB6 18/18* 32/54 55/90 10/10* FB7 18/18* 30/54 55/90 8/10 -FB8 12/18 34/54 81/90 7/10 +FB8 15/18 33/54 75/90 6/10 ----- ----- ----- ----- - 121/144 227/432 440/720 72/81 + 119/144 230/432 428/720 71/81 * - Resource is exhausted @@ -40,18 +40,18 @@ Global set/reset net(s) unused. Signal Type Required Mapped | Pin Type Used Total ------------------------------------|------------------------------------ -Input : 33 33 | I/O : 66 73 +Input : 32 32 | I/O : 65 73 Output : 35 35 | GCK/IO : 3 3 Bidirectional : 1 1 | GTS/IO : 3 4 GCK : 3 3 | GSR/IO : 0 1 GTS : 0 0 | GSR : 0 0 | ---- ---- - Total 72 72 + Total 71 71 ** Power Data ** -There are 121 macrocells in high performance mode (MCHP). +There are 119 macrocells in high performance mode (MCHP). There are 0 macrocells in low power mode (MCLP). End of Mapped Resource Summary ************************** Errors and Warnings *************************** @@ -79,17 +79,19 @@ WARNING:Cpld:1007 - Removing unused input(s) 'SW<2>'. The input(s) are unused after optimization. Please verify functionality via simulation. WARNING:Cpld:1007 - Removing unused input(s) 'SW<3>'. The input(s) are unused after optimization. Please verify functionality via simulation. +WARNING:Cpld:1007 - Removing unused input(s) 'nBG_IOB'. The input(s) are unused + after optimization. Please verify functionality via simulation. ************************* Summary of Mapped Logic ************************ ** 36 Outputs ** Signal Total Total Loc Pin Pin Pin Pwr Slew Reg Init Name Pts Inps No. Type Use Mode Rate State -nDTACK_FSB 11 26 FB3_9 28 I/O O STD FAST RESET +nDTACK_FSB 10 26 FB3_9 28 I/O O STD FAST RESET nROMWE 1 2 FB3_17 34 I/O O STD FAST -nAoutOE 1 3 FB4_2 87 I/O O STD FAST RESET +nAoutOE 1 3 FB4_2 87 I/O O STD FAST SET nDoutOE 1 2 FB4_5 89 I/O O STD FAST -nDinOE 2 6 FB4_6 90 I/O O STD FAST +nDinOE 3 6 FB4_6 90 I/O O STD FAST nRES 1 1 FB4_8 91 I/O I/O STD FAST nVPA_FSB 1 2 FB4_11 93 I/O O STD FAST nROMCS 2 5 FB5_2 35 I/O O STD FAST @@ -122,67 +124,62 @@ nRAMUWE 1 4 FB8_8 66 I/O O STD FAST nBERR_FSB 4 8 FB8_12 70 I/O O STD FAST RESET nBR_IOB 2 4 FB8_15 72 I/O O STD FAST RESET -** 85 Buried Nodes ** +** 83 Buried Nodes ** Signal Total Total Loc Pwr Reg Init Name Pts Inps Mode State -iobm/nASr 1 2 FB1_1 STD RESET -iobm/VPArr 1 1 FB1_2 STD RESET -iobm/VPArf 1 1 FB1_3 STD RESET -iobm/RESrr 1 1 FB1_4 STD RESET -iobm/RESrf 1 1 FB1_5 STD RESET -iobm/IOREQr 1 1 FB1_6 STD RESET -iobm/Er2 1 1 FB1_7 STD RESET -iobm/Er 1 1 FB1_8 STD RESET -iobm/DTACKrr 1 1 FB1_9 STD RESET -iobm/DTACKrf 1 1 FB1_10 STD RESET -iobm/BERRrr 1 1 FB1_11 STD RESET -iobm/BERRrf 1 1 FB1_12 STD RESET -cnt/IPL2r 1 1 FB1_13 STD RESET -iobm/IOS_FSM_FFd1 2 3 FB1_14 STD RESET -iobm/BG 2 2 FB1_15 STD RESET -iobs/IOU0 3 5 FB1_16 STD RESET -iobs/IOL0 3 5 FB1_17 STD RESET -iobm/IOS_FSM_FFd3 3 6 FB1_18 STD RESET -ram/RegUrgentSync 1 1 FB2_7 STD RESET -ram/RefUrgent 1 2 FB2_8 STD RESET -ram/RefReqSync 1 1 FB2_9 STD RESET -ram/RefReq 1 2 FB2_10 STD RESET -ram/RefRAS 1 2 FB2_11 STD RESET -ram/BACTr 1 2 FB2_12 STD RESET -iobs/IOACTr 1 1 FB2_13 STD RESET -iobs/ALE0 1 2 FB2_14 STD RESET -fsb/ASrf 1 1 FB2_15 STD RESET -iobs/PS_FSM_FFd1 2 3 FB2_16 STD RESET -iobs/IOU1 2 2 FB2_17 STD RESET -iobs/IOL1 2 2 FB2_18 STD RESET -fsb/VPA 20 26 FB3_2 STD RESET -iobs/Clear1 1 3 FB3_5 STD RESET -fsb/Ready0r 3 8 FB3_6 STD RESET -iobs/IOReady 4 8 FB3_7 STD RESET -fsb/Ready1r 7 17 FB3_10 STD RESET +ram/RegUrgentSync 1 1 FB1_1 STD RESET +ram/RefUrgent 1 2 FB1_2 STD RESET +ram/RefReqSync 1 1 FB1_3 STD RESET +ram/RefReq 1 2 FB1_4 STD RESET +ram/RefRAS 1 2 FB1_5 STD RESET +iobs/IOACTr 1 1 FB1_6 STD RESET +fsb/ASrf 1 1 FB1_7 STD RESET +iobs/IOU1 2 2 FB1_8 STD RESET +iobs/IOL1 2 2 FB1_9 STD RESET +cnt/TimerTC 2 5 FB1_10 STD RESET +iobs/IOU0 3 5 FB1_11 STD RESET +iobs/IOL0 3 5 FB1_12 STD RESET +cnt/Timer<3> 3 6 FB1_13 STD RESET +cnt/Timer<2> 3 5 FB1_14 STD RESET +cnt/Timer<1> 3 4 FB1_15 STD RESET +cnt/RefUrgent 3 5 FB1_16 STD RESET +iobs/IOReady 4 8 FB1_17 STD RESET +cnt/RefReq 4 5 FB1_18 STD RESET +iobm/VPArr 1 1 FB2_9 STD RESET +iobm/VPArf 1 1 FB2_10 STD RESET +iobm/RESrr 1 1 FB2_11 STD RESET +iobm/RESrf 1 1 FB2_12 STD RESET +iobm/IOREQr 1 1 FB2_13 STD RESET +iobm/Er2 1 1 FB2_14 STD RESET +iobm/Er 1 1 FB2_15 STD RESET +iobm/DTACKrr 1 1 FB2_16 STD RESET +iobm/DTACKrf 1 1 FB2_17 STD RESET +cnt/Timer<0> 2 3 FB2_18 STD RESET +fsb/VPA 18 26 FB3_1 STD RESET +iobs/IOREQ 13 19 FB3_7 STD RESET +fsb/Ready1r 6 17 FB3_10 STD RESET iobs/IORW1 16 19 FB3_12 STD RESET -iobs/IORW0 18 20 FB3_15 STD RESET -cnt/nRESout 2 4 FB4_1 STD RESET -cnt/TimerTC 2 5 FB4_3 STD RESET -cnt/Timer<0> 2 3 FB4_4 STD RESET +iobs/IORW0 17 20 FB3_15 STD RESET +iobm/BERRrr 1 1 FB4_3 STD RESET +iobm/BERRrf 1 1 FB4_4 STD RESET +cnt/PORS_FSM_FFd1 1 4 FB4_7 STD RESET +cnt/IPL2r 1 1 FB4_9 STD RESET +iobm/IOS_FSM_FFd1 2 3 FB4_10 STD RESET +cnt/nRESout 2 4 FB4_12 STD RESET +cnt/PORS_FSM_FFd2 2 3 FB4_13 STD RESET Signal Total Total Loc Pwr Reg Init Name Pts Inps Mode State -cnt/PORS_FSM_FFd2 2 3 FB4_7 STD RESET -cnt/LTimer<0> 2 3 FB4_9 STD RESET -cnt/Timer<3> 3 6 FB4_10 STD RESET -cnt/Timer<2> 3 5 FB4_12 STD RESET -cnt/Timer<1> 3 4 FB4_13 STD RESET -cnt/RefUrgent 3 5 FB4_14 STD RESET -cnt/RefReq 4 5 FB4_15 STD RESET -cnt/LTimer<3> 4 6 FB4_16 STD RESET -cnt/LTimer<2> 4 5 FB4_17 STD RESET -cnt/LTimer<1> 4 4 FB4_18 STD RESET +cnt/LTimer<0> 2 3 FB4_14 STD RESET +cnt/LTimer<3> 4 6 FB4_15 STD RESET +cnt/LTimer<2> 4 5 FB4_16 STD RESET +cnt/LTimer<1> 4 4 FB4_17 STD RESET +iobm/IOBERR 9 13 FB4_18 STD RESET ram/RASEL 17 13 FB5_1 STD RESET ram/RefDone 2 4 FB5_3 STD RESET cs/nOverlay1 2 3 FB5_4 STD RESET -ram/RS_FSM_FFd1 8 11 FB5_7 STD RESET +fsb/Ready0r 3 8 FB5_7 STD RESET cs/nOverlay0 3 8 FB5_8 STD RESET ram/RAMEN 9 13 FB5_10 STD RESET ram/RS_FSM_FFd2 10 12 FB5_13 STD RESET @@ -190,14 +187,14 @@ ram/RAMReady 12 13 FB5_17 STD RESET iobm/ETACK 1 6 FB6_1 STD RESET iobm/DoutOE 2 3 FB6_3 STD RESET iobm/ALE0 2 4 FB6_4 STD RESET -iobm/ES<3> 3 6 FB6_5 STD RESET -iobm/ES<1> 3 4 FB6_6 STD RESET -iobm/ES<0> 3 7 FB6_7 STD RESET -iobm/ES<4> 4 7 FB6_8 STD RESET -iobm/IOS_FSM_FFd2 5 11 FB6_10 STD RESET -iobm/ES<2> 5 7 FB6_13 STD RESET -iobm/IOACT 6 12 FB6_16 STD RESET -iobm/IOBERR 9 13 FB6_18 STD RESET +iobm/IOS_FSM_FFd3 3 6 FB6_5 STD RESET +iobm/ES<3> 3 6 FB6_6 STD RESET +iobm/ES<1> 3 4 FB6_7 STD RESET +iobm/ES<0> 3 7 FB6_8 STD RESET +iobm/ES<4> 4 7 FB6_10 STD RESET +iobm/IOS_FSM_FFd2 5 11 FB6_13 STD RESET +iobm/ES<2> 5 7 FB6_16 STD RESET +iobm/IOACT 6 12 FB6_18 STD RESET cnt/LTimer<13> 3 15 FB7_1 STD RESET cnt/LTimer<9> 4 12 FB7_3 STD RESET cnt/LTimer<8> 4 11 FB7_4 STD RESET @@ -208,17 +205,20 @@ cnt/LTimer<4> 4 7 FB7_15 STD RESET cnt/LTimer<12> 4 15 FB7_16 STD RESET cnt/LTimer<11> 4 14 FB7_17 STD RESET cnt/LTimer<10> 4 13 FB7_18 STD RESET -cnt/PORS_FSM_FFd1 1 4 FB8_1 STD RESET +iobs/Once 15 18 FB8_1 STD RESET +iobs/Clear1 1 3 FB8_3 STD RESET +iobs/ALE0 1 2 FB8_4 STD RESET +iobs/PS_FSM_FFd1 2 3 FB8_7 STD RESET +ram/RS_FSM_FFd1 8 11 FB8_9 STD RESET +ram/RS_FSM_FFd3 9 11 FB8_11 STD RESET Signal Total Total Loc Pwr Reg Init Name Pts Inps Mode State -ram/RS_FSM_FFd3 9 11 FB8_3 STD RESET -iobs/PS_FSM_FFd2 14 19 FB8_7 STD RESET -iobs/Load1 14 18 FB8_10 STD RESET -iobs/IOREQ 14 19 FB8_14 STD RESET -iobs/Once 17 18 FB8_17 STD RESET +iobs/PS_FSM_FFd2 12 19 FB8_14 STD RESET +iobs/Load1 14 18 FB8_16 STD RESET +ram/BACTr 1 2 FB8_17 STD RESET -** 36 Inputs ** +** 35 Inputs ** Signal Loc Pin Pin Pin Name No. Type Use @@ -257,7 +257,6 @@ A_FSB<4> FB4_17 97 I/O I nBERR_IOB FB6_5 76 I/O I nVPA_IOB FB6_6 77 I/O I nDTACK_IOB FB6_8 78 I/O I -nBG_IOB FB8_17 73 I/O I Legend: Pin No. - ~ - User Assigned @@ -275,65 +274,66 @@ Pin Type/Use - I - Input GCK - Global Clock X - Signal used as input to the macrocell logic. Pin No. - ~ - User Assigned *********************************** FB1 *********************************** -Number of function block inputs used/remaining: 25/29 -Number of signals used by logic mapping into function block: 25 +Number of function block inputs used/remaining: 28/26 +Number of signals used by logic mapping into function block: 28 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use -iobm/nASr 1 0 0 4 FB1_1 (b) (b) -iobm/VPArr 1 0 0 4 FB1_2 11 I/O I -iobm/VPArf 1 0 0 4 FB1_3 12 I/O I -iobm/RESrr 1 0 0 4 FB1_4 (b) (b) -iobm/RESrf 1 0 0 4 FB1_5 13 I/O I -iobm/IOREQr 1 0 0 4 FB1_6 14 I/O I -iobm/Er2 1 0 0 4 FB1_7 (b) (b) -iobm/Er 1 0 0 4 FB1_8 15 I/O I -iobm/DTACKrr 1 0 0 4 FB1_9 16 I/O I -iobm/DTACKrf 1 0 0 4 FB1_10 (b) (b) -iobm/BERRrr 1 0 0 4 FB1_11 17 I/O I -iobm/BERRrf 1 0 0 4 FB1_12 18 I/O I -cnt/IPL2r 1 0 0 4 FB1_13 (b) (b) -iobm/IOS_FSM_FFd1 2 0 0 3 FB1_14 19 I/O I -iobm/BG 2 0 0 3 FB1_15 20 I/O I -iobs/IOU0 3 0 0 2 FB1_16 (b) (b) -iobs/IOL0 3 0 0 2 FB1_17 22 GCK/I/O GCK -iobm/IOS_FSM_FFd3 3 0 0 2 FB1_18 (b) (b) +ram/RegUrgentSync 1 0 0 4 FB1_1 (b) (b) +ram/RefUrgent 1 0 0 4 FB1_2 11 I/O I +ram/RefReqSync 1 0 0 4 FB1_3 12 I/O I +ram/RefReq 1 0 0 4 FB1_4 (b) (b) +ram/RefRAS 1 0 0 4 FB1_5 13 I/O I +iobs/IOACTr 1 0 0 4 FB1_6 14 I/O I +fsb/ASrf 1 0 0 4 FB1_7 (b) (b) +iobs/IOU1 2 0 0 3 FB1_8 15 I/O I +iobs/IOL1 2 0 0 3 FB1_9 16 I/O I +cnt/TimerTC 2 0 0 3 FB1_10 (b) (b) +iobs/IOU0 3 0 0 2 FB1_11 17 I/O I +iobs/IOL0 3 0 0 2 FB1_12 18 I/O I +cnt/Timer<3> 3 0 0 2 FB1_13 (b) (b) +cnt/Timer<2> 3 0 0 2 FB1_14 19 I/O I +cnt/Timer<1> 3 0 0 2 FB1_15 20 I/O I +cnt/RefUrgent 3 0 0 2 FB1_16 (b) (b) +iobs/IOReady 4 0 0 1 FB1_17 22 GCK/I/O GCK +cnt/RefReq 4 0 0 1 FB1_18 (b) (b) Signals Used by Logic in Function Block - 1: C8M 10: iobm/nASr 18: nAoutOE - 2: E 11: iobs/IOL1 19: nBERR_IOB - 3: nRES.PIN 12: iobs/IOREQ 20: nBG_IOB - 4: iobm/BG 13: iobs/IOU1 21: nDTACK_IOB - 5: iobm/Er 14: iobs/PS_FSM_FFd1 22: nIPL2 - 6: iobm/IOREQr 15: iobs/PS_FSM_FFd2 23: nLDS_FSB - 7: iobm/IOS_FSM_FFd1 16: nADoutLE1 24: nUDS_FSB - 8: iobm/IOS_FSM_FFd2 17: nAS_IOB 25: nVPA_IOB - 9: iobm/IOS_FSM_FFd3 + 1: E 11: iobm/IOBERR 20: nADoutLE1 + 2: cnt/RefReq 12: iobs/IOACTr 21: nAS_FSB + 3: cnt/RefUrgent 13: iobs/IOL1 22: nLDS_FSB + 4: cnt/Timer<0> 14: iobs/IOReady 23: nUDS_FSB + 5: cnt/Timer<1> 15: iobs/IOU1 24: ram/RS_FSM_FFd1 + 6: cnt/Timer<2> 16: iobs/Load1 25: ram/RS_FSM_FFd2 + 7: cnt/Timer<3> 17: iobs/Once 26: ram/RefDone + 8: cnt/TimerTC 18: iobs/PS_FSM_FFd1 27: ram/RefReqSync + 9: fsb/ASrf 19: iobs/PS_FSM_FFd2 28: ram/RegUrgentSync + 10: iobm/IOACT Signal 1 2 3 4 FB Name 0----+----0----+----0----+----0----+----0 Inputs -iobm/nASr ................XX...................... 2 -iobm/VPArr ........................X............... 1 -iobm/VPArf ........................X............... 1 -iobm/RESrr ..X..................................... 1 -iobm/RESrf ..X..................................... 1 -iobm/IOREQr ...........X............................ 1 -iobm/Er2 ....X................................... 1 -iobm/Er .X...................................... 1 -iobm/DTACKrr ....................X................... 1 -iobm/DTACKrf ....................X................... 1 -iobm/BERRrr ..................X..................... 1 -iobm/BERRrf ..................X..................... 1 -cnt/IPL2r .....................X.................. 1 -iobm/IOS_FSM_FFd1 ......XXX............................... 3 -iobm/BG .........X.........X.................... 2 -iobs/IOU0 ............XXXX.......X................ 5 -iobs/IOL0 ..........X..XXX......X................. 5 -iobm/IOS_FSM_FFd3 X..X.XXXX............................... 6 +ram/RegUrgentSync ..X..................................... 1 +ram/RefUrgent .........................X.X............ 2 +ram/RefReqSync .X...................................... 1 +ram/RefReq .........................XX............. 2 +ram/RefRAS .......................XX............... 2 +iobs/IOACTr .........X.............................. 1 +fsb/ASrf ....................X................... 1 +iobs/IOU1 ...............X......X................. 2 +iobs/IOL1 ...............X.....X.................. 2 +cnt/TimerTC X..XXXX................................. 5 +iobs/IOU0 ..............X..XXX..X................. 5 +iobs/IOL0 ............X....XXX.X.................. 5 +cnt/Timer<3> X..XXXXX................................ 6 +cnt/Timer<2> X..XXX.X................................ 5 +cnt/Timer<1> X..XX..X................................ 4 +cnt/RefUrgent X..XXXX................................. 5 +iobs/IOReady ........X.XX.X..X.XXX................... 8 +cnt/RefReq X..XXXX................................. 5 0----+----1----+----2----+----3----+----4 0 0 0 0 *********************************** FB2 *********************************** -Number of function block inputs used/remaining: 16/38 -Number of signals used by logic mapping into function block: 16 +Number of function block inputs used/remaining: 8/46 +Number of signals used by logic mapping into function block: 8 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use (unused) 0 0 0 5 FB2_1 (b) @@ -342,153 +342,148 @@ Name Pt Pt Pt Pt # Type Use (unused) 0 0 0 5 FB2_4 (b) (unused) 0 0 0 5 FB2_5 1 GTS/I/O (unused) 0 0 0 5 FB2_6 2 GTS/I/O I -ram/RegUrgentSync 1 0 0 4 FB2_7 (b) (b) -ram/RefUrgent 1 0 0 4 FB2_8 3 GTS/I/O I -ram/RefReqSync 1 0 0 4 FB2_9 4 GTS/I/O I -ram/RefReq 1 0 0 4 FB2_10 (b) (b) -ram/RefRAS 1 0 0 4 FB2_11 6 I/O I -ram/BACTr 1 0 0 4 FB2_12 7 I/O I -iobs/IOACTr 1 0 0 4 FB2_13 (b) (b) -iobs/ALE0 1 0 0 4 FB2_14 8 I/O I -fsb/ASrf 1 0 0 4 FB2_15 9 I/O I -iobs/PS_FSM_FFd1 2 0 0 3 FB2_16 (b) (b) -iobs/IOU1 2 0 0 3 FB2_17 10 I/O I -iobs/IOL1 2 0 0 3 FB2_18 (b) (b) +(unused) 0 0 0 5 FB2_7 (b) +(unused) 0 0 0 5 FB2_8 3 GTS/I/O I +iobm/VPArr 1 0 0 4 FB2_9 4 GTS/I/O I +iobm/VPArf 1 0 0 4 FB2_10 (b) (b) +iobm/RESrr 1 0 0 4 FB2_11 6 I/O I +iobm/RESrf 1 0 0 4 FB2_12 7 I/O I +iobm/IOREQr 1 0 0 4 FB2_13 (b) (b) +iobm/Er2 1 0 0 4 FB2_14 8 I/O I +iobm/Er 1 0 0 4 FB2_15 9 I/O I +iobm/DTACKrr 1 0 0 4 FB2_16 (b) (b) +iobm/DTACKrf 1 0 0 4 FB2_17 10 I/O I +cnt/Timer<0> 2 0 0 3 FB2_18 (b) (b) Signals Used by Logic in Function Block - 1: cnt/RefReq 7: iobs/PS_FSM_FFd1 12: ram/RS_FSM_FFd1 - 2: cnt/RefUrgent 8: iobs/PS_FSM_FFd2 13: ram/RS_FSM_FFd2 - 3: fsb/ASrf 9: nAS_FSB 14: ram/RefDone - 4: iobm/IOACT 10: nLDS_FSB 15: ram/RefReqSync - 5: iobs/IOACTr 11: nUDS_FSB 16: ram/RegUrgentSync - 6: iobs/Load1 + 1: E 4: cnt/TimerTC 7: nDTACK_IOB + 2: nRES.PIN 5: iobm/Er 8: nVPA_IOB + 3: cnt/Timer<0> 6: iobs/IOREQ Signal 1 2 3 4 FB Name 0----+----0----+----0----+----0----+----0 Inputs -ram/RegUrgentSync .X...................................... 1 -ram/RefUrgent .............X.X........................ 2 -ram/RefReqSync X....................................... 1 -ram/RefReq .............XX......................... 2 -ram/RefRAS ...........XX........................... 2 -ram/BACTr ..X.....X............................... 2 -iobs/IOACTr ...X.................................... 1 -iobs/ALE0 ......XX................................ 2 -fsb/ASrf ........X............................... 1 -iobs/PS_FSM_FFd1 ....X.XX................................ 3 -iobs/IOU1 .....X....X............................. 2 -iobs/IOL1 .....X...X.............................. 2 +iobm/VPArr .......X................................ 1 +iobm/VPArf .......X................................ 1 +iobm/RESrr .X...................................... 1 +iobm/RESrf .X...................................... 1 +iobm/IOREQr .....X.................................. 1 +iobm/Er2 ....X................................... 1 +iobm/Er X....................................... 1 +iobm/DTACKrr ......X................................. 1 +iobm/DTACKrf ......X................................. 1 +cnt/Timer<0> X.XX.................................... 3 0----+----1----+----2----+----3----+----4 0 0 0 0 *********************************** FB3 *********************************** -Number of function block inputs used/remaining: 34/20 -Number of signals used by logic mapping into function block: 34 +Number of function block inputs used/remaining: 33/21 +Number of signals used by logic mapping into function block: 33 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use -(unused) 0 0 \/5 0 FB3_1 (b) (b) -fsb/VPA 20 15<- 0 0 FB3_2 23 GCK/I/O GCK/I -(unused) 0 0 /\5 0 FB3_3 (b) (b) +fsb/VPA 18 13<- 0 0 FB3_1 (b) (b) +(unused) 0 0 /\5 0 FB3_2 23 GCK/I/O GCK/I +(unused) 0 0 /\3 2 FB3_3 (b) (b) (unused) 0 0 0 5 FB3_4 (b) -iobs/Clear1 1 0 \/1 3 FB3_5 24 I/O I -fsb/Ready0r 3 1<- \/3 0 FB3_6 25 I/O I -iobs/IOReady 4 3<- \/4 0 FB3_7 (b) (b) +(unused) 0 0 \/5 0 FB3_5 24 I/O I +(unused) 0 0 \/5 0 FB3_6 25 I/O I +iobs/IOREQ 13 10<- \/2 0 FB3_7 (b) (b) (unused) 0 0 \/5 0 FB3_8 27 GCK/I/O GCK -nDTACK_FSB 11 9<- \/3 0 FB3_9 28 I/O O -fsb/Ready1r 7 3<- \/1 0 FB3_10 (b) (b) +nDTACK_FSB 10 7<- \/2 0 FB3_9 28 I/O O +fsb/Ready1r 6 2<- \/1 0 FB3_10 (b) (b) (unused) 0 0 \/5 0 FB3_11 29 I/O I iobs/IORW1 16 11<- 0 0 FB3_12 30 I/O I (unused) 0 0 /\5 0 FB3_13 (b) (b) (unused) 0 0 \/5 0 FB3_14 32 I/O I -iobs/IORW0 18 13<- 0 0 FB3_15 33 I/O I +iobs/IORW0 17 12<- 0 0 FB3_15 33 I/O I (unused) 0 0 /\5 0 FB3_16 (b) (b) -nROMWE 1 0 /\3 1 FB3_17 34 I/O O +nROMWE 1 0 /\2 2 FB3_17 34 I/O O (unused) 0 0 \/5 0 FB3_18 (b) (b) Signals Used by Logic in Function Block - 1: A_FSB<10> 13: A_FSB<22> 24: iobs/IORW0 - 2: A_FSB<11> 14: A_FSB<23> 25: iobs/IORW1 - 3: A_FSB<12> 15: A_FSB<8> 26: iobs/IOReady - 4: A_FSB<13> 16: A_FSB<9> 27: iobs/Once - 5: A_FSB<14> 17: cs/nOverlay1 28: iobs/PS_FSM_FFd1 - 6: A_FSB<15> 18: fsb/ASrf 29: iobs/PS_FSM_FFd2 - 7: A_FSB<16> 19: fsb/Ready0r 30: nADoutLE1 - 8: A_FSB<17> 20: fsb/Ready1r 31: nAS_FSB - 9: A_FSB<18> 21: fsb/VPA 32: nDTACK_FSB - 10: A_FSB<19> 22: iobm/IOBERR 33: nWE_FSB - 11: A_FSB<20> 23: iobs/IOACTr 34: ram/RAMReady - 12: A_FSB<21> + 1: A_FSB<10> 12: A_FSB<21> 23: iobs/IORW0 + 2: A_FSB<11> 13: A_FSB<22> 24: iobs/IORW1 + 3: A_FSB<12> 14: A_FSB<23> 25: iobs/IOReady + 4: A_FSB<13> 15: A_FSB<8> 26: iobs/Once + 5: A_FSB<14> 16: A_FSB<9> 27: iobs/PS_FSM_FFd1 + 6: A_FSB<15> 17: cs/nOverlay1 28: iobs/PS_FSM_FFd2 + 7: A_FSB<16> 18: fsb/ASrf 29: nADoutLE1 + 8: A_FSB<17> 19: fsb/Ready0r 30: nAS_FSB + 9: A_FSB<18> 20: fsb/Ready1r 31: nDTACK_FSB + 10: A_FSB<19> 21: fsb/VPA 32: nWE_FSB + 11: A_FSB<20> 22: iobs/IOACTr 33: ram/RAMReady Signal 1 2 3 4 FB Name 0----+----0----+----0----+----0----+----0 Inputs -fsb/VPA XXXXXXXXXXXXXXXXXXXXX....X...XX.XX...... 26 -iobs/Clear1 ...........................XXX.......... 3 -fsb/Ready0r ...........XXX..XXX...........X..X...... 8 -iobs/IOReady .................X...XX..XX.XXX......... 8 -nDTACK_FSB XXXXXXXXXXXXXXXXXXXX.....X...XXXXX...... 26 -fsb/Ready1r ...XX.XXXXXXXX..XX.X.....X...XX.X....... 17 -iobs/IORW1 ...XX.XXXXXXXX..XX......X.XXXXX.X....... 19 -iobs/IORW0 ...XX.XXXXXXXX..XX.....XX.XXXXX.X....... 20 -nROMWE ..............................X.X....... 2 +fsb/VPA XXXXXXXXXXXXXXXXXXXXX...X...XX.XX....... 26 +iobs/IOREQ ...XX.XXXXXXXX..XX...X...XXXXX.X........ 19 +nDTACK_FSB XXXXXXXXXXXXXXXXXXXX....X...XXXXX....... 26 +fsb/Ready1r ...XX.XXXXXXXX..XX.X....X...XX.X........ 17 +iobs/IORW1 ...XX.XXXXXXXX..XX.....X.XXXXX.X........ 19 +iobs/IORW0 ...XX.XXXXXXXX..XX....XX.XXXXX.X........ 20 +nROMWE .............................X.X........ 2 0----+----1----+----2----+----3----+----4 0 0 0 0 *********************************** FB4 *********************************** -Number of function block inputs used/remaining: 24/30 -Number of signals used by logic mapping into function block: 24 +Number of function block inputs used/remaining: 34/20 +Number of signals used by logic mapping into function block: 34 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use -cnt/nRESout 2 0 0 3 FB4_1 (b) (b) +(unused) 0 0 /\3 2 FB4_1 (b) (b) nAoutOE 1 0 0 4 FB4_2 87 I/O O -cnt/TimerTC 2 0 0 3 FB4_3 (b) (b) -cnt/Timer<0> 2 0 0 3 FB4_4 (b) (b) +iobm/BERRrr 1 0 0 4 FB4_3 (b) (b) +iobm/BERRrf 1 0 0 4 FB4_4 (b) (b) nDoutOE 1 0 0 4 FB4_5 89 I/O O -nDinOE 2 0 0 3 FB4_6 90 I/O O -cnt/PORS_FSM_FFd2 2 0 0 3 FB4_7 (b) (b) +nDinOE 3 0 0 2 FB4_6 90 I/O O +cnt/PORS_FSM_FFd1 1 0 0 4 FB4_7 (b) (b) nRES 1 0 0 4 FB4_8 91 I/O I/O -cnt/LTimer<0> 2 0 0 3 FB4_9 92 I/O I -cnt/Timer<3> 3 0 0 2 FB4_10 (b) (b) +cnt/IPL2r 1 0 0 4 FB4_9 92 I/O I +iobm/IOS_FSM_FFd1 2 0 0 3 FB4_10 (b) (b) nVPA_FSB 1 0 0 4 FB4_11 93 I/O O -cnt/Timer<2> 3 0 0 2 FB4_12 94 I/O I -cnt/Timer<1> 3 0 0 2 FB4_13 (b) (b) -cnt/RefUrgent 3 0 0 2 FB4_14 95 I/O I -cnt/RefReq 4 0 0 1 FB4_15 96 I/O I -cnt/LTimer<3> 4 0 0 1 FB4_16 (b) (b) -cnt/LTimer<2> 4 0 0 1 FB4_17 97 I/O I -cnt/LTimer<1> 4 0 0 1 FB4_18 (b) (b) +cnt/nRESout 2 0 0 3 FB4_12 94 I/O I +cnt/PORS_FSM_FFd2 2 0 0 3 FB4_13 (b) (b) +cnt/LTimer<0> 2 0 0 3 FB4_14 95 I/O I +cnt/LTimer<3> 4 0 0 1 FB4_15 96 I/O I +cnt/LTimer<2> 4 0 0 1 FB4_16 (b) (b) +cnt/LTimer<1> 4 0 \/1 0 FB4_17 97 I/O I +iobm/IOBERR 9 4<- 0 0 FB4_18 (b) (b) Signals Used by Logic in Function Block - 1: A_FSB<20> 9: cnt/LTimer<2> 17: cnt/TimerTC - 2: A_FSB<21> 10: cnt/LTimer<3> 18: cnt/nRESout - 3: A_FSB<22> 11: cnt/PORS_FSM_FFd1 19: fsb/VPA - 4: A_FSB<23> 12: cnt/PORS_FSM_FFd2 20: iobm/DoutOE - 5: E 13: cnt/Timer<0> 21: nAS_FSB - 6: cnt/LTimer<0> 14: cnt/Timer<1> 22: nAoutOE - 7: cnt/LTimer<13> 15: cnt/Timer<2> 23: nBR_IOB - 8: cnt/LTimer<1> 16: cnt/Timer<3> 24: nWE_FSB + 1: A_FSB<20> 13: cnt/PORS_FSM_FFd2 24: iobm/IOS_FSM_FFd1 + 2: A_FSB<21> 14: cnt/TimerTC 25: iobm/IOS_FSM_FFd2 + 3: A_FSB<22> 15: cnt/nRESout 26: iobm/IOS_FSM_FFd3 + 4: A_FSB<23> 16: fsb/VPA 27: iobm/RESrf + 5: C8M 17: iobm/BERRrf 28: iobm/RESrr + 6: cnt/IPL2r 18: iobm/BERRrr 29: nAS_FSB + 7: cnt/LTimer<0> 19: iobm/DTACKrf 30: nAoutOE + 8: cnt/LTimer<13> 20: iobm/DTACKrr 31: nBERR_IOB + 9: cnt/LTimer<1> 21: iobm/DoutOE 32: nBR_IOB + 10: cnt/LTimer<2> 22: iobm/ETACK 33: nIPL2 + 11: cnt/LTimer<3> 23: iobm/IOBERR 34: nWE_FSB + 12: cnt/PORS_FSM_FFd1 Signal 1 2 3 4 FB Name 0----+----0----+----0----+----0----+----0 Inputs -cnt/nRESout ......X...XX.....X...................... 4 -nAoutOE ..........XX..........X................. 3 -cnt/TimerTC ....X.......XXXX........................ 5 -cnt/Timer<0> ....X.......X...X....................... 3 -nDoutOE ...................X.X.................. 2 -nDinOE XXXX................X..X................ 6 -cnt/PORS_FSM_FFd2 ......X...XX............................ 3 -nRES .................X...................... 1 -cnt/LTimer<0> .....XX.........X....................... 3 -cnt/Timer<3> ....X.......XXXXX....................... 6 -nVPA_FSB ..................X.X................... 2 -cnt/Timer<2> ....X.......XXX.X....................... 5 -cnt/Timer<1> ....X.......XX..X....................... 4 -cnt/RefUrgent ....X.......XXXX........................ 5 -cnt/RefReq ....X.......XXXX........................ 5 -cnt/LTimer<3> .....XXXXX......X....................... 6 -cnt/LTimer<2> .....XXXX.......X....................... 5 -cnt/LTimer<1> .....XXX........X....................... 4 +nAoutOE ...........XX..................X........ 3 +iobm/BERRrr ..............................X......... 1 +iobm/BERRrf ..............................X......... 1 +nDoutOE ....................X........X.......... 2 +nDinOE XXXX........................X....X...... 6 +cnt/PORS_FSM_FFd1 .....X.X...XX........................... 4 +nRES ..............X......................... 1 +cnt/IPL2r ................................X....... 1 +iobm/IOS_FSM_FFd1 .......................XXX.............. 3 +nVPA_FSB ...............X............X........... 2 +cnt/nRESout .......X...XX.X......................... 4 +cnt/PORS_FSM_FFd2 .......X...XX........................... 3 +cnt/LTimer<0> ......XX.....X.......................... 3 +cnt/LTimer<3> ......XXXXX..X.......................... 6 +cnt/LTimer<2> ......XXXX...X.......................... 5 +cnt/LTimer<1> ......XXX....X.......................... 4 +iobm/IOBERR ....X...........XXXX.XXXXXXX..X......... 13 0----+----1----+----2----+----3----+----4 0 0 0 0 *********************************** FB5 *********************************** -Number of function block inputs used/remaining: 30/24 -Number of signals used by logic mapping into function block: 30 +Number of function block inputs used/remaining: 32/22 +Number of signals used by logic mapping into function block: 32 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use ram/RASEL 17 12<- 0 0 FB5_1 (b) (b) @@ -496,8 +491,8 @@ nROMCS 2 2<- /\5 0 FB5_2 35 I/O O ram/RefDone 2 0 /\2 1 FB5_3 (b) (b) cs/nOverlay1 2 0 0 3 FB5_4 (b) (b) nCAS 1 0 0 4 FB5_5 36 I/O O -nOE 1 0 \/3 1 FB5_6 37 I/O O -ram/RS_FSM_FFd1 8 3<- 0 0 FB5_7 (b) (b) +nOE 1 0 0 4 FB5_6 37 I/O O +fsb/Ready0r 3 0 0 2 FB5_7 (b) (b) cs/nOverlay0 3 0 \/1 1 FB5_8 39 I/O (b) RA<4> 2 1<- \/4 0 FB5_9 40 I/O O ram/RAMEN 9 4<- 0 0 FB5_10 (b) (b) @@ -511,95 +506,95 @@ ram/RAMReady 12 9<- \/2 0 FB5_17 49 I/O (b) (unused) 0 0 \/5 0 FB5_18 (b) (b) Signals Used by Logic in Function Block - 1: A_FSB<12> 11: A_FSB<4> 21: ram/BACTr - 2: A_FSB<13> 12: A_FSB<5> 22: ram/RAMEN - 3: A_FSB<14> 13: A_FSB<6> 23: ram/RASEL - 4: A_FSB<15> 14: A_FSB<7> 24: ram/RS_FSM_FFd1 - 5: A_FSB<16> 15: nRES.PIN 25: ram/RS_FSM_FFd2 - 6: A_FSB<20> 16: cs/nOverlay0 26: ram/RS_FSM_FFd3 - 7: A_FSB<21> 17: cs/nOverlay1 27: ram/RefDone - 8: A_FSB<22> 18: fsb/ASrf 28: ram/RefReq - 9: A_FSB<23> 19: nAS_FSB 29: ram/RefReqSync - 10: A_FSB<3> 20: nWE_FSB 30: ram/RefUrgent + 1: A_FSB<12> 12: A_FSB<5> 23: ram/RAMEN + 2: A_FSB<13> 13: A_FSB<6> 24: ram/RAMReady + 3: A_FSB<14> 14: A_FSB<7> 25: ram/RASEL + 4: A_FSB<15> 15: nRES.PIN 26: ram/RS_FSM_FFd1 + 5: A_FSB<16> 16: cs/nOverlay0 27: ram/RS_FSM_FFd2 + 6: A_FSB<20> 17: cs/nOverlay1 28: ram/RS_FSM_FFd3 + 7: A_FSB<21> 18: fsb/ASrf 29: ram/RefDone + 8: A_FSB<22> 19: fsb/Ready0r 30: ram/RefReq + 9: A_FSB<23> 20: nAS_FSB 31: ram/RefReqSync + 10: A_FSB<3> 21: nWE_FSB 32: ram/RefUrgent + 11: A_FSB<4> 22: ram/BACTr Signal 1 2 3 4 FB Name 0----+----0----+----0----+----0----+----0 Inputs -ram/RASEL ......XXX.......XXX.XX.XXX.X.X.......... 13 +ram/RASEL ......XXX.......XX.X.XX..XXX.X.X........ 13 nROMCS .....XXXX.......X....................... 5 -ram/RefDone .......................XX.X.X........... 4 -cs/nOverlay1 ...............X.XX..................... 3 -nCAS ......................X................. 1 -nOE ..................XX.................... 2 -ram/RS_FSM_FFd1 ......XXX.......XXX..X.XXX...X.......... 11 -cs/nOverlay0 .....XXXX.....XX.XX..................... 8 -RA<4> ..X........X..........X................. 3 -ram/RAMEN ......XXX.......XXX.XX.XXX.X.X.......... 13 -RA<3> .X........X...........X................. 3 -RA<5> ...X........X.........X................. 3 -ram/RS_FSM_FFd2 ......XXX.......XXX.X..XXX.X.X.......... 12 -RA<2> X........X............X................. 3 -RA<6> ....X........X........X................. 3 -ram/RAMReady ......XXX.......XXX.XX.XXX.X.X.......... 13 +ram/RefDone .........................XX.X.X......... 4 +cs/nOverlay1 ...............X.X.X.................... 3 +nCAS ........................X............... 1 +nOE ...................XX................... 2 +fsb/Ready0r ......XXX.......XXXX...X................ 8 +cs/nOverlay0 .....XXXX.....XX.X.X.................... 8 +RA<4> ..X........X............X............... 3 +ram/RAMEN ......XXX.......XX.X.XX..XXX.X.X........ 13 +RA<3> .X........X.............X............... 3 +RA<5> ...X........X...........X............... 3 +ram/RS_FSM_FFd2 ......XXX.......XX.X.X...XXX.X.X........ 12 +RA<2> X........X..............X............... 3 +RA<6> ....X........X..........X............... 3 +ram/RAMReady ......XXX.......XX.X.XX..XXX.X.X........ 13 0----+----1----+----2----+----3----+----4 0 0 0 0 *********************************** FB6 *********************************** -Number of function block inputs used/remaining: 34/20 -Number of signals used by logic mapping into function block: 34 +Number of function block inputs used/remaining: 32/22 +Number of signals used by logic mapping into function block: 32 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use iobm/ETACK 1 0 0 4 FB6_1 (b) (b) nVMA_IOB 3 0 0 2 FB6_2 74 I/O O iobm/DoutOE 2 0 0 3 FB6_3 (b) (b) iobm/ALE0 2 0 0 3 FB6_4 (b) (b) -iobm/ES<3> 3 0 0 2 FB6_5 76 I/O I -iobm/ES<1> 3 0 0 2 FB6_6 77 I/O I -iobm/ES<0> 3 0 0 2 FB6_7 (b) (b) -iobm/ES<4> 4 0 0 1 FB6_8 78 I/O I +iobm/IOS_FSM_FFd3 3 0 0 2 FB6_5 76 I/O I +iobm/ES<3> 3 0 0 2 FB6_6 77 I/O I +iobm/ES<1> 3 0 0 2 FB6_7 (b) (b) +iobm/ES<0> 3 0 0 2 FB6_8 78 I/O I nLDS_IOB 4 0 0 1 FB6_9 79 I/O O -iobm/IOS_FSM_FFd2 5 0 0 0 FB6_10 (b) (b) +iobm/ES<4> 4 0 0 1 FB6_10 (b) (b) nUDS_IOB 4 0 0 1 FB6_11 80 I/O O nAS_IOB 3 0 0 2 FB6_12 81 I/O O -iobm/ES<2> 5 0 0 0 FB6_13 (b) (b) +iobm/IOS_FSM_FFd2 5 0 0 0 FB6_13 (b) (b) nADoutLE1 2 0 0 3 FB6_14 82 I/O O -nADoutLE0 1 0 \/1 3 FB6_15 85 I/O O -iobm/IOACT 6 1<- 0 0 FB6_16 (b) (b) -nDinLE 1 0 \/4 0 FB6_17 86 I/O O -iobm/IOBERR 9 4<- 0 0 FB6_18 (b) (b) +nADoutLE0 1 0 0 4 FB6_15 85 I/O O +iobm/ES<2> 5 0 0 0 FB6_16 (b) (b) +nDinLE 1 0 \/1 3 FB6_17 86 I/O O +iobm/IOACT 6 1<- 0 0 FB6_18 (b) (b) Signals Used by Logic in Function Block - 1: C8M 13: iobm/Er 24: iobm/VPArr - 2: iobm/ALE0 14: iobm/Er2 25: iobs/ALE0 - 3: iobm/BERRrf 15: iobm/IOACT 26: iobs/Clear1 - 4: iobm/BERRrr 16: iobm/IOBERR 27: iobs/IOL0 - 5: iobm/DTACKrf 17: iobm/IOREQr 28: iobs/IORW0 - 6: iobm/DTACKrr 18: iobm/IOS_FSM_FFd1 29: iobs/IOU0 - 7: iobm/ES<0> 19: iobm/IOS_FSM_FFd2 30: iobs/Load1 - 8: iobm/ES<1> 20: iobm/IOS_FSM_FFd3 31: nADoutLE1 - 9: iobm/ES<2> 21: iobm/RESrf 32: nAoutOE - 10: iobm/ES<3> 22: iobm/RESrr 33: nBERR_IOB - 11: iobm/ES<4> 23: iobm/VPArf 34: nVMA_IOB - 12: iobm/ETACK + 1: C8M 12: iobm/ETACK 23: iobm/VPArr + 2: iobm/ALE0 13: iobm/Er 24: iobs/ALE0 + 3: iobm/BERRrf 14: iobm/Er2 25: iobs/Clear1 + 4: iobm/BERRrr 15: iobm/IOACT 26: iobs/IOL0 + 5: iobm/DTACKrf 16: iobm/IOREQr 27: iobs/IORW0 + 6: iobm/DTACKrr 17: iobm/IOS_FSM_FFd1 28: iobs/IOU0 + 7: iobm/ES<0> 18: iobm/IOS_FSM_FFd2 29: iobs/Load1 + 8: iobm/ES<1> 19: iobm/IOS_FSM_FFd3 30: nADoutLE1 + 9: iobm/ES<2> 20: iobm/RESrf 31: nAoutOE + 10: iobm/ES<3> 21: iobm/RESrr 32: nVMA_IOB + 11: iobm/ES<4> 22: iobm/VPArf Signal 1 2 3 4 FB Name 0----+----0----+----0----+----0----+----0 Inputs -iobm/ETACK ......XXXXX......................X...... 6 -nVMA_IOB ......XXXXX...X.......XX.......X.X...... 10 -iobm/DoutOE ..................XX.......X............ 3 -iobm/ALE0 ................XXXX.................... 4 +iobm/ETACK ......XXXXX....................X........ 6 +nVMA_IOB ......XXXXX...X......XX.......XX........ 10 +iobm/DoutOE .................XX.......X............. 3 +iobm/ALE0 ...............XXXX..................... 4 +iobm/IOS_FSM_FFd3 X..............XXXX...........X......... 6 iobm/ES<3> ......XXXX..XX.......................... 6 iobm/ES<1> ......XX....XX.......................... 4 iobm/ES<0> ......XXXXX.XX.......................... 7 +nLDS_IOB ................XXX......XX...X......... 6 iobm/ES<4> ......XXXXX.XX.......................... 7 -nLDS_IOB .................XXX......XX...X........ 6 -iobm/IOS_FSM_FFd2 X.XXXX.....X.....XXXXX.................. 11 -nUDS_IOB .................XXX.......XX..X........ 6 -nAS_IOB .................XXX...........X........ 4 +nUDS_IOB ................XXX.......XX..X......... 6 +nAS_IOB ................XXX...........X......... 4 +iobm/IOS_FSM_FFd2 X.XXXX.....X....XXXXX................... 11 +nADoutLE1 ........................X...XX.......... 3 +nADoutLE0 .X.....................X................ 2 iobm/ES<2> ......XXXXX.XX.......................... 7 -nADoutLE1 .........................X...XX......... 3 -nADoutLE0 .X......................X............... 2 -iobm/IOACT X.XXXX.....X....XXXXXX.................. 12 -nDinLE .................XX..................... 2 -iobm/IOBERR X.XXXX.....X...X.XXXXX..........X....... 13 +nDinLE ................XX...................... 2 +iobm/IOACT X.XXXX.....X...XXXXXX................... 12 0----+----1----+----2----+----3----+----4 0 0 0 0 *********************************** FB7 *********************************** @@ -661,57 +656,59 @@ cnt/LTimer<10> .............XX..XXXXXXXXXXX............ 13 0----+----1----+----2----+----3----+----4 0 0 0 0 *********************************** FB8 *********************************** -Number of function block inputs used/remaining: 34/20 -Number of signals used by logic mapping into function block: 34 +Number of function block inputs used/remaining: 33/21 +Number of signals used by logic mapping into function block: 33 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use -cnt/PORS_FSM_FFd1 1 0 /\2 2 FB8_1 (b) (b) -RA<11> 1 0 \/4 0 FB8_2 63 I/O O -ram/RS_FSM_FFd3 9 4<- 0 0 FB8_3 (b) (b) -(unused) 0 0 0 5 FB8_4 (b) -nRAS 3 0 \/1 1 FB8_5 64 I/O O -nRAMLWE 1 1<- \/5 0 FB8_6 65 I/O O -iobs/PS_FSM_FFd2 14 9<- 0 0 FB8_7 (b) (b) -nRAMUWE 1 0 /\4 0 FB8_8 66 I/O O -(unused) 0 0 \/4 1 FB8_9 67 I/O (b) -iobs/Load1 14 9<- 0 0 FB8_10 (b) (b) -(unused) 0 0 /\5 0 FB8_11 68 I/O (b) -nBERR_FSB 4 0 \/1 0 FB8_12 70 I/O O +iobs/Once 15 10<- 0 0 FB8_1 (b) (b) +RA<11> 1 1<- /\5 0 FB8_2 63 I/O O +iobs/Clear1 1 0 /\1 3 FB8_3 (b) (b) +iobs/ALE0 1 0 0 4 FB8_4 (b) (b) +nRAS 3 0 0 2 FB8_5 64 I/O O +nRAMLWE 1 0 0 4 FB8_6 65 I/O O +iobs/PS_FSM_FFd1 2 0 \/1 2 FB8_7 (b) (b) +nRAMUWE 1 1<- \/5 0 FB8_8 66 I/O O +ram/RS_FSM_FFd1 8 5<- \/2 0 FB8_9 67 I/O (b) +(unused) 0 0 \/5 0 FB8_10 (b) (b) +ram/RS_FSM_FFd3 9 7<- \/3 0 FB8_11 68 I/O (b) +nBERR_FSB 4 3<- \/4 0 FB8_12 70 I/O O (unused) 0 0 \/5 0 FB8_13 (b) (b) -iobs/IOREQ 14 9<- 0 0 FB8_14 71 I/O (b) -nBR_IOB 2 0 /\3 0 FB8_15 72 I/O O -(unused) 0 0 \/5 0 FB8_16 (b) (b) -iobs/Once 17 12<- 0 0 FB8_17 73 I/O I -(unused) 0 0 /\5 0 FB8_18 (b) (b) +iobs/PS_FSM_FFd2 12 9<- \/2 0 FB8_14 71 I/O (b) +nBR_IOB 2 2<- \/5 0 FB8_15 72 I/O O +iobs/Load1 14 9<- 0 0 FB8_16 (b) (b) +ram/BACTr 1 0 /\4 0 FB8_17 73 I/O (b) +(unused) 0 0 \/5 0 FB8_18 (b) (b) Signals Used by Logic in Function Block - 1: A_FSB<13> 13: cnt/PORS_FSM_FFd1 24: nBERR_FSB - 2: A_FSB<14> 14: cnt/PORS_FSM_FFd2 25: nBR_IOB - 3: A_FSB<16> 15: cs/nOverlay1 26: nLDS_FSB - 4: A_FSB<17> 16: fsb/ASrf 27: nUDS_FSB - 5: A_FSB<18> 17: iobm/IOBERR 28: nWE_FSB - 6: A_FSB<19> 18: iobs/IOACTr 29: ram/RAMEN - 7: A_FSB<20> 19: iobs/Once 30: ram/RS_FSM_FFd1 - 8: A_FSB<21> 20: iobs/PS_FSM_FFd1 31: ram/RS_FSM_FFd2 - 9: A_FSB<22> 21: iobs/PS_FSM_FFd2 32: ram/RS_FSM_FFd3 - 10: A_FSB<23> 22: nADoutLE1 33: ram/RefRAS - 11: cnt/IPL2r 23: nAS_FSB 34: ram/RefUrgent - 12: cnt/LTimer<13> + 1: A_FSB<13> 12: cnt/PORS_FSM_FFd1 23: nBERR_FSB + 2: A_FSB<14> 13: cnt/PORS_FSM_FFd2 24: nBR_IOB + 3: A_FSB<16> 14: cs/nOverlay1 25: nLDS_FSB + 4: A_FSB<17> 15: fsb/ASrf 26: nUDS_FSB + 5: A_FSB<18> 16: iobm/IOBERR 27: nWE_FSB + 6: A_FSB<19> 17: iobs/IOACTr 28: ram/RAMEN + 7: A_FSB<20> 18: iobs/Once 29: ram/RS_FSM_FFd1 + 8: A_FSB<21> 19: iobs/PS_FSM_FFd1 30: ram/RS_FSM_FFd2 + 9: A_FSB<22> 20: iobs/PS_FSM_FFd2 31: ram/RS_FSM_FFd3 + 10: A_FSB<23> 21: nADoutLE1 32: ram/RefRAS + 11: cnt/IPL2r 22: nAS_FSB 33: ram/RefUrgent Signal 1 2 3 4 FB Name 0----+----0----+----0----+----0----+----0 Inputs -cnt/PORS_FSM_FFd1 ..........XXXX.......................... 4 +iobs/Once XXXXXXXXXX...XX..XXXXX....X............. 18 RA<11> .....X.................................. 1 -ram/RS_FSM_FFd3 .......XXX....XX......X.....XXXX.X...... 11 -nRAS .......XXX....X.......X.....X...X....... 7 -nRAMLWE ......................X..X.XX........... 4 -iobs/PS_FSM_FFd2 XXXXXXXXXX....XX.XXXXXX....X............ 19 -nRAMUWE ......................X...XXX........... 4 -iobs/Load1 XXXXXXXXXX....XX..XXXXX....X............ 18 -nBERR_FSB ...............XXXX.XXXX................ 8 -iobs/IOREQ XXXXXXXXXX....XX.XXXXXX....X............ 19 -nBR_IOB ..........X.XX..........X............... 4 -iobs/Once XXXXXXXXXX....XX..XXXXX....X............ 18 +iobs/Clear1 ..................XXX................... 3 +iobs/ALE0 ..................XX.................... 2 +nRAS .......XXX...X.......X.....X...X........ 7 +nRAMLWE .....................X..X.XX............ 4 +iobs/PS_FSM_FFd1 ................X.XX.................... 3 +nRAMUWE .....................X...XXX............ 4 +ram/RS_FSM_FFd1 .......XXX...XX......X.....XXXX.X....... 11 +ram/RS_FSM_FFd3 .......XXX...XX......X.....XXXX.X....... 11 +nBERR_FSB ..............XXXX.XXXX................. 8 +iobs/PS_FSM_FFd2 XXXXXXXXXX...XX.XXXXXX....X............. 19 +nBR_IOB ..........XXX..........X................ 4 +iobs/Load1 XXXXXXXXXX...XX..XXXXX....X............. 18 +ram/BACTr ..............X......X.................. 2 0----+----1----+----2----+----3----+----4 0 0 0 0 ******************************* Equations ******************************** @@ -752,6 +749,8 @@ assign C25MEN = 1'b1; + + @@ -979,65 +978,57 @@ assign fsb/Ready0r_D = ((nAS_FSB && !fsb/ASrf) !cs/nOverlay1 && !fsb/Ready0r && !ram/RAMReady)); FDCPE FDCPE_fsb/Ready1r (fsb/Ready1r,fsb/Ready1r_D,FCLK,1'b0,1'b0); -assign fsb/Ready1r_D = ((A_FSB[13] && A_FSB[22] && A_FSB[20] && A_FSB[19] && - A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && !cs/nOverlay1 && - !fsb/Ready1r && !iobs/IOReady) - || (A_FSB[14] && !A_FSB[22] && A_FSB[21] && A_FSB[20] && - A_FSB[19] && A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && - cs/nOverlay1 && !fsb/Ready1r && !iobs/IOReady && !nADoutLE1) - || (A_FSB[13] && !A_FSB[22] && A_FSB[21] && A_FSB[20] && - A_FSB[19] && A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && - cs/nOverlay1 && !fsb/Ready1r && !iobs/IOReady && !nADoutLE1) +assign fsb/Ready1r_D = ((A_FSB[14] && A_FSB[21] && A_FSB[20] && A_FSB[19] && + A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && cs/nOverlay1 && + !fsb/Ready1r && !iobs/IOReady && !nADoutLE1) + || (A_FSB[13] && A_FSB[21] && A_FSB[20] && A_FSB[19] && + A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && cs/nOverlay1 && + !fsb/Ready1r && !iobs/IOReady && !nADoutLE1) || (nAS_FSB && !fsb/ASrf) || (A_FSB[23] && !fsb/Ready1r && !iobs/IOReady) - || (A_FSB[22] && !A_FSB[21] && A_FSB[20] && !fsb/Ready1r && + || (A_FSB[22] && A_FSB[21] && !fsb/Ready1r && !iobs/IOReady) - || (A_FSB[14] && A_FSB[22] && A_FSB[20] && A_FSB[19] && - A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && !cs/nOverlay1 && - !fsb/Ready1r && !iobs/IOReady)); + || (A_FSB[22] && A_FSB[20] && !fsb/Ready1r && + !iobs/IOReady)); FDCPE FDCPE_fsb/VPA (fsb/VPA,fsb/VPA_D,FCLK,1'b0,1'b0); -assign fsb/VPA_D = ((EXP21_.EXP) +assign fsb/VPA_D = ((EXP15_.EXP) + || (A_FSB[14] && A_FSB[21] && A_FSB[20] && A_FSB[19] && + A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && cs/nOverlay1 && + !fsb/Ready1r && fsb/VPA && !iobs/IOReady && !nAS_FSB && !nADoutLE1) + || (A_FSB[14] && A_FSB[21] && A_FSB[20] && A_FSB[19] && + A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && cs/nOverlay1 && + !fsb/Ready1r && fsb/VPA && !iobs/IOReady && fsb/ASrf && !nADoutLE1) + || (A_FSB[13] && A_FSB[21] && A_FSB[20] && A_FSB[19] && + A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && cs/nOverlay1 && + !fsb/Ready1r && fsb/VPA && !iobs/IOReady && !nAS_FSB && !nADoutLE1) + || (A_FSB[13] && A_FSB[21] && A_FSB[20] && A_FSB[19] && + A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && cs/nOverlay1 && + !fsb/Ready1r && fsb/VPA && !iobs/IOReady && fsb/ASrf && !nADoutLE1) + || (A_FSB[9] && A_FSB[8] && A_FSB[15] && A_FSB[14] && + A_FSB[13] && A_FSB[12] && A_FSB[11] && A_FSB[10] && A_FSB[23] && + A_FSB[22] && A_FSB[21] && A_FSB[20] && A_FSB[19] && A_FSB[18] && + A_FSB[17] && A_FSB[16] && iobs/IOReady && !nAS_FSB) + || (A_FSB[22] && A_FSB[20] && !fsb/Ready1r && fsb/VPA && + !iobs/IOReady && fsb/ASrf) + || (!A_FSB[23] && !A_FSB[22] && cs/nOverlay1 && + !fsb/Ready0r && fsb/VPA && !nAS_FSB && !ram/RAMReady) || (!A_FSB[23] && !A_FSB[22] && cs/nOverlay1 && !fsb/Ready0r && fsb/VPA && fsb/ASrf && !ram/RAMReady) || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && !cs/nOverlay1 && !fsb/Ready0r && fsb/VPA && !nAS_FSB && !ram/RAMReady) || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && !cs/nOverlay1 && !fsb/Ready0r && fsb/VPA && fsb/ASrf && !ram/RAMReady) - || (A_FSB[14] && A_FSB[22] && A_FSB[20] && A_FSB[19] && - A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && !cs/nOverlay1 && - !fsb/Ready1r && fsb/VPA && !iobs/IOReady && !nAS_FSB) - || (A_FSB[13] && A_FSB[22] && A_FSB[20] && A_FSB[19] && - A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && !cs/nOverlay1 && - !fsb/Ready1r && fsb/VPA && !iobs/IOReady && !nAS_FSB) - || (A_FSB[14] && A_FSB[22] && A_FSB[20] && A_FSB[19] && - A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && !cs/nOverlay1 && - !fsb/Ready1r && fsb/VPA && !iobs/IOReady && fsb/ASrf) - || (A_FSB[13] && A_FSB[22] && A_FSB[20] && A_FSB[19] && - A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && !cs/nOverlay1 && - !fsb/Ready1r && fsb/VPA && !iobs/IOReady && fsb/ASrf) - || (A_FSB[14] && !A_FSB[22] && A_FSB[21] && A_FSB[20] && - A_FSB[19] && A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && - cs/nOverlay1 && !fsb/Ready1r && fsb/VPA && !iobs/IOReady && !nAS_FSB && - !nADoutLE1) - || (A_FSB[13] && !A_FSB[22] && A_FSB[21] && A_FSB[20] && - A_FSB[19] && A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && - cs/nOverlay1 && !fsb/Ready1r && fsb/VPA && !iobs/IOReady && !nAS_FSB && - !nADoutLE1) - || (A_FSB[13] && !A_FSB[22] && A_FSB[21] && A_FSB[20] && - A_FSB[19] && A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && - cs/nOverlay1 && !fsb/Ready1r && fsb/VPA && !iobs/IOReady && fsb/ASrf && - !nADoutLE1) || (A_FSB[23] && !fsb/Ready1r && fsb/VPA && !iobs/IOReady && !nAS_FSB) || (A_FSB[23] && !fsb/Ready1r && fsb/VPA && !iobs/IOReady && fsb/ASrf) - || (!A_FSB[23] && !A_FSB[22] && cs/nOverlay1 && - !fsb/Ready0r && fsb/VPA && !nAS_FSB && !ram/RAMReady) - || (A_FSB[22] && !A_FSB[21] && A_FSB[20] && !fsb/Ready1r && - fsb/VPA && !iobs/IOReady && !nAS_FSB) - || (A_FSB[22] && !A_FSB[21] && A_FSB[20] && !fsb/Ready1r && - fsb/VPA && !iobs/IOReady && fsb/ASrf)); + || (A_FSB[22] && A_FSB[21] && !fsb/Ready1r && fsb/VPA && + !iobs/IOReady && !nAS_FSB) + || (A_FSB[22] && A_FSB[21] && !fsb/Ready1r && fsb/VPA && + !iobs/IOReady && fsb/ASrf) + || (A_FSB[22] && A_FSB[20] && !fsb/Ready1r && fsb/VPA && + !iobs/IOReady && !nAS_FSB)); FDCPE FDCPE_iobm/ALE0 (iobm/ALE0,iobm/ALE0_D,C16M,1'b0,1'b0); assign iobm/ALE0_D = ((iobm/IOS_FSM_FFd1 && !iobm/IOS_FSM_FFd2) @@ -1048,8 +1039,6 @@ FDCPE FDCPE_iobm/BERRrf (iobm/BERRrf,!nBERR_IOB,!C16M,1'b0,1'b0); FDCPE FDCPE_iobm/BERRrr (iobm/BERRrr,!nBERR_IOB,C16M,1'b0,1'b0); -FDCPE FDCPE_iobm/BG (iobm/BG,!nBG_IOB,C16M,1'b0,1'b0,iobm/nASr); - FDCPE FDCPE_iobm/DTACKrf (iobm/DTACKrf,!nDTACK_IOB,!C16M,1'b0,1'b0); FDCPE FDCPE_iobm/DTACKrr (iobm/DTACKrr,!nDTACK_IOB,C16M,1'b0,1'b0); @@ -1113,10 +1102,7 @@ assign iobm/IOACT_D = ((C8M && iobm/IOS_FSM_FFd3 && iobm/IOS_FSM_FFd1 && iobm/DTACKrf && iobm/DTACKrr)); FTCPE FTCPE_iobm/IOBERR (iobm/IOBERR,iobm/IOBERR_T,C16M,1'b0,1'b0); -assign iobm/IOBERR_T = ((C8M && nBERR_IOB && iobm/IOS_FSM_FFd3 && - iobm/IOS_FSM_FFd1 && iobm/IOS_FSM_FFd2 && iobm/IOBERR && iobm/RESrf && - iobm/RESrr) - || (C8M && !nBERR_IOB && iobm/IOS_FSM_FFd3 && +assign iobm/IOBERR_T = ((C8M && !nBERR_IOB && iobm/IOS_FSM_FFd3 && iobm/IOS_FSM_FFd1 && iobm/IOS_FSM_FFd2 && !iobm/IOBERR && iobm/BERRrf && iobm/BERRrr) || (C8M && !nBERR_IOB && iobm/IOS_FSM_FFd3 && @@ -1125,6 +1111,9 @@ assign iobm/IOBERR_T = ((C8M && nBERR_IOB && iobm/IOS_FSM_FFd3 && || (C8M && !nBERR_IOB && iobm/IOS_FSM_FFd3 && iobm/IOS_FSM_FFd1 && iobm/IOS_FSM_FFd2 && !iobm/IOBERR && iobm/RESrf && iobm/RESrr) + || (C8M && nBERR_IOB && iobm/IOS_FSM_FFd3 && + iobm/IOS_FSM_FFd1 && iobm/IOS_FSM_FFd2 && iobm/IOBERR && iobm/RESrf && + iobm/RESrr) || (iobm/IOS_FSM_FFd3 && !iobm/IOS_FSM_FFd1 && !iobm/IOS_FSM_FFd2 && iobm/IOBERR) || (C8M && nBERR_IOB && iobm/IOS_FSM_FFd3 && @@ -1160,8 +1149,8 @@ FDCPE FDCPE_iobm/IOS_FSM_FFd3 (iobm/IOS_FSM_FFd3,iobm/IOS_FSM_FFd3_D,C16M,1'b0,1 assign iobm/IOS_FSM_FFd3_D = ((iobm/IOS_FSM_FFd1 && iobm/IOS_FSM_FFd2) || (iobm/IOS_FSM_FFd3 && !iobm/IOS_FSM_FFd1 && !iobm/IOS_FSM_FFd2) - || (!C8M && iobm/BG && !iobm/IOS_FSM_FFd1 && - !iobm/IOS_FSM_FFd2 && iobm/IOREQr)); + || (!C8M && !iobm/IOS_FSM_FFd1 && !iobm/IOS_FSM_FFd2 && + iobm/IOREQr && !nAoutOE)); FDCPE FDCPE_iobm/RESrf (iobm/RESrf,!nRES.PIN,!C16M,1'b0,1'b0); @@ -1171,9 +1160,6 @@ FDCPE FDCPE_iobm/VPArf (iobm/VPArf,!nVPA_IOB,!C16M,1'b0,1'b0); FDCPE FDCPE_iobm/VPArr (iobm/VPArr,!nVPA_IOB,C16M,1'b0,1'b0); -FDCPE FDCPE_iobm/nASr (iobm/nASr,iobm/nASr_D,C16M,1'b0,1'b0); -assign iobm/nASr_D = (!nAS_IOB && nAoutOE); - FDCPE FDCPE_iobs/ALE0 (iobs/ALE0,iobs/ALE0_D,FCLK,1'b0,1'b0); assign iobs/ALE0_D = (iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1); @@ -1190,105 +1176,79 @@ assign iobs/IOL0_CE = (iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1); FDCPE FDCPE_iobs/IOL1 (iobs/IOL1,!nLDS_FSB,FCLK,1'b0,1'b0,iobs/Load1); FDCPE FDCPE_iobs/IOREQ (iobs/IOREQ,iobs/IOREQ_D,FCLK,1'b0,1'b0); -assign iobs/IOREQ_D = ((iobs/nBERR_FSB.EXP) - || (!A_FSB[23] && !A_FSB[22] && !A_FSB[21] && - !iobs/PS_FSM_FFd2 && nADoutLE1) - || (!A_FSB[23] && !A_FSB[22] && !cs/nOverlay1 && - !iobs/PS_FSM_FFd2 && nADoutLE1) - || (!A_FSB[23] && A_FSB[21] && !A_FSB[19] && - !iobs/PS_FSM_FFd2 && nADoutLE1) - || (!A_FSB[23] && A_FSB[21] && !A_FSB[16] && - !iobs/PS_FSM_FFd2 && nADoutLE1) - || (!A_FSB[23] && A_FSB[21] && nWE_FSB && - !iobs/PS_FSM_FFd2 && nADoutLE1) - || (!A_FSB[23] && A_FSB[21] && !A_FSB[18] && - !iobs/PS_FSM_FFd2 && nADoutLE1) - || (!A_FSB[23] && A_FSB[21] && !A_FSB[17] && - !iobs/PS_FSM_FFd2 && nADoutLE1) - || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && - cs/nOverlay1 && !iobs/PS_FSM_FFd2 && nADoutLE1) - || (!iobs/PS_FSM_FFd2 && iobs/PS_FSM_FFd1) - || (iobs/PS_FSM_FFd1 && iobs/IOACTr) - || (iobs/Once && !iobs/PS_FSM_FFd2 && nADoutLE1) - || (!A_FSB[23] && !A_FSB[20] && !iobs/PS_FSM_FFd2 && - nADoutLE1) - || (nAS_FSB && !iobs/PS_FSM_FFd2 && !fsb/ASrf && - nADoutLE1)); +assign iobs/IOREQ_D = ((EXP16_.EXP) + || (A_FSB[23] && !iobs/Once && !nAS_FSB && + !iobs/PS_FSM_FFd1) + || (A_FSB[23] && !iobs/Once && !iobs/PS_FSM_FFd1 && + fsb/ASrf) + || (A_FSB[22] && A_FSB[21] && !iobs/Once && !nAS_FSB && + !iobs/PS_FSM_FFd1) + || (A_FSB[22] && A_FSB[21] && !iobs/Once && + !iobs/PS_FSM_FFd1 && fsb/ASrf) + || (A_FSB[22] && A_FSB[20] && !iobs/Once && !nAS_FSB && + !iobs/PS_FSM_FFd1) + || (iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1) + || (iobs/PS_FSM_FFd2 && !iobs/IOACTr) + || (!iobs/PS_FSM_FFd1 && !nADoutLE1)); -FTCPE FTCPE_iobs/IORW0 (iobs/IORW0,iobs/IORW0_T,FCLK,1'b0,1'b0); -assign iobs/IORW0_T = ((A_FSB[23] && nWE_FSB && !iobs/Once && !iobs/IORW0 && - !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && fsb/ASrf && nADoutLE1) - || (A_FSB[22] && !A_FSB[21] && A_FSB[20] && nWE_FSB && - !iobs/Once && !iobs/IORW0 && !nAS_FSB && !iobs/PS_FSM_FFd2 && - !iobs/PS_FSM_FFd1 && nADoutLE1) - || (A_FSB[22] && !A_FSB[21] && A_FSB[20] && nWE_FSB && - !iobs/Once && !iobs/IORW0 && !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && - fsb/ASrf && nADoutLE1) - || (A_FSB[22] && !A_FSB[21] && A_FSB[20] && !nWE_FSB && - !iobs/Once && iobs/IORW0 && !nAS_FSB && !iobs/PS_FSM_FFd2 && - !iobs/PS_FSM_FFd1 && nADoutLE1) - || (A_FSB[22] && !A_FSB[21] && A_FSB[20] && !nWE_FSB && - !iobs/Once && iobs/IORW0 && !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && - fsb/ASrf && nADoutLE1) - || (nROMWE_OBUF.EXP) - || (A_FSB[14] && A_FSB[22] && A_FSB[20] && A_FSB[19] && - A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && !cs/nOverlay1 && - !iobs/Once && iobs/IORW0 && !nAS_FSB && !iobs/PS_FSM_FFd2 && - !iobs/PS_FSM_FFd1 && nADoutLE1) - || (A_FSB[14] && A_FSB[22] && A_FSB[20] && A_FSB[19] && - A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && !cs/nOverlay1 && - !iobs/Once && iobs/IORW0 && !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && - fsb/ASrf && nADoutLE1) - || (A_FSB[13] && A_FSB[22] && A_FSB[20] && A_FSB[19] && - A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && !cs/nOverlay1 && - !iobs/Once && iobs/IORW0 && !nAS_FSB && !iobs/PS_FSM_FFd2 && - !iobs/PS_FSM_FFd1 && nADoutLE1) - || (A_FSB[13] && A_FSB[22] && A_FSB[20] && A_FSB[19] && - A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && !cs/nOverlay1 && - !iobs/Once && iobs/IORW0 && !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && - fsb/ASrf && nADoutLE1) - || (A_FSB[14] && !A_FSB[22] && A_FSB[21] && A_FSB[20] && - A_FSB[19] && A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && - cs/nOverlay1 && !iobs/Once && iobs/IORW0 && !nAS_FSB && +FDCPE FDCPE_iobs/IORW0 (iobs/IORW0,iobs/IORW0_D,FCLK,1'b0,1'b0); +assign iobs/IORW0_D = ((!iobs/IORW1 && !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && + !nADoutLE1) + || (!A_FSB[23] && !A_FSB[21] && !A_FSB[20] && !iobs/IORW0 && + nADoutLE1) + || (A_FSB[23] && !nWE_FSB && !iobs/Once && !nAS_FSB && !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && nADoutLE1) - || (iobs/IORW0 && !iobs/IORW1 && !iobs/PS_FSM_FFd2 && - !iobs/PS_FSM_FFd1 && !nADoutLE1) - || (!iobs/IORW0 && iobs/IORW1 && !iobs/PS_FSM_FFd2 && - !iobs/PS_FSM_FFd1 && !nADoutLE1) - || (A_FSB[23] && nWE_FSB && !iobs/Once && !iobs/IORW0 && + || (A_FSB[23] && !nWE_FSB && !iobs/Once && + !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && fsb/ASrf && nADoutLE1) + || (A_FSB[22] && A_FSB[21] && !nWE_FSB && !iobs/Once && !nAS_FSB && !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && nADoutLE1) - || (A_FSB[23] && !nWE_FSB && !iobs/Once && iobs/IORW0 && + || (nROMWE_OBUF.EXP) + || (A_FSB[22] && A_FSB[21] && !nWE_FSB && !iobs/Once && + !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && fsb/ASrf && nADoutLE1) + || (A_FSB[22] && A_FSB[20] && !nWE_FSB && !iobs/Once && !nAS_FSB && !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && nADoutLE1) - || (A_FSB[23] && !nWE_FSB && !iobs/Once && iobs/IORW0 && - !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && fsb/ASrf && nADoutLE1)); + || (A_FSB[22] && A_FSB[20] && !nWE_FSB && !iobs/Once && + !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && fsb/ASrf && nADoutLE1) + || (A_FSB[14] && A_FSB[21] && A_FSB[20] && A_FSB[19] && + A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && !iobs/Once && + cs/nOverlay1 && !nAS_FSB && !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && + nADoutLE1) + || (A_FSB[13] && A_FSB[21] && A_FSB[20] && A_FSB[19] && + A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && !iobs/Once && + cs/nOverlay1 && !nAS_FSB && !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && + nADoutLE1) + || (!iobs/IORW0 && iobs/PS_FSM_FFd2) + || (!iobs/IORW0 && iobs/PS_FSM_FFd1) + || (iobs/Once && !iobs/IORW0 && nADoutLE1) + || (!A_FSB[23] && !A_FSB[22] && !iobs/IORW0 && nADoutLE1) + || (!iobs/IORW0 && nAS_FSB && !fsb/ASrf && nADoutLE1)); FTCPE FTCPE_iobs/IORW1 (iobs/IORW1,iobs/IORW1_T,FCLK,1'b0,1'b0); assign iobs/IORW1_T = ((iobs/Once) || (!nADoutLE1) || (fsb/Ready1r.EXP) - || (!nWE_FSB && !iobs/IORW1) || (nAS_FSB && !fsb/ASrf) - || (!iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1) || (!A_FSB[23] && !A_FSB[22] && !A_FSB[21]) + || (!A_FSB[23] && !A_FSB[22] && !A_FSB[19]) + || (!A_FSB[23] && !A_FSB[22] && nWE_FSB) || (!A_FSB[23] && !A_FSB[22] && !cs/nOverlay1) - || (!A_FSB[23] && A_FSB[21] && !A_FSB[19]) - || (!A_FSB[23] && A_FSB[21] && !A_FSB[18]) - || (!A_FSB[23] && A_FSB[21] && !A_FSB[17]) - || (!A_FSB[23] && A_FSB[21] && !A_FSB[16]) - || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && - cs/nOverlay1) - || (!A_FSB[23] && !A_FSB[20]) + || (!A_FSB[23] && !A_FSB[22] && !A_FSB[20]) + || (!A_FSB[23] && !A_FSB[22] && !A_FSB[18]) + || (!A_FSB[23] && !A_FSB[22] && !A_FSB[17]) + || (!A_FSB[23] && !A_FSB[22] && !A_FSB[16]) + || (!A_FSB[23] && !A_FSB[21] && !A_FSB[20]) || (nWE_FSB && iobs/IORW1) - || (!A_FSB[23] && A_FSB[21] && !iobs/IORW1)); + || (!nWE_FSB && !iobs/IORW1) + || (!iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1)); FTCPE FTCPE_iobs/IOReady (iobs/IOReady,iobs/IOReady_T,FCLK,1'b0,1'b0); -assign iobs/IOReady_T = ((iobs/Once && iobs/IOReady && !iobs/PS_FSM_FFd2 && +assign iobs/IOReady_T = ((iobs/IOReady && nAS_FSB && !fsb/ASrf) + || (iobs/Once && iobs/IOReady && !iobs/PS_FSM_FFd2 && !iobs/IOACTr && iobm/IOBERR && nADoutLE1) || (iobs/Once && !iobs/IOReady && !nAS_FSB && !iobs/PS_FSM_FFd2 && !iobs/IOACTr && !iobm/IOBERR && nADoutLE1) || (iobs/Once && !iobs/IOReady && !iobs/PS_FSM_FFd2 && - !iobs/IOACTr && !iobm/IOBERR && fsb/ASrf && nADoutLE1) - || (iobs/IOReady && nAS_FSB && !fsb/ASrf)); + !iobs/IOACTr && !iobm/IOBERR && fsb/ASrf && nADoutLE1)); FDCPE FDCPE_iobs/IOU0 (iobs/IOU0,iobs/IOU0_D,FCLK,1'b0,1'b0,iobs/IOU0_CE); assign iobs/IOU0_D = ((!nUDS_FSB && nADoutLE1) @@ -1301,71 +1261,77 @@ FDCPE FDCPE_iobs/Load1 (iobs/Load1,iobs/Load1_D,FCLK,1'b0,1'b0); assign iobs/Load1_D = ((iobs/Once) || (!nADoutLE1) || (!A_FSB[23] && !A_FSB[22] && !A_FSB[21]) + || (!A_FSB[23] && !A_FSB[22] && !A_FSB[19]) + || (!A_FSB[23] && !A_FSB[22] && !A_FSB[17]) + || (!A_FSB[23] && !A_FSB[22] && !A_FSB[16]) || (!A_FSB[23] && !A_FSB[22] && !cs/nOverlay1) - || (!A_FSB[23] && A_FSB[21] && !A_FSB[19]) - || (!A_FSB[23] && A_FSB[21] && nWE_FSB) - || (!A_FSB[23] && A_FSB[21] && !A_FSB[18]) - || (!A_FSB[23] && A_FSB[21] && !A_FSB[17]) - || (!A_FSB[23] && A_FSB[21] && !A_FSB[16]) - || (!A_FSB[14] && !A_FSB[13] && !A_FSB[23] && A_FSB[21]) - || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && - cs/nOverlay1) - || (!A_FSB[23] && !A_FSB[20]) + || (!A_FSB[23] && !A_FSB[22] && !A_FSB[20]) + || (!A_FSB[23] && !A_FSB[22] && !A_FSB[18]) + || (!A_FSB[23] && !A_FSB[21] && !A_FSB[20]) + || (!A_FSB[14] && !A_FSB[13] && !A_FSB[23] && !A_FSB[22]) || (nAS_FSB && !fsb/ASrf) - || (!iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1)); + || (!iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1) + || (!A_FSB[23] && !A_FSB[22] && nWE_FSB)); -FDCPE FDCPE_iobs/Once (iobs/Once,iobs/Once_D,FCLK,1'b0,1'b0); -assign iobs/Once_D = ((A_FSB[23] && !iobs/Once && iobs/PS_FSM_FFd1) - || (!iobs/Once && iobs/PS_FSM_FFd2 && !nADoutLE1) - || (!iobs/Once && iobs/PS_FSM_FFd1 && !nADoutLE1) - || (!A_FSB[23] && !A_FSB[22] && !A_FSB[21] && !iobs/Once) - || (!A_FSB[23] && !A_FSB[22] && !cs/nOverlay1 && - !iobs/Once) - || (cnt/PORS_FSM_FFd1.EXP) - || (!A_FSB[23] && A_FSB[21] && !A_FSB[19] && !iobs/Once) - || (!A_FSB[23] && A_FSB[21] && !A_FSB[18] && !iobs/Once) - || (!A_FSB[23] && A_FSB[21] && !A_FSB[17] && !iobs/Once) - || (!A_FSB[23] && A_FSB[21] && !A_FSB[16] && !iobs/Once) - || (!A_FSB[23] && A_FSB[21] && nWE_FSB && !iobs/Once) - || (nAS_FSB && !fsb/ASrf) - || (A_FSB[23] && !iobs/Once && iobs/PS_FSM_FFd2) - || (!A_FSB[23] && !A_FSB[20] && !iobs/Once) - || (A_FSB[22] && !iobs/Once && iobs/PS_FSM_FFd2) - || (A_FSB[22] && !iobs/Once && iobs/PS_FSM_FFd1)); +FTCPE FTCPE_iobs/Once (iobs/Once,iobs/Once_T,FCLK,1'b0,1'b0); +assign iobs/Once_T = ((A_FSB[13] && A_FSB[21] && A_FSB[20] && A_FSB[19] && + A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && !iobs/Once && + cs/nOverlay1 && !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && fsb/ASrf) + || (A_FSB[14] && !A_FSB[23] && !A_FSB[22] && A_FSB[21] && + A_FSB[20] && A_FSB[19] && A_FSB[18] && A_FSB[17] && A_FSB[16] && + !nWE_FSB && !iobs/Once && cs/nOverlay1 && !nAS_FSB && nADoutLE1) + || (A_FSB[14] && !A_FSB[23] && !A_FSB[22] && A_FSB[21] && + A_FSB[20] && A_FSB[19] && A_FSB[18] && A_FSB[17] && A_FSB[16] && + !nWE_FSB && !iobs/Once && cs/nOverlay1 && fsb/ASrf && nADoutLE1) + || (A_FSB[13] && !A_FSB[23] && !A_FSB[22] && A_FSB[21] && + A_FSB[20] && A_FSB[19] && A_FSB[18] && A_FSB[17] && A_FSB[16] && + !nWE_FSB && !iobs/Once && cs/nOverlay1 && !nAS_FSB && nADoutLE1) + || (A_FSB[13] && !A_FSB[23] && !A_FSB[22] && A_FSB[21] && + A_FSB[20] && A_FSB[19] && A_FSB[18] && A_FSB[17] && A_FSB[16] && + !nWE_FSB && !iobs/Once && cs/nOverlay1 && fsb/ASrf && nADoutLE1) + || (A_FSB[22] && A_FSB[21] && !iobs/Once && + !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && fsb/ASrf) + || (A_FSB[22] && A_FSB[20] && !iobs/Once && + !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && fsb/ASrf) + || (A_FSB[14] && A_FSB[21] && A_FSB[20] && A_FSB[19] && + A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && !iobs/Once && + cs/nOverlay1 && !nAS_FSB && !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1) + || (A_FSB[14] && A_FSB[21] && A_FSB[20] && A_FSB[19] && + A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && !iobs/Once && + cs/nOverlay1 && !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && fsb/ASrf) + || (A_FSB[13] && A_FSB[21] && A_FSB[20] && A_FSB[19] && + A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && !iobs/Once && + cs/nOverlay1 && !nAS_FSB && !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1) + || (iobs/Once && nAS_FSB && !fsb/ASrf) + || (A_FSB[23] && !iobs/Once && !nAS_FSB && + !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1) + || (A_FSB[23] && !iobs/Once && !iobs/PS_FSM_FFd2 && + !iobs/PS_FSM_FFd1 && fsb/ASrf) + || (A_FSB[22] && A_FSB[21] && !iobs/Once && !nAS_FSB && + !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1) + || (A_FSB[22] && A_FSB[20] && !iobs/Once && !nAS_FSB && + !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1)); FDCPE FDCPE_iobs/PS_FSM_FFd1 (iobs/PS_FSM_FFd1,iobs/PS_FSM_FFd1_D,FCLK,1'b0,1'b0); assign iobs/PS_FSM_FFd1_D = ((iobs/PS_FSM_FFd2) || (iobs/PS_FSM_FFd1 && iobs/IOACTr)); -FDCPE FDCPE_iobs/PS_FSM_FFd2 (iobs/PS_FSM_FFd2,iobs/PS_FSM_FFd2_D,FCLK,1'b0,1'b0); -assign iobs/PS_FSM_FFd2_D = ((!A_FSB[23] && !A_FSB[22] && !A_FSB[21] && - !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && nADoutLE1) - || (!A_FSB[23] && !A_FSB[22] && !cs/nOverlay1 && - !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && nADoutLE1) - || (!A_FSB[23] && A_FSB[21] && !A_FSB[19] && - !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && nADoutLE1) - || (!A_FSB[23] && A_FSB[21] && !A_FSB[16] && - !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && nADoutLE1) - || (!A_FSB[23] && A_FSB[21] && nWE_FSB && - !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && nADoutLE1) - || (!A_FSB[23] && A_FSB[21] && !A_FSB[18] && - !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && nADoutLE1) - || (!A_FSB[23] && A_FSB[21] && !A_FSB[17] && - !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && nADoutLE1) - || (!A_FSB[14] && !A_FSB[13] && !A_FSB[23] && A_FSB[21] && - !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && nADoutLE1) - || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && - cs/nOverlay1 && !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && nADoutLE1) - || (iobs/PS_FSM_FFd2 && iobs/PS_FSM_FFd1 && - iobs/IOACTr) - || (!iobs/PS_FSM_FFd2 && iobs/PS_FSM_FFd1 && - !iobs/IOACTr) - || (iobs/Once && !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && - nADoutLE1) - || (!A_FSB[23] && !A_FSB[20] && !iobs/PS_FSM_FFd2 && - !iobs/PS_FSM_FFd1 && nADoutLE1) - || (nAS_FSB && !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && - !fsb/ASrf && nADoutLE1)); +FTCPE FTCPE_iobs/PS_FSM_FFd2 (iobs/PS_FSM_FFd2,iobs/PS_FSM_FFd2_T,FCLK,1'b0,1'b0); +assign iobs/PS_FSM_FFd2_T = ((iobs/nBERR_FSB.EXP) + || (A_FSB[23] && !iobs/Once && !iobs/PS_FSM_FFd2 && + !iobs/PS_FSM_FFd1 && fsb/ASrf) + || (A_FSB[22] && A_FSB[21] && !iobs/Once && !nAS_FSB && + !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1) + || (A_FSB[22] && A_FSB[21] && !iobs/Once && + !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && fsb/ASrf) + || (A_FSB[22] && A_FSB[20] && !iobs/Once && !nAS_FSB && + !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1) + || (A_FSB[22] && A_FSB[20] && !iobs/Once && + !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && fsb/ASrf) + || (iobs/PS_FSM_FFd1 && iobs/IOACTr) + || (!iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && !nADoutLE1) + || (A_FSB[23] && !iobs/Once && !nAS_FSB && + !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1)); assign nADoutLE0 = (!iobm/ALE0 && !iobs/ALE0); @@ -1378,19 +1344,19 @@ FDCPE FDCPE_nAS_IOB (nAS_IOB_I,nAS_IOB,!C16M,1'b0,1'b0); assign nAS_IOB = ((!iobm/IOS_FSM_FFd3 && !iobm/IOS_FSM_FFd2) || (iobm/IOS_FSM_FFd1 && !iobm/IOS_FSM_FFd2)); assign nAS_IOB = nAS_IOB_OE ? nAS_IOB_I : 1'bZ; -assign nAS_IOB_OE = nAoutOE; +assign nAS_IOB_OE = !nAoutOE; FDCPE FDCPE_nAoutOE (nAoutOE,nAoutOE_D,!C8M,1'b0,1'b0); assign nAoutOE_D = (!nBR_IOB && cnt/PORS_FSM_FFd1 && !cnt/PORS_FSM_FFd2); FTCPE FTCPE_nBERR_FSB (nBERR_FSB,nBERR_FSB_T,FCLK,1'b0,1'b0); -assign nBERR_FSB_T = ((nAS_FSB && !nBERR_FSB && !fsb/ASrf) - || (iobs/Once && !nBERR_FSB && !iobs/PS_FSM_FFd2 && +assign nBERR_FSB_T = ((iobs/Once && !nBERR_FSB && !iobs/PS_FSM_FFd2 && !iobs/IOACTr && !iobm/IOBERR && nADoutLE1) || (iobs/Once && !nAS_FSB && nBERR_FSB && !iobs/PS_FSM_FFd2 && !iobs/IOACTr && iobm/IOBERR && nADoutLE1) || (iobs/Once && nBERR_FSB && !iobs/PS_FSM_FFd2 && - !iobs/IOACTr && iobm/IOBERR && fsb/ASrf && nADoutLE1)); + !iobs/IOACTr && iobm/IOBERR && fsb/ASrf && nADoutLE1) + || (nAS_FSB && !nBERR_FSB && !fsb/ASrf)); FTCPE FTCPE_nBR_IOB (nBR_IOB,nBR_IOB_T,!C8M,1'b0,1'b0); assign nBR_IOB_T = ((nBR_IOB && !cnt/PORS_FSM_FFd1 && !cnt/PORS_FSM_FFd2) @@ -1400,38 +1366,35 @@ assign nBR_IOB_T = ((nBR_IOB && !cnt/PORS_FSM_FFd1 && !cnt/PORS_FSM_FFd2) FDCPE FDCPE_nCAS (nCAS,!ram/RASEL,!FCLK,1'b0,1'b0); FDCPE FDCPE_nDTACK_FSB (nDTACK_FSB,nDTACK_FSB_D,FCLK,1'b0,1'b0); -assign nDTACK_FSB_D = ((iobs/IOReady.EXP) +assign nDTACK_FSB_D = ((iobs/IOREQ.EXP) + || (nAS_FSB && !fsb/ASrf) + || (A_FSB[23] && !fsb/Ready1r && !iobs/IOReady && + nDTACK_FSB) + || (A_FSB[22] && A_FSB[21] && !fsb/Ready1r && + !iobs/IOReady && nDTACK_FSB) + || (A_FSB[22] && A_FSB[20] && !fsb/Ready1r && + !iobs/IOReady && nDTACK_FSB) || (!A_FSB[23] && !A_FSB[22] && cs/nOverlay1 && !fsb/Ready0r && nDTACK_FSB && !ram/RAMReady) - || (A_FSB[22] && !A_FSB[21] && A_FSB[20] && !fsb/Ready1r && - !iobs/IOReady && nDTACK_FSB) || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && !cs/nOverlay1 && !fsb/Ready0r && nDTACK_FSB && !ram/RAMReady) - || (A_FSB[14] && A_FSB[22] && A_FSB[20] && A_FSB[19] && - A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && !cs/nOverlay1 && - !fsb/Ready1r && !iobs/IOReady && nDTACK_FSB) - || (A_FSB[13] && A_FSB[22] && A_FSB[20] && A_FSB[19] && - A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && !cs/nOverlay1 && - !fsb/Ready1r && !iobs/IOReady && nDTACK_FSB) - || (A_FSB[14] && !A_FSB[22] && A_FSB[21] && A_FSB[20] && - A_FSB[19] && A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && - cs/nOverlay1 && !fsb/Ready1r && !iobs/IOReady && nDTACK_FSB && - !nADoutLE1) - || (A_FSB[13] && !A_FSB[22] && A_FSB[21] && A_FSB[20] && - A_FSB[19] && A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && - cs/nOverlay1 && !fsb/Ready1r && !iobs/IOReady && nDTACK_FSB && - !nADoutLE1)); + || (A_FSB[14] && A_FSB[21] && A_FSB[20] && A_FSB[19] && + A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && cs/nOverlay1 && + !fsb/Ready1r && !iobs/IOReady && nDTACK_FSB && !nADoutLE1) + || (A_FSB[13] && A_FSB[21] && A_FSB[20] && A_FSB[19] && + A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && cs/nOverlay1 && + !fsb/Ready1r && !iobs/IOReady && nDTACK_FSB && !nADoutLE1)); FDCPE FDCPE_nDinLE (nDinLE,nDinLE_D,!C16M,1'b0,1'b0); assign nDinLE_D = (iobm/IOS_FSM_FFd1 && iobm/IOS_FSM_FFd2); assign nDinOE = ((A_FSB[23] && nWE_FSB && !nAS_FSB) - || (A_FSB[22] && !A_FSB[21] && A_FSB[20] && nWE_FSB && - !nAS_FSB)); + || (A_FSB[22] && A_FSB[21] && nWE_FSB && !nAS_FSB) + || (A_FSB[22] && A_FSB[20] && nWE_FSB && !nAS_FSB)); -assign nDoutOE = !((!nAoutOE && iobm/DoutOE)); +assign nDoutOE = !((iobm/DoutOE && !nAoutOE)); FDCPE FDCPE_nLDS_IOB (nLDS_IOB_I,nLDS_IOB,!C16M,1'b0,1'b0); assign nLDS_IOB = ((iobs/IOL0 && !iobm/IOS_FSM_FFd3 && @@ -1441,7 +1404,7 @@ assign nLDS_IOB = ((iobs/IOL0 && !iobm/IOS_FSM_FFd3 && || (!iobs/IORW0 && iobs/IOL0 && iobm/IOS_FSM_FFd3 && !iobm/IOS_FSM_FFd1)); assign nLDS_IOB = nLDS_IOB_OE ? nLDS_IOB_I : 1'bZ; -assign nLDS_IOB_OE = nAoutOE; +assign nLDS_IOB_OE = !nAoutOE; assign nOE = !((nWE_FSB && !nAS_FSB)); @@ -1480,7 +1443,7 @@ assign nUDS_IOB = ((iobs/IOU0 && !iobm/IOS_FSM_FFd3 && || (!iobs/IORW0 && iobs/IOU0 && iobm/IOS_FSM_FFd3 && !iobm/IOS_FSM_FFd1)); assign nUDS_IOB = nUDS_IOB_OE ? nUDS_IOB_I : 1'bZ; -assign nUDS_IOB_OE = nAoutOE; +assign nUDS_IOB_OE = !nAoutOE; FTCPE FTCPE_nVMA_IOB (nVMA_IOB_I,nVMA_IOB_T,C16M,1'b0,1'b0); assign nVMA_IOB_T = ((!nVMA_IOB && !iobm/ES[0] && !iobm/ES[1] && !iobm/ES[2] && @@ -1489,7 +1452,7 @@ assign nVMA_IOB_T = ((!nVMA_IOB && !iobm/ES[0] && !iobm/ES[1] && !iobm/ES[2] && !iobm/ES[3] && !iobm/ES[4] && iobm/IOACT && iobm/VPArf && iobm/VPArr)); assign nVMA_IOB = nVMA_IOB_OE ? nVMA_IOB_I : 1'bZ; -assign nVMA_IOB_OE = nAoutOE; +assign nVMA_IOB_OE = !nAoutOE; assign nVPA_FSB = !((fsb/VPA && !nAS_FSB)); @@ -1564,7 +1527,11 @@ assign ram/RASEL_D = ((A_FSB[22] && !A_FSB[21] && !ram/RS_FSM_FFd2 && !ram/RS_FSM_FFd3 && !fsb/ASrf)); FDCPE FDCPE_ram/RS_FSM_FFd1 (ram/RS_FSM_FFd1,ram/RS_FSM_FFd1_D,FCLK,1'b0,1'b0); -assign ram/RS_FSM_FFd1_D = ((!A_FSB[23] && !A_FSB[22] && cs/nOverlay1 && +assign ram/RS_FSM_FFd1_D = ((ram/RS_FSM_FFd1 && ram/RefUrgent && + !ram/RS_FSM_FFd3 && fsb/ASrf) + || (!A_FSB[23] && !A_FSB[22] && cs/nOverlay1 && !nAS_FSB && + !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && ram/RefUrgent && !ram/RAMEN) + || (!A_FSB[23] && !A_FSB[22] && cs/nOverlay1 && !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && ram/RefUrgent && !ram/RAMEN && fsb/ASrf) || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && @@ -1576,11 +1543,7 @@ assign ram/RS_FSM_FFd1_D = ((!A_FSB[23] && !A_FSB[22] && cs/nOverlay1 && || (ram/RS_FSM_FFd1 && ram/RS_FSM_FFd2) || (!ram/RS_FSM_FFd1 && ram/RS_FSM_FFd3) || (!nAS_FSB && ram/RS_FSM_FFd1 && ram/RefUrgent && - !ram/RS_FSM_FFd3) - || (ram/RS_FSM_FFd1 && ram/RefUrgent && - !ram/RS_FSM_FFd3 && fsb/ASrf) - || (!A_FSB[23] && !A_FSB[22] && cs/nOverlay1 && !nAS_FSB && - !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && ram/RefUrgent && !ram/RAMEN)); + !ram/RS_FSM_FFd3)); FDCPE FDCPE_ram/RS_FSM_FFd2 (ram/RS_FSM_FFd2,ram/RS_FSM_FFd2_D,FCLK,1'b0,1'b0); assign ram/RS_FSM_FFd2_D = ((nAS_FSB && !ram/RS_FSM_FFd2 && !ram/RefUrgent && @@ -1602,16 +1565,13 @@ assign ram/RS_FSM_FFd2_D = ((nAS_FSB && !ram/RS_FSM_FFd2 && !ram/RefUrgent && !ram/RS_FSM_FFd3 && !ram/RefReq)); FTCPE FTCPE_ram/RS_FSM_FFd3 (ram/RS_FSM_FFd3,ram/RS_FSM_FFd3_T,FCLK,1'b0,1'b0); -assign ram/RS_FSM_FFd3_T = ((ram/RS_FSM_FFd1 && ram/RS_FSM_FFd2 && - !ram/RS_FSM_FFd3) - || (ram/RS_FSM_FFd1 && !ram/RefUrgent && - !ram/RS_FSM_FFd3) +assign ram/RS_FSM_FFd3_T = ((ram/RS_FSM_FFd1.EXP) + || (!ram/RS_FSM_FFd1 && ram/RS_FSM_FFd2 && + ram/RS_FSM_FFd3) || (A_FSB[23] && !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && !ram/RS_FSM_FFd3) || (nAS_FSB && !ram/RS_FSM_FFd2 && !ram/RS_FSM_FFd3 && !fsb/ASrf) - || (!ram/RS_FSM_FFd1 && ram/RS_FSM_FFd2 && - ram/RS_FSM_FFd3) || (!ram/RS_FSM_FFd2 && !ram/RefUrgent && !ram/RS_FSM_FFd3 && !ram/RAMEN) || (A_FSB[22] && !A_FSB[21] && !ram/RS_FSM_FFd1 && @@ -1706,7 +1666,7 @@ No. Name No. Name 20 A_FSB<22> 70 nBERR_FSB 21 GND 71 KPR 22 C16M 72 nBR_IOB - 23 C8M 73 nBG_IOB + 23 C8M 73 KPR 24 A_FSB<23> 74 nVMA_IOB 25 E 75 GND 26 VCC 76 nBERR_IOB diff --git a/cpld/XC95144XL/WarpSE.syr b/cpld/XC95144XL/WarpSE.syr index dfa7477..14584e0 100644 --- a/cpld/XC95144XL/WarpSE.syr +++ b/cpld/XC95144XL/WarpSE.syr @@ -238,7 +238,6 @@ Synthesizing Unit . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . - Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . @@ -247,7 +246,6 @@ Synthesizing Unit . Found 5-bit up counter for signal . Found 1-bit register for signal . Found 1-bit register for signal . - Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . @@ -255,7 +253,7 @@ Synthesizing Unit . Summary: inferred 1 Finite State Machine(s). inferred 1 Counter(s). - inferred 22 D-type flip-flop(s). + inferred 20 D-type flip-flop(s). Unit synthesized. @@ -304,6 +302,7 @@ Unit synthesized. Synthesizing Unit . Related source file is "../WarpSE.v". +WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:646 - Signal is assigned but never used. This unconnected signal will be trimmed during the optimization process. WARNING:Xst:646 - Signal is assigned but never used. This unconnected signal will be trimmed during the optimization process. Found 1-bit tristate buffer for signal . @@ -324,8 +323,8 @@ Macro Statistics 14-bit up counter : 1 4-bit up counter : 1 5-bit up counter : 1 -# Registers : 63 - 1-bit register : 63 +# Registers : 61 + 1-bit register : 61 # Tristates : 5 1-bit tristate buffer : 5 @@ -393,8 +392,8 @@ Macro Statistics 14-bit up counter : 1 4-bit up counter : 1 5-bit up counter : 1 -# Registers : 51 - Flip-Flops : 51 +# Registers : 49 + Flip-Flops : 49 ========================================================================= @@ -403,6 +402,7 @@ Macro Statistics ========================================================================= Optimizing unit ... + implementation constraint: INIT=r : cs/nOverlay0 implementation constraint: INIT=r : fsb/ASrf implementation constraint: INIT=r : iobm/IOREQr implementation constraint: INIT=r : cnt/PORS_FSM_FFd1 @@ -412,20 +412,18 @@ Optimizing unit ... implementation constraint: INIT=r : ram/RAMReady implementation constraint: INIT=r : ram/RASEL implementation constraint: INIT=r : ram/RefRAS - implementation constraint: INIT=r : cs/nOverlay0 implementation constraint: INIT=r : iobs/IOACTr implementation constraint: INIT=r : iobs/Once - implementation constraint: INIT=r : ram/RS_FSM_FFd1 - implementation constraint: INIT=r : iobm/BG - implementation constraint: INIT=r : iobm/IOS_FSM_FFd3 + implementation constraint: INIT=r : ram/RS_FSM_FFd3 implementation constraint: INIT=r : iobm/ETACK implementation constraint: INIT=r : iobm/DoutOE - implementation constraint: INIT=r : ram/RS_FSM_FFd3 - implementation constraint: INIT=r : iobm/IOS_FSM_FFd2 - implementation constraint: INIT=r : iobs/PS_FSM_FFd2 - implementation constraint: INIT=r : iobs/PS_FSM_FFd1 - implementation constraint: INIT=r : iobm/IOS_FSM_FFd1 implementation constraint: INIT=r : ram/RS_FSM_FFd2 + implementation constraint: INIT=r : iobm/IOS_FSM_FFd2 + implementation constraint: INIT=r : iobm/IOS_FSM_FFd3 + implementation constraint: INIT=r : iobs/PS_FSM_FFd2 + implementation constraint: INIT=r : iobm/IOS_FSM_FFd1 + implementation constraint: INIT=r : iobs/PS_FSM_FFd1 + implementation constraint: INIT=r : ram/RS_FSM_FFd1 implementation constraint: INIT=r : cnt/Timer_3 implementation constraint: INIT=r : cnt/Timer_2 implementation constraint: INIT=r : cnt/Timer_1 @@ -461,26 +459,24 @@ Design Statistics # IOs : 75 Cell Usage : -# BELS : 577 +# BELS : 574 # AND2 : 172 -# AND3 : 20 +# AND3 : 19 # AND4 : 15 # AND5 : 3 # AND6 : 1 # AND8 : 4 # GND : 1 -# INV : 238 +# INV : 236 # OR2 : 98 # OR3 : 4 # VCC : 1 # XOR2 : 20 -# FlipFlops/Latches : 96 -# FD : 63 -# FDCE : 33 -# Tri-States : 1 -# BUFE : 1 -# IO Buffers : 72 -# IBUF : 36 +# FlipFlops/Latches : 94 +# FD : 62 +# FDCE : 32 +# IO Buffers : 71 +# IBUF : 35 # IOBUFE : 1 # OBUF : 31 # OBUFE : 4 @@ -488,13 +484,13 @@ Cell Usage : Total REAL time to Xst completion: 15.00 secs -Total CPU time to Xst completion: 15.04 secs +Total CPU time to Xst completion: 15.07 secs --> Total memory usage is 266244 kilobytes Number of errors : 0 ( 0 filtered) -Number of warnings : 4 ( 0 filtered) +Number of warnings : 5 ( 0 filtered) Number of infos : 0 ( 0 filtered) diff --git a/cpld/XC95144XL/WarpSE.tspec b/cpld/XC95144XL/WarpSE.tspec index 0b33bd1..592ada0 100644 --- a/cpld/XC95144XL/WarpSE.tspec +++ b/cpld/XC95144XL/WarpSE.tspec @@ -116,17 +116,14 @@ TS_CLK_IOB:FROM:cnt/LTimer<5>.Q:TO:cnt/LTimer<12>.D:1428 TS_CLK_IOB:FROM:cnt/LTimer<6>.Q:TO:cnt/LTimer<12>.D:1428 TS_CLK_IOB:FROM:cnt/LTimer<7>.Q:TO:cnt/LTimer<12>.D:1428 TS_CLK_IOB:FROM:cnt/LTimer<8>.Q:TO:cnt/LTimer<12>.D:1428 -TS_CLK_IOB:FROM:cnt/nBR_IOB.Q:TO:cnt/AoutOE.D:1428 -TS_CLK_IOB:FROM:cnt/PORS_FSM_FFd1.Q:TO:cnt/AoutOE.D:1428 -TS_CLK_IOB:FROM:cnt/PORS_FSM_FFd2.Q:TO:cnt/AoutOE.D:1428 TS_CLK_IOB:FROM:cnt/PORS_FSM_FFd1.Q:TO:cnt/nBR_IOB.D:1428 TS_CLK_IOB:FROM:cnt/nBR_IOB.Q:TO:cnt/nBR_IOB.D:1428 TS_CLK_IOB:FROM:cnt/PORS_FSM_FFd2.Q:TO:cnt/nBR_IOB.D:1428 TS_CLK_IOB:FROM:cnt/IPL2r.Q:TO:cnt/nBR_IOB.D:1428 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd2.Q:TO:iobm/IOS_FSM_FFd3.D:666 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:iobm/IOS_FSM_FFd3.D:666 +TS_CLK_IOB:FROM:nAoutOE_OBUF.Q:TO:iobm/IOS_FSM_FFd3.D:1428 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd1.Q:TO:iobm/IOS_FSM_FFd3.D:666 -TS_CLK2X_IOB:FROM:iobm/BG.Q:TO:iobm/IOS_FSM_FFd3.D:666 TS_CLK2X_IOB:FROM:iobm/IOREQr.Q:TO:iobm/IOS_FSM_FFd3.D:666 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd2.Q:TO:iobm/IOS_FSM_FFd2.D:666 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:iobm/IOS_FSM_FFd2.D:666 @@ -171,14 +168,17 @@ TS_CLK2X_IOB:FROM:iobm/RESrr.Q:TO:iobm/IOACT.D:666 TS_CLK2X_IOB:FROM:iobm/RESrf.Q:TO:iobm/IOACT.D:666 TS_CLK2X_IOB:FROM:iobm/IOREQr.Q:TO:iobm/IOACT.D:666 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd1.Q:TO:iobm/IOACT.D:666 -TS_CLK_FSB:FROM:cs/nOverlay0.Q:TO:cs/nOverlay1.D:400 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:cs/nOverlay1.CE:400 +TS_CLK_IOB:FROM:cnt/nBR_IOB.Q:TO:nAoutOE_OBUF.D:1428 +TS_CLK_IOB:FROM:cnt/PORS_FSM_FFd1.Q:TO:nAoutOE_OBUF.D:1428 +TS_CLK_IOB:FROM:cnt/PORS_FSM_FFd2.Q:TO:nAoutOE_OBUF.D:1428 TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:iobs/Once.D:400 TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:iobs/Once.D:400 TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:iobs/Once.D:400 TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:iobs/Once.D:400 TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/Once.D:400 TS_CLK_FSB:FROM:iobs/Once.Q:TO:iobs/Once.D:400 +TS_CLK_FSB:FROM:cs/nOverlay0.Q:TO:cs/nOverlay1.D:400 +TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:cs/nOverlay1.CE:400 TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:iobs/IORW0.D:400 TS_CLK_FSB:FROM:iobs/IORW1.Q:TO:iobs/IORW0.D:400 TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:iobs/IORW0.D:400 @@ -344,16 +344,15 @@ TS_CLK2X_IOB:FROM:iobm/VPArr.Q:TO:iobm/nVMA.D:666 TS_CLK2X_IOB:FROM:iobm/VPArf.Q:TO:iobm/nVMA.D:666 TS_CLK2X_IOB:FROM:iobm/ES<3>.Q:TO:iobm/nVMA.D:666 TS_CLK2X_IOB:FROM:iobm/ES<4>.Q:TO:iobm/nVMA.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd2.Q:TO:iobm/nASout.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd1.Q:TO:iobm/nASout.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:iobm/nASout.D:666 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd2.Q:TO:iobm/nLDS.D:666 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd1.Q:TO:iobm/nLDS.D:666 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:iobm/nLDS.D:666 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd2.Q:TO:iobm/nUDS.D:666 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd1.Q:TO:iobm/nUDS.D:666 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:iobm/nUDS.D:666 -TS_CLK2X_IOB:FROM:iobm/nASr.Q:TO:iobm/BG.CE:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd2.Q:TO:iobm/nASout.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd1.Q:TO:iobm/nASout.D:666 +TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:iobm/nASout.D:666 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd1.Q:TO:iobm/IOS_FSM_FFd1.D:666 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:iobm/IOS_FSM_FFd1.D:666 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd2.Q:TO:iobm/IOS_FSM_FFd1.D:666 @@ -399,6 +398,5 @@ TS_CLK2X_IOB:FROM:iobm/IOREQr.Q:TO:iobm/ALE0.D:666 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd1.Q:TO:iobm/ALE0.D:666 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd2.Q:TO:iobm/DoutOE.D:666 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:iobm/DoutOE.D:666 -TS_CLK2X_IOB:FROM:iobm/nASout.Q:TO:iobm/nASr.D:666 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd1.Q:TO:iobm/nDinLE.D:666 TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd2.Q:TO:iobm/nDinLE.D:666 diff --git a/cpld/XC95144XL/WarpSE.vm6 b/cpld/XC95144XL/WarpSE.vm6 index c6cd4f3..aedfc0a 100644 --- a/cpld/XC95144XL/WarpSE.vm6 +++ b/cpld/XC95144XL/WarpSE.vm6 @@ -8,2748 +8,2584 @@ NETWORK | WarpSE | 0 | 0 | 16391 INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_9_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<9> | 9616 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<9> | 9502 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_9_IBUF | 9407 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_9_IBUF | 9297 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_8_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<8> | 9617 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<8> | 9503 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_8_IBUF | 9408 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_8_IBUF | 9298 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_15_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<15> | 9618 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<15> | 9504 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_15_IBUF | 9409 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_15_IBUF | 9299 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_14_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<14> | 9619 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<14> | 9505 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_14_IBUF | 9410 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 9300 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_13_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<13> | 9620 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<13> | 9506 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_13_IBUF | 9411 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 9301 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_12_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<12> | 9621 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<12> | 9507 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_12_IBUF | 9412 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_12_IBUF | 9302 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_11_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<11> | 9622 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<11> | 9508 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_11_IBUF | 9413 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_11_IBUF | 9303 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_10_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<10> | 9623 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<10> | 9509 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_10_IBUF | 9414 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_10_IBUF | 9304 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_23_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<23> | 9624 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<23> | 9510 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9305 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_22_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<22> | 9625 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<22> | 9511 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_22_IBUF | 9416 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9306 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_21_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<21> | 9626 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<21> | 9512 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_21_IBUF | 9417 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9307 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_20_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<20> | 9627 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<20> | 9513 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_20_IBUF | 9418 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 9308 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_19_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<19> | 9628 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<19> | 9514 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_19_IBUF | 9419 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 9309 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_18_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<18> | 9629 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<18> | 9515 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_18_IBUF | 9420 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 9310 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_17_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<17> | 9630 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<17> | 9516 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_17_IBUF | 9421 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 9311 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_16_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<16> | 9631 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<16> | 9517 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_16_IBUF | 9422 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 9312 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | C16M_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 2 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | C16M | 9632 | PI | 465 | 0 | WarpSE_COPY_0_COPY_0 | CLK2X_IOB;CLK2X_IOB;CLK2X_IOB | NULL | NULL | 0 | 100 | NOTYPE +NODE | C16M | 9518 | PI | 454 | 0 | WarpSE_COPY_0_COPY_0 | CLK2X_IOB;CLK2X_IOB;CLK2X_IOB | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 3 | 5 | II_FCLK -NODE | C16M_IBUF/FCLK | 9423 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 9313 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 7 | 5 | II_FCLKINV -NODE | C16M_IBUF/FCLK- | 9424 | ? | 587 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV +NODE | C16M_IBUF/FCLK- | 9314 | ? | 563 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV MACROCELL_INSTANCE | PrldLow+Tff+PinTrst+OptxMapped | iobm/nVMA | WarpSE_COPY_0_COPY_0 | 2155893760 | 11 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/nVMA | 9426 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nVMA.Q | iobm/nVMA | 1 | 0 | MC_UIM +NODE | iobm/nVMA | 9316 | ? | 561 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nVMA.Q | iobm/nVMA | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9494 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 9379 | ? | 561 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9499 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 9384 | ? | 561 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 9502 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 9387 | ? | 561 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 9503 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +NODE | iobm/ES<3> | 9388 | ? | 561 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<4> | 9504 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM +NODE | iobm/ES<4> | 9389 | ? | 561 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOACT | 9519 | ? | 556 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOACT.Q | iobm/IOACT | 1 | 0 | MC_UIM +NODE | iobm/IOACT | 9404 | ? | 545 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOACT.Q | iobm/IOACT | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/VPArf | 9534 | ? | 556 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/VPArf.Q | iobm/VPArf | 1 | 0 | MC_UIM +NODE | iobm/VPArf | 9419 | ? | 545 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/VPArf.Q | iobm/VPArf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/VPArr | 9535 | ? | 556 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/VPArr.Q | iobm/VPArr | 1 | 0 | MC_UIM +NODE | iobm/VPArr | 9420 | ? | 545 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/VPArr.Q | iobm/VPArr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/AoutOE | 9480 | ? | 587 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/AoutOE.Q | cnt/AoutOE | 1 | 0 | MC_UIM +NODE | cnt/AoutOE | 9460 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 9423 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 9313 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | iobm/nVMA$Q | 9425 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nVMA.Q | iobm/nVMA | 0 | 0 | MC_Q +NODE | iobm/nVMA$Q | 9315 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nVMA.Q | iobm/nVMA | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/nVMA | 9426 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nVMA.Q | iobm/nVMA | 1 | 0 | MC_UIM +NODE | iobm/nVMA | 9316 | ? | 561 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nVMA.Q | iobm/nVMA | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 2 | 0 | MC_OE -NODE | iobm/nVMA$OE | 9427 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nVMA.BUFOE.OUT | iobm/nVMA | 2 | 0 | MC_OE +NODE | iobm/nVMA$OE | 9317 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nVMA.BUFOE.OUT | iobm/nVMA | 2 | 0 | MC_OE SIGNAL_INSTANCE | iobm/nVMA.SI | iobm/nVMA | 0 | 10 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/nVMA | 9426 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nVMA.Q | iobm/nVMA | 1 | 0 | MC_UIM +NODE | iobm/nVMA | 9316 | ? | 561 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nVMA.Q | iobm/nVMA | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9494 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 9379 | ? | 561 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9499 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 9384 | ? | 561 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 9502 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 9387 | ? | 561 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 9503 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +NODE | iobm/ES<3> | 9388 | ? | 561 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<4> | 9504 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM +NODE | iobm/ES<4> | 9389 | ? | 561 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOACT | 9519 | ? | 556 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOACT.Q | iobm/IOACT | 1 | 0 | MC_UIM +NODE | iobm/IOACT | 9404 | ? | 545 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOACT.Q | iobm/IOACT | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/VPArf | 9534 | ? | 556 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/VPArf.Q | iobm/VPArf | 1 | 0 | MC_UIM +NODE | iobm/VPArf | 9419 | ? | 545 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/VPArf.Q | iobm/VPArf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/VPArr | 9535 | ? | 556 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/VPArr.Q | iobm/VPArr | 1 | 0 | MC_UIM +NODE | iobm/VPArr | 9420 | ? | 545 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/VPArr.Q | iobm/VPArr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/AoutOE | 9480 | ? | 587 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/AoutOE.Q | cnt/AoutOE | 1 | 0 | MC_UIM +NODE | cnt/AoutOE | 9460 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/nVMA.D1 | 9653 | ? | 556 | 4096 | iobm/nVMA | NULL | NULL | iobm/nVMA.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/nVMA.D1 | 9538 | ? | 545 | 4096 | iobm/nVMA | NULL | NULL | iobm/nVMA.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/nVMA.D2 | 9654 | ? | 556 | 4096 | iobm/nVMA | NULL | NULL | iobm/nVMA.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/nVMA.D2 | 9539 | ? | 545 | 4096 | iobm/nVMA | NULL | NULL | iobm/nVMA.SI | 2 | 9 | MC_SI_D2 SPPTERM | 6 | IV_FALSE | iobm/nVMA | IV_FALSE | iobm/ES<0> | IV_FALSE | iobm/ES<1> | IV_FALSE | iobm/ES<2> | IV_FALSE | iobm/ES<3> | IV_FALSE | iobm/ES<4> SPPTERM | 9 | IV_TRUE | iobm/nVMA | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<1> | IV_TRUE | iobm/ES<2> | IV_FALSE | iobm/ES<3> | IV_FALSE | iobm/ES<4> | IV_TRUE | iobm/IOACT | IV_TRUE | iobm/VPArf | IV_TRUE | iobm/VPArr OUTPUT_NODE_TYPE | 4 | 9 | MC_SI_TRST -SIGNAL | NODE | iobm/nVMA.TRST | 9656 | ? | 0 | 4096 | iobm/nVMA | NULL | NULL | iobm/nVMA.SI | 4 | 9 | MC_SI_TRST -SPPTERM | 1 | IV_TRUE | cnt/AoutOE +SIGNAL | NODE | iobm/nVMA.TRST | 9541 | ? | 0 | 4096 | iobm/nVMA | NULL | NULL | iobm/nVMA.SI | 4 | 9 | MC_SI_TRST +SPPTERM | 1 | IV_FALSE | cnt/AoutOE SRFF_INSTANCE | iobm/nVMA.REG | iobm/nVMA | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/nVMA.D | 9652 | ? | 556 | 0 | iobm/nVMA | NULL | NULL | iobm/nVMA.XOR | 0 | 7 | ALU_F +NODE | iobm/nVMA.D | 9537 | ? | 545 | 0 | iobm/nVMA | NULL | NULL | iobm/nVMA.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 9423 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 9313 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/nVMA.Q | 9657 | ? | 574 | 0 | iobm/nVMA | NULL | NULL | iobm/nVMA.REG | 0 | 8 | SRFF_Q +NODE | iobm/nVMA.Q | 9542 | ? | 561 | 0 | iobm/nVMA | NULL | NULL | iobm/nVMA.REG | 0 | 8 | SRFF_Q TNAME | CLK2X_IOB BUF_INSTANCE | iobm/nVMA.BUFOE | iobm/nVMA | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 10 | CTOR_UNKNOWN -SIGNAL | NODE | iobm/nVMA.TRST | 9656 | ? | 0 | 4096 | iobm/nVMA | NULL | NULL | iobm/nVMA.SI | 4 | 9 | MC_SI_TRST -SPPTERM | 1 | IV_TRUE | cnt/AoutOE +SIGNAL | NODE | iobm/nVMA.TRST | 9541 | ? | 0 | 4096 | iobm/nVMA | NULL | NULL | iobm/nVMA.SI | 4 | 9 | MC_SI_TRST +SPPTERM | 1 | IV_FALSE | cnt/AoutOE OUTPUT_NODE_TYPE | 0 | 10 | BUF_OUT -NODE | iobm/nVMA.BUFOE.OUT | 9655 | ? | 0 | 0 | iobm/nVMA | NULL | NULL | iobm/nVMA.BUFOE | 0 | 10 | BUF_OUT - -MACROCELL_INSTANCE | PrldLow+PinTrst+OptxMapped+Ce | iobm/nASout | WarpSE_COPY_0_COPY_0 | 2424325120 | 5 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9488 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9489 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9486 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/AoutOE | 9480 | ? | 587 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/AoutOE.Q | cnt/AoutOE | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK- | 9424 | ? | 587 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | iobm/nASout$Q | 9428 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nASout.Q | iobm/nASout | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/nASout | 9429 | ? | 587 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nASout.Q | iobm/nASout | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 2 | 0 | MC_OE -NODE | iobm/nASout$OE | 9430 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nASout.BUFOE.OUT | iobm/nASout | 2 | 0 | MC_OE - -SIGNAL_INSTANCE | iobm/nASout.SI | iobm/nASout | 0 | 4 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9488 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9489 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9486 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/AoutOE | 9480 | ? | 587 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/AoutOE.Q | cnt/AoutOE | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/nASout.D1 | 9659 | ? | 558 | 4096 | iobm/nASout | NULL | NULL | iobm/nASout.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/nASout.D2 | 9660 | ? | 558 | 4096 | iobm/nASout | NULL | NULL | iobm/nASout.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd2 -SPPTERM | 2 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_FALSE | iobm/IOS_FSM_FFd2 -OUTPUT_NODE_TYPE | 4 | 9 | MC_SI_TRST -SIGNAL | NODE | iobm/nASout.TRST | 9662 | ? | 0 | 4096 | iobm/nASout | NULL | NULL | iobm/nASout.SI | 4 | 9 | MC_SI_TRST -SPPTERM | 1 | IV_TRUE | cnt/AoutOE - -SRFF_INSTANCE | iobm/nASout.REG | iobm/nASout | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/nASout.D | 9658 | ? | 558 | 0 | iobm/nASout | NULL | NULL | iobm/nASout.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK- | 9424 | ? | 587 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/nASout.Q | 9663 | ? | 587 | 0 | iobm/nASout | NULL | NULL | iobm/nASout.REG | 0 | 8 | SRFF_Q -TNAME | CLK2X_IOB - -BUF_INSTANCE | iobm/nASout.BUFOE | iobm/nASout | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 10 | CTOR_UNKNOWN -SIGNAL | NODE | iobm/nASout.TRST | 9662 | ? | 0 | 4096 | iobm/nASout | NULL | NULL | iobm/nASout.SI | 4 | 9 | MC_SI_TRST -SPPTERM | 1 | IV_TRUE | cnt/AoutOE -OUTPUT_NODE_TYPE | 0 | 10 | BUF_OUT -NODE | iobm/nASout.BUFOE.OUT | 9661 | ? | 0 | 0 | iobm/nASout | NULL | NULL | iobm/nASout.BUFOE | 0 | 10 | BUF_OUT +NODE | iobm/nVMA.BUFOE.OUT | 9540 | ? | 0 | 0 | iobm/nVMA | NULL | NULL | iobm/nVMA.BUFOE | 0 | 10 | BUF_OUT MACROCELL_INSTANCE | Inv+PrldLow+PinTrst+OptxMapped+Ce | iobm/nLDS | WarpSE_COPY_0_COPY_0 | 2424325376 | 7 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOL0 | 9465 | ? | 560 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOL0.Q | iobs/IOL0 | 1 | 0 | MC_UIM +NODE | iobs/IOL0 | 9352 | ? | 547 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOL0.Q | iobs/IOL0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9486 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 9371 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9489 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 9374 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9488 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 9373 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW0 | 9456 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW0.Q | iobs/IORW0 | 1 | 0 | MC_UIM +NODE | iobs/IORW0 | 9345 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW0.Q | iobs/IORW0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/AoutOE | 9480 | ? | 587 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/AoutOE.Q | cnt/AoutOE | 1 | 0 | MC_UIM +NODE | cnt/AoutOE | 9460 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK- | 9424 | ? | 587 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV +NODE | C16M_IBUF/FCLK- | 9314 | ? | 563 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | iobm/nLDS | 9431 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nLDS.Q | iobm/nLDS | 0 | 0 | MC_Q +NODE | iobm/nLDS | 9318 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nLDS.Q | iobm/nLDS | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 2 | 0 | MC_OE -NODE | iobm/nLDS$OE | 9432 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nLDS.BUFOE.OUT | iobm/nLDS | 2 | 0 | MC_OE +NODE | iobm/nLDS$OE | 9319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nLDS.BUFOE.OUT | iobm/nLDS | 2 | 0 | MC_OE SIGNAL_INSTANCE | iobm/nLDS.SI | iobm/nLDS | 0 | 6 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOL0 | 9465 | ? | 560 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOL0.Q | iobs/IOL0 | 1 | 0 | MC_UIM +NODE | iobs/IOL0 | 9352 | ? | 547 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOL0.Q | iobs/IOL0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9486 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 9371 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9489 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 9374 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9488 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 9373 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW0 | 9456 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW0.Q | iobs/IORW0 | 1 | 0 | MC_UIM +NODE | iobs/IORW0 | 9345 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW0.Q | iobs/IORW0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/AoutOE | 9480 | ? | 587 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/AoutOE.Q | cnt/AoutOE | 1 | 0 | MC_UIM +NODE | cnt/AoutOE | 9460 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/nLDS.D1 | 9665 | ? | 560 | 4096 | iobm/nLDS | NULL | NULL | iobm/nLDS.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/nLDS.D1 | 9544 | ? | 547 | 4096 | iobm/nLDS | NULL | NULL | iobm/nLDS.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/nLDS.D2 | 9666 | ? | 560 | 4096 | iobm/nLDS | NULL | NULL | iobm/nLDS.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/nLDS.D2 | 9545 | ? | 547 | 4096 | iobm/nLDS | NULL | NULL | iobm/nLDS.SI | 2 | 9 | MC_SI_D2 SPPTERM | 3 | IV_TRUE | iobs/IOL0 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd2 SPPTERM | 3 | IV_TRUE | iobs/IOL0 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/IOS_FSM_FFd2 SPPTERM | 4 | IV_FALSE | iobs/IORW0 | IV_TRUE | iobs/IOL0 | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd1 OUTPUT_NODE_TYPE | 4 | 9 | MC_SI_TRST -SIGNAL | NODE | iobm/nLDS.TRST | 9668 | ? | 0 | 4096 | iobm/nLDS | NULL | NULL | iobm/nLDS.SI | 4 | 9 | MC_SI_TRST -SPPTERM | 1 | IV_TRUE | cnt/AoutOE +SIGNAL | NODE | iobm/nLDS.TRST | 9547 | ? | 0 | 4096 | iobm/nLDS | NULL | NULL | iobm/nLDS.SI | 4 | 9 | MC_SI_TRST +SPPTERM | 1 | IV_FALSE | cnt/AoutOE SRFF_INSTANCE | iobm/nLDS.REG | iobm/nLDS | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/nLDS.D | 9664 | ? | 560 | 0 | iobm/nLDS | NULL | NULL | iobm/nLDS.XOR | 0 | 7 | ALU_F +NODE | iobm/nLDS.D | 9543 | ? | 547 | 0 | iobm/nLDS | NULL | NULL | iobm/nLDS.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK- | 9424 | ? | 587 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV +NODE | C16M_IBUF/FCLK- | 9314 | ? | 563 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/nLDS.Q | 9669 | ? | 0 | 0 | iobm/nLDS | NULL | NULL | iobm/nLDS.REG | 0 | 8 | SRFF_Q +NODE | iobm/nLDS.Q | 9548 | ? | 0 | 0 | iobm/nLDS | NULL | NULL | iobm/nLDS.REG | 0 | 8 | SRFF_Q TNAME | CLK2X_IOB BUF_INSTANCE | iobm/nLDS.BUFOE | iobm/nLDS | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 10 | CTOR_UNKNOWN -SIGNAL | NODE | iobm/nLDS.TRST | 9668 | ? | 0 | 4096 | iobm/nLDS | NULL | NULL | iobm/nLDS.SI | 4 | 9 | MC_SI_TRST -SPPTERM | 1 | IV_TRUE | cnt/AoutOE +SIGNAL | NODE | iobm/nLDS.TRST | 9547 | ? | 0 | 4096 | iobm/nLDS | NULL | NULL | iobm/nLDS.SI | 4 | 9 | MC_SI_TRST +SPPTERM | 1 | IV_FALSE | cnt/AoutOE OUTPUT_NODE_TYPE | 0 | 10 | BUF_OUT -NODE | iobm/nLDS.BUFOE.OUT | 9667 | ? | 0 | 0 | iobm/nLDS | NULL | NULL | iobm/nLDS.BUFOE | 0 | 10 | BUF_OUT +NODE | iobm/nLDS.BUFOE.OUT | 9546 | ? | 0 | 0 | iobm/nLDS | NULL | NULL | iobm/nLDS.BUFOE | 0 | 10 | BUF_OUT MACROCELL_INSTANCE | Inv+PrldLow+PinTrst+OptxMapped+Ce | iobm/nUDS | WarpSE_COPY_0_COPY_0 | 2424325376 | 7 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOU0 | 9470 | ? | 561 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOU0.Q | iobs/IOU0 | 1 | 0 | MC_UIM +NODE | iobs/IOU0 | 9357 | ? | 549 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOU0.Q | iobs/IOU0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9486 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 9371 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9489 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 9374 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9488 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 9373 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW0 | 9456 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW0.Q | iobs/IORW0 | 1 | 0 | MC_UIM +NODE | iobs/IORW0 | 9345 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW0.Q | iobs/IORW0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/AoutOE | 9480 | ? | 587 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/AoutOE.Q | cnt/AoutOE | 1 | 0 | MC_UIM +NODE | cnt/AoutOE | 9460 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK- | 9424 | ? | 587 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV +NODE | C16M_IBUF/FCLK- | 9314 | ? | 563 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | iobm/nUDS | 9433 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nUDS.Q | iobm/nUDS | 0 | 0 | MC_Q +NODE | iobm/nUDS | 9320 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nUDS.Q | iobm/nUDS | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 2 | 0 | MC_OE -NODE | iobm/nUDS$OE | 9434 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nUDS.BUFOE.OUT | iobm/nUDS | 2 | 0 | MC_OE +NODE | iobm/nUDS$OE | 9321 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nUDS.BUFOE.OUT | iobm/nUDS | 2 | 0 | MC_OE SIGNAL_INSTANCE | iobm/nUDS.SI | iobm/nUDS | 0 | 6 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOU0 | 9470 | ? | 561 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOU0.Q | iobs/IOU0 | 1 | 0 | MC_UIM +NODE | iobs/IOU0 | 9357 | ? | 549 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOU0.Q | iobs/IOU0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9486 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 9371 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9489 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 9374 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9488 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 9373 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW0 | 9456 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW0.Q | iobs/IORW0 | 1 | 0 | MC_UIM +NODE | iobs/IORW0 | 9345 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW0.Q | iobs/IORW0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/AoutOE | 9480 | ? | 587 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/AoutOE.Q | cnt/AoutOE | 1 | 0 | MC_UIM +NODE | cnt/AoutOE | 9460 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/nUDS.D1 | 9671 | ? | 561 | 4096 | iobm/nUDS | NULL | NULL | iobm/nUDS.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/nUDS.D1 | 9550 | ? | 549 | 4096 | iobm/nUDS | NULL | NULL | iobm/nUDS.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/nUDS.D2 | 9672 | ? | 561 | 4096 | iobm/nUDS | NULL | NULL | iobm/nUDS.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/nUDS.D2 | 9551 | ? | 549 | 4096 | iobm/nUDS | NULL | NULL | iobm/nUDS.SI | 2 | 9 | MC_SI_D2 SPPTERM | 3 | IV_TRUE | iobs/IOU0 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd2 SPPTERM | 3 | IV_TRUE | iobs/IOU0 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/IOS_FSM_FFd2 SPPTERM | 4 | IV_FALSE | iobs/IORW0 | IV_TRUE | iobs/IOU0 | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd1 OUTPUT_NODE_TYPE | 4 | 9 | MC_SI_TRST -SIGNAL | NODE | iobm/nUDS.TRST | 9674 | ? | 0 | 4096 | iobm/nUDS | NULL | NULL | iobm/nUDS.SI | 4 | 9 | MC_SI_TRST -SPPTERM | 1 | IV_TRUE | cnt/AoutOE +SIGNAL | NODE | iobm/nUDS.TRST | 9553 | ? | 0 | 4096 | iobm/nUDS | NULL | NULL | iobm/nUDS.SI | 4 | 9 | MC_SI_TRST +SPPTERM | 1 | IV_FALSE | cnt/AoutOE SRFF_INSTANCE | iobm/nUDS.REG | iobm/nUDS | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/nUDS.D | 9670 | ? | 561 | 0 | iobm/nUDS | NULL | NULL | iobm/nUDS.XOR | 0 | 7 | ALU_F +NODE | iobm/nUDS.D | 9549 | ? | 549 | 0 | iobm/nUDS | NULL | NULL | iobm/nUDS.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK- | 9424 | ? | 587 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV +NODE | C16M_IBUF/FCLK- | 9314 | ? | 563 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/nUDS.Q | 9675 | ? | 0 | 0 | iobm/nUDS | NULL | NULL | iobm/nUDS.REG | 0 | 8 | SRFF_Q +NODE | iobm/nUDS.Q | 9554 | ? | 0 | 0 | iobm/nUDS | NULL | NULL | iobm/nUDS.REG | 0 | 8 | SRFF_Q TNAME | CLK2X_IOB BUF_INSTANCE | iobm/nUDS.BUFOE | iobm/nUDS | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 10 | CTOR_UNKNOWN -SIGNAL | NODE | iobm/nUDS.TRST | 9674 | ? | 0 | 4096 | iobm/nUDS | NULL | NULL | iobm/nUDS.SI | 4 | 9 | MC_SI_TRST -SPPTERM | 1 | IV_TRUE | cnt/AoutOE +SIGNAL | NODE | iobm/nUDS.TRST | 9553 | ? | 0 | 4096 | iobm/nUDS | NULL | NULL | iobm/nUDS.SI | 4 | 9 | MC_SI_TRST +SPPTERM | 1 | IV_FALSE | cnt/AoutOE OUTPUT_NODE_TYPE | 0 | 10 | BUF_OUT -NODE | iobm/nUDS.BUFOE.OUT | 9673 | ? | 0 | 0 | iobm/nUDS | NULL | NULL | iobm/nUDS.BUFOE | 0 | 10 | BUF_OUT +NODE | iobm/nUDS.BUFOE.OUT | 9552 | ? | 0 | 0 | iobm/nUDS | NULL | NULL | iobm/nUDS.BUFOE | 0 | 10 | BUF_OUT + +MACROCELL_INSTANCE | PrldLow+PinTrst+OptxMapped+Ce | iobm/nASout | WarpSE_COPY_0_COPY_0 | 2424325120 | 5 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd1 | 9373 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd2 | 9374 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9371 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/AoutOE | 9460 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | C16M_IBUF/FCLK- | 9314 | ? | 563 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | iobm/nASout | 9322 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nASout.Q | iobm/nASout | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 2 | 0 | MC_OE +NODE | iobm/nASout$OE | 9323 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nASout.BUFOE.OUT | iobm/nASout | 2 | 0 | MC_OE + +SIGNAL_INSTANCE | iobm/nASout.SI | iobm/nASout | 0 | 4 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd1 | 9373 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd2 | 9374 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9371 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/AoutOE | 9460 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/nASout.D1 | 9556 | ? | 550 | 4096 | iobm/nASout | NULL | NULL | iobm/nASout.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/nASout.D2 | 9557 | ? | 550 | 4096 | iobm/nASout | NULL | NULL | iobm/nASout.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd2 +SPPTERM | 2 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_FALSE | iobm/IOS_FSM_FFd2 +OUTPUT_NODE_TYPE | 4 | 9 | MC_SI_TRST +SIGNAL | NODE | iobm/nASout.TRST | 9559 | ? | 0 | 4096 | iobm/nASout | NULL | NULL | iobm/nASout.SI | 4 | 9 | MC_SI_TRST +SPPTERM | 1 | IV_FALSE | cnt/AoutOE + +SRFF_INSTANCE | iobm/nASout.REG | iobm/nASout | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/nASout.D | 9555 | ? | 550 | 0 | iobm/nASout | NULL | NULL | iobm/nASout.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | C16M_IBUF/FCLK- | 9314 | ? | 563 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/nASout.Q | 9560 | ? | 0 | 0 | iobm/nASout | NULL | NULL | iobm/nASout.REG | 0 | 8 | SRFF_Q +TNAME | CLK2X_IOB + +BUF_INSTANCE | iobm/nASout.BUFOE | iobm/nASout | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 10 | CTOR_UNKNOWN +SIGNAL | NODE | iobm/nASout.TRST | 9559 | ? | 0 | 4096 | iobm/nASout | NULL | NULL | iobm/nASout.SI | 4 | 9 | MC_SI_TRST +SPPTERM | 1 | IV_FALSE | cnt/AoutOE +OUTPUT_NODE_TYPE | 0 | 10 | BUF_OUT +NODE | iobm/nASout.BUFOE.OUT | 9558 | ? | 0 | 0 | iobm/nASout | NULL | NULL | iobm/nASout.BUFOE | 0 | 10 | BUF_OUT INPUT_INSTANCE | 0 | 0 | NULL | nWE_FSB_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nWE_FSB | 9633 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | nWE_FSB | 9519 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | nWE_FSB_IBUF | 9435 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 9324 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | C8M_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 2 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | C8M | 9634 | PI | 466 | 0 | WarpSE_COPY_0_COPY_0 | CLK_IOB;CLK_IOB;CLK_IOB | NULL | NULL | 0 | 100 | NOTYPE +NODE | C8M | 9520 | PI | 455 | 0 | WarpSE_COPY_0_COPY_0 | CLK_IOB;CLK_IOB;CLK_IOB | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | C8M_IBUF | 9436 | ? | 575 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX +NODE | C8M_IBUF | 9325 | ? | 562 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 7 | 5 | II_FCLKINV -NODE | C8M_IBUF/FCLK- | 9437 | ? | 587 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 9326 | ? | 571 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV MACROCELL_INSTANCE | Inv+PrldLow+Tff+OptxMapped+Ce | cnt/LTimer<0> | WarpSE_COPY_0_COPY_0 | 2424313088 | 4 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 9438 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 9327 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<13> | 9439 | ? | 507 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<13>.Q | cnt/LTimer<13> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<13> | 9328 | ? | 495 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<13>.Q | cnt/LTimer<13> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9485 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 9370 | ? | 486 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF/FCLK- | 9437 | ? | 587 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 9326 | ? | 571 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimer<0> | 9438 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 9327 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cnt/LTimer<0>.SI | cnt/LTimer<0> | 0 | 3 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 9438 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 9327 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<13> | 9439 | ? | 507 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<13>.Q | cnt/LTimer<13> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<13> | 9328 | ? | 495 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<13>.Q | cnt/LTimer<13> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9485 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 9370 | ? | 486 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimer<0>.D1 | 9677 | ? | 469 | 4096 | cnt/LTimer<0> | NULL | NULL | cnt/LTimer<0>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer<0>.D1 | 9562 | ? | 458 | 4096 | cnt/LTimer<0> | NULL | NULL | cnt/LTimer<0>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimer<0>.D2 | 9678 | ? | 469 | 4096 | cnt/LTimer<0> | NULL | NULL | cnt/LTimer<0>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/LTimer<0>.D2 | 9563 | ? | 458 | 4096 | cnt/LTimer<0> | NULL | NULL | cnt/LTimer<0>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 3 | IV_FALSE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<13> | IV_TRUE | cnt/TimerTC OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | cnt/LTimer<0>.CE | 9679 | ? | 471 | 4096 | cnt/LTimer<0> | NULL | NULL | cnt/LTimer<0>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<0>.CE | 9564 | ? | 460 | 4096 | cnt/LTimer<0> | NULL | NULL | cnt/LTimer<0>.SI | 10 | 9 | MC_SI_CE SPPTERM | 1 | IV_TRUE | cnt/TimerTC SRFF_INSTANCE | cnt/LTimer<0>.REG | cnt/LTimer<0> | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimer<0>.D | 9676 | ? | 469 | 0 | cnt/LTimer<0> | NULL | NULL | cnt/LTimer<0>.XOR | 0 | 7 | ALU_F +NODE | cnt/LTimer<0>.D | 9561 | ? | 458 | 0 | cnt/LTimer<0> | NULL | NULL | cnt/LTimer<0>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C8M_IBUF/FCLK- | 9437 | ? | 587 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 9326 | ? | 571 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | cnt/LTimer<0>.CE | 9679 | ? | 471 | 4096 | cnt/LTimer<0> | NULL | NULL | cnt/LTimer<0>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<0>.CE | 9564 | ? | 460 | 4096 | cnt/LTimer<0> | NULL | NULL | cnt/LTimer<0>.SI | 10 | 9 | MC_SI_CE SPPTERM | 1 | IV_TRUE | cnt/TimerTC OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimer<0>.Q | 9680 | ? | 496 | 0 | cnt/LTimer<0> | NULL | NULL | cnt/LTimer<0>.REG | 0 | 8 | SRFF_Q +NODE | cnt/LTimer<0>.Q | 9565 | ? | 485 | 0 | cnt/LTimer<0> | NULL | NULL | cnt/LTimer<0>.REG | 0 | 8 | SRFF_Q TNAME | CLK_IOB MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped+Ce | cnt/LTimer<13> | WarpSE_COPY_0_COPY_0 | 2424312832 | 16 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 9438 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 9327 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 9440 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 9329 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 9441 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 9330 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 9442 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 9331 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 9443 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<5> | 9332 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 9448 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 9336 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 9449 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<6> | 9337 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 9450 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<7> | 9338 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<9> | 9451 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<9> | 9339 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<10> | 9452 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<10> | 9341 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<11> | 9453 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.Q | cnt/LTimer<11> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<11> | 9342 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.Q | cnt/LTimer<11> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<8> | 9454 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<8> | 9343 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<12> | 9455 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<12>.Q | cnt/LTimer<12> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<12> | 9344 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<12>.Q | cnt/LTimer<12> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<13> | 9439 | ? | 507 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<13>.Q | cnt/LTimer<13> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<13> | 9328 | ? | 495 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<13>.Q | cnt/LTimer<13> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9485 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 9370 | ? | 486 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF/FCLK- | 9437 | ? | 587 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 9326 | ? | 571 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimer<13> | 9439 | ? | 507 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<13>.Q | cnt/LTimer<13> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<13> | 9328 | ? | 495 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<13>.Q | cnt/LTimer<13> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cnt/LTimer<13>.SI | cnt/LTimer<13> | 0 | 15 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 9438 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 9327 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 9440 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 9329 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 9441 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 9330 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 9442 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 9331 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 9443 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<5> | 9332 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 9448 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 9336 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 9449 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<6> | 9337 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 9450 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<7> | 9338 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<9> | 9451 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<9> | 9339 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<10> | 9452 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<10> | 9341 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<11> | 9453 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.Q | cnt/LTimer<11> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<11> | 9342 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.Q | cnt/LTimer<11> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<8> | 9454 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<8> | 9343 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<12> | 9455 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<12>.Q | cnt/LTimer<12> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<12> | 9344 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<12>.Q | cnt/LTimer<12> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<13> | 9439 | ? | 507 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<13>.Q | cnt/LTimer<13> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<13> | 9328 | ? | 495 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<13>.Q | cnt/LTimer<13> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9485 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 9370 | ? | 486 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimer<13>.D1 | 9682 | ? | 472 | 4096 | cnt/LTimer<13> | NULL | NULL | cnt/LTimer<13>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer<13>.D1 | 9567 | ? | 461 | 4096 | cnt/LTimer<13> | NULL | NULL | cnt/LTimer<13>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimer<13>.D2 | 9683 | ? | 472 | 4096 | cnt/LTimer<13> | NULL | NULL | cnt/LTimer<13>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/LTimer<13>.D2 | 9568 | ? | 461 | 4096 | cnt/LTimer<13> | NULL | NULL | cnt/LTimer<13>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | cnt/LTimer<13> | IV_TRUE | cnt/TimerTC SPPTERM | 13 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/LTimer<7> | IV_TRUE | cnt/LTimer<9> | IV_TRUE | cnt/LTimer<10> | IV_TRUE | cnt/LTimer<11> | IV_TRUE | cnt/LTimer<8> | IV_TRUE | cnt/LTimer<12> OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | cnt/LTimer<13>.CE | 9684 | ? | 473 | 4096 | cnt/LTimer<13> | NULL | NULL | cnt/LTimer<13>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<13>.CE | 9569 | ? | 462 | 4096 | cnt/LTimer<13> | NULL | NULL | cnt/LTimer<13>.SI | 10 | 9 | MC_SI_CE SPPTERM | 1 | IV_TRUE | cnt/TimerTC SRFF_INSTANCE | cnt/LTimer<13>.REG | cnt/LTimer<13> | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimer<13>.D | 9681 | ? | 472 | 0 | cnt/LTimer<13> | NULL | NULL | cnt/LTimer<13>.XOR | 0 | 7 | ALU_F +NODE | cnt/LTimer<13>.D | 9566 | ? | 461 | 0 | cnt/LTimer<13> | NULL | NULL | cnt/LTimer<13>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C8M_IBUF/FCLK- | 9437 | ? | 587 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 9326 | ? | 571 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | cnt/LTimer<13>.CE | 9684 | ? | 473 | 4096 | cnt/LTimer<13> | NULL | NULL | cnt/LTimer<13>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<13>.CE | 9569 | ? | 462 | 4096 | cnt/LTimer<13> | NULL | NULL | cnt/LTimer<13>.SI | 10 | 9 | MC_SI_CE SPPTERM | 1 | IV_TRUE | cnt/TimerTC OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimer<13>.Q | 9685 | ? | 507 | 0 | cnt/LTimer<13> | NULL | NULL | cnt/LTimer<13>.REG | 0 | 8 | SRFF_Q +NODE | cnt/LTimer<13>.Q | 9570 | ? | 495 | 0 | cnt/LTimer<13> | NULL | NULL | cnt/LTimer<13>.REG | 0 | 8 | SRFF_Q TNAME | CLK_IOB -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped+Ce | cnt/LTimer<1> | WarpSE_COPY_0_COPY_0 | 2424308992 | 5 | 1 +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped+Ce | cnt/LTimer<1> | WarpSE_COPY_0_COPY_0 | 2424308992 | 13 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 9438 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 9327 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 9440 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 9329 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<13> | 9439 | ? | 507 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<13>.Q | cnt/LTimer<13> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<13> | 9328 | ? | 495 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<13>.Q | cnt/LTimer<13> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9485 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 9370 | ? | 486 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF/FCLK- | 9437 | ? | 587 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 9326 | ? | 571 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | C8M_IBUF | 9325 | ? | 562 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nBERR_IOB_IBUF | 9361 | ? | 565 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9371 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd1 | 9373 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd2 | 9374 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOBERR | 9396 | ? | 559 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOBERR.Q | iobm/IOBERR | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/RESrf | 9416 | ? | 562 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/RESrr | 9417 | ? | 562 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimer<1> | 9440 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 9329 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | cnt/LTimer<1>.EXP | 10095 | ? | 559 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.EXP | cnt/LTimer<1> | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | cnt/LTimer<1>.SI | cnt/LTimer<1> | 0 | 4 | 3 +SIGNAL_INSTANCE | cnt/LTimer<1>.SI | cnt/LTimer<1> | 0 | 12 | 4 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 9438 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 9327 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 9440 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 9329 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<13> | 9439 | ? | 507 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<13>.Q | cnt/LTimer<13> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<13> | 9328 | ? | 495 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<13>.Q | cnt/LTimer<13> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9485 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 9370 | ? | 486 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | C8M_IBUF | 9325 | ? | 562 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nBERR_IOB_IBUF | 9361 | ? | 565 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9371 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd1 | 9373 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd2 | 9374 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOBERR | 9396 | ? | 559 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOBERR.Q | iobm/IOBERR | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/RESrf | 9416 | ? | 562 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/RESrr | 9417 | ? | 562 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimer<1>.D1 | 9687 | ? | 474 | 4096 | cnt/LTimer<1> | NULL | NULL | cnt/LTimer<1>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer<1>.D1 | 9572 | ? | 463 | 4096 | cnt/LTimer<1> | NULL | NULL | cnt/LTimer<1>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimer<1>.D2 | 9688 | ? | 474 | 4096 | cnt/LTimer<1> | NULL | NULL | cnt/LTimer<1>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/LTimer<1>.D2 | 9573 | ? | 463 | 4096 | cnt/LTimer<1> | NULL | NULL | cnt/LTimer<1>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> SPPTERM | 2 | IV_FALSE | cnt/LTimer<0> | IV_FALSE | cnt/LTimer<1> SPPTERM | 2 | IV_TRUE | cnt/LTimer<13> | IV_TRUE | cnt/TimerTC +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | cnt/LTimer<1>.EXP | 10093 | ? | 559 | 0 | cnt/LTimer<1> | NULL | NULL | cnt/LTimer<1>.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 8 | IV_TRUE | C8M_IBUF | IV_TRUE | nBERR_IOB_IBUF | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/IOS_FSM_FFd2 | IV_TRUE | iobm/IOBERR | IV_TRUE | iobm/RESrf | IV_TRUE | iobm/RESrr OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | cnt/LTimer<1>.CE | 9689 | ? | 475 | 4096 | cnt/LTimer<1> | NULL | NULL | cnt/LTimer<1>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<1>.CE | 9574 | ? | 464 | 4096 | cnt/LTimer<1> | NULL | NULL | cnt/LTimer<1>.SI | 10 | 9 | MC_SI_CE SPPTERM | 1 | IV_TRUE | cnt/TimerTC SRFF_INSTANCE | cnt/LTimer<1>.REG | cnt/LTimer<1> | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimer<1>.D | 9686 | ? | 474 | 0 | cnt/LTimer<1> | NULL | NULL | cnt/LTimer<1>.XOR | 0 | 7 | ALU_F +NODE | cnt/LTimer<1>.D | 9571 | ? | 463 | 0 | cnt/LTimer<1> | NULL | NULL | cnt/LTimer<1>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C8M_IBUF/FCLK- | 9437 | ? | 587 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 9326 | ? | 571 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | cnt/LTimer<1>.CE | 9689 | ? | 475 | 4096 | cnt/LTimer<1> | NULL | NULL | cnt/LTimer<1>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<1>.CE | 9574 | ? | 464 | 4096 | cnt/LTimer<1> | NULL | NULL | cnt/LTimer<1>.SI | 10 | 9 | MC_SI_CE SPPTERM | 1 | IV_TRUE | cnt/TimerTC OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimer<1>.Q | 9690 | ? | 496 | 0 | cnt/LTimer<1> | NULL | NULL | cnt/LTimer<1>.REG | 0 | 8 | SRFF_Q +NODE | cnt/LTimer<1>.Q | 9575 | ? | 485 | 0 | cnt/LTimer<1> | NULL | NULL | cnt/LTimer<1>.REG | 0 | 8 | SRFF_Q TNAME | CLK_IOB MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped+Ce | cnt/LTimer<2> | WarpSE_COPY_0_COPY_0 | 2424312832 | 6 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 9438 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 9327 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<13> | 9439 | ? | 507 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<13>.Q | cnt/LTimer<13> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<13> | 9328 | ? | 495 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<13>.Q | cnt/LTimer<13> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 9440 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 9329 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 9441 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 9330 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9485 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 9370 | ? | 486 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF/FCLK- | 9437 | ? | 587 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 9326 | ? | 571 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimer<2> | 9441 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 9330 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cnt/LTimer<2>.SI | cnt/LTimer<2> | 0 | 5 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 9438 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 9327 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<13> | 9439 | ? | 507 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<13>.Q | cnt/LTimer<13> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<13> | 9328 | ? | 495 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<13>.Q | cnt/LTimer<13> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 9440 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 9329 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 9441 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 9330 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9485 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 9370 | ? | 486 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimer<2>.D1 | 9692 | ? | 476 | 4096 | cnt/LTimer<2> | NULL | NULL | cnt/LTimer<2>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer<2>.D1 | 9577 | ? | 465 | 4096 | cnt/LTimer<2> | NULL | NULL | cnt/LTimer<2>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimer<2>.D2 | 9693 | ? | 476 | 4096 | cnt/LTimer<2> | NULL | NULL | cnt/LTimer<2>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/LTimer<2>.D2 | 9578 | ? | 465 | 4096 | cnt/LTimer<2> | NULL | NULL | cnt/LTimer<2>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 3 | IV_TRUE | cnt/LTimer<0> | IV_FALSE | cnt/LTimer<13> | IV_TRUE | cnt/LTimer<1> SPPTERM | 3 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_FALSE | cnt/TimerTC SPPTERM | 3 | IV_TRUE | cnt/LTimer<13> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/TimerTC OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | cnt/LTimer<2>.CE | 9694 | ? | 477 | 4096 | cnt/LTimer<2> | NULL | NULL | cnt/LTimer<2>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<2>.CE | 9579 | ? | 466 | 4096 | cnt/LTimer<2> | NULL | NULL | cnt/LTimer<2>.SI | 10 | 9 | MC_SI_CE SPPTERM | 1 | IV_TRUE | cnt/TimerTC SRFF_INSTANCE | cnt/LTimer<2>.REG | cnt/LTimer<2> | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimer<2>.D | 9691 | ? | 476 | 0 | cnt/LTimer<2> | NULL | NULL | cnt/LTimer<2>.XOR | 0 | 7 | ALU_F +NODE | cnt/LTimer<2>.D | 9576 | ? | 465 | 0 | cnt/LTimer<2> | NULL | NULL | cnt/LTimer<2>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C8M_IBUF/FCLK- | 9437 | ? | 587 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 9326 | ? | 571 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | cnt/LTimer<2>.CE | 9694 | ? | 477 | 4096 | cnt/LTimer<2> | NULL | NULL | cnt/LTimer<2>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<2>.CE | 9579 | ? | 466 | 4096 | cnt/LTimer<2> | NULL | NULL | cnt/LTimer<2>.SI | 10 | 9 | MC_SI_CE SPPTERM | 1 | IV_TRUE | cnt/TimerTC OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimer<2>.Q | 9695 | ? | 496 | 0 | cnt/LTimer<2> | NULL | NULL | cnt/LTimer<2>.REG | 0 | 8 | SRFF_Q +NODE | cnt/LTimer<2>.Q | 9580 | ? | 485 | 0 | cnt/LTimer<2> | NULL | NULL | cnt/LTimer<2>.REG | 0 | 8 | SRFF_Q TNAME | CLK_IOB MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped+Ce | cnt/LTimer<3> | WarpSE_COPY_0_COPY_0 | 2424312832 | 7 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<13> | 9439 | ? | 507 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<13>.Q | cnt/LTimer<13> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<13> | 9328 | ? | 495 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<13>.Q | cnt/LTimer<13> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 9442 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 9331 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9485 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 9370 | ? | 486 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 9438 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 9327 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 9440 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 9329 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 9441 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 9330 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF/FCLK- | 9437 | ? | 587 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 9326 | ? | 571 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimer<3> | 9442 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 9331 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cnt/LTimer<3>.SI | cnt/LTimer<3> | 0 | 6 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<13> | 9439 | ? | 507 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<13>.Q | cnt/LTimer<13> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<13> | 9328 | ? | 495 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<13>.Q | cnt/LTimer<13> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 9442 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 9331 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9485 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 9370 | ? | 486 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 9438 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 9327 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 9440 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 9329 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 9441 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 9330 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimer<3>.D1 | 9697 | ? | 478 | 4096 | cnt/LTimer<3> | NULL | NULL | cnt/LTimer<3>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer<3>.D1 | 9582 | ? | 467 | 4096 | cnt/LTimer<3> | NULL | NULL | cnt/LTimer<3>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimer<3>.D2 | 9698 | ? | 478 | 4096 | cnt/LTimer<3> | NULL | NULL | cnt/LTimer<3>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/LTimer<3>.D2 | 9583 | ? | 467 | 4096 | cnt/LTimer<3> | NULL | NULL | cnt/LTimer<3>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 3 | IV_TRUE | cnt/LTimer<13> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/TimerTC SPPTERM | 4 | IV_TRUE | cnt/LTimer<0> | IV_FALSE | cnt/LTimer<13> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> SPPTERM | 4 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_FALSE | cnt/TimerTC OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | cnt/LTimer<3>.CE | 9699 | ? | 479 | 4096 | cnt/LTimer<3> | NULL | NULL | cnt/LTimer<3>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<3>.CE | 9584 | ? | 468 | 4096 | cnt/LTimer<3> | NULL | NULL | cnt/LTimer<3>.SI | 10 | 9 | MC_SI_CE SPPTERM | 1 | IV_TRUE | cnt/TimerTC SRFF_INSTANCE | cnt/LTimer<3>.REG | cnt/LTimer<3> | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimer<3>.D | 9696 | ? | 478 | 0 | cnt/LTimer<3> | NULL | NULL | cnt/LTimer<3>.XOR | 0 | 7 | ALU_F +NODE | cnt/LTimer<3>.D | 9581 | ? | 467 | 0 | cnt/LTimer<3> | NULL | NULL | cnt/LTimer<3>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C8M_IBUF/FCLK- | 9437 | ? | 587 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 9326 | ? | 571 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | cnt/LTimer<3>.CE | 9699 | ? | 479 | 4096 | cnt/LTimer<3> | NULL | NULL | cnt/LTimer<3>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<3>.CE | 9584 | ? | 468 | 4096 | cnt/LTimer<3> | NULL | NULL | cnt/LTimer<3>.SI | 10 | 9 | MC_SI_CE SPPTERM | 1 | IV_TRUE | cnt/TimerTC OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimer<3>.Q | 9700 | ? | 496 | 0 | cnt/LTimer<3> | NULL | NULL | cnt/LTimer<3>.REG | 0 | 8 | SRFF_Q +NODE | cnt/LTimer<3>.Q | 9585 | ? | 485 | 0 | cnt/LTimer<3> | NULL | NULL | cnt/LTimer<3>.REG | 0 | 8 | SRFF_Q TNAME | CLK_IOB MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped+Ce | cnt/LTimer<5> | WarpSE_COPY_0_COPY_0 | 2424312832 | 9 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<13> | 9439 | ? | 507 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<13>.Q | cnt/LTimer<13> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<13> | 9328 | ? | 495 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<13>.Q | cnt/LTimer<13> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 9443 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<5> | 9332 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9485 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 9370 | ? | 486 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 9438 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 9327 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 9440 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 9329 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 9441 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 9330 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 9442 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 9331 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 9448 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 9336 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF/FCLK- | 9437 | ? | 587 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 9326 | ? | 571 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimer<5> | 9443 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<5> | 9332 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cnt/LTimer<5>.SI | cnt/LTimer<5> | 0 | 8 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<13> | 9439 | ? | 507 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<13>.Q | cnt/LTimer<13> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<13> | 9328 | ? | 495 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<13>.Q | cnt/LTimer<13> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 9443 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<5> | 9332 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9485 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 9370 | ? | 486 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 9438 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 9327 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 9440 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 9329 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 9441 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 9330 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 9442 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 9331 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 9448 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 9336 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimer<5>.D1 | 9702 | ? | 480 | 4096 | cnt/LTimer<5> | NULL | NULL | cnt/LTimer<5>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer<5>.D1 | 9587 | ? | 469 | 4096 | cnt/LTimer<5> | NULL | NULL | cnt/LTimer<5>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimer<5>.D2 | 9703 | ? | 480 | 4096 | cnt/LTimer<5> | NULL | NULL | cnt/LTimer<5>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/LTimer<5>.D2 | 9588 | ? | 469 | 4096 | cnt/LTimer<5> | NULL | NULL | cnt/LTimer<5>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 3 | IV_TRUE | cnt/LTimer<13> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/TimerTC SPPTERM | 6 | IV_TRUE | cnt/LTimer<0> | IV_FALSE | cnt/LTimer<13> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> SPPTERM | 6 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> | IV_FALSE | cnt/TimerTC OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | cnt/LTimer<5>.CE | 9704 | ? | 481 | 4096 | cnt/LTimer<5> | NULL | NULL | cnt/LTimer<5>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<5>.CE | 9589 | ? | 470 | 4096 | cnt/LTimer<5> | NULL | NULL | cnt/LTimer<5>.SI | 10 | 9 | MC_SI_CE SPPTERM | 1 | IV_TRUE | cnt/TimerTC SRFF_INSTANCE | cnt/LTimer<5>.REG | cnt/LTimer<5> | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimer<5>.D | 9701 | ? | 480 | 0 | cnt/LTimer<5> | NULL | NULL | cnt/LTimer<5>.XOR | 0 | 7 | ALU_F +NODE | cnt/LTimer<5>.D | 9586 | ? | 469 | 0 | cnt/LTimer<5> | NULL | NULL | cnt/LTimer<5>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C8M_IBUF/FCLK- | 9437 | ? | 587 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 9326 | ? | 571 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | cnt/LTimer<5>.CE | 9704 | ? | 481 | 4096 | cnt/LTimer<5> | NULL | NULL | cnt/LTimer<5>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<5>.CE | 9589 | ? | 470 | 4096 | cnt/LTimer<5> | NULL | NULL | cnt/LTimer<5>.SI | 10 | 9 | MC_SI_CE SPPTERM | 1 | IV_TRUE | cnt/TimerTC OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimer<5>.Q | 9705 | ? | 496 | 0 | cnt/LTimer<5> | NULL | NULL | cnt/LTimer<5>.REG | 0 | 8 | SRFF_Q +NODE | cnt/LTimer<5>.Q | 9590 | ? | 485 | 0 | cnt/LTimer<5> | NULL | NULL | cnt/LTimer<5>.REG | 0 | 8 | SRFF_Q TNAME | CLK_IOB INPUT_INSTANCE | 0 | 0 | NULL | FCLK_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 2 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | FCLK | 9635 | PI | 467 | 0 | WarpSE_COPY_0_COPY_0 | CLK_FSB;CLK_FSB;CLK_FSB | NULL | NULL | 0 | 100 | NOTYPE +NODE | FCLK | 9521 | PI | 456 | 0 | WarpSE_COPY_0_COPY_0 | CLK_FSB;CLK_FSB;CLK_FSB | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 3 | 5 | II_FCLK -NODE | FCLK_IBUF/FCLK | 9444 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9333 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 7 | 5 | II_FCLKINV -NODE | FCLK_IBUF/FCLK- | 9445 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 7 | 5 | II_FCLKINV +NODE | FCLK_IBUF/FCLK- | 9334 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 7 | 5 | II_FCLKINV INPUT_INSTANCE | 0 | 0 | NULL | nAS_FSB_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nAS_FSB | 9639 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | nAS_FSB | 9524 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | nAS_FSB_IBUF | 9473 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -MACROCELL_INSTANCE | PrldLow+OptxMapped | cs/nOverlay1 | WarpSE_COPY_0_COPY_0 | 2155873280 | 4 | 1 +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | iobs/Once | WarpSE_COPY_0_COPY_0 | 2155877376 | 12 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay0 | 9459 | ? | 516 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay0.Q | cs/nOverlay0 | 1 | 0 | MC_UIM +NODE | iobs/Once | 9335 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9473 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9522 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9407 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9444 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | A_FSB_23_IBUF | 9305 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9376 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9380 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9306 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9307 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9308 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF$BUF0.EXP | 10137 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | A_FSB_19_IBUF$BUF0.EXP | A_FSB_19_IBUF$BUF0 | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP29_.EXP | 10149 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP29_.EXP | EXP29_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 9333 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cs/nOverlay1 | 9446 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +NODE | iobs/Once | 9335 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | cs/nOverlay1.SI | cs/nOverlay1 | 0 | 3 | 3 +SIGNAL_INSTANCE | iobs/Once.SI | iobs/Once | 0 | 11 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay0 | 9459 | ? | 516 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay0.Q | cs/nOverlay0 | 1 | 0 | MC_UIM +NODE | iobs/Once | 9335 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9473 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9522 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9407 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9305 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9376 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9380 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9306 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9307 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9308 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF$BUF0.EXP | 10137 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | A_FSB_19_IBUF$BUF0.EXP | A_FSB_19_IBUF$BUF0 | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP29_.EXP | 10149 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP29_.EXP | EXP29_ | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cs/nOverlay1.D1 | 9707 | ? | 510 | 4096 | cs/nOverlay1 | NULL | NULL | cs/nOverlay1.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/Once.D1 | 9592 | ? | 499 | 4096 | iobs/Once | NULL | NULL | iobs/Once.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cs/nOverlay1.D2 | 9708 | ? | 510 | 4096 | cs/nOverlay1 | NULL | NULL | cs/nOverlay1.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | cs/nOverlay0 -OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | cs/nOverlay1.CE | 9709 | ? | 512 | 4096 | cs/nOverlay1 | NULL | NULL | cs/nOverlay1.SI | 10 | 9 | MC_SI_CE -SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf - -SRFF_INSTANCE | cs/nOverlay1.REG | cs/nOverlay1 | 0 | 3 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cs/nOverlay1.D | 9706 | ? | 510 | 0 | cs/nOverlay1 | NULL | NULL | cs/nOverlay1.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9444 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | cs/nOverlay1.CE | 9709 | ? | 512 | 4096 | cs/nOverlay1 | NULL | NULL | cs/nOverlay1.SI | 10 | 9 | MC_SI_CE -SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cs/nOverlay1.Q | 9710 | ? | 551 | 0 | cs/nOverlay1 | NULL | NULL | cs/nOverlay1.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | iobs/Once | WarpSE_COPY_0_COPY_0 | 2155873536 | 11 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9416 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9447 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9491 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9495 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9473 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9522 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9418 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP27_.EXP | 10270 | ? | 513 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP27_.EXP | EXP27_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP28_.EXP | 10271 | ? | 513 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP28_.EXP | EXP28_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9444 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/Once | 9447 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobs/Once.SI | iobs/Once | 0 | 10 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9416 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9447 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9491 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9495 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9473 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9522 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9418 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP27_.EXP | 10270 | ? | 513 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP27_.EXP | EXP27_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP28_.EXP | 10271 | ? | 513 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP28_.EXP | EXP28_ | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/Once.D1 | 9712 | ? | 513 | 4096 | iobs/Once | NULL | NULL | iobs/Once.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/Once.D2 | 9713 | ? | 513 | 4096 | iobs/Once | NULL | NULL | iobs/Once.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | EXP27_.EXP -SPPTERM | 1 | IV_TRUE | EXP28_.EXP -SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf -SPPTERM | 3 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | iobs/Once | IV_TRUE | iobs/PS_FSM_FFd2 -SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | iobs/Once -SPPTERM | 3 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | iobs/Once | IV_TRUE | iobs/PS_FSM_FFd2 -SPPTERM | 3 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | iobs/Once | IV_TRUE | iobs/PS_FSM_FFd1 +SIGNAL | NODE | iobs/Once.D2 | 9593 | ? | 499 | 4096 | iobs/Once | NULL | NULL | iobs/Once.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | A_FSB_19_IBUF$BUF0.EXP +SPPTERM | 1 | IV_TRUE | EXP29_.EXP +SPPTERM | 3 | IV_TRUE | iobs/Once | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +SPPTERM | 5 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | iobs/Once | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 +SPPTERM | 5 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | iobs/Once | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf +SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | iobs/Once | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 +SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | iobs/Once | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 SRFF_INSTANCE | iobs/Once.REG | iobs/Once | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/Once.D | 9711 | ? | 513 | 0 | iobs/Once | NULL | NULL | iobs/Once.XOR | 0 | 7 | ALU_F +NODE | iobs/Once.D | 9591 | ? | 499 | 0 | iobs/Once | NULL | NULL | iobs/Once.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9444 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9333 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/Once.Q | 9714 | ? | 550 | 0 | iobs/Once | NULL | NULL | iobs/Once.REG | 0 | 8 | SRFF_Q +NODE | iobs/Once.Q | 9594 | ? | 539 | 0 | iobs/Once | NULL | NULL | iobs/Once.REG | 0 | 8 | SRFF_Q TNAME | CLK_FSB MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped+Ce | cnt/LTimer<4> | WarpSE_COPY_0_COPY_0 | 2424312832 | 8 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<13> | 9439 | ? | 507 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<13>.Q | cnt/LTimer<13> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<13> | 9328 | ? | 495 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<13>.Q | cnt/LTimer<13> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 9448 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 9336 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9485 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 9370 | ? | 486 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 9438 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 9327 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 9440 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 9329 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 9441 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 9330 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 9442 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 9331 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF/FCLK- | 9437 | ? | 587 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 9326 | ? | 571 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimer<4> | 9448 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 9336 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cnt/LTimer<4>.SI | cnt/LTimer<4> | 0 | 7 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<13> | 9439 | ? | 507 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<13>.Q | cnt/LTimer<13> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<13> | 9328 | ? | 495 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<13>.Q | cnt/LTimer<13> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 9448 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 9336 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9485 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 9370 | ? | 486 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 9438 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 9327 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 9440 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 9329 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 9441 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 9330 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 9442 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 9331 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimer<4>.D1 | 9716 | ? | 482 | 4096 | cnt/LTimer<4> | NULL | NULL | cnt/LTimer<4>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer<4>.D1 | 9596 | ? | 471 | 4096 | cnt/LTimer<4> | NULL | NULL | cnt/LTimer<4>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimer<4>.D2 | 9717 | ? | 482 | 4096 | cnt/LTimer<4> | NULL | NULL | cnt/LTimer<4>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/LTimer<4>.D2 | 9597 | ? | 471 | 4096 | cnt/LTimer<4> | NULL | NULL | cnt/LTimer<4>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 3 | IV_TRUE | cnt/LTimer<13> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/TimerTC SPPTERM | 5 | IV_TRUE | cnt/LTimer<0> | IV_FALSE | cnt/LTimer<13> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> SPPTERM | 5 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_FALSE | cnt/TimerTC OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | cnt/LTimer<4>.CE | 9718 | ? | 483 | 4096 | cnt/LTimer<4> | NULL | NULL | cnt/LTimer<4>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<4>.CE | 9598 | ? | 472 | 4096 | cnt/LTimer<4> | NULL | NULL | cnt/LTimer<4>.SI | 10 | 9 | MC_SI_CE SPPTERM | 1 | IV_TRUE | cnt/TimerTC SRFF_INSTANCE | cnt/LTimer<4>.REG | cnt/LTimer<4> | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimer<4>.D | 9715 | ? | 482 | 0 | cnt/LTimer<4> | NULL | NULL | cnt/LTimer<4>.XOR | 0 | 7 | ALU_F +NODE | cnt/LTimer<4>.D | 9595 | ? | 471 | 0 | cnt/LTimer<4> | NULL | NULL | cnt/LTimer<4>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C8M_IBUF/FCLK- | 9437 | ? | 587 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 9326 | ? | 571 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | cnt/LTimer<4>.CE | 9718 | ? | 483 | 4096 | cnt/LTimer<4> | NULL | NULL | cnt/LTimer<4>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<4>.CE | 9598 | ? | 472 | 4096 | cnt/LTimer<4> | NULL | NULL | cnt/LTimer<4>.SI | 10 | 9 | MC_SI_CE SPPTERM | 1 | IV_TRUE | cnt/TimerTC OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimer<4>.Q | 9719 | ? | 496 | 0 | cnt/LTimer<4> | NULL | NULL | cnt/LTimer<4>.REG | 0 | 8 | SRFF_Q +NODE | cnt/LTimer<4>.Q | 9599 | ? | 485 | 0 | cnt/LTimer<4> | NULL | NULL | cnt/LTimer<4>.REG | 0 | 8 | SRFF_Q TNAME | CLK_IOB MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped+Ce | cnt/LTimer<6> | WarpSE_COPY_0_COPY_0 | 2424312832 | 10 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<13> | 9439 | ? | 507 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<13>.Q | cnt/LTimer<13> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<13> | 9328 | ? | 495 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<13>.Q | cnt/LTimer<13> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 9449 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<6> | 9337 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9485 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 9370 | ? | 486 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 9438 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 9327 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 9440 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 9329 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 9441 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 9330 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 9442 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 9331 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 9443 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<5> | 9332 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 9448 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 9336 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF/FCLK- | 9437 | ? | 587 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 9326 | ? | 571 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimer<6> | 9449 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<6> | 9337 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cnt/LTimer<6>.SI | cnt/LTimer<6> | 0 | 9 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<13> | 9439 | ? | 507 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<13>.Q | cnt/LTimer<13> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<13> | 9328 | ? | 495 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<13>.Q | cnt/LTimer<13> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 9449 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<6> | 9337 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9485 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 9370 | ? | 486 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 9438 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 9327 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 9440 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 9329 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 9441 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 9330 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 9442 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 9331 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 9443 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<5> | 9332 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 9448 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 9336 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimer<6>.D1 | 9721 | ? | 484 | 4096 | cnt/LTimer<6> | NULL | NULL | cnt/LTimer<6>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer<6>.D1 | 9601 | ? | 473 | 4096 | cnt/LTimer<6> | NULL | NULL | cnt/LTimer<6>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimer<6>.D2 | 9722 | ? | 484 | 4096 | cnt/LTimer<6> | NULL | NULL | cnt/LTimer<6>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/LTimer<6>.D2 | 9602 | ? | 473 | 4096 | cnt/LTimer<6> | NULL | NULL | cnt/LTimer<6>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 3 | IV_TRUE | cnt/LTimer<13> | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/TimerTC SPPTERM | 7 | IV_TRUE | cnt/LTimer<0> | IV_FALSE | cnt/LTimer<13> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<4> SPPTERM | 7 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<4> | IV_FALSE | cnt/TimerTC OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | cnt/LTimer<6>.CE | 9723 | ? | 485 | 4096 | cnt/LTimer<6> | NULL | NULL | cnt/LTimer<6>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<6>.CE | 9603 | ? | 474 | 4096 | cnt/LTimer<6> | NULL | NULL | cnt/LTimer<6>.SI | 10 | 9 | MC_SI_CE SPPTERM | 1 | IV_TRUE | cnt/TimerTC SRFF_INSTANCE | cnt/LTimer<6>.REG | cnt/LTimer<6> | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimer<6>.D | 9720 | ? | 484 | 0 | cnt/LTimer<6> | NULL | NULL | cnt/LTimer<6>.XOR | 0 | 7 | ALU_F +NODE | cnt/LTimer<6>.D | 9600 | ? | 473 | 0 | cnt/LTimer<6> | NULL | NULL | cnt/LTimer<6>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C8M_IBUF/FCLK- | 9437 | ? | 587 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 9326 | ? | 571 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | cnt/LTimer<6>.CE | 9723 | ? | 485 | 4096 | cnt/LTimer<6> | NULL | NULL | cnt/LTimer<6>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<6>.CE | 9603 | ? | 474 | 4096 | cnt/LTimer<6> | NULL | NULL | cnt/LTimer<6>.SI | 10 | 9 | MC_SI_CE SPPTERM | 1 | IV_TRUE | cnt/TimerTC OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimer<6>.Q | 9724 | ? | 496 | 0 | cnt/LTimer<6> | NULL | NULL | cnt/LTimer<6>.REG | 0 | 8 | SRFF_Q +NODE | cnt/LTimer<6>.Q | 9604 | ? | 485 | 0 | cnt/LTimer<6> | NULL | NULL | cnt/LTimer<6>.REG | 0 | 8 | SRFF_Q TNAME | CLK_IOB MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped+Ce | cnt/LTimer<7> | WarpSE_COPY_0_COPY_0 | 2424312832 | 16 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<13> | 9439 | ? | 507 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<13>.Q | cnt/LTimer<13> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<13> | 9328 | ? | 495 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<13>.Q | cnt/LTimer<13> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 9450 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<7> | 9338 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9485 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 9370 | ? | 486 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 9438 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 9327 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 9440 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 9329 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 9441 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 9330 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 9442 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 9331 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 9443 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<5> | 9332 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 9448 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 9336 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 9449 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<6> | 9337 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF/FCLK- | 9437 | ? | 587 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 9326 | ? | 571 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 9407 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_9_IBUF | 9297 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9305 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9416 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9306 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9446 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +NODE | cs/nOverlay1 | 9340 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9483 | ? | 554 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 9368 | ? | 543 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimer<7> | 9450 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<7> | 9338 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | cnt/LTimer<7>.EXP | 10246 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.EXP | cnt/LTimer<7> | 4 | 0 | MC_EXPORT +NODE | cnt/LTimer<7>.EXP | 10122 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.EXP | cnt/LTimer<7> | 4 | 0 | MC_EXPORT SIGNAL_INSTANCE | cnt/LTimer<7>.SI | cnt/LTimer<7> | 0 | 15 | 4 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<13> | 9439 | ? | 507 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<13>.Q | cnt/LTimer<13> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<13> | 9328 | ? | 495 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<13>.Q | cnt/LTimer<13> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 9450 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<7> | 9338 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9485 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 9370 | ? | 486 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 9438 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 9327 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 9440 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 9329 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 9441 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 9330 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 9442 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 9331 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 9443 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<5> | 9332 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 9448 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 9336 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 9449 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<6> | 9337 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 9407 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_9_IBUF | 9297 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9305 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9416 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9306 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9446 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +NODE | cs/nOverlay1 | 9340 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9483 | ? | 554 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 9368 | ? | 543 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimer<7>.D1 | 9726 | ? | 486 | 4096 | cnt/LTimer<7> | NULL | NULL | cnt/LTimer<7>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer<7>.D1 | 9606 | ? | 475 | 4096 | cnt/LTimer<7> | NULL | NULL | cnt/LTimer<7>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimer<7>.D2 | 9727 | ? | 486 | 4096 | cnt/LTimer<7> | NULL | NULL | cnt/LTimer<7>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/LTimer<7>.D2 | 9607 | ? | 475 | 4096 | cnt/LTimer<7> | NULL | NULL | cnt/LTimer<7>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 3 | IV_TRUE | cnt/LTimer<13> | IV_TRUE | cnt/LTimer<7> | IV_TRUE | cnt/TimerTC SPPTERM | 8 | IV_TRUE | cnt/LTimer<0> | IV_FALSE | cnt/LTimer<13> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<6> SPPTERM | 8 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<6> | IV_FALSE | cnt/TimerTC OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | cnt/LTimer<7>.EXP | 10244 | ? | 0 | 0 | cnt/LTimer<7> | NULL | NULL | cnt/LTimer<7>.SI | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | cnt/LTimer<7>.EXP | 10120 | ? | 0 | 0 | cnt/LTimer<7> | NULL | NULL | cnt/LTimer<7>.SI | 7 | 9 | MC_SI_EXPORT SPPTERM | 5 | IV_TRUE | A_FSB_9_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_TRUE | ram/RASEL OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | cnt/LTimer<7>.CE | 9728 | ? | 487 | 4096 | cnt/LTimer<7> | NULL | NULL | cnt/LTimer<7>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<7>.CE | 9608 | ? | 476 | 4096 | cnt/LTimer<7> | NULL | NULL | cnt/LTimer<7>.SI | 10 | 9 | MC_SI_CE SPPTERM | 1 | IV_TRUE | cnt/TimerTC SRFF_INSTANCE | cnt/LTimer<7>.REG | cnt/LTimer<7> | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimer<7>.D | 9725 | ? | 486 | 0 | cnt/LTimer<7> | NULL | NULL | cnt/LTimer<7>.XOR | 0 | 7 | ALU_F +NODE | cnt/LTimer<7>.D | 9605 | ? | 475 | 0 | cnt/LTimer<7> | NULL | NULL | cnt/LTimer<7>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C8M_IBUF/FCLK- | 9437 | ? | 587 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 9326 | ? | 571 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | cnt/LTimer<7>.CE | 9728 | ? | 487 | 4096 | cnt/LTimer<7> | NULL | NULL | cnt/LTimer<7>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<7>.CE | 9608 | ? | 476 | 4096 | cnt/LTimer<7> | NULL | NULL | cnt/LTimer<7>.SI | 10 | 9 | MC_SI_CE SPPTERM | 1 | IV_TRUE | cnt/TimerTC OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimer<7>.Q | 9729 | ? | 496 | 0 | cnt/LTimer<7> | NULL | NULL | cnt/LTimer<7>.REG | 0 | 8 | SRFF_Q +NODE | cnt/LTimer<7>.Q | 9609 | ? | 485 | 0 | cnt/LTimer<7> | NULL | NULL | cnt/LTimer<7>.REG | 0 | 8 | SRFF_Q TNAME | CLK_IOB MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped+Ce | cnt/LTimer<9> | WarpSE_COPY_0_COPY_0 | 2424312832 | 13 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<13> | 9439 | ? | 507 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<13>.Q | cnt/LTimer<13> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<13> | 9328 | ? | 495 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<13>.Q | cnt/LTimer<13> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<9> | 9451 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<9> | 9339 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9485 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 9370 | ? | 486 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 9438 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 9327 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 9440 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 9329 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 9441 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 9330 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 9442 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 9331 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 9443 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<5> | 9332 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 9448 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 9336 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 9449 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<6> | 9337 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 9450 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<7> | 9338 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<8> | 9454 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<8> | 9343 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF/FCLK- | 9437 | ? | 587 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 9326 | ? | 571 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimer<9> | 9451 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<9> | 9339 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cnt/LTimer<9>.SI | cnt/LTimer<9> | 0 | 12 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<13> | 9439 | ? | 507 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<13>.Q | cnt/LTimer<13> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<13> | 9328 | ? | 495 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<13>.Q | cnt/LTimer<13> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<9> | 9451 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<9> | 9339 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9485 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 9370 | ? | 486 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 9438 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 9327 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 9440 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 9329 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 9441 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 9330 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 9442 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 9331 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 9443 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<5> | 9332 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 9448 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 9336 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 9449 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<6> | 9337 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 9450 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<7> | 9338 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<8> | 9454 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<8> | 9343 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimer<9>.D1 | 9731 | ? | 488 | 4096 | cnt/LTimer<9> | NULL | NULL | cnt/LTimer<9>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer<9>.D1 | 9611 | ? | 477 | 4096 | cnt/LTimer<9> | NULL | NULL | cnt/LTimer<9>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimer<9>.D2 | 9732 | ? | 488 | 4096 | cnt/LTimer<9> | NULL | NULL | cnt/LTimer<9>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/LTimer<9>.D2 | 9612 | ? | 477 | 4096 | cnt/LTimer<9> | NULL | NULL | cnt/LTimer<9>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 3 | IV_TRUE | cnt/LTimer<13> | IV_TRUE | cnt/LTimer<9> | IV_TRUE | cnt/TimerTC SPPTERM | 10 | IV_TRUE | cnt/LTimer<0> | IV_FALSE | cnt/LTimer<13> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/LTimer<7> | IV_TRUE | cnt/LTimer<8> SPPTERM | 10 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/LTimer<7> | IV_TRUE | cnt/LTimer<8> | IV_FALSE | cnt/TimerTC OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | cnt/LTimer<9>.CE | 9733 | ? | 489 | 4096 | cnt/LTimer<9> | NULL | NULL | cnt/LTimer<9>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<9>.CE | 9613 | ? | 478 | 4096 | cnt/LTimer<9> | NULL | NULL | cnt/LTimer<9>.SI | 10 | 9 | MC_SI_CE SPPTERM | 1 | IV_TRUE | cnt/TimerTC SRFF_INSTANCE | cnt/LTimer<9>.REG | cnt/LTimer<9> | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimer<9>.D | 9730 | ? | 488 | 0 | cnt/LTimer<9> | NULL | NULL | cnt/LTimer<9>.XOR | 0 | 7 | ALU_F +NODE | cnt/LTimer<9>.D | 9610 | ? | 477 | 0 | cnt/LTimer<9> | NULL | NULL | cnt/LTimer<9>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C8M_IBUF/FCLK- | 9437 | ? | 587 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 9326 | ? | 571 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | cnt/LTimer<9>.CE | 9733 | ? | 489 | 4096 | cnt/LTimer<9> | NULL | NULL | cnt/LTimer<9>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<9>.CE | 9613 | ? | 478 | 4096 | cnt/LTimer<9> | NULL | NULL | cnt/LTimer<9>.SI | 10 | 9 | MC_SI_CE SPPTERM | 1 | IV_TRUE | cnt/TimerTC OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimer<9>.Q | 9734 | ? | 496 | 0 | cnt/LTimer<9> | NULL | NULL | cnt/LTimer<9>.REG | 0 | 8 | SRFF_Q +NODE | cnt/LTimer<9>.Q | 9614 | ? | 485 | 0 | cnt/LTimer<9> | NULL | NULL | cnt/LTimer<9>.REG | 0 | 8 | SRFF_Q TNAME | CLK_IOB +MACROCELL_INSTANCE | PrldLow+OptxMapped | cs/nOverlay1 | WarpSE_COPY_0_COPY_0 | 2155873280 | 4 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay0 | 9348 | ? | 505 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay0.Q | cs/nOverlay0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9407 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 9333 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cs/nOverlay1 | 9340 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cs/nOverlay1.SI | cs/nOverlay1 | 0 | 3 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay0 | 9348 | ? | 505 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay0.Q | cs/nOverlay0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9407 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cs/nOverlay1.D1 | 9616 | ? | 501 | 4096 | cs/nOverlay1 | NULL | NULL | cs/nOverlay1.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cs/nOverlay1.D2 | 9617 | ? | 501 | 4096 | cs/nOverlay1 | NULL | NULL | cs/nOverlay1.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | cs/nOverlay0 +OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cs/nOverlay1.CE | 9618 | ? | 502 | 4096 | cs/nOverlay1 | NULL | NULL | cs/nOverlay1.SI | 10 | 9 | MC_SI_CE +SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf + +SRFF_INSTANCE | cs/nOverlay1.REG | cs/nOverlay1 | 0 | 3 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cs/nOverlay1.D | 9615 | ? | 501 | 0 | cs/nOverlay1 | NULL | NULL | cs/nOverlay1.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 9333 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 4 | 8 | SRFF_CE +SIGNAL | NODE | cs/nOverlay1.CE | 9618 | ? | 502 | 4096 | cs/nOverlay1 | NULL | NULL | cs/nOverlay1.SI | 10 | 9 | MC_SI_CE +SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cs/nOverlay1.Q | 9619 | ? | 540 | 0 | cs/nOverlay1 | NULL | NULL | cs/nOverlay1.REG | 0 | 8 | SRFF_Q +TNAME | CLK_FSB + MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped+Ce | cnt/LTimer<10> | WarpSE_COPY_0_COPY_0 | 2424312832 | 14 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<13> | 9439 | ? | 507 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<13>.Q | cnt/LTimer<13> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<13> | 9328 | ? | 495 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<13>.Q | cnt/LTimer<13> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<10> | 9452 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<10> | 9341 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9485 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 9370 | ? | 486 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 9438 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 9327 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 9440 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 9329 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 9441 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 9330 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 9442 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 9331 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 9443 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<5> | 9332 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 9448 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 9336 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 9449 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<6> | 9337 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 9450 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<7> | 9338 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<9> | 9451 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<9> | 9339 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<8> | 9454 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<8> | 9343 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF/FCLK- | 9437 | ? | 587 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 9326 | ? | 571 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimer<10> | 9452 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<10> | 9341 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cnt/LTimer<10>.SI | cnt/LTimer<10> | 0 | 13 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<13> | 9439 | ? | 507 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<13>.Q | cnt/LTimer<13> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<13> | 9328 | ? | 495 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<13>.Q | cnt/LTimer<13> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<10> | 9452 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<10> | 9341 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9485 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 9370 | ? | 486 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 9438 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 9327 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 9440 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 9329 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 9441 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 9330 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 9442 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 9331 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 9443 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<5> | 9332 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 9448 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 9336 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 9449 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<6> | 9337 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 9450 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<7> | 9338 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<9> | 9451 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<9> | 9339 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<8> | 9454 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<8> | 9343 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimer<10>.D1 | 9736 | ? | 490 | 4096 | cnt/LTimer<10> | NULL | NULL | cnt/LTimer<10>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer<10>.D1 | 9621 | ? | 479 | 4096 | cnt/LTimer<10> | NULL | NULL | cnt/LTimer<10>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimer<10>.D2 | 9737 | ? | 490 | 4096 | cnt/LTimer<10> | NULL | NULL | cnt/LTimer<10>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/LTimer<10>.D2 | 9622 | ? | 479 | 4096 | cnt/LTimer<10> | NULL | NULL | cnt/LTimer<10>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 3 | IV_TRUE | cnt/LTimer<13> | IV_TRUE | cnt/LTimer<10> | IV_TRUE | cnt/TimerTC SPPTERM | 11 | IV_TRUE | cnt/LTimer<0> | IV_FALSE | cnt/LTimer<13> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/LTimer<7> | IV_TRUE | cnt/LTimer<9> | IV_TRUE | cnt/LTimer<8> SPPTERM | 11 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/LTimer<7> | IV_TRUE | cnt/LTimer<9> | IV_TRUE | cnt/LTimer<8> | IV_FALSE | cnt/TimerTC OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | cnt/LTimer<10>.CE | 9738 | ? | 491 | 4096 | cnt/LTimer<10> | NULL | NULL | cnt/LTimer<10>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<10>.CE | 9623 | ? | 480 | 4096 | cnt/LTimer<10> | NULL | NULL | cnt/LTimer<10>.SI | 10 | 9 | MC_SI_CE SPPTERM | 1 | IV_TRUE | cnt/TimerTC SRFF_INSTANCE | cnt/LTimer<10>.REG | cnt/LTimer<10> | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimer<10>.D | 9735 | ? | 490 | 0 | cnt/LTimer<10> | NULL | NULL | cnt/LTimer<10>.XOR | 0 | 7 | ALU_F +NODE | cnt/LTimer<10>.D | 9620 | ? | 479 | 0 | cnt/LTimer<10> | NULL | NULL | cnt/LTimer<10>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C8M_IBUF/FCLK- | 9437 | ? | 587 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 9326 | ? | 571 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | cnt/LTimer<10>.CE | 9738 | ? | 491 | 4096 | cnt/LTimer<10> | NULL | NULL | cnt/LTimer<10>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<10>.CE | 9623 | ? | 480 | 4096 | cnt/LTimer<10> | NULL | NULL | cnt/LTimer<10>.SI | 10 | 9 | MC_SI_CE SPPTERM | 1 | IV_TRUE | cnt/TimerTC OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimer<10>.Q | 9739 | ? | 496 | 0 | cnt/LTimer<10> | NULL | NULL | cnt/LTimer<10>.REG | 0 | 8 | SRFF_Q +NODE | cnt/LTimer<10>.Q | 9624 | ? | 485 | 0 | cnt/LTimer<10> | NULL | NULL | cnt/LTimer<10>.REG | 0 | 8 | SRFF_Q TNAME | CLK_IOB MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped+Ce | cnt/LTimer<11> | WarpSE_COPY_0_COPY_0 | 2424312832 | 15 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<13> | 9439 | ? | 507 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<13>.Q | cnt/LTimer<13> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<13> | 9328 | ? | 495 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<13>.Q | cnt/LTimer<13> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<11> | 9453 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.Q | cnt/LTimer<11> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<11> | 9342 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.Q | cnt/LTimer<11> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9485 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 9370 | ? | 486 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 9438 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 9327 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 9440 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 9329 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 9441 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 9330 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 9442 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 9331 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 9443 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<5> | 9332 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 9448 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 9336 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 9449 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<6> | 9337 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 9450 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<7> | 9338 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<9> | 9451 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<9> | 9339 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<10> | 9452 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<10> | 9341 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<8> | 9454 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<8> | 9343 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF/FCLK- | 9437 | ? | 587 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 9326 | ? | 571 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimer<11> | 9453 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.Q | cnt/LTimer<11> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<11> | 9342 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.Q | cnt/LTimer<11> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cnt/LTimer<11>.SI | cnt/LTimer<11> | 0 | 14 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<13> | 9439 | ? | 507 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<13>.Q | cnt/LTimer<13> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<13> | 9328 | ? | 495 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<13>.Q | cnt/LTimer<13> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<11> | 9453 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.Q | cnt/LTimer<11> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<11> | 9342 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.Q | cnt/LTimer<11> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9485 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 9370 | ? | 486 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 9438 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 9327 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 9440 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 9329 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 9441 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 9330 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 9442 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 9331 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 9443 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<5> | 9332 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 9448 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 9336 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 9449 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<6> | 9337 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 9450 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<7> | 9338 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<9> | 9451 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<9> | 9339 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<10> | 9452 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<10> | 9341 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<8> | 9454 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<8> | 9343 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimer<11>.D1 | 9741 | ? | 492 | 4096 | cnt/LTimer<11> | NULL | NULL | cnt/LTimer<11>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer<11>.D1 | 9626 | ? | 481 | 4096 | cnt/LTimer<11> | NULL | NULL | cnt/LTimer<11>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimer<11>.D2 | 9742 | ? | 492 | 4096 | cnt/LTimer<11> | NULL | NULL | cnt/LTimer<11>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/LTimer<11>.D2 | 9627 | ? | 481 | 4096 | cnt/LTimer<11> | NULL | NULL | cnt/LTimer<11>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 3 | IV_TRUE | cnt/LTimer<13> | IV_TRUE | cnt/LTimer<11> | IV_TRUE | cnt/TimerTC SPPTERM | 12 | IV_TRUE | cnt/LTimer<0> | IV_FALSE | cnt/LTimer<13> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/LTimer<7> | IV_TRUE | cnt/LTimer<9> | IV_TRUE | cnt/LTimer<10> | IV_TRUE | cnt/LTimer<8> SPPTERM | 12 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/LTimer<7> | IV_TRUE | cnt/LTimer<9> | IV_TRUE | cnt/LTimer<10> | IV_TRUE | cnt/LTimer<8> | IV_FALSE | cnt/TimerTC OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | cnt/LTimer<11>.CE | 9743 | ? | 493 | 4096 | cnt/LTimer<11> | NULL | NULL | cnt/LTimer<11>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<11>.CE | 9628 | ? | 482 | 4096 | cnt/LTimer<11> | NULL | NULL | cnt/LTimer<11>.SI | 10 | 9 | MC_SI_CE SPPTERM | 1 | IV_TRUE | cnt/TimerTC SRFF_INSTANCE | cnt/LTimer<11>.REG | cnt/LTimer<11> | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimer<11>.D | 9740 | ? | 492 | 0 | cnt/LTimer<11> | NULL | NULL | cnt/LTimer<11>.XOR | 0 | 7 | ALU_F +NODE | cnt/LTimer<11>.D | 9625 | ? | 481 | 0 | cnt/LTimer<11> | NULL | NULL | cnt/LTimer<11>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C8M_IBUF/FCLK- | 9437 | ? | 587 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 9326 | ? | 571 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | cnt/LTimer<11>.CE | 9743 | ? | 493 | 4096 | cnt/LTimer<11> | NULL | NULL | cnt/LTimer<11>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<11>.CE | 9628 | ? | 482 | 4096 | cnt/LTimer<11> | NULL | NULL | cnt/LTimer<11>.SI | 10 | 9 | MC_SI_CE SPPTERM | 1 | IV_TRUE | cnt/TimerTC OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimer<11>.Q | 9744 | ? | 496 | 0 | cnt/LTimer<11> | NULL | NULL | cnt/LTimer<11>.REG | 0 | 8 | SRFF_Q +NODE | cnt/LTimer<11>.Q | 9629 | ? | 485 | 0 | cnt/LTimer<11> | NULL | NULL | cnt/LTimer<11>.REG | 0 | 8 | SRFF_Q TNAME | CLK_IOB MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped+Ce | cnt/LTimer<8> | WarpSE_COPY_0_COPY_0 | 2424312832 | 12 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<13> | 9439 | ? | 507 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<13>.Q | cnt/LTimer<13> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<13> | 9328 | ? | 495 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<13>.Q | cnt/LTimer<13> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<8> | 9454 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<8> | 9343 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9485 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 9370 | ? | 486 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 9438 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 9327 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 9440 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 9329 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 9441 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 9330 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 9442 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 9331 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 9443 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<5> | 9332 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 9448 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 9336 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 9449 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<6> | 9337 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 9450 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<7> | 9338 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF/FCLK- | 9437 | ? | 587 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 9326 | ? | 571 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimer<8> | 9454 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<8> | 9343 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cnt/LTimer<8>.SI | cnt/LTimer<8> | 0 | 11 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<13> | 9439 | ? | 507 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<13>.Q | cnt/LTimer<13> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<13> | 9328 | ? | 495 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<13>.Q | cnt/LTimer<13> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<8> | 9454 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<8> | 9343 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9485 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 9370 | ? | 486 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 9438 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 9327 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 9440 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 9329 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 9441 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 9330 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 9442 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 9331 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 9443 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<5> | 9332 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 9448 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 9336 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 9449 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<6> | 9337 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 9450 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<7> | 9338 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimer<8>.D1 | 9746 | ? | 494 | 4096 | cnt/LTimer<8> | NULL | NULL | cnt/LTimer<8>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer<8>.D1 | 9631 | ? | 483 | 4096 | cnt/LTimer<8> | NULL | NULL | cnt/LTimer<8>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimer<8>.D2 | 9747 | ? | 494 | 4096 | cnt/LTimer<8> | NULL | NULL | cnt/LTimer<8>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/LTimer<8>.D2 | 9632 | ? | 483 | 4096 | cnt/LTimer<8> | NULL | NULL | cnt/LTimer<8>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 3 | IV_TRUE | cnt/LTimer<13> | IV_TRUE | cnt/LTimer<8> | IV_TRUE | cnt/TimerTC SPPTERM | 9 | IV_TRUE | cnt/LTimer<0> | IV_FALSE | cnt/LTimer<13> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/LTimer<7> SPPTERM | 9 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/LTimer<7> | IV_FALSE | cnt/TimerTC OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | cnt/LTimer<8>.CE | 9748 | ? | 495 | 4096 | cnt/LTimer<8> | NULL | NULL | cnt/LTimer<8>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<8>.CE | 9633 | ? | 484 | 4096 | cnt/LTimer<8> | NULL | NULL | cnt/LTimer<8>.SI | 10 | 9 | MC_SI_CE SPPTERM | 1 | IV_TRUE | cnt/TimerTC SRFF_INSTANCE | cnt/LTimer<8>.REG | cnt/LTimer<8> | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimer<8>.D | 9745 | ? | 494 | 0 | cnt/LTimer<8> | NULL | NULL | cnt/LTimer<8>.XOR | 0 | 7 | ALU_F +NODE | cnt/LTimer<8>.D | 9630 | ? | 483 | 0 | cnt/LTimer<8> | NULL | NULL | cnt/LTimer<8>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C8M_IBUF/FCLK- | 9437 | ? | 587 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 9326 | ? | 571 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | cnt/LTimer<8>.CE | 9748 | ? | 495 | 4096 | cnt/LTimer<8> | NULL | NULL | cnt/LTimer<8>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<8>.CE | 9633 | ? | 484 | 4096 | cnt/LTimer<8> | NULL | NULL | cnt/LTimer<8>.SI | 10 | 9 | MC_SI_CE SPPTERM | 1 | IV_TRUE | cnt/TimerTC OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimer<8>.Q | 9749 | ? | 496 | 0 | cnt/LTimer<8> | NULL | NULL | cnt/LTimer<8>.REG | 0 | 8 | SRFF_Q +NODE | cnt/LTimer<8>.Q | 9634 | ? | 485 | 0 | cnt/LTimer<8> | NULL | NULL | cnt/LTimer<8>.REG | 0 | 8 | SRFF_Q TNAME | CLK_IOB MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped+Ce | cnt/LTimer<12> | WarpSE_COPY_0_COPY_0 | 2424312832 | 16 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<13> | 9439 | ? | 507 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<13>.Q | cnt/LTimer<13> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<13> | 9328 | ? | 495 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<13>.Q | cnt/LTimer<13> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<12> | 9455 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<12>.Q | cnt/LTimer<12> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<12> | 9344 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<12>.Q | cnt/LTimer<12> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9485 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 9370 | ? | 486 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 9438 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 9327 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 9440 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 9329 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 9441 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 9330 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 9442 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 9331 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 9443 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<5> | 9332 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 9448 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 9336 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 9449 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<6> | 9337 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 9450 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<7> | 9338 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<9> | 9451 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<9> | 9339 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<10> | 9452 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<10> | 9341 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<11> | 9453 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.Q | cnt/LTimer<11> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<11> | 9342 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.Q | cnt/LTimer<11> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<8> | 9454 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<8> | 9343 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF/FCLK- | 9437 | ? | 587 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 9326 | ? | 571 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimer<12> | 9455 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<12>.Q | cnt/LTimer<12> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<12> | 9344 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<12>.Q | cnt/LTimer<12> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cnt/LTimer<12>.SI | cnt/LTimer<12> | 0 | 15 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<13> | 9439 | ? | 507 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<13>.Q | cnt/LTimer<13> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<13> | 9328 | ? | 495 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<13>.Q | cnt/LTimer<13> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<12> | 9455 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<12>.Q | cnt/LTimer<12> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<12> | 9344 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<12>.Q | cnt/LTimer<12> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9485 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 9370 | ? | 486 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 9438 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 9327 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 9440 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 9329 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 9441 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 9330 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 9442 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 9331 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 9443 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<5> | 9332 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 9448 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 9336 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 9449 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<6> | 9337 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 9450 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<7> | 9338 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<9> | 9451 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<9> | 9339 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<10> | 9452 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<10> | 9341 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<11> | 9453 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.Q | cnt/LTimer<11> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<11> | 9342 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.Q | cnt/LTimer<11> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<8> | 9454 | ? | 496 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<8> | 9343 | ? | 485 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimer<12>.D1 | 9751 | ? | 496 | 4096 | cnt/LTimer<12> | NULL | NULL | cnt/LTimer<12>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer<12>.D1 | 9636 | ? | 485 | 4096 | cnt/LTimer<12> | NULL | NULL | cnt/LTimer<12>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimer<12>.D2 | 9752 | ? | 496 | 4096 | cnt/LTimer<12> | NULL | NULL | cnt/LTimer<12>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/LTimer<12>.D2 | 9637 | ? | 485 | 4096 | cnt/LTimer<12> | NULL | NULL | cnt/LTimer<12>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 3 | IV_TRUE | cnt/LTimer<13> | IV_TRUE | cnt/LTimer<12> | IV_TRUE | cnt/TimerTC SPPTERM | 13 | IV_TRUE | cnt/LTimer<0> | IV_FALSE | cnt/LTimer<13> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/LTimer<7> | IV_TRUE | cnt/LTimer<9> | IV_TRUE | cnt/LTimer<10> | IV_TRUE | cnt/LTimer<11> | IV_TRUE | cnt/LTimer<8> SPPTERM | 13 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/LTimer<7> | IV_TRUE | cnt/LTimer<9> | IV_TRUE | cnt/LTimer<10> | IV_TRUE | cnt/LTimer<11> | IV_TRUE | cnt/LTimer<8> | IV_FALSE | cnt/TimerTC OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | cnt/LTimer<12>.CE | 9753 | ? | 497 | 4096 | cnt/LTimer<12> | NULL | NULL | cnt/LTimer<12>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<12>.CE | 9638 | ? | 486 | 4096 | cnt/LTimer<12> | NULL | NULL | cnt/LTimer<12>.SI | 10 | 9 | MC_SI_CE SPPTERM | 1 | IV_TRUE | cnt/TimerTC SRFF_INSTANCE | cnt/LTimer<12>.REG | cnt/LTimer<12> | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimer<12>.D | 9750 | ? | 496 | 0 | cnt/LTimer<12> | NULL | NULL | cnt/LTimer<12>.XOR | 0 | 7 | ALU_F +NODE | cnt/LTimer<12>.D | 9635 | ? | 485 | 0 | cnt/LTimer<12> | NULL | NULL | cnt/LTimer<12>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C8M_IBUF/FCLK- | 9437 | ? | 587 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 9326 | ? | 571 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | cnt/LTimer<12>.CE | 9753 | ? | 497 | 4096 | cnt/LTimer<12> | NULL | NULL | cnt/LTimer<12>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<12>.CE | 9638 | ? | 486 | 4096 | cnt/LTimer<12> | NULL | NULL | cnt/LTimer<12>.SI | 10 | 9 | MC_SI_CE SPPTERM | 1 | IV_TRUE | cnt/TimerTC OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimer<12>.Q | 9754 | ? | 496 | 0 | cnt/LTimer<12> | NULL | NULL | cnt/LTimer<12>.REG | 0 | 8 | SRFF_Q +NODE | cnt/LTimer<12>.Q | 9639 | ? | 485 | 0 | cnt/LTimer<12> | NULL | NULL | cnt/LTimer<12>.REG | 0 | 8 | SRFF_Q TNAME | CLK_IOB -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | iobs/IORW0 | WarpSE_COPY_0_COPY_0 | 2155877376 | 13 | 1 +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | iobs/IORW0 | WarpSE_COPY_0_COPY_0 | 2155873536 | 12 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW0 | 9456 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW0.Q | iobs/IORW0 | 1 | 0 | MC_UIM +NODE | iobs/IORW0 | 9345 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW0.Q | iobs/IORW0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW1 | 9468 | ? | 525 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd2 | 9376 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9491 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd1 | 9380 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9495 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/Once | 9335 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/ALE1 | 9574 | ? | 555 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | iobs/ALE1 | 9458 | ? | 544 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9305 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9435 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9306 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9447 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9473 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | fsb/ASrf | 9407 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9522 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | EXP21_.EXP | 10088 | ? | 503 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP21_.EXP | EXP21_ | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP19_.EXP | 10212 | ? | 514 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP19_.EXP | EXP19_ | 4 | 0 | MC_EXPORT +NODE | EXP22_.EXP | 10089 | ? | 503 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP22_.EXP | EXP22_ | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP20_.EXP | 10213 | ? | 514 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP20_.EXP | EXP20_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9444 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9333 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/IORW0 | 9456 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW0.Q | iobs/IORW0 | 1 | 0 | MC_UIM +NODE | iobs/IORW0 | 9345 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW0.Q | iobs/IORW0 | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | iobs/IORW0.SI | iobs/IORW0 | 0 | 12 | 2 +SIGNAL_INSTANCE | iobs/IORW0.SI | iobs/IORW0 | 0 | 11 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW0 | 9456 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW0.Q | iobs/IORW0 | 1 | 0 | MC_UIM +NODE | iobs/IORW0 | 9345 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW0.Q | iobs/IORW0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW1 | 9468 | ? | 525 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd2 | 9376 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9491 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd1 | 9380 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9495 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/Once | 9335 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/ALE1 | 9574 | ? | 555 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | iobs/ALE1 | 9458 | ? | 544 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9305 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9435 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9306 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9447 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9473 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | fsb/ASrf | 9407 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9522 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | EXP21_.EXP | 10088 | ? | 503 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP21_.EXP | EXP21_ | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP19_.EXP | 10212 | ? | 514 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP19_.EXP | EXP19_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP20_.EXP | 10213 | ? | 514 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP20_.EXP | EXP20_ | 4 | 0 | MC_EXPORT +NODE | EXP22_.EXP | 10089 | ? | 503 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP22_.EXP | EXP22_ | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/IORW0.D1 | 9756 | ? | 514 | 4096 | iobs/IORW0 | NULL | NULL | iobs/IORW0.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/IORW0.D1 | 9641 | ? | 503 | 4096 | iobs/IORW0 | NULL | NULL | iobs/IORW0.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/IORW0.D2 | 9757 | ? | 514 | 4096 | iobs/IORW0 | NULL | NULL | iobs/IORW0.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | EXP19_.EXP -SPPTERM | 1 | IV_TRUE | EXP20_.EXP -SPPTERM | 5 | IV_TRUE | iobs/IORW0 | IV_FALSE | iobs/IORW1 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_FALSE | iobs/ALE1 -SPPTERM | 5 | IV_FALSE | iobs/IORW0 | IV_TRUE | iobs/IORW1 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_FALSE | iobs/ALE1 -SPPTERM | 8 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | iobs/Once | IV_FALSE | iobs/IORW0 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | iobs/ALE1 -SPPTERM | 8 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/Once | IV_TRUE | iobs/IORW0 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | iobs/ALE1 -SPPTERM | 8 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/Once | IV_TRUE | iobs/IORW0 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | iobs/ALE1 +SIGNAL | NODE | iobs/IORW0.D2 | 9642 | ? | 503 | 4096 | iobs/IORW0 | NULL | NULL | iobs/IORW0.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | EXP21_.EXP +SPPTERM | 1 | IV_TRUE | EXP22_.EXP +SPPTERM | 2 | IV_FALSE | iobs/IORW0 | IV_TRUE | iobs/PS_FSM_FFd2 +SPPTERM | 2 | IV_FALSE | iobs/IORW0 | IV_TRUE | iobs/PS_FSM_FFd1 +SPPTERM | 3 | IV_TRUE | iobs/Once | IV_FALSE | iobs/IORW0 | IV_TRUE | iobs/ALE1 +SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | iobs/IORW0 | IV_TRUE | iobs/ALE1 +SPPTERM | 4 | IV_FALSE | iobs/IORW0 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf | IV_TRUE | iobs/ALE1 SRFF_INSTANCE | iobs/IORW0.REG | iobs/IORW0 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/IORW0.D | 9755 | ? | 514 | 0 | iobs/IORW0 | NULL | NULL | iobs/IORW0.XOR | 0 | 7 | ALU_F +NODE | iobs/IORW0.D | 9640 | ? | 503 | 0 | iobs/IORW0 | NULL | NULL | iobs/IORW0.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9444 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9333 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/IORW0.Q | 9758 | ? | 581 | 0 | iobs/IORW0 | NULL | NULL | iobs/IORW0.REG | 0 | 8 | SRFF_Q +NODE | iobs/IORW0.Q | 9643 | ? | 568 | 0 | iobs/IORW0 | NULL | NULL | iobs/IORW0.REG | 0 | 8 | SRFF_Q TNAME | CLK_FSB MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RefDone | WarpSE_COPY_0_COPY_0 | 2155873280 | 10 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 9457 | ? | 544 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +NODE | ram/RefDone | 9346 | ? | 533 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefReqSync | 9513 | ? | 544 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReqSync.Q | ram/RefReqSync | 1 | 0 | MC_UIM +NODE | ram/RefReqSync | 9398 | ? | 533 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReqSync.Q | ram/RefReqSync | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9487 | ? | 552 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd1 | 9372 | ? | 541 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9490 | ? | 552 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd2 | 9375 | ? | 541 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9444 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9333 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9305 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9416 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9306 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9417 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9307 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9418 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 9308 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9446 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +NODE | cs/nOverlay1 | 9340 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RefDone | 9457 | ? | 544 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +NODE | ram/RefDone | 9346 | ? | 533 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | ram/RefDone.EXP | 10229 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.EXP | ram/RefDone | 4 | 0 | MC_EXPORT +NODE | ram/RefDone.EXP | 10108 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.EXP | ram/RefDone | 4 | 0 | MC_EXPORT SIGNAL_INSTANCE | ram/RefDone.SI | ram/RefDone | 0 | 9 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 9457 | ? | 544 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +NODE | ram/RefDone | 9346 | ? | 533 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefReqSync | 9513 | ? | 544 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReqSync.Q | ram/RefReqSync | 1 | 0 | MC_UIM +NODE | ram/RefReqSync | 9398 | ? | 533 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReqSync.Q | ram/RefReqSync | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9487 | ? | 552 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd1 | 9372 | ? | 541 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9490 | ? | 552 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd2 | 9375 | ? | 541 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9305 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9416 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9306 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9417 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9307 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9418 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 9308 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9446 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +NODE | cs/nOverlay1 | 9340 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RefDone.D1 | 9760 | ? | 515 | 4096 | ram/RefDone | NULL | NULL | ram/RefDone.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RefDone.D1 | 9645 | ? | 504 | 4096 | ram/RefDone | NULL | NULL | ram/RefDone.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RefDone.D2 | 9761 | ? | 515 | 4096 | ram/RefDone | NULL | NULL | ram/RefDone.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/RefDone.D2 | 9646 | ? | 504 | 4096 | ram/RefDone | NULL | NULL | ram/RefDone.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | ram/RefDone | IV_TRUE | ram/RefReqSync SPPTERM | 3 | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RefReqSync OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | ram/RefDone.EXP | 10217 | ? | 0 | 0 | ram/RefDone | NULL | NULL | ram/RefDone.SI | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | ram/RefDone.EXP | 10097 | ? | 0 | 0 | ram/RefDone | NULL | NULL | ram/RefDone.SI | 7 | 9 | MC_SI_EXPORT SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | cs/nOverlay1 SRFF_INSTANCE | ram/RefDone.REG | ram/RefDone | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RefDone.D | 9759 | ? | 515 | 0 | ram/RefDone | NULL | NULL | ram/RefDone.XOR | 0 | 7 | ALU_F +NODE | ram/RefDone.D | 9644 | ? | 504 | 0 | ram/RefDone | NULL | NULL | ram/RefDone.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9444 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9333 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RefDone.Q | 9762 | ? | 544 | 0 | ram/RefDone | NULL | NULL | ram/RefDone.REG | 0 | 8 | SRFF_Q +NODE | ram/RefDone.Q | 9647 | ? | 533 | 0 | ram/RefDone | NULL | NULL | ram/RefDone.REG | 0 | 8 | SRFF_Q TNAME | CLK_FSB INPUT_INSTANCE | 0 | 0 | NULL | N01 | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nRES | 9607 | PIPO | 584 | 64 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nRES | 0 | 6 | OI_OUT +NODE | nRES | 9492 | PIPO | 571 | 64 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nRES | 0 | 6 | OI_OUT OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | N01 | 9458 | ? | 584 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | N01 | 0 | 5 | II_IMUX +NODE | N01 | 9347 | ? | 571 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | N01 | 0 | 5 | II_IMUX MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cs/nOverlay0 | WarpSE_COPY_0_COPY_0 | 2155877376 | 11 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9305 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9416 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9306 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9417 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9307 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9418 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 9308 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay0 | 9459 | ? | 516 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay0.Q | cs/nOverlay0 | 1 | 0 | MC_UIM +NODE | cs/nOverlay0 | 9348 | ? | 505 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay0.Q | cs/nOverlay0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9473 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9522 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9407 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | N01 | 9458 | ? | 584 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | N01 | 0 | 5 | II_IMUX +NODE | N01 | 9347 | ? | 571 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | N01 | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9444 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9333 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9483 | ? | 554 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 9368 | ? | 543 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_5_IBUF | 9545 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_5_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_5_IBUF | 9429 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_5_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cs/nOverlay0 | 9459 | ? | 516 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay0.Q | cs/nOverlay0 | 1 | 0 | MC_UIM +NODE | cs/nOverlay0 | 9348 | ? | 505 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay0.Q | cs/nOverlay0 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | cs/nOverlay0.EXP | 10231 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay0.EXP | cs/nOverlay0 | 4 | 0 | MC_EXPORT +NODE | cs/nOverlay0.EXP | 10109 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay0.EXP | cs/nOverlay0 | 4 | 0 | MC_EXPORT SIGNAL_INSTANCE | cs/nOverlay0.SI | cs/nOverlay0 | 0 | 10 | 4 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9305 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9416 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9306 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9417 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9307 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9418 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 9308 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay0 | 9459 | ? | 516 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay0.Q | cs/nOverlay0 | 1 | 0 | MC_UIM +NODE | cs/nOverlay0 | 9348 | ? | 505 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay0.Q | cs/nOverlay0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9473 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9522 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9407 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | N01 | 9458 | ? | 584 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | N01 | 0 | 5 | II_IMUX +NODE | N01 | 9347 | ? | 571 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | N01 | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9483 | ? | 554 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 9368 | ? | 543 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_5_IBUF | 9545 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_5_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_5_IBUF | 9429 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_5_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cs/nOverlay0.D1 | 9764 | ? | 516 | 4096 | cs/nOverlay0 | NULL | NULL | cs/nOverlay0.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cs/nOverlay0.D1 | 9649 | ? | 505 | 4096 | cs/nOverlay0 | NULL | NULL | cs/nOverlay0.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cs/nOverlay0.D2 | 9765 | ? | 516 | 6144 | cs/nOverlay0 | NULL | NULL | cs/nOverlay0.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cs/nOverlay0.D2 | 9650 | ? | 505 | 6144 | cs/nOverlay0 | NULL | NULL | cs/nOverlay0.SI | 2 | 9 | MC_SI_D2 SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | cs/nOverlay0 | IV_FALSE | nAS_FSB_IBUF SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | cs/nOverlay0 | IV_TRUE | fsb/ASrf OUTPUT_NODE_TYPE | 6 | 9 | MC_SI_RSTF -SIGNAL | NODE | cs/nOverlay0.RSTF | 9766 | ? | 517 | 4096 | cs/nOverlay0 | NULL | NULL | cs/nOverlay0.SI | 6 | 9 | MC_SI_RSTF +SIGNAL | NODE | cs/nOverlay0.RSTF | 9651 | ? | 506 | 4096 | cs/nOverlay0 | NULL | NULL | cs/nOverlay0.SI | 6 | 9 | MC_SI_RSTF SPPTERM | 1 | IV_FALSE | N01 OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | cs/nOverlay0.EXP | 10219 | ? | 0 | 0 | cs/nOverlay0 | NULL | NULL | cs/nOverlay0.SI | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | cs/nOverlay0.EXP | 10098 | ? | 0 | 0 | cs/nOverlay0 | NULL | NULL | cs/nOverlay0.SI | 7 | 9 | MC_SI_EXPORT SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_5_IBUF SRFF_INSTANCE | cs/nOverlay0.REG | cs/nOverlay0 | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cs/nOverlay0.D | 9763 | ? | 516 | 0 | cs/nOverlay0 | NULL | NULL | cs/nOverlay0.XOR | 0 | 7 | ALU_F +NODE | cs/nOverlay0.D | 9648 | ? | 505 | 0 | cs/nOverlay0 | NULL | NULL | cs/nOverlay0.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9444 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9333 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 3 | 8 | SRFF_R -SIGNAL | NODE | cs/nOverlay0.RSTF | 9766 | ? | 517 | 4096 | cs/nOverlay0 | NULL | NULL | cs/nOverlay0.SI | 6 | 9 | MC_SI_RSTF +SIGNAL | NODE | cs/nOverlay0.RSTF | 9651 | ? | 506 | 4096 | cs/nOverlay0 | NULL | NULL | cs/nOverlay0.SI | 6 | 9 | MC_SI_RSTF SPPTERM | 1 | IV_FALSE | N01 OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cs/nOverlay0.Q | 9767 | ? | 516 | 0 | cs/nOverlay0 | NULL | NULL | cs/nOverlay0.REG | 0 | 8 | SRFF_Q +NODE | cs/nOverlay0.Q | 9652 | ? | 505 | 0 | cs/nOverlay0 | NULL | NULL | cs/nOverlay0.REG | 0 | 8 | SRFF_Q TNAME | CLK_FSB -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | fsb/Ready0r | WarpSE_COPY_0_COPY_0 | 2155873536 | 16 | 2 +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | fsb/Ready0r | WarpSE_COPY_0_COPY_0 | 2155873536 | 9 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9305 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9416 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9306 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9417 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9307 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9446 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +NODE | cs/nOverlay1 | 9340 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready0r | 9460 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM +NODE | fsb/Ready0r | 9349 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMReady | 9540 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM +NODE | ram/RAMReady | 9424 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9447 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9469 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9407 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9444 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9491 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 9506 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOBERR | 9511 | ? | 572 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOBERR.Q | iobm/IOBERR | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/ALE1 | 9574 | ? | 555 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9473 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9522 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Clear1.EXP | 10204 | ? | 518 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Clear1.EXP | iobs/Clear1 | 4 | 0 | MC_EXPORT +NODE | FCLK_IBUF/FCLK | 9333 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | fsb/Ready0r | 9460 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | fsb/Ready0r.EXP | 10205 | ? | 526 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.EXP | fsb/Ready0r | 4 | 0 | MC_EXPORT +NODE | fsb/Ready0r | 9349 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | fsb/Ready0r.SI | fsb/Ready0r | 0 | 15 | 3 +SIGNAL_INSTANCE | fsb/Ready0r.SI | fsb/Ready0r | 0 | 8 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9305 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9416 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9306 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9417 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9307 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9446 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +NODE | cs/nOverlay1 | 9340 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready0r | 9460 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM +NODE | fsb/Ready0r | 9349 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMReady | 9540 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM +NODE | ram/RAMReady | 9424 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9447 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9469 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9491 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 9506 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOBERR | 9511 | ? | 572 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOBERR.Q | iobm/IOBERR | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/ALE1 | 9574 | ? | 555 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9473 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9522 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Clear1.EXP | 10204 | ? | 518 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Clear1.EXP | iobs/Clear1 | 4 | 0 | MC_EXPORT +NODE | fsb/ASrf | 9407 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | fsb/Ready0r.D1 | 9769 | ? | 518 | 4096 | fsb/Ready0r | NULL | NULL | fsb/Ready0r.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | fsb/Ready0r.D1 | 9654 | ? | 507 | 4096 | fsb/Ready0r | NULL | NULL | fsb/Ready0r.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | fsb/Ready0r.D2 | 9770 | ? | 518 | 4096 | fsb/Ready0r | NULL | NULL | fsb/Ready0r.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | iobs/Clear1.EXP +SIGNAL | NODE | fsb/Ready0r.D2 | 9655 | ? | 507 | 4096 | fsb/Ready0r | NULL | NULL | fsb/Ready0r.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | fsb/Ready0r | IV_FALSE | ram/RAMReady SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | fsb/Ready0r | IV_FALSE | ram/RAMReady -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | fsb/Ready0r.EXP | 10191 | ? | 526 | 0 | fsb/Ready0r | NULL | NULL | fsb/Ready0r.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 6 | IV_TRUE | iobs/Once | IV_TRUE | iobs/IOReady | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/IOACTr | IV_TRUE | iobm/IOBERR | IV_TRUE | iobs/ALE1 -SPPTERM | 7 | IV_TRUE | iobs/Once | IV_FALSE | iobs/IOReady | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/IOACTr | IV_FALSE | iobm/IOBERR | IV_TRUE | iobs/ALE1 -SPPTERM | 7 | IV_TRUE | iobs/Once | IV_FALSE | iobs/IOReady | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/IOACTr | IV_FALSE | iobm/IOBERR | IV_TRUE | fsb/ASrf | IV_TRUE | iobs/ALE1 SRFF_INSTANCE | fsb/Ready0r.REG | fsb/Ready0r | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | fsb/Ready0r.D | 9768 | ? | 518 | 0 | fsb/Ready0r | NULL | NULL | fsb/Ready0r.XOR | 0 | 7 | ALU_F +NODE | fsb/Ready0r.D | 9653 | ? | 507 | 0 | fsb/Ready0r | NULL | NULL | fsb/Ready0r.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9444 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9333 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | fsb/Ready0r.Q | 9771 | ? | 531 | 0 | fsb/Ready0r | NULL | NULL | fsb/Ready0r.REG | 0 | 8 | SRFF_Q +NODE | fsb/Ready0r.Q | 9656 | ? | 520 | 0 | fsb/Ready0r | NULL | NULL | fsb/Ready0r.REG | 0 | 8 | SRFF_Q TNAME | CLK_FSB -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | fsb/Ready1r | WarpSE_COPY_0_COPY_0 | 2155873536 | 18 | 2 +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | fsb/Ready1r | WarpSE_COPY_0_COPY_0 | 2155873536 | 12 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9473 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9522 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9407 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9305 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9461 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +NODE | fsb/Ready1r | 9350 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9469 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +NODE | iobs/IOReady | 9356 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9416 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9306 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9417 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9307 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9418 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 9308 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9410 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 9300 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9419 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 9301 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9420 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | fsb/nDTACK.EXP | 10084 | ? | 508 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/nDTACK.EXP | fsb/nDTACK | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9421 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9422 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9435 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9446 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9411 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/nDTACK.EXP | 10208 | ? | 519 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/nDTACK.EXP | fsb/nDTACK | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9444 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9333 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | fsb/Ready1r | 9461 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +NODE | fsb/Ready1r | 9350 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | fsb/Ready1r.EXP | 10209 | ? | 525 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.EXP | fsb/Ready1r | 4 | 0 | MC_EXPORT +NODE | fsb/Ready1r.EXP | 10085 | ? | 514 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.EXP | fsb/Ready1r | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | fsb/Ready1r.SI | fsb/Ready1r | 0 | 17 | 3 +SIGNAL_INSTANCE | fsb/Ready1r.SI | fsb/Ready1r | 0 | 11 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9473 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9522 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9407 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9305 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9461 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +NODE | fsb/Ready1r | 9350 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9469 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +NODE | iobs/IOReady | 9356 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9416 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9306 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9417 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9307 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9418 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 9308 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9410 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 9300 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9419 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 9301 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9420 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9421 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9422 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9435 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9446 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9411 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/nDTACK.EXP | 10208 | ? | 519 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/nDTACK.EXP | fsb/nDTACK | 4 | 0 | MC_EXPORT +NODE | fsb/nDTACK.EXP | 10084 | ? | 508 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/nDTACK.EXP | fsb/nDTACK | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | fsb/Ready1r.D1 | 9773 | ? | 519 | 4096 | fsb/Ready1r | NULL | NULL | fsb/Ready1r.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | fsb/Ready1r.D1 | 9658 | ? | 508 | 4096 | fsb/Ready1r | NULL | NULL | fsb/Ready1r.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | fsb/Ready1r.D2 | 9774 | ? | 519 | 4096 | fsb/Ready1r | NULL | NULL | fsb/Ready1r.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | fsb/Ready1r.D2 | 9659 | ? | 508 | 4096 | fsb/Ready1r | NULL | NULL | fsb/Ready1r.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | fsb/nDTACK.EXP SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf SPPTERM | 3 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady -SPPTERM | 5 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady -SPPTERM | 11 | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady +SPPTERM | 4 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady +SPPTERM | 4 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | fsb/Ready1r.EXP | 10195 | ? | 525 | 0 | fsb/Ready1r | NULL | NULL | fsb/Ready1r.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 4 | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_13_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF +SIGNAL | NODE | fsb/Ready1r.EXP | 10071 | ? | 514 | 0 | fsb/Ready1r | NULL | NULL | fsb/Ready1r.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 4 | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_13_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF SRFF_INSTANCE | fsb/Ready1r.REG | fsb/Ready1r | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | fsb/Ready1r.D | 9772 | ? | 519 | 0 | fsb/Ready1r | NULL | NULL | fsb/Ready1r.XOR | 0 | 7 | ALU_F +NODE | fsb/Ready1r.D | 9657 | ? | 508 | 0 | fsb/Ready1r | NULL | NULL | fsb/Ready1r.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9444 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9333 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | fsb/Ready1r.Q | 9775 | ? | 531 | 0 | fsb/Ready1r | NULL | NULL | fsb/Ready1r.REG | 0 | 8 | SRFF_Q +NODE | fsb/Ready1r.Q | 9660 | ? | 520 | 0 | fsb/Ready1r | NULL | NULL | fsb/Ready1r.REG | 0 | 8 | SRFF_Q TNAME | CLK_FSB -MACROCELL_INSTANCE | PrldLow+OptxMapped | fsb/VPA | WarpSE_COPY_0_COPY_0 | 2155873280 | 15 | 1 +MACROCELL_INSTANCE | PrldLow+OptxMapped | fsb/VPA | WarpSE_COPY_0_COPY_0 | 2155873280 | 12 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9305 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9461 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +NODE | fsb/Ready1r | 9350 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/VPA | 9462 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM +NODE | fsb/VPA | 9351 | ? | 509 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9469 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +NODE | iobs/IOReady | 9356 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9473 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9522 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9407 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9416 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9306 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9417 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9307 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9418 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 9308 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9446 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +NODE | EXP14_.EXP | 10078 | ? | 509 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP14_.EXP | EXP14_ | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready0r | 9460 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM +NODE | EXP23_.EXP | 10091 | ? | 509 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP23_.EXP | EXP23_ | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMReady | 9540 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP14_.EXP | 10202 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP14_.EXP | EXP14_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP15_.EXP | 10203 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP15_.EXP | EXP15_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9444 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9333 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | fsb/VPA | 9462 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM +NODE | fsb/VPA | 9351 | ? | 509 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | fsb/VPA.SI | fsb/VPA | 0 | 14 | 2 +SIGNAL_INSTANCE | fsb/VPA.SI | fsb/VPA | 0 | 11 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9305 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9461 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +NODE | fsb/Ready1r | 9350 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/VPA | 9462 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM +NODE | fsb/VPA | 9351 | ? | 509 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9469 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +NODE | iobs/IOReady | 9356 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9473 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9522 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9407 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9416 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9306 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9417 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9307 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9418 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 9308 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9446 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +NODE | EXP14_.EXP | 10078 | ? | 509 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP14_.EXP | EXP14_ | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready0r | 9460 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMReady | 9540 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP14_.EXP | 10202 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP14_.EXP | EXP14_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP15_.EXP | 10203 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP15_.EXP | EXP15_ | 4 | 0 | MC_EXPORT +NODE | EXP23_.EXP | 10091 | ? | 509 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP23_.EXP | EXP23_ | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | fsb/VPA.D1 | 9777 | ? | 520 | 4096 | fsb/VPA | NULL | NULL | fsb/VPA.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | fsb/VPA.D1 | 9662 | ? | 509 | 4096 | fsb/VPA | NULL | NULL | fsb/VPA.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | fsb/VPA.D2 | 9778 | ? | 520 | 4096 | fsb/VPA | NULL | NULL | fsb/VPA.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | fsb/VPA.D2 | 9663 | ? | 509 | 4096 | fsb/VPA | NULL | NULL | fsb/VPA.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | EXP14_.EXP -SPPTERM | 1 | IV_TRUE | EXP15_.EXP +SPPTERM | 1 | IV_TRUE | EXP23_.EXP SPPTERM | 5 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | fsb/Ready1r | IV_TRUE | fsb/VPA | IV_FALSE | iobs/IOReady | IV_FALSE | nAS_FSB_IBUF SPPTERM | 5 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | fsb/Ready1r | IV_TRUE | fsb/VPA | IV_FALSE | iobs/IOReady | IV_TRUE | fsb/ASrf -SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | fsb/Ready0r | IV_TRUE | fsb/VPA | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RAMReady -SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | fsb/Ready1r | IV_TRUE | fsb/VPA | IV_FALSE | iobs/IOReady | IV_FALSE | nAS_FSB_IBUF -SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | fsb/Ready1r | IV_TRUE | fsb/VPA | IV_FALSE | iobs/IOReady | IV_TRUE | fsb/ASrf +SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | fsb/Ready1r | IV_TRUE | fsb/VPA | IV_FALSE | iobs/IOReady | IV_FALSE | nAS_FSB_IBUF +SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | fsb/Ready1r | IV_TRUE | fsb/VPA | IV_FALSE | iobs/IOReady | IV_TRUE | fsb/ASrf +SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | fsb/Ready1r | IV_TRUE | fsb/VPA | IV_FALSE | iobs/IOReady | IV_FALSE | nAS_FSB_IBUF SRFF_INSTANCE | fsb/VPA.REG | fsb/VPA | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | fsb/VPA.D | 9776 | ? | 520 | 0 | fsb/VPA | NULL | NULL | fsb/VPA.XOR | 0 | 7 | ALU_F +NODE | fsb/VPA.D | 9661 | ? | 509 | 0 | fsb/VPA | NULL | NULL | fsb/VPA.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9444 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9333 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | fsb/VPA.Q | 9779 | ? | 520 | 0 | fsb/VPA | NULL | NULL | fsb/VPA.REG | 0 | 8 | SRFF_Q +NODE | fsb/VPA.Q | 9664 | ? | 509 | 0 | fsb/VPA | NULL | NULL | fsb/VPA.REG | 0 | 8 | SRFF_Q TNAME | CLK_FSB -INPUT_INSTANCE | 0 | 0 | NULL | nBG_IOB_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nBG_IOB | 9636 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | nBG_IOB_IBUF | 9463 | ? | 562 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBG_IOB_IBUF | 0 | 5 | II_IMUX - -MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/BG | WarpSE_COPY_0_COPY_0 | 2155873280 | 3 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBG_IOB_IBUF | 9463 | ? | 562 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBG_IOB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/nASr | 9536 | ? | 563 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nASr.Q | iobm/nASr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 9423 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/BG | 9464 | ? | 564 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BG.Q | iobm/BG | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobm/BG.SI | iobm/BG | 0 | 2 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBG_IOB_IBUF | 9463 | ? | 562 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBG_IOB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/nASr | 9536 | ? | 563 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nASr.Q | iobm/nASr | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/BG.D1 | 9781 | ? | 562 | 4096 | iobm/BG | NULL | NULL | iobm/BG.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/BG.D2 | 9782 | ? | 562 | 4096 | iobm/BG | NULL | NULL | iobm/BG.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_FALSE | nBG_IOB_IBUF -OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | iobm/BG.CE | 9783 | ? | 563 | 4096 | iobm/BG | NULL | NULL | iobm/BG.SI | 10 | 9 | MC_SI_CE -SPPTERM | 1 | IV_TRUE | iobm/nASr - -SRFF_INSTANCE | iobm/BG.REG | iobm/BG | 0 | 3 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/BG.D | 9780 | ? | 562 | 0 | iobm/BG | NULL | NULL | iobm/BG.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 9423 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | iobm/BG.CE | 9783 | ? | 563 | 4096 | iobm/BG | NULL | NULL | iobm/BG.SI | 10 | 9 | MC_SI_CE -SPPTERM | 1 | IV_TRUE | iobm/nASr -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/BG.Q | 9784 | ? | 564 | 0 | iobm/BG | NULL | NULL | iobm/BG.REG | 0 | 8 | SRFF_Q -TNAME | CLK2X_IOB - INPUT_INSTANCE | 0 | 0 | NULL | nLDS_FSB_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nLDS_FSB | 9637 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | nLDS_FSB | 9522 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | nLDS_FSB_IBUF | 9466 | ? | 523 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nLDS_FSB_IBUF | 9353 | ? | 512 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/IOL0 | WarpSE_COPY_0_COPY_0 | 2155873280 | 6 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOL1 | 9467 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOL1.Q | iobs/IOL1 | 1 | 0 | MC_UIM +NODE | iobs/IOL1 | 9354 | ? | 510 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOL1.Q | iobs/IOL1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/ALE1 | 9574 | ? | 555 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | iobs/ALE1 | 9458 | ? | 544 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nLDS_FSB_IBUF | 9466 | ? | 523 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nLDS_FSB_IBUF | 9353 | ? | 512 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9491 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd2 | 9376 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9495 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd1 | 9380 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9444 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9333 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/IOL0 | 9465 | ? | 560 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOL0.Q | iobs/IOL0 | 1 | 0 | MC_UIM +NODE | iobs/IOL0 | 9352 | ? | 547 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOL0.Q | iobs/IOL0 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobs/IOL0.SI | iobs/IOL0 | 0 | 5 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOL1 | 9467 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOL1.Q | iobs/IOL1 | 1 | 0 | MC_UIM +NODE | iobs/IOL1 | 9354 | ? | 510 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOL1.Q | iobs/IOL1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/ALE1 | 9574 | ? | 555 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | iobs/ALE1 | 9458 | ? | 544 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nLDS_FSB_IBUF | 9466 | ? | 523 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nLDS_FSB_IBUF | 9353 | ? | 512 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9491 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd2 | 9376 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9495 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd1 | 9380 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/IOL0.D1 | 9786 | ? | 521 | 4096 | iobs/IOL0 | NULL | NULL | iobs/IOL0.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/IOL0.D1 | 9666 | ? | 510 | 4096 | iobs/IOL0 | NULL | NULL | iobs/IOL0.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/IOL0.D2 | 9787 | ? | 521 | 4096 | iobs/IOL0 | NULL | NULL | iobs/IOL0.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/IOL0.D2 | 9667 | ? | 510 | 4096 | iobs/IOL0 | NULL | NULL | iobs/IOL0.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_FALSE | nLDS_FSB_IBUF | IV_TRUE | iobs/ALE1 SPPTERM | 2 | IV_TRUE | iobs/IOL1 | IV_FALSE | iobs/ALE1 OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | iobs/IOL0.CE | 9788 | ? | 522 | 4096 | iobs/IOL0 | NULL | NULL | iobs/IOL0.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | iobs/IOL0.CE | 9668 | ? | 511 | 4096 | iobs/IOL0 | NULL | NULL | iobs/IOL0.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_TRUE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 SRFF_INSTANCE | iobs/IOL0.REG | iobs/IOL0 | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/IOL0.D | 9785 | ? | 521 | 0 | iobs/IOL0 | NULL | NULL | iobs/IOL0.XOR | 0 | 7 | ALU_F +NODE | iobs/IOL0.D | 9665 | ? | 510 | 0 | iobs/IOL0 | NULL | NULL | iobs/IOL0.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9444 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9333 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | iobs/IOL0.CE | 9788 | ? | 522 | 4096 | iobs/IOL0 | NULL | NULL | iobs/IOL0.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | iobs/IOL0.CE | 9668 | ? | 511 | 4096 | iobs/IOL0 | NULL | NULL | iobs/IOL0.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_TRUE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/IOL0.Q | 9789 | ? | 560 | 0 | iobs/IOL0 | NULL | NULL | iobs/IOL0.REG | 0 | 8 | SRFF_Q +NODE | iobs/IOL0.Q | 9669 | ? | 547 | 0 | iobs/IOL0 | NULL | NULL | iobs/IOL0.REG | 0 | 8 | SRFF_Q TNAME | CLK_FSB MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/IOL1 | WarpSE_COPY_0_COPY_0 | 2155873280 | 3 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nLDS_FSB_IBUF | 9466 | ? | 523 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nLDS_FSB_IBUF | 9353 | ? | 512 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Load1 | 9508 | ? | 555 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM +NODE | iobs/Load1 | 9393 | ? | 544 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9444 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9333 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/IOL1 | 9467 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOL1.Q | iobs/IOL1 | 1 | 0 | MC_UIM +NODE | iobs/IOL1 | 9354 | ? | 510 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOL1.Q | iobs/IOL1 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobs/IOL1.SI | iobs/IOL1 | 0 | 2 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nLDS_FSB_IBUF | 9466 | ? | 523 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nLDS_FSB_IBUF | 9353 | ? | 512 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Load1 | 9508 | ? | 555 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM +NODE | iobs/Load1 | 9393 | ? | 544 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/IOL1.D1 | 9791 | ? | 523 | 4096 | iobs/IOL1 | NULL | NULL | iobs/IOL1.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/IOL1.D1 | 9671 | ? | 512 | 4096 | iobs/IOL1 | NULL | NULL | iobs/IOL1.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/IOL1.D2 | 9792 | ? | 523 | 4096 | iobs/IOL1 | NULL | NULL | iobs/IOL1.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/IOL1.D2 | 9672 | ? | 512 | 4096 | iobs/IOL1 | NULL | NULL | iobs/IOL1.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_FALSE | nLDS_FSB_IBUF OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | iobs/IOL1.CE | 9793 | ? | 524 | 4096 | iobs/IOL1 | NULL | NULL | iobs/IOL1.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | iobs/IOL1.CE | 9673 | ? | 513 | 4096 | iobs/IOL1 | NULL | NULL | iobs/IOL1.SI | 10 | 9 | MC_SI_CE SPPTERM | 1 | IV_TRUE | iobs/Load1 SRFF_INSTANCE | iobs/IOL1.REG | iobs/IOL1 | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/IOL1.D | 9790 | ? | 523 | 0 | iobs/IOL1 | NULL | NULL | iobs/IOL1.XOR | 0 | 7 | ALU_F +NODE | iobs/IOL1.D | 9670 | ? | 512 | 0 | iobs/IOL1 | NULL | NULL | iobs/IOL1.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9444 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9333 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | iobs/IOL1.CE | 9793 | ? | 524 | 4096 | iobs/IOL1 | NULL | NULL | iobs/IOL1.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | iobs/IOL1.CE | 9673 | ? | 513 | 4096 | iobs/IOL1 | NULL | NULL | iobs/IOL1.SI | 10 | 9 | MC_SI_CE SPPTERM | 1 | IV_TRUE | iobs/Load1 OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/IOL1.Q | 9794 | ? | 521 | 0 | iobs/IOL1 | NULL | NULL | iobs/IOL1.REG | 0 | 8 | SRFF_Q +NODE | iobs/IOL1.Q | 9674 | ? | 510 | 0 | iobs/IOL1 | NULL | NULL | iobs/IOL1.REG | 0 | 8 | SRFF_Q TNAME | CLK_FSB -MACROCELL_INSTANCE | Inv+PrldLow+Tff+OptxMapped | iobs/IORW1 | WarpSE_COPY_0_COPY_0 | 2155877632 | 10 | 1 +MACROCELL_INSTANCE | Inv+PrldLow+Tff+OptxMapped | iobs/IORW1 | WarpSE_COPY_0_COPY_0 | 2155877632 | 9 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | iobs/ALE1 | 9458 | ? | 544 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9417 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | iobs/Once | 9335 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW1 | 9468 | ? | 525 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM +NODE | nWE_FSB_IBUF | 9324 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/ALE1 | 9574 | ? | 555 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | iobs/IORW1 | 9355 | ? | 514 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9447 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd2 | 9376 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9418 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | iobs/PS_FSM_FFd1 | 9380 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9435 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | EXP19_.EXP | 10086 | ? | 514 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP19_.EXP | EXP19_ | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP17_.EXP | 10210 | ? | 525 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP17_.EXP | EXP17_ | 4 | 0 | MC_EXPORT +NODE | EXP20_.EXP | 10087 | ? | 514 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP20_.EXP | EXP20_ | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP18_.EXP | 10211 | ? | 525 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP18_.EXP | EXP18_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9444 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9333 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/IORW1 | 9468 | ? | 525 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM +NODE | iobs/IORW1 | 9355 | ? | 514 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | iobs/IORW1.SI | iobs/IORW1 | 0 | 9 | 2 +SIGNAL_INSTANCE | iobs/IORW1.SI | iobs/IORW1 | 0 | 8 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | iobs/ALE1 | 9458 | ? | 544 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9417 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | iobs/Once | 9335 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW1 | 9468 | ? | 525 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM +NODE | nWE_FSB_IBUF | 9324 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/ALE1 | 9574 | ? | 555 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | iobs/IORW1 | 9355 | ? | 514 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9447 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd2 | 9376 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9418 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | iobs/PS_FSM_FFd1 | 9380 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9435 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | EXP19_.EXP | 10086 | ? | 514 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP19_.EXP | EXP19_ | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP17_.EXP | 10210 | ? | 525 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP17_.EXP | EXP17_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP18_.EXP | 10211 | ? | 525 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP18_.EXP | EXP18_ | 4 | 0 | MC_EXPORT +NODE | EXP20_.EXP | 10087 | ? | 514 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP20_.EXP | EXP20_ | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/IORW1.D1 | 9796 | ? | 525 | 4096 | iobs/IORW1 | NULL | NULL | iobs/IORW1.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/IORW1.D1 | 9676 | ? | 514 | 4096 | iobs/IORW1 | NULL | NULL | iobs/IORW1.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/IORW1.D2 | 9797 | ? | 525 | 4096 | iobs/IORW1 | NULL | NULL | iobs/IORW1.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/IORW1.D2 | 9677 | ? | 514 | 4096 | iobs/IORW1 | NULL | NULL | iobs/IORW1.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | iobs/Once SPPTERM | 1 | IV_FALSE | iobs/ALE1 -SPPTERM | 1 | IV_TRUE | EXP17_.EXP -SPPTERM | 1 | IV_TRUE | EXP18_.EXP -SPPTERM | 2 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_20_IBUF +SPPTERM | 1 | IV_TRUE | EXP19_.EXP +SPPTERM | 1 | IV_TRUE | EXP20_.EXP SPPTERM | 2 | IV_TRUE | nWE_FSB_IBUF | IV_TRUE | iobs/IORW1 -SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | iobs/IORW1 +SPPTERM | 2 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/IORW1 +SPPTERM | 2 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 SRFF_INSTANCE | iobs/IORW1.REG | iobs/IORW1 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/IORW1.D | 9795 | ? | 525 | 0 | iobs/IORW1 | NULL | NULL | iobs/IORW1.XOR | 0 | 7 | ALU_F +NODE | iobs/IORW1.D | 9675 | ? | 514 | 0 | iobs/IORW1 | NULL | NULL | iobs/IORW1.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9444 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9333 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/IORW1.Q | 9798 | ? | 525 | 0 | iobs/IORW1 | NULL | NULL | iobs/IORW1.REG | 0 | 8 | SRFF_Q +NODE | iobs/IORW1.Q | 9678 | ? | 514 | 0 | iobs/IORW1 | NULL | NULL | iobs/IORW1.REG | 0 | 8 | SRFF_Q TNAME | CLK_FSB -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | iobs/IOReady | WarpSE_COPY_0_COPY_0 | 2155877376 | 23 | 2 +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | iobs/IOReady | WarpSE_COPY_0_COPY_0 | 2155877376 | 9 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9469 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +NODE | iobs/IOReady | 9356 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9473 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9522 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9407 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | iobs/Once | 9335 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9461 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd2 | 9376 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/nDTACK.UIM | 9476 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/nDTACK.Q | fsb/nDTACK | 1 | 0 | MC_UIM +NODE | iobs/IOACTr | 9391 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 9407 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +NODE | iobm/IOBERR | 9396 | ? | 559 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOBERR.Q | iobm/IOBERR | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 9408 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +NODE | iobs/ALE1 | 9458 | ? | 544 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9444 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 9409 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9410 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9411 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 9412 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 9413 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 9414 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9416 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9417 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9418 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9419 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9420 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9421 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9422 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready0r.EXP | 10205 | ? | 526 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.EXP | fsb/Ready0r | 4 | 0 | MC_EXPORT +NODE | FCLK_IBUF/FCLK | 9333 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/IOReady | 9469 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | iobs/IOReady.EXP | 10206 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.EXP | iobs/IOReady | 4 | 0 | MC_EXPORT +NODE | iobs/IOReady | 9356 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | iobs/IOReady.SI | iobs/IOReady | 0 | 22 | 3 +SIGNAL_INSTANCE | iobs/IOReady.SI | iobs/IOReady | 0 | 8 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9469 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +NODE | iobs/IOReady | 9356 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9473 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9522 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9407 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | iobs/Once | 9335 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9461 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd2 | 9376 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/nDTACK.UIM | 9476 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/nDTACK.Q | fsb/nDTACK | 1 | 0 | MC_UIM +NODE | iobs/IOACTr | 9391 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 9407 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +NODE | iobm/IOBERR | 9396 | ? | 559 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOBERR.Q | iobm/IOBERR | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 9408 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 9409 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9410 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9411 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 9412 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 9413 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 9414 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9416 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9417 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9418 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9419 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9420 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9421 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9422 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready0r.EXP | 10205 | ? | 526 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.EXP | fsb/Ready0r | 4 | 0 | MC_EXPORT +NODE | iobs/ALE1 | 9458 | ? | 544 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/IOReady.D1 | 9800 | ? | 526 | 4096 | iobs/IOReady | NULL | NULL | iobs/IOReady.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/IOReady.D1 | 9680 | ? | 515 | 4096 | iobs/IOReady | NULL | NULL | iobs/IOReady.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/IOReady.D2 | 9801 | ? | 526 | 4096 | iobs/IOReady | NULL | NULL | iobs/IOReady.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | fsb/Ready0r.EXP +SIGNAL | NODE | iobs/IOReady.D2 | 9681 | ? | 515 | 4096 | iobs/IOReady | NULL | NULL | iobs/IOReady.SI | 2 | 9 | MC_SI_D2 SPPTERM | 3 | IV_TRUE | iobs/IOReady | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | iobs/IOReady.EXP | 10192 | ? | 531 | 0 | iobs/IOReady | NULL | NULL | iobs/IOReady.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf -SPPTERM | 4 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady | IV_TRUE | fsb/nDTACK.UIM -SPPTERM | 17 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | fsb/Ready1r -SPPTERM | 17 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | iobs/IOReady +SPPTERM | 6 | IV_TRUE | iobs/Once | IV_TRUE | iobs/IOReady | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/IOACTr | IV_TRUE | iobm/IOBERR | IV_TRUE | iobs/ALE1 +SPPTERM | 7 | IV_TRUE | iobs/Once | IV_FALSE | iobs/IOReady | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/IOACTr | IV_FALSE | iobm/IOBERR | IV_TRUE | iobs/ALE1 +SPPTERM | 7 | IV_TRUE | iobs/Once | IV_FALSE | iobs/IOReady | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/IOACTr | IV_FALSE | iobm/IOBERR | IV_TRUE | fsb/ASrf | IV_TRUE | iobs/ALE1 SRFF_INSTANCE | iobs/IOReady.REG | iobs/IOReady | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/IOReady.D | 9799 | ? | 526 | 0 | iobs/IOReady | NULL | NULL | iobs/IOReady.XOR | 0 | 7 | ALU_F +NODE | iobs/IOReady.D | 9679 | ? | 515 | 0 | iobs/IOReady | NULL | NULL | iobs/IOReady.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9444 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9333 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/IOReady.Q | 9802 | ? | 531 | 0 | iobs/IOReady | NULL | NULL | iobs/IOReady.REG | 0 | 8 | SRFF_Q +NODE | iobs/IOReady.Q | 9682 | ? | 520 | 0 | iobs/IOReady | NULL | NULL | iobs/IOReady.REG | 0 | 8 | SRFF_Q TNAME | CLK_FSB INPUT_INSTANCE | 0 | 0 | NULL | nUDS_FSB_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nUDS_FSB | 9638 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | nUDS_FSB | 9523 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | nUDS_FSB_IBUF | 9471 | ? | 529 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nUDS_FSB_IBUF | 9358 | ? | 518 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/IOU0 | WarpSE_COPY_0_COPY_0 | 2155873280 | 6 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOU1 | 9472 | ? | 527 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOU1.Q | iobs/IOU1 | 1 | 0 | MC_UIM +NODE | iobs/IOU1 | 9359 | ? | 516 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOU1.Q | iobs/IOU1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/ALE1 | 9574 | ? | 555 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | iobs/ALE1 | 9458 | ? | 544 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nUDS_FSB_IBUF | 9471 | ? | 529 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nUDS_FSB_IBUF | 9358 | ? | 518 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9491 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd2 | 9376 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9495 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd1 | 9380 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9444 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9333 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/IOU0 | 9470 | ? | 561 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOU0.Q | iobs/IOU0 | 1 | 0 | MC_UIM +NODE | iobs/IOU0 | 9357 | ? | 549 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOU0.Q | iobs/IOU0 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobs/IOU0.SI | iobs/IOU0 | 0 | 5 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOU1 | 9472 | ? | 527 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOU1.Q | iobs/IOU1 | 1 | 0 | MC_UIM +NODE | iobs/IOU1 | 9359 | ? | 516 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOU1.Q | iobs/IOU1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/ALE1 | 9574 | ? | 555 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | iobs/ALE1 | 9458 | ? | 544 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nUDS_FSB_IBUF | 9471 | ? | 529 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nUDS_FSB_IBUF | 9358 | ? | 518 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9491 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd2 | 9376 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9495 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd1 | 9380 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/IOU0.D1 | 9804 | ? | 527 | 4096 | iobs/IOU0 | NULL | NULL | iobs/IOU0.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/IOU0.D1 | 9684 | ? | 516 | 4096 | iobs/IOU0 | NULL | NULL | iobs/IOU0.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/IOU0.D2 | 9805 | ? | 527 | 4096 | iobs/IOU0 | NULL | NULL | iobs/IOU0.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/IOU0.D2 | 9685 | ? | 516 | 4096 | iobs/IOU0 | NULL | NULL | iobs/IOU0.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_FALSE | nUDS_FSB_IBUF | IV_TRUE | iobs/ALE1 SPPTERM | 2 | IV_TRUE | iobs/IOU1 | IV_FALSE | iobs/ALE1 OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | iobs/IOU0.CE | 9806 | ? | 528 | 4096 | iobs/IOU0 | NULL | NULL | iobs/IOU0.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | iobs/IOU0.CE | 9686 | ? | 517 | 4096 | iobs/IOU0 | NULL | NULL | iobs/IOU0.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_TRUE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 SRFF_INSTANCE | iobs/IOU0.REG | iobs/IOU0 | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/IOU0.D | 9803 | ? | 527 | 0 | iobs/IOU0 | NULL | NULL | iobs/IOU0.XOR | 0 | 7 | ALU_F +NODE | iobs/IOU0.D | 9683 | ? | 516 | 0 | iobs/IOU0 | NULL | NULL | iobs/IOU0.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9444 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9333 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | iobs/IOU0.CE | 9806 | ? | 528 | 4096 | iobs/IOU0 | NULL | NULL | iobs/IOU0.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | iobs/IOU0.CE | 9686 | ? | 517 | 4096 | iobs/IOU0 | NULL | NULL | iobs/IOU0.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_TRUE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/IOU0.Q | 9807 | ? | 561 | 0 | iobs/IOU0 | NULL | NULL | iobs/IOU0.REG | 0 | 8 | SRFF_Q +NODE | iobs/IOU0.Q | 9687 | ? | 549 | 0 | iobs/IOU0 | NULL | NULL | iobs/IOU0.REG | 0 | 8 | SRFF_Q TNAME | CLK_FSB MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/IOU1 | WarpSE_COPY_0_COPY_0 | 2155873280 | 3 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nUDS_FSB_IBUF | 9471 | ? | 529 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nUDS_FSB_IBUF | 9358 | ? | 518 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Load1 | 9508 | ? | 555 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM +NODE | iobs/Load1 | 9393 | ? | 544 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9444 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9333 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/IOU1 | 9472 | ? | 527 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOU1.Q | iobs/IOU1 | 1 | 0 | MC_UIM +NODE | iobs/IOU1 | 9359 | ? | 516 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOU1.Q | iobs/IOU1 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobs/IOU1.SI | iobs/IOU1 | 0 | 2 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nUDS_FSB_IBUF | 9471 | ? | 529 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nUDS_FSB_IBUF | 9358 | ? | 518 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Load1 | 9508 | ? | 555 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM +NODE | iobs/Load1 | 9393 | ? | 544 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/IOU1.D1 | 9809 | ? | 529 | 4096 | iobs/IOU1 | NULL | NULL | iobs/IOU1.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/IOU1.D1 | 9689 | ? | 518 | 4096 | iobs/IOU1 | NULL | NULL | iobs/IOU1.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/IOU1.D2 | 9810 | ? | 529 | 4096 | iobs/IOU1 | NULL | NULL | iobs/IOU1.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/IOU1.D2 | 9690 | ? | 518 | 4096 | iobs/IOU1 | NULL | NULL | iobs/IOU1.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_FALSE | nUDS_FSB_IBUF OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | iobs/IOU1.CE | 9811 | ? | 530 | 4096 | iobs/IOU1 | NULL | NULL | iobs/IOU1.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | iobs/IOU1.CE | 9691 | ? | 519 | 4096 | iobs/IOU1 | NULL | NULL | iobs/IOU1.SI | 10 | 9 | MC_SI_CE SPPTERM | 1 | IV_TRUE | iobs/Load1 SRFF_INSTANCE | iobs/IOU1.REG | iobs/IOU1 | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/IOU1.D | 9808 | ? | 529 | 0 | iobs/IOU1 | NULL | NULL | iobs/IOU1.XOR | 0 | 7 | ALU_F +NODE | iobs/IOU1.D | 9688 | ? | 518 | 0 | iobs/IOU1 | NULL | NULL | iobs/IOU1.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9444 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9333 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | iobs/IOU1.CE | 9811 | ? | 530 | 4096 | iobs/IOU1 | NULL | NULL | iobs/IOU1.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | iobs/IOU1.CE | 9691 | ? | 519 | 4096 | iobs/IOU1 | NULL | NULL | iobs/IOU1.SI | 10 | 9 | MC_SI_CE SPPTERM | 1 | IV_TRUE | iobs/Load1 OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/IOU1.Q | 9812 | ? | 527 | 0 | iobs/IOU1 | NULL | NULL | iobs/IOU1.REG | 0 | 8 | SRFF_Q +NODE | iobs/IOU1.Q | 9692 | ? | 516 | 0 | iobs/IOU1 | NULL | NULL | iobs/IOU1.REG | 0 | 8 | SRFF_Q TNAME | CLK_FSB INPUT_INSTANCE | 0 | 0 | NULL | nBERR_IOB_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nBERR_IOB | 9640 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | nBERR_IOB | 9525 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | nBERR_IOB_IBUF | 9474 | ? | 578 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX +NODE | nBERR_IOB_IBUF | 9361 | ? | 565 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX -MACROCELL_INSTANCE | PrldLow+OptxMapped | fsb/nDTACK | WarpSE_COPY_0_COPY_0 | 2155873280 | 17 | 3 +MACROCELL_INSTANCE | PrldLow+OptxMapped | fsb/nDTACK | WarpSE_COPY_0_COPY_0 | 2155873280 | 20 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9411 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 9300 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9416 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9307 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9417 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 9308 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9418 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 9309 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9419 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 9310 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9420 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 9311 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9421 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 9312 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9422 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 9324 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9435 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | cs/nOverlay1 | 9340 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9446 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +NODE | fsb/Ready1r | 9350 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9461 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +NODE | iobs/IOReady | 9356 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9469 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +NODE | fsb/nDTACK.UIM | 9363 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/nDTACK.Q | fsb/nDTACK | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/nDTACK.UIM | 9476 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/nDTACK.Q | fsb/nDTACK | 1 | 0 | MC_UIM +NODE | iobs/ALE1 | 9458 | ? | 544 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/ALE1 | 9574 | ? | 555 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | A_FSB_13_IBUF | 9301 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9410 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9305 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP16_.EXP | 10207 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP16_.EXP | EXP16_ | 4 | 0 | MC_EXPORT +NODE | A_FSB_22_IBUF | 9306 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9444 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | fsb/Ready0r | 9349 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMReady | 9424 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP18_.EXP | 10083 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP18_.EXP | EXP18_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 9333 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | fsb/nDTACK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/nDTACK.Q | fsb/nDTACK | 0 | 0 | MC_Q +NODE | fsb/nDTACK | 9362 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/nDTACK.Q | fsb/nDTACK | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | fsb/nDTACK.UIM | 9476 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/nDTACK.Q | fsb/nDTACK | 1 | 0 | MC_UIM +NODE | fsb/nDTACK.UIM | 9363 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/nDTACK.Q | fsb/nDTACK | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | fsb/nDTACK.EXP | 10208 | ? | 519 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/nDTACK.EXP | fsb/nDTACK | 4 | 0 | MC_EXPORT +NODE | fsb/nDTACK.EXP | 10084 | ? | 508 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/nDTACK.EXP | fsb/nDTACK | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | fsb/nDTACK.SI | fsb/nDTACK | 0 | 16 | 3 +SIGNAL_INSTANCE | fsb/nDTACK.SI | fsb/nDTACK | 0 | 19 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9411 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 9300 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9416 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9307 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9417 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 9308 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9418 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 9309 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9419 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 9310 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9420 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 9311 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9421 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 9312 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9422 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 9324 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9435 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | cs/nOverlay1 | 9340 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9446 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +NODE | fsb/Ready1r | 9350 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9461 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +NODE | iobs/IOReady | 9356 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9469 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +NODE | fsb/nDTACK.UIM | 9363 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/nDTACK.Q | fsb/nDTACK | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/nDTACK.UIM | 9476 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/nDTACK.Q | fsb/nDTACK | 1 | 0 | MC_UIM +NODE | iobs/ALE1 | 9458 | ? | 544 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/ALE1 | 9574 | ? | 555 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | A_FSB_13_IBUF | 9301 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9410 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9305 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP16_.EXP | 10207 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP16_.EXP | EXP16_ | 4 | 0 | MC_EXPORT +NODE | A_FSB_22_IBUF | 9306 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready0r | 9349 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMReady | 9424 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP18_.EXP | 10083 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP18_.EXP | EXP18_ | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | fsb/nDTACK.D1 | 9814 | ? | 531 | 4096 | fsb/nDTACK | NULL | NULL | fsb/nDTACK.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | fsb/nDTACK.D1 | 9694 | ? | 520 | 4096 | fsb/nDTACK | NULL | NULL | fsb/nDTACK.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | fsb/nDTACK.D2 | 9815 | ? | 531 | 4096 | fsb/nDTACK | NULL | NULL | fsb/nDTACK.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | EXP16_.EXP -SPPTERM | 14 | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady | IV_TRUE | fsb/nDTACK.UIM | IV_FALSE | iobs/ALE1 -SPPTERM | 14 | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady | IV_TRUE | fsb/nDTACK.UIM | IV_FALSE | iobs/ALE1 +SIGNAL | NODE | fsb/nDTACK.D2 | 9695 | ? | 520 | 4096 | fsb/nDTACK | NULL | NULL | fsb/nDTACK.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | EXP18_.EXP +SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | fsb/Ready0r | IV_TRUE | fsb/nDTACK.UIM | IV_FALSE | ram/RAMReady +SPPTERM | 13 | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady | IV_TRUE | fsb/nDTACK.UIM | IV_FALSE | iobs/ALE1 +SPPTERM | 13 | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady | IV_TRUE | fsb/nDTACK.UIM | IV_FALSE | iobs/ALE1 OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | fsb/nDTACK.EXP | 10194 | ? | 519 | 0 | fsb/nDTACK | NULL | NULL | fsb/nDTACK.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 11 | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady -SPPTERM | 13 | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady | IV_FALSE | iobs/ALE1 -SPPTERM | 13 | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady | IV_FALSE | iobs/ALE1 +SIGNAL | NODE | fsb/nDTACK.EXP | 10070 | ? | 508 | 0 | fsb/nDTACK | NULL | NULL | fsb/nDTACK.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 12 | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady | IV_FALSE | iobs/ALE1 +SPPTERM | 12 | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady | IV_FALSE | iobs/ALE1 SRFF_INSTANCE | fsb/nDTACK.REG | fsb/nDTACK | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | fsb/nDTACK.D | 9813 | ? | 531 | 0 | fsb/nDTACK | NULL | NULL | fsb/nDTACK.XOR | 0 | 7 | ALU_F +NODE | fsb/nDTACK.D | 9693 | ? | 520 | 0 | fsb/nDTACK | NULL | NULL | fsb/nDTACK.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9444 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9333 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | fsb/nDTACK.Q | 9816 | ? | 531 | 0 | fsb/nDTACK | NULL | NULL | fsb/nDTACK.REG | 0 | 8 | SRFF_Q +NODE | fsb/nDTACK.Q | 9696 | ? | 520 | 0 | fsb/nDTACK | NULL | NULL | fsb/nDTACK.REG | 0 | 8 | SRFF_Q TNAME | CLK_FSB -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | iobs/nBERR_FSB | WarpSE_COPY_0_COPY_0 | 2155877376 | 13 | 3 +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | iobs/nBERR_FSB | WarpSE_COPY_0_COPY_0 | 2155877376 | 18 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9473 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/nBERR_FSB.UIM | 9478 | ? | 532 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/nBERR_FSB.Q | iobs/nBERR_FSB | 1 | 0 | MC_UIM +NODE | iobs/nBERR_FSB.UIM | 9365 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/nBERR_FSB.Q | iobs/nBERR_FSB | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9522 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9407 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9447 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +NODE | A_FSB_14_IBUF | 9300 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9491 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | A_FSB_21_IBUF | 9307 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 9506 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +NODE | A_FSB_20_IBUF | 9308 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOBERR | 9511 | ? | 572 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOBERR.Q | iobm/IOBERR | 1 | 0 | MC_UIM +NODE | A_FSB_19_IBUF | 9309 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/ALE1 | 9574 | ? | 555 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | A_FSB_18_IBUF | 9310 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9444 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9333 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9410 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 9311 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9411 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 9312 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 9324 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9417 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | iobs/Once | 9335 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9340 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9376 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9380 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9301 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3.EXP | 10143 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.EXP | ram/RS_FSM_FFd3 | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | iobs/nBERR_FSB | 9477 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/nBERR_FSB.Q | iobs/nBERR_FSB | 0 | 0 | MC_Q +NODE | iobs/nBERR_FSB | 9364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/nBERR_FSB.Q | iobs/nBERR_FSB | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/nBERR_FSB.UIM | 9478 | ? | 532 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/nBERR_FSB.Q | iobs/nBERR_FSB | 1 | 0 | MC_UIM +NODE | iobs/nBERR_FSB.UIM | 9365 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/nBERR_FSB.Q | iobs/nBERR_FSB | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | iobs/nBERR_FSB.EXP | 10267 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/nBERR_FSB.EXP | iobs/nBERR_FSB | 4 | 0 | MC_EXPORT +NODE | iobs/nBERR_FSB.EXP | 10144 | ? | 525 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/nBERR_FSB.EXP | iobs/nBERR_FSB | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | iobs/nBERR_FSB.SI | iobs/nBERR_FSB | 0 | 12 | 3 +SIGNAL_INSTANCE | iobs/nBERR_FSB.SI | iobs/nBERR_FSB | 0 | 17 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9473 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/nBERR_FSB.UIM | 9478 | ? | 532 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/nBERR_FSB.Q | iobs/nBERR_FSB | 1 | 0 | MC_UIM +NODE | iobs/nBERR_FSB.UIM | 9365 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/nBERR_FSB.Q | iobs/nBERR_FSB | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9522 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9407 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9447 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +NODE | A_FSB_14_IBUF | 9300 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9491 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | A_FSB_21_IBUF | 9307 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 9506 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +NODE | A_FSB_20_IBUF | 9308 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOBERR | 9511 | ? | 572 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOBERR.Q | iobm/IOBERR | 1 | 0 | MC_UIM +NODE | A_FSB_19_IBUF | 9309 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/ALE1 | 9574 | ? | 555 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | A_FSB_18_IBUF | 9310 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9410 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 9311 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9411 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 9312 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 9324 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9417 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | iobs/Once | 9335 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9340 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9376 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9380 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9301 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3.EXP | 10143 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.EXP | ram/RS_FSM_FFd3 | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/nBERR_FSB.D1 | 9818 | ? | 532 | 4096 | iobs/nBERR_FSB | NULL | NULL | iobs/nBERR_FSB.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/nBERR_FSB.D1 | 9698 | ? | 521 | 4096 | iobs/nBERR_FSB | NULL | NULL | iobs/nBERR_FSB.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/nBERR_FSB.D2 | 9819 | ? | 532 | 4096 | iobs/nBERR_FSB | NULL | NULL | iobs/nBERR_FSB.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/nBERR_FSB.D2 | 9699 | ? | 521 | 4096 | iobs/nBERR_FSB | NULL | NULL | iobs/nBERR_FSB.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | ram/RS_FSM_FFd3.EXP SPPTERM | 3 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | iobs/nBERR_FSB.UIM | IV_FALSE | fsb/ASrf -SPPTERM | 6 | IV_TRUE | iobs/Once | IV_FALSE | iobs/nBERR_FSB.UIM | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/IOACTr | IV_FALSE | iobm/IOBERR | IV_TRUE | iobs/ALE1 -SPPTERM | 7 | IV_TRUE | iobs/Once | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | iobs/nBERR_FSB.UIM | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/IOACTr | IV_TRUE | iobm/IOBERR | IV_TRUE | iobs/ALE1 -SPPTERM | 7 | IV_TRUE | iobs/Once | IV_TRUE | iobs/nBERR_FSB.UIM | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/IOACTr | IV_TRUE | iobm/IOBERR | IV_TRUE | fsb/ASrf | IV_TRUE | iobs/ALE1 OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | iobs/nBERR_FSB.EXP | 10255 | ? | 550 | 0 | iobs/nBERR_FSB | NULL | NULL | iobs/nBERR_FSB.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 6 | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_13_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | iobs/ALE1 +SIGNAL | NODE | iobs/nBERR_FSB.EXP | 10131 | ? | 525 | 0 | iobs/nBERR_FSB | NULL | NULL | iobs/nBERR_FSB.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 13 | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/Once | IV_TRUE | cs/nOverlay1 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 +SPPTERM | 13 | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/Once | IV_TRUE | cs/nOverlay1 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf +SPPTERM | 13 | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/Once | IV_TRUE | cs/nOverlay1 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 +SPPTERM | 13 | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/Once | IV_TRUE | cs/nOverlay1 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf SRFF_INSTANCE | iobs/nBERR_FSB.REG | iobs/nBERR_FSB | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/nBERR_FSB.D | 9817 | ? | 532 | 0 | iobs/nBERR_FSB | NULL | NULL | iobs/nBERR_FSB.XOR | 0 | 7 | ALU_F +NODE | iobs/nBERR_FSB.D | 9697 | ? | 521 | 0 | iobs/nBERR_FSB | NULL | NULL | iobs/nBERR_FSB.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9444 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9333 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/nBERR_FSB.Q | 9820 | ? | 532 | 0 | iobs/nBERR_FSB | NULL | NULL | iobs/nBERR_FSB.REG | 0 | 8 | SRFF_Q +NODE | iobs/nBERR_FSB.Q | 9700 | ? | 521 | 0 | iobs/nBERR_FSB | NULL | NULL | iobs/nBERR_FSB.REG | 0 | 8 | SRFF_Q TNAME | CLK_FSB -MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | cnt/AoutOE | WarpSE_COPY_0_COPY_0 | 2424308736 | 4 | 2 +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped+Ce | cnt/nBR_IOB | WarpSE_COPY_0_COPY_0 | 2424312832 | 9 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/nBR_IOB | 9482 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/nBR_IOB.Q | cnt/nBR_IOB | 1 | 0 | MC_UIM +NODE | A_FSB_23_IBUF | 9305 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/PORS_FSM_FFd1 | 9505 | ? | 507 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/PORS_FSM_FFd1.Q | cnt/PORS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 9306 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/PORS_FSM_FFd2 | 9507 | ? | 507 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/PORS_FSM_FFd2.Q | cnt/PORS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | A_FSB_21_IBUF | 9307 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF/FCLK- | 9437 | ? | 587 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | A_FSB_19_IBUF | 9309 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | C8M_IBUF/FCLK- | 9326 | ? | 571 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9311 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9312 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9340 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2.EXP | 10146 | ? | 487 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.EXP | iobs/PS_FSM_FFd2 | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | cnt/AoutOE$Q | 9479 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/AoutOE.Q | cnt/AoutOE | 0 | 0 | MC_Q +NODE | cnt/nBR_IOB$Q | 9366 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/nBR_IOB.Q | cnt/nBR_IOB | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/AoutOE | 9480 | ? | 587 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/AoutOE.Q | cnt/AoutOE | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | cnt/AoutOE.SI | cnt/AoutOE | 0 | 3 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/nBR_IOB | 9482 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/nBR_IOB.Q | cnt/nBR_IOB | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/PORS_FSM_FFd1 | 9505 | ? | 507 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/PORS_FSM_FFd1.Q | cnt/PORS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/PORS_FSM_FFd2 | 9507 | ? | 507 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/PORS_FSM_FFd2.Q | cnt/PORS_FSM_FFd2 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/AoutOE.D1 | 9822 | ? | 498 | 4096 | cnt/AoutOE | NULL | NULL | cnt/AoutOE.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/AoutOE.D2 | 9823 | ? | 498 | 4096 | cnt/AoutOE | NULL | NULL | cnt/AoutOE.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 3 | IV_FALSE | cnt/nBR_IOB | IV_TRUE | cnt/PORS_FSM_FFd1 | IV_FALSE | cnt/PORS_FSM_FFd2 - -SRFF_INSTANCE | cnt/AoutOE.REG | cnt/AoutOE | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/AoutOE.D | 9821 | ? | 498 | 0 | cnt/AoutOE | NULL | NULL | cnt/AoutOE.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C8M_IBUF/FCLK- | 9437 | ? | 587 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/AoutOE.Q | 9824 | ? | 587 | 0 | cnt/AoutOE | NULL | NULL | cnt/AoutOE.REG | 0 | 8 | SRFF_Q -TNAME | CLK_IOB - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped+Ce | cnt/nBR_IOB | WarpSE_COPY_0_COPY_0 | 2424312832 | 13 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/nBR_IOB | 9482 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/nBR_IOB.Q | cnt/nBR_IOB | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/PORS_FSM_FFd1 | 9505 | ? | 507 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/PORS_FSM_FFd1.Q | cnt/PORS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/PORS_FSM_FFd2 | 9507 | ? | 507 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/PORS_FSM_FFd2.Q | cnt/PORS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IPL2r | 9515 | ? | 503 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IPL2r.Q | cnt/IPL2r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF/FCLK- | 9437 | ? | 587 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9417 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9420 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9491 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/ALE1 | 9574 | ? | 555 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9421 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9416 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9446 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | cnt/nBR_IOB$Q | 9481 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/nBR_IOB.Q | cnt/nBR_IOB | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/nBR_IOB | 9482 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/nBR_IOB.Q | cnt/nBR_IOB | 1 | 0 | MC_UIM +NODE | cnt/nBR_IOB | 9367 | ? | 498 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/nBR_IOB.Q | cnt/nBR_IOB | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | cnt/nBR_IOB.EXP | 10269 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/nBR_IOB.EXP | cnt/nBR_IOB | 4 | 0 | MC_EXPORT +NODE | cnt/nBR_IOB.EXP | 10147 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/nBR_IOB.EXP | cnt/nBR_IOB | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | cnt/nBR_IOB.SI | cnt/nBR_IOB | 0 | 12 | 3 +SIGNAL_INSTANCE | cnt/nBR_IOB.SI | cnt/nBR_IOB | 0 | 8 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/nBR_IOB | 9482 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/nBR_IOB.Q | cnt/nBR_IOB | 1 | 0 | MC_UIM +NODE | A_FSB_23_IBUF | 9305 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/PORS_FSM_FFd1 | 9505 | ? | 507 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/PORS_FSM_FFd1.Q | cnt/PORS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 9306 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/PORS_FSM_FFd2 | 9507 | ? | 507 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/PORS_FSM_FFd2.Q | cnt/PORS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | A_FSB_21_IBUF | 9307 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IPL2r | 9515 | ? | 503 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IPL2r.Q | cnt/IPL2r | 1 | 0 | MC_UIM +NODE | A_FSB_19_IBUF | 9309 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 9311 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9417 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 9312 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9420 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | cs/nOverlay1 | 9340 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9491 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/ALE1 | 9574 | ? | 555 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9421 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9416 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9446 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd2.EXP | 10146 | ? | 487 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.EXP | iobs/PS_FSM_FFd2 | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/nBR_IOB.D1 | 9826 | ? | 499 | 4096 | cnt/nBR_IOB | NULL | NULL | cnt/nBR_IOB.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/nBR_IOB.D1 | 9702 | ? | 487 | 4096 | cnt/nBR_IOB | NULL | NULL | cnt/nBR_IOB.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/nBR_IOB.D2 | 9827 | ? | 499 | 4096 | cnt/nBR_IOB | NULL | NULL | cnt/nBR_IOB.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 3 | IV_TRUE | cnt/nBR_IOB | IV_FALSE | cnt/PORS_FSM_FFd1 | IV_FALSE | cnt/PORS_FSM_FFd2 -SPPTERM | 4 | IV_FALSE | cnt/nBR_IOB | IV_FALSE | cnt/PORS_FSM_FFd1 | IV_TRUE | cnt/PORS_FSM_FFd2 | IV_TRUE | cnt/IPL2r +SIGNAL | NODE | cnt/nBR_IOB.D2 | 9703 | ? | 487 | 4096 | cnt/nBR_IOB | NULL | NULL | cnt/nBR_IOB.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | iobs/PS_FSM_FFd2.EXP OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | cnt/nBR_IOB.EXP | 10257 | ? | 550 | 0 | cnt/nBR_IOB | NULL | NULL | cnt/nBR_IOB.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_18_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | iobs/ALE1 -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_17_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | iobs/ALE1 -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | iobs/ALE1 +SIGNAL | NODE | cnt/nBR_IOB.EXP | 10134 | ? | 531 | 0 | cnt/nBR_IOB | NULL | NULL | cnt/nBR_IOB.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF +SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_19_IBUF +SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_17_IBUF +SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_16_IBUF +SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 SRFF_INSTANCE | cnt/nBR_IOB.REG | cnt/nBR_IOB | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/nBR_IOB.D | 9825 | ? | 499 | 0 | cnt/nBR_IOB | NULL | NULL | cnt/nBR_IOB.XOR | 0 | 7 | ALU_F +NODE | cnt/nBR_IOB.D | 9701 | ? | 487 | 0 | cnt/nBR_IOB | NULL | NULL | cnt/nBR_IOB.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C8M_IBUF/FCLK- | 9437 | ? | 587 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 9326 | ? | 571 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/nBR_IOB.Q | 9828 | ? | 499 | 0 | cnt/nBR_IOB | NULL | NULL | cnt/nBR_IOB.REG | 0 | 8 | SRFF_Q +NODE | cnt/nBR_IOB.Q | 9704 | ? | 498 | 0 | cnt/nBR_IOB | NULL | NULL | cnt/nBR_IOB.REG | 0 | 8 | SRFF_Q TNAME | CLK_IOB MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | ram/RASEL | WarpSE_COPY_0_COPY_0 | 2155873536 | 9 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9487 | ? | 552 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd1 | 9372 | ? | 541 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9490 | ? | 552 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd2 | 9375 | ? | 541 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9493 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd3 | 9378 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9473 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9522 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9407 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefUrgent | 9492 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrgent.Q | ram/RefUrgent | 1 | 0 | MC_UIM +NODE | ram/RefUrgent | 9377 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrgent.Q | ram/RefUrgent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nROMCS_OBUF.EXP | 10228 | ? | 533 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.EXP | nROMCS_OBUF | 4 | 0 | MC_EXPORT +NODE | nROMCS_OBUF.EXP | 10107 | ? | 522 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.EXP | nROMCS_OBUF | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP23_.EXP | 10239 | ? | 533 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP23_.EXP | EXP23_ | 4 | 0 | MC_EXPORT +NODE | EXP26_.EXP | 10117 | ? | 522 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP26_.EXP | EXP26_ | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9444 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9333 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RASEL | 9483 | ? | 554 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 9368 | ? | 543 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM SIGNAL_INSTANCE | ram/RASEL.SI | ram/RASEL | 0 | 8 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9487 | ? | 552 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd1 | 9372 | ? | 541 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9490 | ? | 552 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd2 | 9375 | ? | 541 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9493 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd3 | 9378 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9473 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9522 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9407 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefUrgent | 9492 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrgent.Q | ram/RefUrgent | 1 | 0 | MC_UIM +NODE | ram/RefUrgent | 9377 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrgent.Q | ram/RefUrgent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nROMCS_OBUF.EXP | 10228 | ? | 533 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.EXP | nROMCS_OBUF | 4 | 0 | MC_EXPORT +NODE | nROMCS_OBUF.EXP | 10107 | ? | 522 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.EXP | nROMCS_OBUF | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP23_.EXP | 10239 | ? | 533 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP23_.EXP | EXP23_ | 4 | 0 | MC_EXPORT +NODE | EXP26_.EXP | 10117 | ? | 522 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP26_.EXP | EXP26_ | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RASEL.D1 | 9830 | ? | 533 | 4096 | ram/RASEL | NULL | NULL | ram/RASEL.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RASEL.D1 | 9706 | ? | 522 | 4096 | ram/RASEL | NULL | NULL | ram/RASEL.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RASEL.D2 | 9831 | ? | 533 | 4096 | ram/RASEL | NULL | NULL | ram/RASEL.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/RASEL.D2 | 9707 | ? | 522 | 4096 | ram/RASEL | NULL | NULL | ram/RASEL.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | nROMCS_OBUF.EXP -SPPTERM | 1 | IV_TRUE | EXP23_.EXP +SPPTERM | 1 | IV_TRUE | EXP26_.EXP SPPTERM | 2 | IV_TRUE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd2 SPPTERM | 2 | IV_TRUE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd3 SPPTERM | 3 | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 @@ -2758,276 +2594,260 @@ SPPTERM | 5 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | r SRFF_INSTANCE | ram/RASEL.REG | ram/RASEL | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RASEL.D | 9829 | ? | 533 | 0 | ram/RASEL | NULL | NULL | ram/RASEL.XOR | 0 | 7 | ALU_F +NODE | ram/RASEL.D | 9705 | ? | 522 | 0 | ram/RASEL | NULL | NULL | ram/RASEL.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9444 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9333 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RASEL.Q | 9832 | ? | 554 | 0 | ram/RASEL | NULL | NULL | ram/RASEL.REG | 0 | 8 | SRFF_Q +NODE | ram/RASEL.Q | 9708 | ? | 543 | 0 | ram/RASEL | NULL | NULL | ram/RASEL.REG | 0 | 8 | SRFF_Q TNAME | CLK_FSB INPUT_INSTANCE | 0 | 0 | NULL | E_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | E | 9641 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | E | 9526 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | E_IBUF | 9484 | ? | 553 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX +NODE | E_IBUF | 9369 | ? | 542 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX MACROCELL_INSTANCE | PrldLow+OptxMapped | cnt/TimerTC | WarpSE_COPY_0_COPY_0 | 2155873280 | 5 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<1> | 9497 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM +NODE | cnt/Timer<1> | 9382 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<2> | 9498 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM +NODE | cnt/Timer<2> | 9383 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<0> | 9500 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM +NODE | cnt/Timer<0> | 9385 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<3> | 9501 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<3>.Q | cnt/Timer<3> | 1 | 0 | MC_UIM +NODE | cnt/Timer<3> | 9386 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<3>.Q | cnt/Timer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | E_IBUF | 9484 | ? | 553 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX +NODE | E_IBUF | 9369 | ? | 542 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/TimerTC | 9485 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 9370 | ? | 486 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cnt/TimerTC.SI | cnt/TimerTC | 0 | 5 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<1> | 9497 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM +NODE | cnt/Timer<1> | 9382 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<2> | 9498 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM +NODE | cnt/Timer<2> | 9383 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<0> | 9500 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM +NODE | cnt/Timer<0> | 9385 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<3> | 9501 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<3>.Q | cnt/Timer<3> | 1 | 0 | MC_UIM +NODE | cnt/Timer<3> | 9386 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<3>.Q | cnt/Timer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | E_IBUF | 9484 | ? | 553 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX +NODE | E_IBUF | 9369 | ? | 542 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/TimerTC.D1 | 9834 | ? | 0 | 4096 | cnt/TimerTC | NULL | NULL | cnt/TimerTC.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/TimerTC.D1 | 9710 | ? | 0 | 4096 | cnt/TimerTC | NULL | NULL | cnt/TimerTC.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/TimerTC.D2 | 9835 | ? | 0 | 4096 | cnt/TimerTC | NULL | NULL | cnt/TimerTC.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/TimerTC.D2 | 9711 | ? | 0 | 4096 | cnt/TimerTC | NULL | NULL | cnt/TimerTC.SI | 2 | 9 | MC_SI_D2 SPPTERM | 4 | IV_FALSE | cnt/Timer<1> | IV_FALSE | cnt/Timer<2> | IV_FALSE | cnt/Timer<0> | IV_TRUE | cnt/Timer<3> OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF -SIGNAL | NODE | cnt/TimerTC.CLKF | 9836 | ? | 497 | 4096 | cnt/TimerTC | NULL | NULL | cnt/TimerTC.SI | 3 | 9 | MC_SI_CLKF +SIGNAL | NODE | cnt/TimerTC.CLKF | 9712 | ? | 486 | 4096 | cnt/TimerTC | NULL | NULL | cnt/TimerTC.SI | 3 | 9 | MC_SI_CLKF SPPTERM | 1 | IV_TRUE | E_IBUF SRFF_INSTANCE | cnt/TimerTC.REG | cnt/TimerTC | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/TimerTC.D | 9833 | ? | 0 | 0 | cnt/TimerTC | NULL | NULL | cnt/TimerTC.XOR | 0 | 7 | ALU_F +NODE | cnt/TimerTC.D | 9709 | ? | 0 | 0 | cnt/TimerTC | NULL | NULL | cnt/TimerTC.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -SIGNAL | NODE | cnt/TimerTC.CLKF | 9836 | ? | 497 | 4096 | cnt/TimerTC | NULL | NULL | cnt/TimerTC.SI | 3 | 9 | MC_SI_CLKF +SIGNAL | NODE | cnt/TimerTC.CLKF | 9712 | ? | 486 | 4096 | cnt/TimerTC | NULL | NULL | cnt/TimerTC.SI | 3 | 9 | MC_SI_CLKF SPPTERM | 1 | IV_TRUE | E_IBUF OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/TimerTC.Q | 9837 | ? | 497 | 0 | cnt/TimerTC | NULL | NULL | cnt/TimerTC.REG | 0 | 8 | SRFF_Q +NODE | cnt/TimerTC.Q | 9713 | ? | 486 | 0 | cnt/TimerTC | NULL | NULL | cnt/TimerTC.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/IOS_FSM_FFd3 | WarpSE_COPY_0_COPY_0 | 2155873280 | 7 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9488 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 9373 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9489 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 9374 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9486 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 9371 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF | 9436 | ? | 575 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX +NODE | C8M_IBUF | 9325 | ? | 562 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BG | 9464 | ? | 564 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BG.Q | iobm/BG | 1 | 0 | MC_UIM +NODE | iobm/IOREQr | 9397 | ? | 563 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOREQr | 9512 | ? | 576 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM +NODE | cnt/AoutOE | 9460 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 9423 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 9313 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/IOS_FSM_FFd3 | 9486 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 9371 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/IOS_FSM_FFd3.SI | iobm/IOS_FSM_FFd3 | 0 | 6 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9488 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 9373 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9489 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 9374 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9486 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 9371 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF | 9436 | ? | 575 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX +NODE | C8M_IBUF | 9325 | ? | 562 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BG | 9464 | ? | 564 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BG.Q | iobm/BG | 1 | 0 | MC_UIM +NODE | iobm/IOREQr | 9397 | ? | 563 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOREQr | 9512 | ? | 576 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM +NODE | cnt/AoutOE | 9460 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/IOS_FSM_FFd3.D1 | 9839 | ? | 564 | 4096 | iobm/IOS_FSM_FFd3 | NULL | NULL | iobm/IOS_FSM_FFd3.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/IOS_FSM_FFd3.D1 | 9715 | ? | 551 | 4096 | iobm/IOS_FSM_FFd3 | NULL | NULL | iobm/IOS_FSM_FFd3.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/IOS_FSM_FFd3.D2 | 9840 | ? | 564 | 4096 | iobm/IOS_FSM_FFd3 | NULL | NULL | iobm/IOS_FSM_FFd3.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/IOS_FSM_FFd3.D2 | 9716 | ? | 551 | 4096 | iobm/IOS_FSM_FFd3 | NULL | NULL | iobm/IOS_FSM_FFd3.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/IOS_FSM_FFd2 SPPTERM | 3 | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd1 | IV_FALSE | iobm/IOS_FSM_FFd2 -SPPTERM | 5 | IV_FALSE | C8M_IBUF | IV_TRUE | iobm/BG | IV_FALSE | iobm/IOS_FSM_FFd1 | IV_FALSE | iobm/IOS_FSM_FFd2 | IV_TRUE | iobm/IOREQr +SPPTERM | 5 | IV_FALSE | C8M_IBUF | IV_FALSE | iobm/IOS_FSM_FFd1 | IV_FALSE | iobm/IOS_FSM_FFd2 | IV_TRUE | iobm/IOREQr | IV_FALSE | cnt/AoutOE SRFF_INSTANCE | iobm/IOS_FSM_FFd3.REG | iobm/IOS_FSM_FFd3 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/IOS_FSM_FFd3.D | 9838 | ? | 564 | 0 | iobm/IOS_FSM_FFd3 | NULL | NULL | iobm/IOS_FSM_FFd3.XOR | 0 | 7 | ALU_F +NODE | iobm/IOS_FSM_FFd3.D | 9714 | ? | 551 | 0 | iobm/IOS_FSM_FFd3 | NULL | NULL | iobm/IOS_FSM_FFd3.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 9423 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 9313 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/IOS_FSM_FFd3.Q | 9841 | ? | 581 | 0 | iobm/IOS_FSM_FFd3 | NULL | NULL | iobm/IOS_FSM_FFd3.REG | 0 | 8 | SRFF_Q +NODE | iobm/IOS_FSM_FFd3.Q | 9717 | ? | 568 | 0 | iobm/IOS_FSM_FFd3 | NULL | NULL | iobm/IOS_FSM_FFd3.REG | 0 | 8 | SRFF_Q TNAME | CLK_IOB | CLK2X_IOB -MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RS_FSM_FFd1 | WarpSE_COPY_0_COPY_0 | 2155873280 | 12 | 1 +MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RS_FSM_FFd1 | WarpSE_COPY_0_COPY_0 | 2155873280 | 7 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9487 | ? | 552 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd1 | 9372 | ? | 541 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9490 | ? | 552 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd2 | 9375 | ? | 541 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9493 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd3 | 9378 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9473 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefUrgent | 9492 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrgent.Q | ram/RefUrgent | 1 | 0 | MC_UIM +NODE | ram/RefUrgent | 9377 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrgent.Q | ram/RefUrgent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9522 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | nRAMUWE_OBUF.EXP | 10140 | ? | 523 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMUWE_OBUF.EXP | nRAMUWE_OBUF | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9416 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9446 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMEN | 9496 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nOE_OBUF.EXP | 10230 | ? | 534 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nOE_OBUF.EXP | nOE_OBUF | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9444 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9333 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RS_FSM_FFd1 | 9487 | ? | 552 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd1 | 9372 | ? | 541 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | ram/RS_FSM_FFd1.EXP | 10141 | ? | 527 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.EXP | ram/RS_FSM_FFd1 | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | ram/RS_FSM_FFd1.SI | ram/RS_FSM_FFd1 | 0 | 11 | 2 +SIGNAL_INSTANCE | ram/RS_FSM_FFd1.SI | ram/RS_FSM_FFd1 | 0 | 6 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9487 | ? | 552 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd1 | 9372 | ? | 541 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9490 | ? | 552 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd2 | 9375 | ? | 541 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9493 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd3 | 9378 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9473 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefUrgent | 9492 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrgent.Q | ram/RefUrgent | 1 | 0 | MC_UIM +NODE | ram/RefUrgent | 9377 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrgent.Q | ram/RefUrgent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9522 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9416 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9446 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMEN | 9496 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nOE_OBUF.EXP | 10230 | ? | 534 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nOE_OBUF.EXP | nOE_OBUF | 4 | 0 | MC_EXPORT +NODE | nRAMUWE_OBUF.EXP | 10140 | ? | 523 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMUWE_OBUF.EXP | nRAMUWE_OBUF | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RS_FSM_FFd1.D1 | 9843 | ? | 534 | 4096 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RS_FSM_FFd1.D1 | 9719 | ? | 523 | 4096 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RS_FSM_FFd1.D2 | 9844 | ? | 534 | 4096 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | nOE_OBUF.EXP +SIGNAL | NODE | ram/RS_FSM_FFd1.D2 | 9720 | ? | 523 | 4096 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | nRAMUWE_OBUF.EXP SPPTERM | 2 | IV_TRUE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd2 SPPTERM | 2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd3 SPPTERM | 4 | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RefUrgent | IV_FALSE | ram/RS_FSM_FFd3 -SPPTERM | 4 | IV_TRUE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RefUrgent | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | fsb/ASrf -SPPTERM | 8 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RefUrgent | IV_FALSE | ram/RAMEN +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | ram/RS_FSM_FFd1.EXP | 10128 | ? | 527 | 0 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 3 | IV_TRUE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 +SPPTERM | 3 | IV_TRUE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RefUrgent | IV_FALSE | ram/RS_FSM_FFd3 SRFF_INSTANCE | ram/RS_FSM_FFd1.REG | ram/RS_FSM_FFd1 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RS_FSM_FFd1.D | 9842 | ? | 534 | 0 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.XOR | 0 | 7 | ALU_F +NODE | ram/RS_FSM_FFd1.D | 9718 | ? | 523 | 0 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9444 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9333 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RS_FSM_FFd1.Q | 9845 | ? | 552 | 0 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.REG | 0 | 8 | SRFF_Q +NODE | ram/RS_FSM_FFd1.Q | 9721 | ? | 541 | 0 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.REG | 0 | 8 | SRFF_Q TNAME | CLK_FSB MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/IOS_FSM_FFd1 | WarpSE_COPY_0_COPY_0 | 2155873280 | 4 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9486 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 9371 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9489 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 9374 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9488 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 9373 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 9423 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 9313 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/IOS_FSM_FFd1 | 9488 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 9373 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/IOS_FSM_FFd1.SI | iobm/IOS_FSM_FFd1 | 0 | 3 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9486 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 9371 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9489 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 9374 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9488 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 9373 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/IOS_FSM_FFd1.D1 | 9847 | ? | 565 | 4096 | iobm/IOS_FSM_FFd1 | NULL | NULL | iobm/IOS_FSM_FFd1.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/IOS_FSM_FFd1.D1 | 9723 | ? | 552 | 4096 | iobm/IOS_FSM_FFd1 | NULL | NULL | iobm/IOS_FSM_FFd1.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/IOS_FSM_FFd1.D2 | 9848 | ? | 565 | 4096 | iobm/IOS_FSM_FFd1 | NULL | NULL | iobm/IOS_FSM_FFd1.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/IOS_FSM_FFd1.D2 | 9724 | ? | 552 | 4096 | iobm/IOS_FSM_FFd1 | NULL | NULL | iobm/IOS_FSM_FFd1.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 SPPTERM | 2 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd2 SRFF_INSTANCE | iobm/IOS_FSM_FFd1.REG | iobm/IOS_FSM_FFd1 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/IOS_FSM_FFd1.D | 9846 | ? | 565 | 0 | iobm/IOS_FSM_FFd1 | NULL | NULL | iobm/IOS_FSM_FFd1.XOR | 0 | 7 | ALU_F +NODE | iobm/IOS_FSM_FFd1.D | 9722 | ? | 552 | 0 | iobm/IOS_FSM_FFd1 | NULL | NULL | iobm/IOS_FSM_FFd1.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 9423 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 9313 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/IOS_FSM_FFd1.Q | 9849 | ? | 588 | 0 | iobm/IOS_FSM_FFd1 | NULL | NULL | iobm/IOS_FSM_FFd1.REG | 0 | 8 | SRFF_Q +NODE | iobm/IOS_FSM_FFd1.Q | 9725 | ? | 574 | 0 | iobm/IOS_FSM_FFd1 | NULL | NULL | iobm/IOS_FSM_FFd1.REG | 0 | 8 | SRFF_Q TNAME | CLK2X_IOB MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | iobm/IOS_FSM_FFd2 | WarpSE_COPY_0_COPY_0 | 2155877376 | 12 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9486 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 9371 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9488 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 9373 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9489 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 9374 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF | 9436 | ? | 575 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX +NODE | C8M_IBUF | 9325 | ? | 562 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ETACK | 9517 | ? | 575 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM +NODE | iobm/ETACK | 9402 | ? | 562 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrf | 9524 | ? | 575 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM +NODE | iobm/BERRrf | 9409 | ? | 562 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrr | 9525 | ? | 575 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM +NODE | iobm/BERRrr | 9410 | ? | 562 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrf | 9527 | ? | 575 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM +NODE | iobm/DTACKrf | 9412 | ? | 562 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrr | 9528 | ? | 575 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM +NODE | iobm/DTACKrr | 9413 | ? | 562 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrf | 9531 | ? | 575 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM +NODE | iobm/RESrf | 9416 | ? | 562 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrr | 9532 | ? | 575 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM +NODE | iobm/RESrr | 9417 | ? | 562 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 9423 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 9313 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/IOS_FSM_FFd2 | 9489 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 9374 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/IOS_FSM_FFd2.SI | iobm/IOS_FSM_FFd2 | 0 | 11 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9486 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 9371 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9488 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 9373 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9489 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 9374 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF | 9436 | ? | 575 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX +NODE | C8M_IBUF | 9325 | ? | 562 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ETACK | 9517 | ? | 575 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM +NODE | iobm/ETACK | 9402 | ? | 562 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrf | 9524 | ? | 575 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM +NODE | iobm/BERRrf | 9409 | ? | 562 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrr | 9525 | ? | 575 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM +NODE | iobm/BERRrr | 9410 | ? | 562 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrf | 9527 | ? | 575 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM +NODE | iobm/DTACKrf | 9412 | ? | 562 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrr | 9528 | ? | 575 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM +NODE | iobm/DTACKrr | 9413 | ? | 562 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrf | 9531 | ? | 575 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM +NODE | iobm/RESrf | 9416 | ? | 562 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrr | 9532 | ? | 575 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM +NODE | iobm/RESrr | 9417 | ? | 562 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/IOS_FSM_FFd2.D1 | 9851 | ? | 566 | 4096 | iobm/IOS_FSM_FFd2 | NULL | NULL | iobm/IOS_FSM_FFd2.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/IOS_FSM_FFd2.D1 | 9727 | ? | 553 | 4096 | iobm/IOS_FSM_FFd2 | NULL | NULL | iobm/IOS_FSM_FFd2.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/IOS_FSM_FFd2.D2 | 9852 | ? | 566 | 4096 | iobm/IOS_FSM_FFd2 | NULL | NULL | iobm/IOS_FSM_FFd2.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/IOS_FSM_FFd2.D2 | 9728 | ? | 553 | 4096 | iobm/IOS_FSM_FFd2 | NULL | NULL | iobm/IOS_FSM_FFd2.SI | 2 | 9 | MC_SI_D2 SPPTERM | 3 | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd1 | IV_FALSE | iobm/IOS_FSM_FFd2 SPPTERM | 5 | IV_TRUE | C8M_IBUF | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/IOS_FSM_FFd2 | IV_TRUE | iobm/ETACK SPPTERM | 6 | IV_TRUE | C8M_IBUF | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/IOS_FSM_FFd2 | IV_TRUE | iobm/BERRrf | IV_TRUE | iobm/BERRrr @@ -3036,61 +2856,61 @@ SPPTERM | 6 | IV_TRUE | C8M_IBUF | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/ SRFF_INSTANCE | iobm/IOS_FSM_FFd2.REG | iobm/IOS_FSM_FFd2 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/IOS_FSM_FFd2.D | 9850 | ? | 566 | 0 | iobm/IOS_FSM_FFd2 | NULL | NULL | iobm/IOS_FSM_FFd2.XOR | 0 | 7 | ALU_F +NODE | iobm/IOS_FSM_FFd2.D | 9726 | ? | 553 | 0 | iobm/IOS_FSM_FFd2 | NULL | NULL | iobm/IOS_FSM_FFd2.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 9423 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 9313 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/IOS_FSM_FFd2.Q | 9853 | ? | 588 | 0 | iobm/IOS_FSM_FFd2 | NULL | NULL | iobm/IOS_FSM_FFd2.REG | 0 | 8 | SRFF_Q +NODE | iobm/IOS_FSM_FFd2.Q | 9729 | ? | 574 | 0 | iobm/IOS_FSM_FFd2 | NULL | NULL | iobm/IOS_FSM_FFd2.REG | 0 | 8 | SRFF_Q TNAME | CLK_IOB | CLK2X_IOB MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | ram/RS_FSM_FFd2 | WarpSE_COPY_0_COPY_0 | 2155873536 | 10 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9487 | ? | 552 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd1 | 9372 | ? | 541 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9490 | ? | 552 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd2 | 9375 | ? | 541 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9473 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9493 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd3 | 9378 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9522 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9407 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefUrgent | 9492 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrgent.Q | ram/RefUrgent | 1 | 0 | MC_UIM +NODE | ram/RefUrgent | 9377 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrgent.Q | ram/RefUrgent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefReq | 9510 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReq.Q | ram/RefReq | 1 | 0 | MC_UIM +NODE | ram/RefReq | 9395 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReq.Q | ram/RefReq | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9509 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +NODE | ram/BACTr | 9394 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_5_OBUF.EXP | 10234 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_5_OBUF.EXP | RA_5_OBUF | 4 | 0 | MC_EXPORT +NODE | RA_5_OBUF.EXP | 10112 | ? | 524 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_5_OBUF.EXP | RA_5_OBUF | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9444 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9333 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RS_FSM_FFd2 | 9490 | ? | 552 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd2 | 9375 | ? | 541 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | ram/RS_FSM_FFd2.SI | ram/RS_FSM_FFd2 | 0 | 9 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9487 | ? | 552 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd1 | 9372 | ? | 541 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9490 | ? | 552 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd2 | 9375 | ? | 541 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9473 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9493 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd3 | 9378 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9522 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9407 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefUrgent | 9492 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrgent.Q | ram/RefUrgent | 1 | 0 | MC_UIM +NODE | ram/RefUrgent | 9377 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrgent.Q | ram/RefUrgent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefReq | 9510 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReq.Q | ram/RefReq | 1 | 0 | MC_UIM +NODE | ram/RefReq | 9395 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReq.Q | ram/RefReq | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9509 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +NODE | ram/BACTr | 9394 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_5_OBUF.EXP | 10234 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_5_OBUF.EXP | RA_5_OBUF | 4 | 0 | MC_EXPORT +NODE | RA_5_OBUF.EXP | 10112 | ? | 524 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_5_OBUF.EXP | RA_5_OBUF | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RS_FSM_FFd2.D1 | 9855 | ? | 535 | 4096 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RS_FSM_FFd2.D1 | 9731 | ? | 524 | 4096 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RS_FSM_FFd2.D2 | 9856 | ? | 535 | 4096 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/RS_FSM_FFd2.D2 | 9732 | ? | 524 | 4096 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | RA_5_OBUF.EXP SPPTERM | 2 | IV_TRUE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd2 SPPTERM | 3 | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 @@ -3100,322 +2920,374 @@ SPPTERM | 4 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RefUrgent | IV_FALSE | SRFF_INSTANCE | ram/RS_FSM_FFd2.REG | ram/RS_FSM_FFd2 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RS_FSM_FFd2.D | 9854 | ? | 535 | 0 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.XOR | 0 | 7 | ALU_F +NODE | ram/RS_FSM_FFd2.D | 9730 | ? | 524 | 0 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9444 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9333 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RS_FSM_FFd2.Q | 9857 | ? | 552 | 0 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.REG | 0 | 8 | SRFF_Q +NODE | ram/RS_FSM_FFd2.Q | 9733 | ? | 541 | 0 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.REG | 0 | 8 | SRFF_Q TNAME | CLK_FSB -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | iobs/PS_FSM_FFd2 | WarpSE_COPY_0_COPY_0 | 2155873536 | 12 | 1 +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | iobs/PS_FSM_FFd2 | WarpSE_COPY_0_COPY_0 | 2155877376 | 13 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9491 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd1 | 9380 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9495 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/IOACTr | 9391 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 9506 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd2 | 9376 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9447 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +NODE | iobs/ALE1 | 9458 | ? | 544 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/ALE1 | 9574 | ? | 555 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | A_FSB_23_IBUF | 9305 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | iobs/Once | 9335 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9418 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9473 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | cnt/nBR_IOB | 9367 | ? | 498 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/nBR_IOB.Q | cnt/nBR_IOB | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9522 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | cnt/PORS_FSM_FFd1 | 9390 | ? | 498 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/PORS_FSM_FFd1.Q | cnt/PORS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nRAMLWE_OBUF.EXP | 10263 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMLWE_OBUF.EXP | nRAMLWE_OBUF | 4 | 0 | MC_EXPORT +NODE | cnt/PORS_FSM_FFd2 | 9392 | ? | 498 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/PORS_FSM_FFd2.Q | cnt/PORS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nRAMUWE_OBUF.EXP | 10264 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMUWE_OBUF.EXP | nRAMUWE_OBUF | 4 | 0 | MC_EXPORT +NODE | cnt/IPL2r | 9400 | ? | 491 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IPL2r.Q | cnt/IPL2r | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9444 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | EXP28_.EXP | 10145 | ? | 525 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP28_.EXP | EXP28_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 9333 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/PS_FSM_FFd2 | 9491 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd2 | 9376 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | iobs/PS_FSM_FFd2.EXP | 10146 | ? | 487 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.EXP | iobs/PS_FSM_FFd2 | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | iobs/PS_FSM_FFd2.SI | iobs/PS_FSM_FFd2 | 0 | 11 | 2 +SIGNAL_INSTANCE | iobs/PS_FSM_FFd2.SI | iobs/PS_FSM_FFd2 | 0 | 12 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9491 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd1 | 9380 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9495 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/IOACTr | 9391 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 9506 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd2 | 9376 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9447 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +NODE | iobs/ALE1 | 9458 | ? | 544 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/ALE1 | 9574 | ? | 555 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | A_FSB_23_IBUF | 9305 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | iobs/Once | 9335 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9418 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9473 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | cnt/nBR_IOB | 9367 | ? | 498 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/nBR_IOB.Q | cnt/nBR_IOB | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9522 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | cnt/PORS_FSM_FFd1 | 9390 | ? | 498 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/PORS_FSM_FFd1.Q | cnt/PORS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nRAMLWE_OBUF.EXP | 10263 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMLWE_OBUF.EXP | nRAMLWE_OBUF | 4 | 0 | MC_EXPORT +NODE | cnt/PORS_FSM_FFd2 | 9392 | ? | 498 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/PORS_FSM_FFd2.Q | cnt/PORS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nRAMUWE_OBUF.EXP | 10264 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMUWE_OBUF.EXP | nRAMUWE_OBUF | 4 | 0 | MC_EXPORT +NODE | cnt/IPL2r | 9400 | ? | 491 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IPL2r.Q | cnt/IPL2r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP28_.EXP | 10145 | ? | 525 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP28_.EXP | EXP28_ | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/PS_FSM_FFd2.D1 | 9859 | ? | 536 | 4096 | iobs/PS_FSM_FFd2 | NULL | NULL | iobs/PS_FSM_FFd2.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/PS_FSM_FFd2.D1 | 9735 | ? | 525 | 4096 | iobs/PS_FSM_FFd2 | NULL | NULL | iobs/PS_FSM_FFd2.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/PS_FSM_FFd2.D2 | 9860 | ? | 536 | 4096 | iobs/PS_FSM_FFd2 | NULL | NULL | iobs/PS_FSM_FFd2.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | nRAMLWE_OBUF.EXP -SPPTERM | 1 | IV_TRUE | nRAMUWE_OBUF.EXP -SPPTERM | 3 | IV_TRUE | iobs/PS_FSM_FFd2 | IV_TRUE | iobs/PS_FSM_FFd1 | IV_TRUE | iobs/IOACTr -SPPTERM | 3 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | iobs/PS_FSM_FFd1 | IV_FALSE | iobs/IOACTr -SPPTERM | 4 | IV_TRUE | iobs/Once | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | iobs/ALE1 -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | iobs/ALE1 -SPPTERM | 5 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_FALSE | fsb/ASrf | IV_TRUE | iobs/ALE1 +SIGNAL | NODE | iobs/PS_FSM_FFd2.D2 | 9736 | ? | 525 | 4096 | iobs/PS_FSM_FFd2 | NULL | NULL | iobs/PS_FSM_FFd2.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | EXP28_.EXP +SPPTERM | 2 | IV_TRUE | iobs/PS_FSM_FFd1 | IV_TRUE | iobs/IOACTr +SPPTERM | 3 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_FALSE | iobs/ALE1 +SPPTERM | 5 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | iobs/Once | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | iobs/PS_FSM_FFd2.EXP | 10133 | ? | 487 | 0 | iobs/PS_FSM_FFd2 | NULL | NULL | iobs/PS_FSM_FFd2.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 3 | IV_TRUE | cnt/nBR_IOB | IV_FALSE | cnt/PORS_FSM_FFd1 | IV_FALSE | cnt/PORS_FSM_FFd2 +SPPTERM | 4 | IV_FALSE | cnt/nBR_IOB | IV_FALSE | cnt/PORS_FSM_FFd1 | IV_TRUE | cnt/PORS_FSM_FFd2 | IV_TRUE | cnt/IPL2r SRFF_INSTANCE | iobs/PS_FSM_FFd2.REG | iobs/PS_FSM_FFd2 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/PS_FSM_FFd2.D | 9858 | ? | 536 | 0 | iobs/PS_FSM_FFd2 | NULL | NULL | iobs/PS_FSM_FFd2.XOR | 0 | 7 | ALU_F +NODE | iobs/PS_FSM_FFd2.D | 9734 | ? | 525 | 0 | iobs/PS_FSM_FFd2 | NULL | NULL | iobs/PS_FSM_FFd2.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9444 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9333 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/PS_FSM_FFd2.Q | 9861 | ? | 550 | 0 | iobs/PS_FSM_FFd2 | NULL | NULL | iobs/PS_FSM_FFd2.REG | 0 | 8 | SRFF_Q +NODE | iobs/PS_FSM_FFd2.Q | 9737 | ? | 539 | 0 | iobs/PS_FSM_FFd2 | NULL | NULL | iobs/PS_FSM_FFd2.REG | 0 | 8 | SRFF_Q TNAME | CLK_FSB MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RefUrgent | WarpSE_COPY_0_COPY_0 | 2155873280 | 3 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 9457 | ? | 544 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +NODE | ram/RefDone | 9346 | ? | 533 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RegUrgentSync | 9549 | ? | 537 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RegUrgentSync.Q | ram/RegUrgentSync | 1 | 0 | MC_UIM +NODE | ram/RegUrgentSync | 9433 | ? | 526 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RegUrgentSync.Q | ram/RegUrgentSync | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9444 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9333 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RefUrgent | 9492 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrgent.Q | ram/RefUrgent | 1 | 0 | MC_UIM +NODE | ram/RefUrgent | 9377 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrgent.Q | ram/RefUrgent | 1 | 0 | MC_UIM SIGNAL_INSTANCE | ram/RefUrgent.SI | ram/RefUrgent | 0 | 2 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 9457 | ? | 544 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +NODE | ram/RefDone | 9346 | ? | 533 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RegUrgentSync | 9549 | ? | 537 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RegUrgentSync.Q | ram/RegUrgentSync | 1 | 0 | MC_UIM +NODE | ram/RegUrgentSync | 9433 | ? | 526 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RegUrgentSync.Q | ram/RegUrgentSync | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RefUrgent.D1 | 9863 | ? | 537 | 4096 | ram/RefUrgent | NULL | NULL | ram/RefUrgent.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RefUrgent.D1 | 9739 | ? | 526 | 4096 | ram/RefUrgent | NULL | NULL | ram/RefUrgent.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RefUrgent.D2 | 9864 | ? | 537 | 4096 | ram/RefUrgent | NULL | NULL | ram/RefUrgent.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/RefUrgent.D2 | 9740 | ? | 526 | 4096 | ram/RefUrgent | NULL | NULL | ram/RefUrgent.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_FALSE | ram/RefDone | IV_TRUE | ram/RegUrgentSync SRFF_INSTANCE | ram/RefUrgent.REG | ram/RefUrgent | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RefUrgent.D | 9862 | ? | 537 | 0 | ram/RefUrgent | NULL | NULL | ram/RefUrgent.XOR | 0 | 7 | ALU_F +NODE | ram/RefUrgent.D | 9738 | ? | 526 | 0 | ram/RefUrgent | NULL | NULL | ram/RefUrgent.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9444 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9333 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RefUrgent.Q | 9865 | ? | 551 | 0 | ram/RefUrgent | NULL | NULL | ram/RefUrgent.REG | 0 | 8 | SRFF_Q +NODE | ram/RefUrgent.Q | 9741 | ? | 540 | 0 | ram/RefUrgent | NULL | NULL | ram/RefUrgent.REG | 0 | 8 | SRFF_Q TNAME | CLK_FSB -MACROCELL_INSTANCE | Inv+PrldLow+Tff+OptxMapped | ram/RS_FSM_FFd3 | WarpSE_COPY_0_COPY_0 | 2155877632 | 10 | 1 +MACROCELL_INSTANCE | Inv+PrldLow+Tff+OptxMapped | ram/RS_FSM_FFd3 | WarpSE_COPY_0_COPY_0 | 2155877632 | 15 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9416 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9306 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9446 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +NODE | cs/nOverlay1 | 9340 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9487 | ? | 552 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd1 | 9372 | ? | 541 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9490 | ? | 552 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd2 | 9375 | ? | 541 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9493 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd3 | 9378 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9417 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | iobs/Once | 9335 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefUrgent | 9492 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrgent.Q | ram/RefUrgent | 1 | 0 | MC_UIM +NODE | iobs/nBERR_FSB.UIM | 9365 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/nBERR_FSB.Q | iobs/nBERR_FSB | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMEN | 9496 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd2 | 9376 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF$BUF0.EXP | 10261 | ? | 538 | 0 | WarpSE_COPY_0_COPY_0 | NULL | A_FSB_19_IBUF$BUF0.EXP | A_FSB_19_IBUF$BUF0 | 4 | 0 | MC_EXPORT +NODE | iobs/IOACTr | 9391 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9444 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | iobm/IOBERR | 9396 | ? | 559 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOBERR.Q | iobm/IOBERR | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/ALE1 | 9458 | ? | 544 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 9333 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9407 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP27_.EXP | 10142 | ? | 527 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP27_.EXP | EXP27_ | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RS_FSM_FFd3 | 9493 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd3 | 9378 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | ram/RS_FSM_FFd3.EXP | 10143 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.EXP | ram/RS_FSM_FFd3 | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | ram/RS_FSM_FFd3.SI | ram/RS_FSM_FFd3 | 0 | 9 | 2 +SIGNAL_INSTANCE | ram/RS_FSM_FFd3.SI | ram/RS_FSM_FFd3 | 0 | 14 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9416 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9306 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9446 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +NODE | cs/nOverlay1 | 9340 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9487 | ? | 552 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd1 | 9372 | ? | 541 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9490 | ? | 552 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd2 | 9375 | ? | 541 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9493 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd3 | 9378 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9417 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | iobs/Once | 9335 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefUrgent | 9492 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrgent.Q | ram/RefUrgent | 1 | 0 | MC_UIM +NODE | iobs/nBERR_FSB.UIM | 9365 | ? | 521 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/nBERR_FSB.Q | iobs/nBERR_FSB | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMEN | 9496 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd2 | 9376 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF$BUF0.EXP | 10261 | ? | 538 | 0 | WarpSE_COPY_0_COPY_0 | NULL | A_FSB_19_IBUF$BUF0.EXP | A_FSB_19_IBUF$BUF0 | 4 | 0 | MC_EXPORT +NODE | iobs/IOACTr | 9391 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOBERR | 9396 | ? | 559 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOBERR.Q | iobm/IOBERR | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/ALE1 | 9458 | ? | 544 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9407 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP27_.EXP | 10142 | ? | 527 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP27_.EXP | EXP27_ | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RS_FSM_FFd3.D1 | 9867 | ? | 538 | 4096 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RS_FSM_FFd3.D1 | 9743 | ? | 527 | 4096 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RS_FSM_FFd3.D2 | 9868 | ? | 538 | 4096 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | A_FSB_19_IBUF$BUF0.EXP -SPPTERM | 3 | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd3 -SPPTERM | 4 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RefUrgent | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RAMEN -SPPTERM | 5 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 +SIGNAL | NODE | ram/RS_FSM_FFd3.D2 | 9744 | ? | 527 | 4096 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | EXP27_.EXP SPPTERM | 5 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 SPPTERM | 5 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | ram/RS_FSM_FFd3.EXP | 10130 | ? | 521 | 0 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 6 | IV_TRUE | iobs/Once | IV_FALSE | iobs/nBERR_FSB.UIM | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/IOACTr | IV_FALSE | iobm/IOBERR | IV_TRUE | iobs/ALE1 +SPPTERM | 7 | IV_TRUE | iobs/Once | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | iobs/nBERR_FSB.UIM | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/IOACTr | IV_TRUE | iobm/IOBERR | IV_TRUE | iobs/ALE1 +SPPTERM | 7 | IV_TRUE | iobs/Once | IV_TRUE | iobs/nBERR_FSB.UIM | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/IOACTr | IV_TRUE | iobm/IOBERR | IV_TRUE | fsb/ASrf | IV_TRUE | iobs/ALE1 SRFF_INSTANCE | ram/RS_FSM_FFd3.REG | ram/RS_FSM_FFd3 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RS_FSM_FFd3.D | 9866 | ? | 538 | 0 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.XOR | 0 | 7 | ALU_F +NODE | ram/RS_FSM_FFd3.D | 9742 | ? | 527 | 0 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9444 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9333 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RS_FSM_FFd3.Q | 9869 | ? | 551 | 0 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.REG | 0 | 8 | SRFF_Q +NODE | ram/RS_FSM_FFd3.Q | 9745 | ? | 540 | 0 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.REG | 0 | 8 | SRFF_Q TNAME | CLK_FSB MACROCELL_INSTANCE | Inv+PrldLow+Tff+OptxMapped | iobm/ES<0> | WarpSE_COPY_0_COPY_0 | 2155877632 | 8 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9494 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 9379 | ? | 561 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 9518 | ? | 582 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +NODE | iobm/Er | 9403 | ? | 569 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er2 | 9530 | ? | 571 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM +NODE | iobm/Er2 | 9415 | ? | 558 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9499 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 9384 | ? | 561 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 9502 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 9387 | ? | 561 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 9503 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +NODE | iobm/ES<3> | 9388 | ? | 561 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<4> | 9504 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM +NODE | iobm/ES<4> | 9389 | ? | 561 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 9423 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 9313 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/ES<0> | 9494 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 9379 | ? | 561 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/ES<0>.SI | iobm/ES<0> | 0 | 7 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9494 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 9379 | ? | 561 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 9518 | ? | 582 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +NODE | iobm/Er | 9403 | ? | 569 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er2 | 9530 | ? | 571 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM +NODE | iobm/Er2 | 9415 | ? | 558 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9499 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 9384 | ? | 561 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 9502 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 9387 | ? | 561 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 9503 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +NODE | iobm/ES<3> | 9388 | ? | 561 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<4> | 9504 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM +NODE | iobm/ES<4> | 9389 | ? | 561 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/ES<0>.D1 | 9871 | ? | 567 | 4096 | iobm/ES<0> | NULL | NULL | iobm/ES<0>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/ES<0>.D1 | 9747 | ? | 554 | 4096 | iobm/ES<0> | NULL | NULL | iobm/ES<0>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/ES<0>.D2 | 9872 | ? | 567 | 4096 | iobm/ES<0> | NULL | NULL | iobm/ES<0>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/ES<0>.D2 | 9748 | ? | 554 | 4096 | iobm/ES<0> | NULL | NULL | iobm/ES<0>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 3 | IV_TRUE | iobm/ES<0> | IV_FALSE | iobm/Er | IV_TRUE | iobm/Er2 SPPTERM | 6 | IV_FALSE | iobm/ES<0> | IV_FALSE | iobm/ES<1> | IV_FALSE | iobm/ES<2> | IV_FALSE | iobm/ES<3> | IV_FALSE | iobm/ES<4> | IV_TRUE | iobm/Er SPPTERM | 6 | IV_FALSE | iobm/ES<0> | IV_FALSE | iobm/ES<1> | IV_FALSE | iobm/ES<2> | IV_FALSE | iobm/ES<3> | IV_FALSE | iobm/ES<4> | IV_FALSE | iobm/Er2 SRFF_INSTANCE | iobm/ES<0>.REG | iobm/ES<0> | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/ES<0>.D | 9870 | ? | 567 | 0 | iobm/ES<0> | NULL | NULL | iobm/ES<0>.XOR | 0 | 7 | ALU_F +NODE | iobm/ES<0>.D | 9746 | ? | 554 | 0 | iobm/ES<0> | NULL | NULL | iobm/ES<0>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 9423 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 9313 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/ES<0>.Q | 9873 | ? | 574 | 0 | iobm/ES<0> | NULL | NULL | iobm/ES<0>.REG | 0 | 8 | SRFF_Q +NODE | iobm/ES<0>.Q | 9749 | ? | 561 | 0 | iobm/ES<0> | NULL | NULL | iobm/ES<0>.REG | 0 | 8 | SRFF_Q TNAME | CLK2X_IOB -MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/PS_FSM_FFd1 | WarpSE_COPY_0_COPY_0 | 2155873280 | 4 | 1 +MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/PS_FSM_FFd1 | WarpSE_COPY_0_COPY_0 | 2155873280 | 8 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9491 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd2 | 9376 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9495 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd1 | 9380 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 9506 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +NODE | iobs/IOACTr | 9391 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9444 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9333 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9324 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nUDS_FSB_IBUF | 9358 | ? | 518 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMEN | 9381 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/PS_FSM_FFd1 | 9495 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd1 | 9380 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | iobs/PS_FSM_FFd1.EXP | 10139 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.EXP | iobs/PS_FSM_FFd1 | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | iobs/PS_FSM_FFd1.SI | iobs/PS_FSM_FFd1 | 0 | 3 | 2 +SIGNAL_INSTANCE | iobs/PS_FSM_FFd1.SI | iobs/PS_FSM_FFd1 | 0 | 7 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9491 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd2 | 9376 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9495 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd1 | 9380 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 9506 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +NODE | iobs/IOACTr | 9391 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9324 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nUDS_FSB_IBUF | 9358 | ? | 518 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMEN | 9381 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/PS_FSM_FFd1.D1 | 9875 | ? | 539 | 4096 | iobs/PS_FSM_FFd1 | NULL | NULL | iobs/PS_FSM_FFd1.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/PS_FSM_FFd1.D1 | 9751 | ? | 528 | 4096 | iobs/PS_FSM_FFd1 | NULL | NULL | iobs/PS_FSM_FFd1.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/PS_FSM_FFd1.D2 | 9876 | ? | 539 | 4096 | iobs/PS_FSM_FFd1 | NULL | NULL | iobs/PS_FSM_FFd1.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/PS_FSM_FFd1.D2 | 9752 | ? | 528 | 4096 | iobs/PS_FSM_FFd1 | NULL | NULL | iobs/PS_FSM_FFd1.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | iobs/PS_FSM_FFd2 SPPTERM | 2 | IV_TRUE | iobs/PS_FSM_FFd1 | IV_TRUE | iobs/IOACTr +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | iobs/PS_FSM_FFd1.EXP | 10126 | ? | 0 | 0 | iobs/PS_FSM_FFd1 | NULL | NULL | iobs/PS_FSM_FFd1.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 4 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nUDS_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RAMEN SRFF_INSTANCE | iobs/PS_FSM_FFd1.REG | iobs/PS_FSM_FFd1 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/PS_FSM_FFd1.D | 9874 | ? | 539 | 0 | iobs/PS_FSM_FFd1 | NULL | NULL | iobs/PS_FSM_FFd1.XOR | 0 | 7 | ALU_F +NODE | iobs/PS_FSM_FFd1.D | 9750 | ? | 528 | 0 | iobs/PS_FSM_FFd1 | NULL | NULL | iobs/PS_FSM_FFd1.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9444 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9333 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/PS_FSM_FFd1.Q | 9877 | ? | 550 | 0 | iobs/PS_FSM_FFd1 | NULL | NULL | iobs/PS_FSM_FFd1.REG | 0 | 8 | SRFF_Q +NODE | iobs/PS_FSM_FFd1.Q | 9753 | ? | 539 | 0 | iobs/PS_FSM_FFd1 | NULL | NULL | iobs/PS_FSM_FFd1.REG | 0 | 8 | SRFF_Q TNAME | CLK_FSB MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RAMEN | WarpSE_COPY_0_COPY_0 | 2155873280 | 11 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9490 | ? | 552 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd2 | 9375 | ? | 541 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMEN | 9496 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM +NODE | ram/RAMEN | 9381 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9493 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd3 | 9378 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9487 | ? | 552 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd1 | 9372 | ? | 541 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefUrgent | 9492 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrgent.Q | ram/RefUrgent | 1 | 0 | MC_UIM +NODE | ram/RefUrgent | 9377 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrgent.Q | ram/RefUrgent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9509 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +NODE | ram/BACTr | 9394 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefReq | 9510 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReq.Q | ram/RefReq | 1 | 0 | MC_UIM +NODE | ram/RefReq | 9395 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReq.Q | ram/RefReq | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9473 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9522 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9407 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_4_OBUF.EXP | 10232 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_4_OBUF.EXP | RA_4_OBUF | 4 | 0 | MC_EXPORT +NODE | RA_4_OBUF.EXP | 10110 | ? | 529 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_4_OBUF.EXP | RA_4_OBUF | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9444 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9333 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RAMEN | 9496 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM +NODE | ram/RAMEN | 9381 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM SIGNAL_INSTANCE | ram/RAMEN.SI | ram/RAMEN | 0 | 10 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9490 | ? | 552 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd2 | 9375 | ? | 541 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMEN | 9496 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM +NODE | ram/RAMEN | 9381 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9493 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd3 | 9378 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9487 | ? | 552 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd1 | 9372 | ? | 541 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefUrgent | 9492 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrgent.Q | ram/RefUrgent | 1 | 0 | MC_UIM +NODE | ram/RefUrgent | 9377 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrgent.Q | ram/RefUrgent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9509 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +NODE | ram/BACTr | 9394 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefReq | 9510 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReq.Q | ram/RefReq | 1 | 0 | MC_UIM +NODE | ram/RefReq | 9395 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReq.Q | ram/RefReq | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9473 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9522 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9407 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_4_OBUF.EXP | 10232 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_4_OBUF.EXP | RA_4_OBUF | 4 | 0 | MC_EXPORT +NODE | RA_4_OBUF.EXP | 10110 | ? | 529 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_4_OBUF.EXP | RA_4_OBUF | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RAMEN.D1 | 9879 | ? | 540 | 4096 | ram/RAMEN | NULL | NULL | ram/RAMEN.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RAMEN.D1 | 9755 | ? | 529 | 4096 | ram/RAMEN | NULL | NULL | ram/RAMEN.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RAMEN.D2 | 9880 | ? | 540 | 4096 | ram/RAMEN | NULL | NULL | ram/RAMEN.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/RAMEN.D2 | 9756 | ? | 529 | 4096 | ram/RAMEN | NULL | NULL | ram/RAMEN.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | RA_4_OBUF.EXP SPPTERM | 2 | IV_TRUE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RAMEN SPPTERM | 2 | IV_TRUE | ram/RS_FSM_FFd3 | IV_TRUE | ram/RAMEN @@ -3425,265 +3297,265 @@ SPPTERM | 5 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | r SRFF_INSTANCE | ram/RAMEN.REG | ram/RAMEN | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RAMEN.D | 9878 | ? | 540 | 0 | ram/RAMEN | NULL | NULL | ram/RAMEN.XOR | 0 | 7 | ALU_F +NODE | ram/RAMEN.D | 9754 | ? | 529 | 0 | ram/RAMEN | NULL | NULL | ram/RAMEN.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9444 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9333 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RAMEN.Q | 9881 | ? | 551 | 0 | ram/RAMEN | NULL | NULL | ram/RAMEN.REG | 0 | 8 | SRFF_Q +NODE | ram/RAMEN.Q | 9757 | ? | 540 | 0 | ram/RAMEN | NULL | NULL | ram/RAMEN.REG | 0 | 8 | SRFF_Q TNAME | CLK_FSB MACROCELL_INSTANCE | PrldLow+OptxMapped | cnt/Timer<1> | WarpSE_COPY_0_COPY_0 | 2155873280 | 4 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9485 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 9370 | ? | 486 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<1> | 9497 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM +NODE | cnt/Timer<1> | 9382 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<0> | 9500 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM +NODE | cnt/Timer<0> | 9385 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | E_IBUF | 9484 | ? | 553 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX +NODE | E_IBUF | 9369 | ? | 542 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/Timer<1> | 9497 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM +NODE | cnt/Timer<1> | 9382 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cnt/Timer<1>.SI | cnt/Timer<1> | 0 | 4 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9485 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 9370 | ? | 486 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<1> | 9497 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM +NODE | cnt/Timer<1> | 9382 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<0> | 9500 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM +NODE | cnt/Timer<0> | 9385 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | E_IBUF | 9484 | ? | 553 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX +NODE | E_IBUF | 9369 | ? | 542 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/Timer<1>.D1 | 9883 | ? | 0 | 4096 | cnt/Timer<1> | NULL | NULL | cnt/Timer<1>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/Timer<1>.D1 | 9759 | ? | 0 | 4096 | cnt/Timer<1> | NULL | NULL | cnt/Timer<1>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/Timer<1>.D2 | 9884 | ? | 0 | 4096 | cnt/Timer<1> | NULL | NULL | cnt/Timer<1>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/Timer<1>.D2 | 9760 | ? | 0 | 4096 | cnt/Timer<1> | NULL | NULL | cnt/Timer<1>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 3 | IV_FALSE | cnt/TimerTC | IV_TRUE | cnt/Timer<1> | IV_FALSE | cnt/Timer<0> SPPTERM | 3 | IV_FALSE | cnt/TimerTC | IV_FALSE | cnt/Timer<1> | IV_TRUE | cnt/Timer<0> OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF -SIGNAL | NODE | cnt/Timer<1>.CLKF | 9885 | ? | 0 | 4096 | cnt/Timer<1> | NULL | NULL | cnt/Timer<1>.SI | 3 | 9 | MC_SI_CLKF +SIGNAL | NODE | cnt/Timer<1>.CLKF | 9761 | ? | 0 | 4096 | cnt/Timer<1> | NULL | NULL | cnt/Timer<1>.SI | 3 | 9 | MC_SI_CLKF SPPTERM | 1 | IV_TRUE | E_IBUF SRFF_INSTANCE | cnt/Timer<1>.REG | cnt/Timer<1> | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/Timer<1>.D | 9882 | ? | 0 | 0 | cnt/Timer<1> | NULL | NULL | cnt/Timer<1>.XOR | 0 | 7 | ALU_F +NODE | cnt/Timer<1>.D | 9758 | ? | 0 | 0 | cnt/Timer<1> | NULL | NULL | cnt/Timer<1>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -SIGNAL | NODE | cnt/Timer<1>.CLKF | 9885 | ? | 0 | 4096 | cnt/Timer<1> | NULL | NULL | cnt/Timer<1>.SI | 3 | 9 | MC_SI_CLKF +SIGNAL | NODE | cnt/Timer<1>.CLKF | 9761 | ? | 0 | 4096 | cnt/Timer<1> | NULL | NULL | cnt/Timer<1>.SI | 3 | 9 | MC_SI_CLKF SPPTERM | 1 | IV_TRUE | E_IBUF OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/Timer<1>.Q | 9886 | ? | 0 | 0 | cnt/Timer<1> | NULL | NULL | cnt/Timer<1>.REG | 0 | 8 | SRFF_Q +NODE | cnt/Timer<1>.Q | 9762 | ? | 0 | 0 | cnt/Timer<1> | NULL | NULL | cnt/Timer<1>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/Timer<2> | WarpSE_COPY_0_COPY_0 | 2155877376 | 5 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9485 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 9370 | ? | 486 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<1> | 9497 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM +NODE | cnt/Timer<1> | 9382 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<0> | 9500 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM +NODE | cnt/Timer<0> | 9385 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<2> | 9498 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM +NODE | cnt/Timer<2> | 9383 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | E_IBUF | 9484 | ? | 553 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX +NODE | E_IBUF | 9369 | ? | 542 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/Timer<2> | 9498 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM +NODE | cnt/Timer<2> | 9383 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cnt/Timer<2>.SI | cnt/Timer<2> | 0 | 5 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9485 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 9370 | ? | 486 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<1> | 9497 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM +NODE | cnt/Timer<1> | 9382 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<0> | 9500 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM +NODE | cnt/Timer<0> | 9385 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<2> | 9498 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM +NODE | cnt/Timer<2> | 9383 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | E_IBUF | 9484 | ? | 553 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX +NODE | E_IBUF | 9369 | ? | 542 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/Timer<2>.D1 | 9888 | ? | 0 | 4096 | cnt/Timer<2> | NULL | NULL | cnt/Timer<2>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/Timer<2>.D1 | 9764 | ? | 0 | 4096 | cnt/Timer<2> | NULL | NULL | cnt/Timer<2>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/Timer<2>.D2 | 9889 | ? | 0 | 4096 | cnt/Timer<2> | NULL | NULL | cnt/Timer<2>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/Timer<2>.D2 | 9765 | ? | 0 | 4096 | cnt/Timer<2> | NULL | NULL | cnt/Timer<2>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | cnt/TimerTC | IV_TRUE | cnt/Timer<2> SPPTERM | 3 | IV_FALSE | cnt/TimerTC | IV_TRUE | cnt/Timer<1> | IV_TRUE | cnt/Timer<0> OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF -SIGNAL | NODE | cnt/Timer<2>.CLKF | 9890 | ? | 0 | 4096 | cnt/Timer<2> | NULL | NULL | cnt/Timer<2>.SI | 3 | 9 | MC_SI_CLKF +SIGNAL | NODE | cnt/Timer<2>.CLKF | 9766 | ? | 0 | 4096 | cnt/Timer<2> | NULL | NULL | cnt/Timer<2>.SI | 3 | 9 | MC_SI_CLKF SPPTERM | 1 | IV_TRUE | E_IBUF SRFF_INSTANCE | cnt/Timer<2>.REG | cnt/Timer<2> | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/Timer<2>.D | 9887 | ? | 0 | 0 | cnt/Timer<2> | NULL | NULL | cnt/Timer<2>.XOR | 0 | 7 | ALU_F +NODE | cnt/Timer<2>.D | 9763 | ? | 0 | 0 | cnt/Timer<2> | NULL | NULL | cnt/Timer<2>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -SIGNAL | NODE | cnt/Timer<2>.CLKF | 9890 | ? | 0 | 4096 | cnt/Timer<2> | NULL | NULL | cnt/Timer<2>.SI | 3 | 9 | MC_SI_CLKF +SIGNAL | NODE | cnt/Timer<2>.CLKF | 9766 | ? | 0 | 4096 | cnt/Timer<2> | NULL | NULL | cnt/Timer<2>.SI | 3 | 9 | MC_SI_CLKF SPPTERM | 1 | IV_TRUE | E_IBUF OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/Timer<2>.Q | 9891 | ? | 0 | 0 | cnt/Timer<2> | NULL | NULL | cnt/Timer<2>.REG | 0 | 8 | SRFF_Q +NODE | cnt/Timer<2>.Q | 9767 | ? | 0 | 0 | cnt/Timer<2> | NULL | NULL | cnt/Timer<2>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | iobm/ES<1> | WarpSE_COPY_0_COPY_0 | 2155873536 | 5 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9494 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 9379 | ? | 561 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9499 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 9384 | ? | 561 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 9518 | ? | 582 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +NODE | iobm/Er | 9403 | ? | 569 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er2 | 9530 | ? | 571 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM +NODE | iobm/Er2 | 9415 | ? | 558 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 9423 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 9313 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/ES<1> | 9499 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 9384 | ? | 561 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/ES<1>.SI | iobm/ES<1> | 0 | 4 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9494 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 9379 | ? | 561 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9499 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 9384 | ? | 561 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 9518 | ? | 582 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +NODE | iobm/Er | 9403 | ? | 569 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er2 | 9530 | ? | 571 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM +NODE | iobm/Er2 | 9415 | ? | 558 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/ES<1>.D1 | 9893 | ? | 568 | 4096 | iobm/ES<1> | NULL | NULL | iobm/ES<1>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/ES<1>.D1 | 9769 | ? | 555 | 4096 | iobm/ES<1> | NULL | NULL | iobm/ES<1>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/ES<1>.D2 | 9894 | ? | 568 | 4096 | iobm/ES<1> | NULL | NULL | iobm/ES<1>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/ES<1>.D2 | 9770 | ? | 555 | 4096 | iobm/ES<1> | NULL | NULL | iobm/ES<1>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<1> SPPTERM | 2 | IV_FALSE | iobm/ES<0> | IV_FALSE | iobm/ES<1> SPPTERM | 2 | IV_FALSE | iobm/Er | IV_TRUE | iobm/Er2 SRFF_INSTANCE | iobm/ES<1>.REG | iobm/ES<1> | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/ES<1>.D | 9892 | ? | 568 | 0 | iobm/ES<1> | NULL | NULL | iobm/ES<1>.XOR | 0 | 7 | ALU_F +NODE | iobm/ES<1>.D | 9768 | ? | 555 | 0 | iobm/ES<1> | NULL | NULL | iobm/ES<1>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 9423 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 9313 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/ES<1>.Q | 9895 | ? | 574 | 0 | iobm/ES<1> | NULL | NULL | iobm/ES<1>.REG | 0 | 8 | SRFF_Q +NODE | iobm/ES<1>.Q | 9771 | ? | 561 | 0 | iobm/ES<1> | NULL | NULL | iobm/ES<1>.REG | 0 | 8 | SRFF_Q TNAME | CLK2X_IOB MACROCELL_INSTANCE | PrldLow+OptxMapped | cnt/Timer<0> | WarpSE_COPY_0_COPY_0 | 2155873280 | 3 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9485 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 9370 | ? | 486 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<0> | 9500 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM +NODE | cnt/Timer<0> | 9385 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | E_IBUF | 9484 | ? | 553 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX +NODE | E_IBUF | 9369 | ? | 542 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/Timer<0> | 9500 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM +NODE | cnt/Timer<0> | 9385 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cnt/Timer<0>.SI | cnt/Timer<0> | 0 | 3 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9485 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 9370 | ? | 486 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<0> | 9500 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM +NODE | cnt/Timer<0> | 9385 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | E_IBUF | 9484 | ? | 553 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX +NODE | E_IBUF | 9369 | ? | 542 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/Timer<0>.D1 | 9897 | ? | 0 | 4096 | cnt/Timer<0> | NULL | NULL | cnt/Timer<0>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/Timer<0>.D1 | 9773 | ? | 0 | 4096 | cnt/Timer<0> | NULL | NULL | cnt/Timer<0>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/Timer<0>.D2 | 9898 | ? | 0 | 4096 | cnt/Timer<0> | NULL | NULL | cnt/Timer<0>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/Timer<0>.D2 | 9774 | ? | 0 | 4096 | cnt/Timer<0> | NULL | NULL | cnt/Timer<0>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_FALSE | cnt/TimerTC | IV_FALSE | cnt/Timer<0> OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF -SIGNAL | NODE | cnt/Timer<0>.CLKF | 9899 | ? | 0 | 4096 | cnt/Timer<0> | NULL | NULL | cnt/Timer<0>.SI | 3 | 9 | MC_SI_CLKF +SIGNAL | NODE | cnt/Timer<0>.CLKF | 9775 | ? | 0 | 4096 | cnt/Timer<0> | NULL | NULL | cnt/Timer<0>.SI | 3 | 9 | MC_SI_CLKF SPPTERM | 1 | IV_TRUE | E_IBUF SRFF_INSTANCE | cnt/Timer<0>.REG | cnt/Timer<0> | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/Timer<0>.D | 9896 | ? | 0 | 0 | cnt/Timer<0> | NULL | NULL | cnt/Timer<0>.XOR | 0 | 7 | ALU_F +NODE | cnt/Timer<0>.D | 9772 | ? | 0 | 0 | cnt/Timer<0> | NULL | NULL | cnt/Timer<0>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -SIGNAL | NODE | cnt/Timer<0>.CLKF | 9899 | ? | 0 | 4096 | cnt/Timer<0> | NULL | NULL | cnt/Timer<0>.SI | 3 | 9 | MC_SI_CLKF +SIGNAL | NODE | cnt/Timer<0>.CLKF | 9775 | ? | 0 | 4096 | cnt/Timer<0> | NULL | NULL | cnt/Timer<0>.SI | 3 | 9 | MC_SI_CLKF SPPTERM | 1 | IV_TRUE | E_IBUF OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/Timer<0>.Q | 9900 | ? | 0 | 0 | cnt/Timer<0> | NULL | NULL | cnt/Timer<0>.REG | 0 | 8 | SRFF_Q +NODE | cnt/Timer<0>.Q | 9776 | ? | 0 | 0 | cnt/Timer<0> | NULL | NULL | cnt/Timer<0>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/Timer<3> | WarpSE_COPY_0_COPY_0 | 2155877376 | 6 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9485 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 9370 | ? | 486 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<1> | 9497 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM +NODE | cnt/Timer<1> | 9382 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<2> | 9498 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM +NODE | cnt/Timer<2> | 9383 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<0> | 9500 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM +NODE | cnt/Timer<0> | 9385 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<3> | 9501 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<3>.Q | cnt/Timer<3> | 1 | 0 | MC_UIM +NODE | cnt/Timer<3> | 9386 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<3>.Q | cnt/Timer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | E_IBUF | 9484 | ? | 553 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX +NODE | E_IBUF | 9369 | ? | 542 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/Timer<3> | 9501 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<3>.Q | cnt/Timer<3> | 1 | 0 | MC_UIM +NODE | cnt/Timer<3> | 9386 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<3>.Q | cnt/Timer<3> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cnt/Timer<3>.SI | cnt/Timer<3> | 0 | 6 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 9485 | ? | 497 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 9370 | ? | 486 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<1> | 9497 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM +NODE | cnt/Timer<1> | 9382 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<2> | 9498 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM +NODE | cnt/Timer<2> | 9383 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<0> | 9500 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM +NODE | cnt/Timer<0> | 9385 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<3> | 9501 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<3>.Q | cnt/Timer<3> | 1 | 0 | MC_UIM +NODE | cnt/Timer<3> | 9386 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<3>.Q | cnt/Timer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | E_IBUF | 9484 | ? | 553 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX +NODE | E_IBUF | 9369 | ? | 542 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/Timer<3>.D1 | 9902 | ? | 0 | 4096 | cnt/Timer<3> | NULL | NULL | cnt/Timer<3>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/Timer<3>.D1 | 9778 | ? | 0 | 4096 | cnt/Timer<3> | NULL | NULL | cnt/Timer<3>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/Timer<3>.D2 | 9903 | ? | 0 | 4096 | cnt/Timer<3> | NULL | NULL | cnt/Timer<3>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/Timer<3>.D2 | 9779 | ? | 0 | 4096 | cnt/Timer<3> | NULL | NULL | cnt/Timer<3>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | cnt/TimerTC | IV_TRUE | cnt/Timer<3> SPPTERM | 4 | IV_FALSE | cnt/TimerTC | IV_TRUE | cnt/Timer<1> | IV_TRUE | cnt/Timer<2> | IV_TRUE | cnt/Timer<0> OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF -SIGNAL | NODE | cnt/Timer<3>.CLKF | 9904 | ? | 0 | 4096 | cnt/Timer<3> | NULL | NULL | cnt/Timer<3>.SI | 3 | 9 | MC_SI_CLKF +SIGNAL | NODE | cnt/Timer<3>.CLKF | 9780 | ? | 0 | 4096 | cnt/Timer<3> | NULL | NULL | cnt/Timer<3>.SI | 3 | 9 | MC_SI_CLKF SPPTERM | 1 | IV_TRUE | E_IBUF SRFF_INSTANCE | cnt/Timer<3>.REG | cnt/Timer<3> | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/Timer<3>.D | 9901 | ? | 0 | 0 | cnt/Timer<3> | NULL | NULL | cnt/Timer<3>.XOR | 0 | 7 | ALU_F +NODE | cnt/Timer<3>.D | 9777 | ? | 0 | 0 | cnt/Timer<3> | NULL | NULL | cnt/Timer<3>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -SIGNAL | NODE | cnt/Timer<3>.CLKF | 9904 | ? | 0 | 4096 | cnt/Timer<3> | NULL | NULL | cnt/Timer<3>.SI | 3 | 9 | MC_SI_CLKF +SIGNAL | NODE | cnt/Timer<3>.CLKF | 9780 | ? | 0 | 4096 | cnt/Timer<3> | NULL | NULL | cnt/Timer<3>.SI | 3 | 9 | MC_SI_CLKF SPPTERM | 1 | IV_TRUE | E_IBUF OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/Timer<3>.Q | 9905 | ? | 0 | 0 | cnt/Timer<3> | NULL | NULL | cnt/Timer<3>.REG | 0 | 8 | SRFF_Q +NODE | cnt/Timer<3>.Q | 9781 | ? | 0 | 0 | cnt/Timer<3> | NULL | NULL | cnt/Timer<3>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | iobm/ES<2> | WarpSE_COPY_0_COPY_0 | 2155873536 | 8 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9494 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 9379 | ? | 561 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 9502 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 9387 | ? | 561 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9499 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 9384 | ? | 561 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 9518 | ? | 582 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +NODE | iobm/Er | 9403 | ? | 569 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er2 | 9530 | ? | 571 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM +NODE | iobm/Er2 | 9415 | ? | 558 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 9503 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +NODE | iobm/ES<3> | 9388 | ? | 561 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<4> | 9504 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM +NODE | iobm/ES<4> | 9389 | ? | 561 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 9423 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 9313 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/ES<2> | 9502 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 9387 | ? | 561 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/ES<2>.SI | iobm/ES<2> | 0 | 7 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9494 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 9379 | ? | 561 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 9502 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 9387 | ? | 561 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9499 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 9384 | ? | 561 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 9518 | ? | 582 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +NODE | iobm/Er | 9403 | ? | 569 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er2 | 9530 | ? | 571 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM +NODE | iobm/Er2 | 9415 | ? | 558 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 9503 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +NODE | iobm/ES<3> | 9388 | ? | 561 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<4> | 9504 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM +NODE | iobm/ES<4> | 9389 | ? | 561 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/ES<2>.D1 | 9907 | ? | 569 | 4096 | iobm/ES<2> | NULL | NULL | iobm/ES<2>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/ES<2>.D1 | 9783 | ? | 556 | 4096 | iobm/ES<2> | NULL | NULL | iobm/ES<2>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/ES<2>.D2 | 9908 | ? | 569 | 4096 | iobm/ES<2> | NULL | NULL | iobm/ES<2>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/ES<2>.D2 | 9784 | ? | 556 | 4096 | iobm/ES<2> | NULL | NULL | iobm/ES<2>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_FALSE | iobm/ES<0> | IV_FALSE | iobm/ES<2> SPPTERM | 2 | IV_FALSE | iobm/ES<1> | IV_FALSE | iobm/ES<2> SPPTERM | 2 | IV_FALSE | iobm/Er | IV_TRUE | iobm/Er2 @@ -3692,102 +3564,102 @@ SPPTERM | 3 | IV_FALSE | iobm/ES<2> | IV_FALSE | iobm/ES<3> | IV_TRUE | iobm/ES< SRFF_INSTANCE | iobm/ES<2>.REG | iobm/ES<2> | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/ES<2>.D | 9906 | ? | 569 | 0 | iobm/ES<2> | NULL | NULL | iobm/ES<2>.XOR | 0 | 7 | ALU_F +NODE | iobm/ES<2>.D | 9782 | ? | 556 | 0 | iobm/ES<2> | NULL | NULL | iobm/ES<2>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 9423 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 9313 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/ES<2>.Q | 9909 | ? | 574 | 0 | iobm/ES<2> | NULL | NULL | iobm/ES<2>.REG | 0 | 8 | SRFF_Q +NODE | iobm/ES<2>.Q | 9785 | ? | 561 | 0 | iobm/ES<2> | NULL | NULL | iobm/ES<2>.REG | 0 | 8 | SRFF_Q TNAME | CLK2X_IOB MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | iobm/ES<3> | WarpSE_COPY_0_COPY_0 | 2155877376 | 7 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 9503 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +NODE | iobm/ES<3> | 9388 | ? | 561 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 9518 | ? | 582 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +NODE | iobm/Er | 9403 | ? | 569 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er2 | 9530 | ? | 571 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM +NODE | iobm/Er2 | 9415 | ? | 558 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9494 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 9379 | ? | 561 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9499 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 9384 | ? | 561 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 9502 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 9387 | ? | 561 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 9423 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 9313 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/ES<3> | 9503 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +NODE | iobm/ES<3> | 9388 | ? | 561 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/ES<3>.SI | iobm/ES<3> | 0 | 6 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 9503 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +NODE | iobm/ES<3> | 9388 | ? | 561 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 9518 | ? | 582 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +NODE | iobm/Er | 9403 | ? | 569 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er2 | 9530 | ? | 571 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM +NODE | iobm/Er2 | 9415 | ? | 558 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9494 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 9379 | ? | 561 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9499 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 9384 | ? | 561 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 9502 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 9387 | ? | 561 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/ES<3>.D1 | 9911 | ? | 570 | 4096 | iobm/ES<3> | NULL | NULL | iobm/ES<3>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/ES<3>.D1 | 9787 | ? | 557 | 4096 | iobm/ES<3> | NULL | NULL | iobm/ES<3>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/ES<3>.D2 | 9912 | ? | 570 | 4096 | iobm/ES<3> | NULL | NULL | iobm/ES<3>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/ES<3>.D2 | 9788 | ? | 557 | 4096 | iobm/ES<3> | NULL | NULL | iobm/ES<3>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 3 | IV_TRUE | iobm/ES<3> | IV_FALSE | iobm/Er | IV_TRUE | iobm/Er2 SPPTERM | 4 | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<1> | IV_TRUE | iobm/ES<2> | IV_TRUE | iobm/Er SPPTERM | 4 | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<1> | IV_TRUE | iobm/ES<2> | IV_FALSE | iobm/Er2 SRFF_INSTANCE | iobm/ES<3>.REG | iobm/ES<3> | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/ES<3>.D | 9910 | ? | 570 | 0 | iobm/ES<3> | NULL | NULL | iobm/ES<3>.XOR | 0 | 7 | ALU_F +NODE | iobm/ES<3>.D | 9786 | ? | 557 | 0 | iobm/ES<3> | NULL | NULL | iobm/ES<3>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 9423 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 9313 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/ES<3>.Q | 9913 | ? | 574 | 0 | iobm/ES<3> | NULL | NULL | iobm/ES<3>.REG | 0 | 8 | SRFF_Q +NODE | iobm/ES<3>.Q | 9789 | ? | 561 | 0 | iobm/ES<3> | NULL | NULL | iobm/ES<3>.REG | 0 | 8 | SRFF_Q TNAME | CLK2X_IOB MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | iobm/ES<4> | WarpSE_COPY_0_COPY_0 | 2155877376 | 8 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<4> | 9504 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM +NODE | iobm/ES<4> | 9389 | ? | 561 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 9518 | ? | 582 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +NODE | iobm/Er | 9403 | ? | 569 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er2 | 9530 | ? | 571 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM +NODE | iobm/Er2 | 9415 | ? | 558 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9494 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 9379 | ? | 561 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9499 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 9384 | ? | 561 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 9502 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 9387 | ? | 561 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 9503 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +NODE | iobm/ES<3> | 9388 | ? | 561 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 9423 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 9313 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/ES<4> | 9504 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM +NODE | iobm/ES<4> | 9389 | ? | 561 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/ES<4>.SI | iobm/ES<4> | 0 | 7 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<4> | 9504 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM +NODE | iobm/ES<4> | 9389 | ? | 561 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 9518 | ? | 582 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +NODE | iobm/Er | 9403 | ? | 569 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er2 | 9530 | ? | 571 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM +NODE | iobm/Er2 | 9415 | ? | 558 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9494 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 9379 | ? | 561 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9499 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 9384 | ? | 561 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 9502 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 9387 | ? | 561 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 9503 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +NODE | iobm/ES<3> | 9388 | ? | 561 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/ES<4>.D1 | 9915 | ? | 571 | 4096 | iobm/ES<4> | NULL | NULL | iobm/ES<4>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/ES<4>.D1 | 9791 | ? | 558 | 4096 | iobm/ES<4> | NULL | NULL | iobm/ES<4>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/ES<4>.D2 | 9916 | ? | 571 | 4096 | iobm/ES<4> | NULL | NULL | iobm/ES<4>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/ES<4>.D2 | 9792 | ? | 558 | 4096 | iobm/ES<4> | NULL | NULL | iobm/ES<4>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 3 | IV_TRUE | iobm/ES<4> | IV_FALSE | iobm/Er | IV_TRUE | iobm/Er2 SPPTERM | 5 | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<1> | IV_TRUE | iobm/ES<2> | IV_TRUE | iobm/ES<3> | IV_TRUE | iobm/Er SPPTERM | 5 | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<1> | IV_TRUE | iobm/ES<2> | IV_TRUE | iobm/ES<3> | IV_FALSE | iobm/Er2 @@ -3795,341 +3667,352 @@ SPPTERM | 5 | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<1> | IV_FALSE | iobm/ES<2 SRFF_INSTANCE | iobm/ES<4>.REG | iobm/ES<4> | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/ES<4>.D | 9914 | ? | 571 | 0 | iobm/ES<4> | NULL | NULL | iobm/ES<4>.XOR | 0 | 7 | ALU_F +NODE | iobm/ES<4>.D | 9790 | ? | 558 | 0 | iobm/ES<4> | NULL | NULL | iobm/ES<4>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 9423 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 9313 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/ES<4>.Q | 9917 | ? | 574 | 0 | iobm/ES<4> | NULL | NULL | iobm/ES<4>.REG | 0 | 8 | SRFF_Q +NODE | iobm/ES<4>.Q | 9793 | ? | 561 | 0 | iobm/ES<4> | NULL | NULL | iobm/ES<4>.REG | 0 | 8 | SRFF_Q TNAME | CLK2X_IOB -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped+Ce | cnt/PORS_FSM_FFd1 | WarpSE_COPY_0_COPY_0 | 2424312832 | 12 | 2 +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped+Ce | cnt/PORS_FSM_FFd1 | WarpSE_COPY_0_COPY_0 | 2424312832 | 5 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<13> | 9439 | ? | 507 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<13>.Q | cnt/LTimer<13> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<13> | 9328 | ? | 495 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<13>.Q | cnt/LTimer<13> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/PORS_FSM_FFd1 | 9505 | ? | 507 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/PORS_FSM_FFd1.Q | cnt/PORS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/PORS_FSM_FFd1 | 9390 | ? | 498 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/PORS_FSM_FFd1.Q | cnt/PORS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/PORS_FSM_FFd2 | 9507 | ? | 507 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/PORS_FSM_FFd2.Q | cnt/PORS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/PORS_FSM_FFd2 | 9392 | ? | 498 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/PORS_FSM_FFd2.Q | cnt/PORS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IPL2r | 9515 | ? | 503 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IPL2r.Q | cnt/IPL2r | 1 | 0 | MC_UIM +NODE | cnt/IPL2r | 9400 | ? | 491 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IPL2r.Q | cnt/IPL2r | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF/FCLK- | 9437 | ? | 587 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9410 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9411 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9417 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9447 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9416 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9446 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +NODE | C8M_IBUF/FCLK- | 9326 | ? | 571 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/PORS_FSM_FFd1 | 9505 | ? | 507 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/PORS_FSM_FFd1.Q | cnt/PORS_FSM_FFd1 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | cnt/PORS_FSM_FFd1.EXP | 10260 | ? | 513 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/PORS_FSM_FFd1.EXP | cnt/PORS_FSM_FFd1 | 4 | 0 | MC_EXPORT +NODE | cnt/PORS_FSM_FFd1 | 9390 | ? | 498 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/PORS_FSM_FFd1.Q | cnt/PORS_FSM_FFd1 | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | cnt/PORS_FSM_FFd1.SI | cnt/PORS_FSM_FFd1 | 0 | 11 | 3 +SIGNAL_INSTANCE | cnt/PORS_FSM_FFd1.SI | cnt/PORS_FSM_FFd1 | 0 | 4 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<13> | 9439 | ? | 507 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<13>.Q | cnt/LTimer<13> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<13> | 9328 | ? | 495 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<13>.Q | cnt/LTimer<13> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/PORS_FSM_FFd1 | 9505 | ? | 507 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/PORS_FSM_FFd1.Q | cnt/PORS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/PORS_FSM_FFd1 | 9390 | ? | 498 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/PORS_FSM_FFd1.Q | cnt/PORS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/PORS_FSM_FFd2 | 9507 | ? | 507 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/PORS_FSM_FFd2.Q | cnt/PORS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/PORS_FSM_FFd2 | 9392 | ? | 498 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/PORS_FSM_FFd2.Q | cnt/PORS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IPL2r | 9515 | ? | 503 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IPL2r.Q | cnt/IPL2r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9410 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9411 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9417 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9447 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9416 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9446 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +NODE | cnt/IPL2r | 9400 | ? | 491 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IPL2r.Q | cnt/IPL2r | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/PORS_FSM_FFd1.D1 | 9919 | ? | 503 | 4096 | cnt/PORS_FSM_FFd1 | NULL | NULL | cnt/PORS_FSM_FFd1.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/PORS_FSM_FFd1.D1 | 9795 | ? | 491 | 4096 | cnt/PORS_FSM_FFd1 | NULL | NULL | cnt/PORS_FSM_FFd1.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/PORS_FSM_FFd1.D2 | 9920 | ? | 503 | 4096 | cnt/PORS_FSM_FFd1 | NULL | NULL | cnt/PORS_FSM_FFd1.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/PORS_FSM_FFd1.D2 | 9796 | ? | 491 | 4096 | cnt/PORS_FSM_FFd1 | NULL | NULL | cnt/PORS_FSM_FFd1.SI | 2 | 9 | MC_SI_D2 SPPTERM | 4 | IV_TRUE | cnt/LTimer<13> | IV_FALSE | cnt/PORS_FSM_FFd1 | IV_TRUE | cnt/PORS_FSM_FFd2 | IV_FALSE | cnt/IPL2r -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | cnt/PORS_FSM_FFd1.EXP | 10248 | ? | 513 | 0 | cnt/PORS_FSM_FFd1 | NULL | NULL | cnt/PORS_FSM_FFd1.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 5 | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_13_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | iobs/Once -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | iobs/Once SRFF_INSTANCE | cnt/PORS_FSM_FFd1.REG | cnt/PORS_FSM_FFd1 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/PORS_FSM_FFd1.D | 9918 | ? | 503 | 0 | cnt/PORS_FSM_FFd1 | NULL | NULL | cnt/PORS_FSM_FFd1.XOR | 0 | 7 | ALU_F +NODE | cnt/PORS_FSM_FFd1.D | 9794 | ? | 491 | 0 | cnt/PORS_FSM_FFd1 | NULL | NULL | cnt/PORS_FSM_FFd1.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C8M_IBUF/FCLK- | 9437 | ? | 587 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 9326 | ? | 571 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/PORS_FSM_FFd1.Q | 9921 | ? | 507 | 0 | cnt/PORS_FSM_FFd1 | NULL | NULL | cnt/PORS_FSM_FFd1.REG | 0 | 8 | SRFF_Q +NODE | cnt/PORS_FSM_FFd1.Q | 9797 | ? | 498 | 0 | cnt/PORS_FSM_FFd1 | NULL | NULL | cnt/PORS_FSM_FFd1.REG | 0 | 8 | SRFF_Q TNAME | CLK_IOB MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/IOACTr | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOACT | 9519 | ? | 556 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOACT.Q | iobm/IOACT | 1 | 0 | MC_UIM +NODE | iobm/IOACT | 9404 | ? | 545 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOACT.Q | iobm/IOACT | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9444 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9333 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/IOACTr | 9506 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +NODE | iobs/IOACTr | 9391 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobs/IOACTr.SI | iobs/IOACTr | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOACT | 9519 | ? | 556 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOACT.Q | iobm/IOACT | 1 | 0 | MC_UIM +NODE | iobm/IOACT | 9404 | ? | 545 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOACT.Q | iobm/IOACT | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/IOACTr.D1 | 9923 | ? | 541 | 4096 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/IOACTr.D1 | 9799 | ? | 530 | 4096 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/IOACTr.D2 | 9924 | ? | 541 | 4096 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/IOACTr.D2 | 9800 | ? | 530 | 4096 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | iobm/IOACT SRFF_INSTANCE | iobs/IOACTr.REG | iobs/IOACTr | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/IOACTr.D | 9922 | ? | 541 | 0 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.XOR | 0 | 7 | ALU_F +NODE | iobs/IOACTr.D | 9798 | ? | 530 | 0 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9444 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9333 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/IOACTr.Q | 9925 | ? | 550 | 0 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.REG | 0 | 8 | SRFF_Q +NODE | iobs/IOACTr.Q | 9801 | ? | 539 | 0 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.REG | 0 | 8 | SRFF_Q TNAME | CLK_FSB MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | cnt/PORS_FSM_FFd2 | WarpSE_COPY_0_COPY_0 | 2424308736 | 4 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<13> | 9439 | ? | 507 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<13>.Q | cnt/LTimer<13> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<13> | 9328 | ? | 495 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<13>.Q | cnt/LTimer<13> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/PORS_FSM_FFd2 | 9507 | ? | 507 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/PORS_FSM_FFd2.Q | cnt/PORS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/PORS_FSM_FFd2 | 9392 | ? | 498 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/PORS_FSM_FFd2.Q | cnt/PORS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/PORS_FSM_FFd1 | 9505 | ? | 507 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/PORS_FSM_FFd1.Q | cnt/PORS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/PORS_FSM_FFd1 | 9390 | ? | 498 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/PORS_FSM_FFd1.Q | cnt/PORS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF/FCLK- | 9437 | ? | 587 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 9326 | ? | 571 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/PORS_FSM_FFd2 | 9507 | ? | 507 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/PORS_FSM_FFd2.Q | cnt/PORS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/PORS_FSM_FFd2 | 9392 | ? | 498 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/PORS_FSM_FFd2.Q | cnt/PORS_FSM_FFd2 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cnt/PORS_FSM_FFd2.SI | cnt/PORS_FSM_FFd2 | 0 | 3 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<13> | 9439 | ? | 507 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<13>.Q | cnt/LTimer<13> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<13> | 9328 | ? | 495 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<13>.Q | cnt/LTimer<13> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/PORS_FSM_FFd2 | 9507 | ? | 507 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/PORS_FSM_FFd2.Q | cnt/PORS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/PORS_FSM_FFd2 | 9392 | ? | 498 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/PORS_FSM_FFd2.Q | cnt/PORS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/PORS_FSM_FFd1 | 9505 | ? | 507 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/PORS_FSM_FFd1.Q | cnt/PORS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/PORS_FSM_FFd1 | 9390 | ? | 498 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/PORS_FSM_FFd1.Q | cnt/PORS_FSM_FFd1 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/PORS_FSM_FFd2.D1 | 9927 | ? | 504 | 4096 | cnt/PORS_FSM_FFd2 | NULL | NULL | cnt/PORS_FSM_FFd2.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/PORS_FSM_FFd2.D1 | 9803 | ? | 492 | 4096 | cnt/PORS_FSM_FFd2 | NULL | NULL | cnt/PORS_FSM_FFd2.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/PORS_FSM_FFd2.D2 | 9928 | ? | 504 | 4096 | cnt/PORS_FSM_FFd2 | NULL | NULL | cnt/PORS_FSM_FFd2.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/PORS_FSM_FFd2.D2 | 9804 | ? | 492 | 4096 | cnt/PORS_FSM_FFd2 | NULL | NULL | cnt/PORS_FSM_FFd2.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | cnt/LTimer<13> | IV_FALSE | cnt/PORS_FSM_FFd1 SPPTERM | 2 | IV_FALSE | cnt/LTimer<13> | IV_TRUE | cnt/PORS_FSM_FFd2 SRFF_INSTANCE | cnt/PORS_FSM_FFd2.REG | cnt/PORS_FSM_FFd2 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/PORS_FSM_FFd2.D | 9926 | ? | 504 | 0 | cnt/PORS_FSM_FFd2 | NULL | NULL | cnt/PORS_FSM_FFd2.XOR | 0 | 7 | ALU_F +NODE | cnt/PORS_FSM_FFd2.D | 9802 | ? | 492 | 0 | cnt/PORS_FSM_FFd2 | NULL | NULL | cnt/PORS_FSM_FFd2.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C8M_IBUF/FCLK- | 9437 | ? | 587 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 9326 | ? | 571 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/PORS_FSM_FFd2.Q | 9929 | ? | 507 | 0 | cnt/PORS_FSM_FFd2 | NULL | NULL | cnt/PORS_FSM_FFd2.REG | 0 | 8 | SRFF_Q +NODE | cnt/PORS_FSM_FFd2.Q | 9805 | ? | 498 | 0 | cnt/PORS_FSM_FFd2 | NULL | NULL | cnt/PORS_FSM_FFd2.REG | 0 | 8 | SRFF_Q TNAME | CLK_IOB -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | iobs/Load1 | WarpSE_COPY_0_COPY_0 | 2155873536 | 11 | 1 +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | iobs/Load1 | WarpSE_COPY_0_COPY_0 | 2155873536 | 12 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/ALE1 | 9574 | ? | 555 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | iobs/ALE1 | 9458 | ? | 544 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9447 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +NODE | iobs/Once | 9335 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | iobs/PS_FSM_FFd2 | 9376 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9418 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | iobs/PS_FSM_FFd1 | 9380 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9491 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9495 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9407 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9473 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9305 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9522 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 9306 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP24_.EXP | 10265 | ? | 542 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP24_.EXP | EXP24_ | 4 | 0 | MC_EXPORT +NODE | nWE_FSB_IBUF | 9324 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP25_.EXP | 10266 | ? | 542 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP25_.EXP | EXP25_ | 4 | 0 | MC_EXPORT +NODE | cnt/nBR_IOB.EXP | 10147 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/nBR_IOB.EXP | cnt/nBR_IOB | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9444 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | ram/BACTr.EXP | 10148 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.EXP | ram/BACTr | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 9333 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/Load1 | 9508 | ? | 555 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM +NODE | iobs/Load1 | 9393 | ? | 544 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | iobs/Load1.SI | iobs/Load1 | 0 | 10 | 2 +SIGNAL_INSTANCE | iobs/Load1.SI | iobs/Load1 | 0 | 11 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/ALE1 | 9574 | ? | 555 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | iobs/ALE1 | 9458 | ? | 544 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9447 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +NODE | iobs/Once | 9335 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | iobs/PS_FSM_FFd2 | 9376 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9418 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | iobs/PS_FSM_FFd1 | 9380 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9491 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9495 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9407 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9473 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9305 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9522 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 9306 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP24_.EXP | 10265 | ? | 542 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP24_.EXP | EXP24_ | 4 | 0 | MC_EXPORT +NODE | nWE_FSB_IBUF | 9324 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP25_.EXP | 10266 | ? | 542 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP25_.EXP | EXP25_ | 4 | 0 | MC_EXPORT +NODE | cnt/nBR_IOB.EXP | 10147 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/nBR_IOB.EXP | cnt/nBR_IOB | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr.EXP | 10148 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.EXP | ram/BACTr | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/Load1.D1 | 9931 | ? | 542 | 4096 | iobs/Load1 | NULL | NULL | iobs/Load1.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/Load1.D1 | 9807 | ? | 531 | 4096 | iobs/Load1 | NULL | NULL | iobs/Load1.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/Load1.D2 | 9932 | ? | 542 | 4096 | iobs/Load1 | NULL | NULL | iobs/Load1.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/Load1.D2 | 9808 | ? | 531 | 4096 | iobs/Load1 | NULL | NULL | iobs/Load1.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | iobs/Once SPPTERM | 1 | IV_FALSE | iobs/ALE1 -SPPTERM | 1 | IV_TRUE | EXP24_.EXP -SPPTERM | 1 | IV_TRUE | EXP25_.EXP -SPPTERM | 2 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_20_IBUF +SPPTERM | 1 | IV_TRUE | cnt/nBR_IOB.EXP +SPPTERM | 1 | IV_TRUE | ram/BACTr.EXP SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf SPPTERM | 2 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 +SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | nWE_FSB_IBUF SRFF_INSTANCE | iobs/Load1.REG | iobs/Load1 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/Load1.D | 9930 | ? | 542 | 0 | iobs/Load1 | NULL | NULL | iobs/Load1.XOR | 0 | 7 | ALU_F +NODE | iobs/Load1.D | 9806 | ? | 531 | 0 | iobs/Load1 | NULL | NULL | iobs/Load1.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9444 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9333 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/Load1.Q | 9933 | ? | 555 | 0 | iobs/Load1 | NULL | NULL | iobs/Load1.REG | 0 | 8 | SRFF_Q +NODE | iobs/Load1.Q | 9809 | ? | 544 | 0 | iobs/Load1 | NULL | NULL | iobs/Load1.REG | 0 | 8 | SRFF_Q TNAME | CLK_FSB -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | ram/BACTr | WarpSE_COPY_0_COPY_0 | 2155873536 | 3 | 1 +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | ram/BACTr | WarpSE_COPY_0_COPY_0 | 2155873536 | 10 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9473 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9522 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9407 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9444 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9333 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9305 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9306 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9308 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9310 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9307 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9300 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9301 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/BACTr | 9509 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +NODE | ram/BACTr | 9394 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | ram/BACTr.EXP | 10148 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.EXP | ram/BACTr | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | ram/BACTr.SI | ram/BACTr | 0 | 2 | 2 +SIGNAL_INSTANCE | ram/BACTr.SI | ram/BACTr | 0 | 9 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9473 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9522 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9407 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9305 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9306 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9308 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9310 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9307 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9300 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9301 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/BACTr.D1 | 9935 | ? | 543 | 4096 | ram/BACTr | NULL | NULL | ram/BACTr.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/BACTr.D1 | 9811 | ? | 532 | 4096 | ram/BACTr | NULL | NULL | ram/BACTr.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/BACTr.D2 | 9936 | ? | 543 | 4096 | ram/BACTr | NULL | NULL | ram/BACTr.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/BACTr.D2 | 9812 | ? | 532 | 4096 | ram/BACTr | NULL | NULL | ram/BACTr.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | ram/BACTr.EXP | 10135 | ? | 531 | 0 | ram/BACTr | NULL | NULL | ram/BACTr.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_20_IBUF +SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_18_IBUF +SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF +SPPTERM | 4 | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_13_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF SRFF_INSTANCE | ram/BACTr.REG | ram/BACTr | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/BACTr.D | 9934 | ? | 543 | 0 | ram/BACTr | NULL | NULL | ram/BACTr.XOR | 0 | 7 | ALU_F +NODE | ram/BACTr.D | 9810 | ? | 532 | 0 | ram/BACTr | NULL | NULL | ram/BACTr.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9444 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9333 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/BACTr.Q | 9937 | ? | 551 | 0 | ram/BACTr | NULL | NULL | ram/BACTr.REG | 0 | 8 | SRFF_Q +NODE | ram/BACTr.Q | 9813 | ? | 540 | 0 | ram/BACTr | NULL | NULL | ram/BACTr.REG | 0 | 8 | SRFF_Q TNAME | CLK_FSB MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RefReq | WarpSE_COPY_0_COPY_0 | 2155873280 | 3 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 9457 | ? | 544 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +NODE | ram/RefDone | 9346 | ? | 533 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefReqSync | 9513 | ? | 544 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReqSync.Q | ram/RefReqSync | 1 | 0 | MC_UIM +NODE | ram/RefReqSync | 9398 | ? | 533 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReqSync.Q | ram/RefReqSync | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9444 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9333 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RefReq | 9510 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReq.Q | ram/RefReq | 1 | 0 | MC_UIM +NODE | ram/RefReq | 9395 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReq.Q | ram/RefReq | 1 | 0 | MC_UIM SIGNAL_INSTANCE | ram/RefReq.SI | ram/RefReq | 0 | 2 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 9457 | ? | 544 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +NODE | ram/RefDone | 9346 | ? | 533 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefReqSync | 9513 | ? | 544 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReqSync.Q | ram/RefReqSync | 1 | 0 | MC_UIM +NODE | ram/RefReqSync | 9398 | ? | 533 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReqSync.Q | ram/RefReqSync | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RefReq.D1 | 9939 | ? | 544 | 4096 | ram/RefReq | NULL | NULL | ram/RefReq.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RefReq.D1 | 9815 | ? | 533 | 4096 | ram/RefReq | NULL | NULL | ram/RefReq.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RefReq.D2 | 9940 | ? | 544 | 4096 | ram/RefReq | NULL | NULL | ram/RefReq.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/RefReq.D2 | 9816 | ? | 533 | 4096 | ram/RefReq | NULL | NULL | ram/RefReq.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_FALSE | ram/RefDone | IV_TRUE | ram/RefReqSync SRFF_INSTANCE | ram/RefReq.REG | ram/RefReq | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RefReq.D | 9938 | ? | 544 | 0 | ram/RefReq | NULL | NULL | ram/RefReq.XOR | 0 | 7 | ALU_F +NODE | ram/RefReq.D | 9814 | ? | 533 | 0 | ram/RefReq | NULL | NULL | ram/RefReq.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9444 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9333 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RefReq.Q | 9941 | ? | 551 | 0 | ram/RefReq | NULL | NULL | ram/RefReq.REG | 0 | 8 | SRFF_Q +NODE | ram/RefReq.Q | 9817 | ? | 540 | 0 | ram/RefReq | NULL | NULL | ram/RefReq.REG | 0 | 8 | SRFF_Q TNAME | CLK_FSB -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | iobm/IOBERR | WarpSE_COPY_0_COPY_0 | 2155877376 | 13 | 1 +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | iobm/IOBERR | WarpSE_COPY_0_COPY_0 | 2155877376 | 14 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9486 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 9371 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9488 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 9373 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9489 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 9374 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOBERR | 9511 | ? | 572 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOBERR.Q | iobm/IOBERR | 1 | 0 | MC_UIM +NODE | iobm/IOBERR | 9396 | ? | 559 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOBERR.Q | iobm/IOBERR | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF | 9436 | ? | 575 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX +NODE | C8M_IBUF | 9325 | ? | 562 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_IOB_IBUF | 9474 | ? | 578 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX +NODE | nBERR_IOB_IBUF | 9361 | ? | 565 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ETACK | 9517 | ? | 575 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM +NODE | iobm/ETACK | 9402 | ? | 562 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrf | 9524 | ? | 575 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM +NODE | iobm/BERRrf | 9409 | ? | 562 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrr | 9525 | ? | 575 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM +NODE | iobm/BERRrr | 9410 | ? | 562 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrf | 9527 | ? | 575 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM +NODE | iobm/DTACKrf | 9412 | ? | 562 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrr | 9528 | ? | 575 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM +NODE | iobm/DTACKrr | 9413 | ? | 562 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/nDinLE.EXP | 10243 | ? | 572 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nDinLE.EXP | iobm/nDinLE | 4 | 0 | MC_EXPORT +NODE | EXP24_.EXP | 10094 | ? | 559 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP24_.EXP | EXP24_ | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 9423 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | cnt/LTimer<1>.EXP | 10095 | ? | 559 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.EXP | cnt/LTimer<1> | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | C16M_IBUF/FCLK | 9313 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/IOBERR | 9511 | ? | 572 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOBERR.Q | iobm/IOBERR | 1 | 0 | MC_UIM +NODE | iobm/IOBERR | 9396 | ? | 559 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOBERR.Q | iobm/IOBERR | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | iobm/IOBERR.SI | iobm/IOBERR | 0 | 12 | 2 +SIGNAL_INSTANCE | iobm/IOBERR.SI | iobm/IOBERR | 0 | 13 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9486 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 9371 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9488 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 9373 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9489 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 9374 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOBERR | 9511 | ? | 572 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOBERR.Q | iobm/IOBERR | 1 | 0 | MC_UIM +NODE | iobm/IOBERR | 9396 | ? | 559 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOBERR.Q | iobm/IOBERR | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF | 9436 | ? | 575 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX +NODE | C8M_IBUF | 9325 | ? | 562 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_IOB_IBUF | 9474 | ? | 578 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX +NODE | nBERR_IOB_IBUF | 9361 | ? | 565 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ETACK | 9517 | ? | 575 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM +NODE | iobm/ETACK | 9402 | ? | 562 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrf | 9524 | ? | 575 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM +NODE | iobm/BERRrf | 9409 | ? | 562 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrr | 9525 | ? | 575 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM +NODE | iobm/BERRrr | 9410 | ? | 562 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrf | 9527 | ? | 575 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM +NODE | iobm/DTACKrf | 9412 | ? | 562 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrr | 9528 | ? | 575 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM +NODE | iobm/DTACKrr | 9413 | ? | 562 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/nDinLE.EXP | 10243 | ? | 572 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nDinLE.EXP | iobm/nDinLE | 4 | 0 | MC_EXPORT +NODE | EXP24_.EXP | 10094 | ? | 559 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP24_.EXP | EXP24_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<1>.EXP | 10095 | ? | 559 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.EXP | cnt/LTimer<1> | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/IOBERR.D1 | 9943 | ? | 572 | 4096 | iobm/IOBERR | NULL | NULL | iobm/IOBERR.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/IOBERR.D1 | 9819 | ? | 559 | 4096 | iobm/IOBERR | NULL | NULL | iobm/IOBERR.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/IOBERR.D2 | 9944 | ? | 572 | 4096 | iobm/IOBERR | NULL | NULL | iobm/IOBERR.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | iobm/nDinLE.EXP +SIGNAL | NODE | iobm/IOBERR.D2 | 9820 | ? | 559 | 4096 | iobm/IOBERR | NULL | NULL | iobm/IOBERR.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | EXP24_.EXP +SPPTERM | 1 | IV_TRUE | cnt/LTimer<1>.EXP SPPTERM | 4 | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd1 | IV_FALSE | iobm/IOS_FSM_FFd2 | IV_TRUE | iobm/IOBERR SPPTERM | 7 | IV_TRUE | C8M_IBUF | IV_TRUE | nBERR_IOB_IBUF | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/IOS_FSM_FFd2 | IV_TRUE | iobm/IOBERR | IV_TRUE | iobm/ETACK SPPTERM | 7 | IV_TRUE | C8M_IBUF | IV_FALSE | nBERR_IOB_IBUF | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/IOS_FSM_FFd2 | IV_FALSE | iobm/IOBERR | IV_TRUE | iobm/ETACK @@ -4138,271 +4021,271 @@ SPPTERM | 8 | IV_TRUE | C8M_IBUF | IV_TRUE | nBERR_IOB_IBUF | IV_TRUE | iobm/IOS SRFF_INSTANCE | iobm/IOBERR.REG | iobm/IOBERR | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/IOBERR.D | 9942 | ? | 572 | 0 | iobm/IOBERR | NULL | NULL | iobm/IOBERR.XOR | 0 | 7 | ALU_F +NODE | iobm/IOBERR.D | 9818 | ? | 559 | 0 | iobm/IOBERR | NULL | NULL | iobm/IOBERR.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 9423 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 9313 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/IOBERR.Q | 9945 | ? | 572 | 0 | iobm/IOBERR | NULL | NULL | iobm/IOBERR.REG | 0 | 8 | SRFF_Q +NODE | iobm/IOBERR.Q | 9821 | ? | 559 | 0 | iobm/IOBERR | NULL | NULL | iobm/IOBERR.REG | 0 | 8 | SRFF_Q TNAME | CLK_IOB | CLK2X_IOB MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | iobm/IOREQr | WarpSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOREQ | 9539 | ? | 573 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOREQ.Q | iobs/IOREQ | 1 | 0 | MC_UIM +NODE | iobs/IOREQ | 9423 | ? | 560 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOREQ.Q | iobs/IOREQ | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK- | 9424 | ? | 587 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV +NODE | C16M_IBUF/FCLK- | 9314 | ? | 563 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/IOREQr | 9512 | ? | 576 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM +NODE | iobm/IOREQr | 9397 | ? | 563 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/IOREQr.SI | iobm/IOREQr | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOREQ | 9539 | ? | 573 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOREQ.Q | iobs/IOREQ | 1 | 0 | MC_UIM +NODE | iobs/IOREQ | 9423 | ? | 560 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOREQ.Q | iobs/IOREQ | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/IOREQr.D1 | 9947 | ? | 573 | 4096 | iobm/IOREQr | NULL | NULL | iobm/IOREQr.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/IOREQr.D1 | 9823 | ? | 560 | 4096 | iobm/IOREQr | NULL | NULL | iobm/IOREQr.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/IOREQr.D2 | 9948 | ? | 573 | 4096 | iobm/IOREQr | NULL | NULL | iobm/IOREQr.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/IOREQr.D2 | 9824 | ? | 560 | 4096 | iobm/IOREQr | NULL | NULL | iobm/IOREQr.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | iobs/IOREQ SRFF_INSTANCE | iobm/IOREQr.REG | iobm/IOREQr | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/IOREQr.D | 9946 | ? | 573 | 0 | iobm/IOREQr | NULL | NULL | iobm/IOREQr.XOR | 0 | 7 | ALU_F +NODE | iobm/IOREQr.D | 9822 | ? | 560 | 0 | iobm/IOREQr | NULL | NULL | iobm/IOREQr.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK- | 9424 | ? | 587 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV +NODE | C16M_IBUF/FCLK- | 9314 | ? | 563 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/IOREQr.Q | 9949 | ? | 576 | 0 | iobm/IOREQr | NULL | NULL | iobm/IOREQr.REG | 0 | 8 | SRFF_Q +NODE | iobm/IOREQr.Q | 9825 | ? | 563 | 0 | iobm/IOREQr | NULL | NULL | iobm/IOREQr.REG | 0 | 8 | SRFF_Q TNAME | CLK2X_IOB MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RefReqSync | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefReq | 9520 | ? | 545 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefReq.Q | cnt/RefReq | 1 | 0 | MC_UIM +NODE | cnt/RefReq | 9405 | ? | 534 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefReq.Q | cnt/RefReq | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9444 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9333 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RefReqSync | 9513 | ? | 544 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReqSync.Q | ram/RefReqSync | 1 | 0 | MC_UIM +NODE | ram/RefReqSync | 9398 | ? | 533 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReqSync.Q | ram/RefReqSync | 1 | 0 | MC_UIM SIGNAL_INSTANCE | ram/RefReqSync.SI | ram/RefReqSync | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefReq | 9520 | ? | 545 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefReq.Q | cnt/RefReq | 1 | 0 | MC_UIM +NODE | cnt/RefReq | 9405 | ? | 534 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefReq.Q | cnt/RefReq | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RefReqSync.D1 | 9951 | ? | 545 | 4096 | ram/RefReqSync | NULL | NULL | ram/RefReqSync.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RefReqSync.D1 | 9827 | ? | 534 | 4096 | ram/RefReqSync | NULL | NULL | ram/RefReqSync.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RefReqSync.D2 | 9952 | ? | 545 | 4096 | ram/RefReqSync | NULL | NULL | ram/RefReqSync.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/RefReqSync.D2 | 9828 | ? | 534 | 4096 | ram/RefReqSync | NULL | NULL | ram/RefReqSync.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | cnt/RefReq SRFF_INSTANCE | ram/RefReqSync.REG | ram/RefReqSync | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RefReqSync.D | 9950 | ? | 545 | 0 | ram/RefReqSync | NULL | NULL | ram/RefReqSync.XOR | 0 | 7 | ALU_F +NODE | ram/RefReqSync.D | 9826 | ? | 534 | 0 | ram/RefReqSync | NULL | NULL | ram/RefReqSync.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9444 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9333 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RefReqSync.Q | 9953 | ? | 544 | 0 | ram/RefReqSync | NULL | NULL | ram/RefReqSync.REG | 0 | 8 | SRFF_Q +NODE | ram/RefReqSync.Q | 9829 | ? | 533 | 0 | ram/RefReqSync | NULL | NULL | ram/RefReqSync.REG | 0 | 8 | SRFF_Q TNAME | CLK_FSB INPUT_INSTANCE | 0 | 0 | NULL | nIPL2_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nIPL2 | 9642 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | nIPL2 | 9527 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | nIPL2_IBUF | 9514 | ? | 506 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nIPL2_IBUF | 0 | 5 | II_IMUX +NODE | nIPL2_IBUF | 9399 | ? | 494 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nIPL2_IBUF | 0 | 5 | II_IMUX MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | cnt/IPL2r | WarpSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nIPL2_IBUF | 9514 | ? | 506 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nIPL2_IBUF | 0 | 5 | II_IMUX +NODE | nIPL2_IBUF | 9399 | ? | 494 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nIPL2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF/FCLK- | 9437 | ? | 587 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 9326 | ? | 571 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/IPL2r | 9515 | ? | 503 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IPL2r.Q | cnt/IPL2r | 1 | 0 | MC_UIM +NODE | cnt/IPL2r | 9400 | ? | 491 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IPL2r.Q | cnt/IPL2r | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cnt/IPL2r.SI | cnt/IPL2r | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nIPL2_IBUF | 9514 | ? | 506 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nIPL2_IBUF | 0 | 5 | II_IMUX +NODE | nIPL2_IBUF | 9399 | ? | 494 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nIPL2_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/IPL2r.D1 | 9955 | ? | 506 | 4096 | cnt/IPL2r | NULL | NULL | cnt/IPL2r.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/IPL2r.D1 | 9831 | ? | 494 | 4096 | cnt/IPL2r | NULL | NULL | cnt/IPL2r.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/IPL2r.D2 | 9956 | ? | 506 | 4096 | cnt/IPL2r | NULL | NULL | cnt/IPL2r.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/IPL2r.D2 | 9832 | ? | 494 | 4096 | cnt/IPL2r | NULL | NULL | cnt/IPL2r.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_FALSE | nIPL2_IBUF SRFF_INSTANCE | cnt/IPL2r.REG | cnt/IPL2r | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/IPL2r.D | 9954 | ? | 506 | 0 | cnt/IPL2r | NULL | NULL | cnt/IPL2r.XOR | 0 | 7 | ALU_F +NODE | cnt/IPL2r.D | 9830 | ? | 494 | 0 | cnt/IPL2r | NULL | NULL | cnt/IPL2r.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C8M_IBUF/FCLK- | 9437 | ? | 587 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 9326 | ? | 571 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/IPL2r.Q | 9957 | ? | 503 | 0 | cnt/IPL2r | NULL | NULL | cnt/IPL2r.REG | 0 | 8 | SRFF_Q +NODE | cnt/IPL2r.Q | 9833 | ? | 491 | 0 | cnt/IPL2r | NULL | NULL | cnt/IPL2r.REG | 0 | 8 | SRFF_Q TNAME | CLK_IOB MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | cnt/nRESout | WarpSE_COPY_0_COPY_0 | 2424308736 | 5 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<13> | 9439 | ? | 507 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<13>.Q | cnt/LTimer<13> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<13> | 9328 | ? | 495 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<13>.Q | cnt/LTimer<13> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/PORS_FSM_FFd1 | 9505 | ? | 507 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/PORS_FSM_FFd1.Q | cnt/PORS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/PORS_FSM_FFd1 | 9390 | ? | 498 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/PORS_FSM_FFd1.Q | cnt/PORS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/PORS_FSM_FFd2 | 9507 | ? | 507 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/PORS_FSM_FFd2.Q | cnt/PORS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/PORS_FSM_FFd2 | 9392 | ? | 498 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/PORS_FSM_FFd2.Q | cnt/PORS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/nRESout | 9516 | ? | 584 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/nRESout.Q | cnt/nRESout | 1 | 0 | MC_UIM +NODE | cnt/nRESout | 9401 | ? | 571 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/nRESout.Q | cnt/nRESout | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF/FCLK- | 9437 | ? | 587 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 9326 | ? | 571 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/nRESout | 9516 | ? | 584 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/nRESout.Q | cnt/nRESout | 1 | 0 | MC_UIM +NODE | cnt/nRESout | 9401 | ? | 571 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/nRESout.Q | cnt/nRESout | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cnt/nRESout.SI | cnt/nRESout | 0 | 4 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<13> | 9439 | ? | 507 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<13>.Q | cnt/LTimer<13> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<13> | 9328 | ? | 495 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<13>.Q | cnt/LTimer<13> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/PORS_FSM_FFd1 | 9505 | ? | 507 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/PORS_FSM_FFd1.Q | cnt/PORS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/PORS_FSM_FFd1 | 9390 | ? | 498 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/PORS_FSM_FFd1.Q | cnt/PORS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/PORS_FSM_FFd2 | 9507 | ? | 507 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/PORS_FSM_FFd2.Q | cnt/PORS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/PORS_FSM_FFd2 | 9392 | ? | 498 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/PORS_FSM_FFd2.Q | cnt/PORS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/nRESout | 9516 | ? | 584 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/nRESout.Q | cnt/nRESout | 1 | 0 | MC_UIM +NODE | cnt/nRESout | 9401 | ? | 571 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/nRESout.Q | cnt/nRESout | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/nRESout.D1 | 9959 | ? | 507 | 4096 | cnt/nRESout | NULL | NULL | cnt/nRESout.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/nRESout.D1 | 9835 | ? | 495 | 4096 | cnt/nRESout | NULL | NULL | cnt/nRESout.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/nRESout.D2 | 9960 | ? | 507 | 4096 | cnt/nRESout | NULL | NULL | cnt/nRESout.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/nRESout.D2 | 9836 | ? | 495 | 4096 | cnt/nRESout | NULL | NULL | cnt/nRESout.SI | 2 | 9 | MC_SI_D2 SPPTERM | 3 | IV_TRUE | cnt/LTimer<13> | IV_TRUE | cnt/PORS_FSM_FFd1 | IV_FALSE | cnt/PORS_FSM_FFd2 SPPTERM | 3 | IV_TRUE | cnt/PORS_FSM_FFd1 | IV_FALSE | cnt/PORS_FSM_FFd2 | IV_TRUE | cnt/nRESout SRFF_INSTANCE | cnt/nRESout.REG | cnt/nRESout | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/nRESout.D | 9958 | ? | 507 | 0 | cnt/nRESout | NULL | NULL | cnt/nRESout.XOR | 0 | 7 | ALU_F +NODE | cnt/nRESout.D | 9834 | ? | 495 | 0 | cnt/nRESout | NULL | NULL | cnt/nRESout.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C8M_IBUF/FCLK- | 9437 | ? | 587 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 9326 | ? | 571 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/nRESout.Q | 9961 | ? | 584 | 0 | cnt/nRESout | NULL | NULL | cnt/nRESout.REG | 0 | 8 | SRFF_Q +NODE | cnt/nRESout.Q | 9837 | ? | 571 | 0 | cnt/nRESout | NULL | NULL | cnt/nRESout.REG | 0 | 8 | SRFF_Q TNAME | CLK_IOB MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/ETACK | WarpSE_COPY_0_COPY_0 | 2155873280 | 7 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/nVMA | 9426 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nVMA.Q | iobm/nVMA | 1 | 0 | MC_UIM +NODE | iobm/nVMA | 9316 | ? | 561 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nVMA.Q | iobm/nVMA | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9494 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 9379 | ? | 561 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9499 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 9384 | ? | 561 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 9502 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 9387 | ? | 561 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 9503 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +NODE | iobm/ES<3> | 9388 | ? | 561 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<4> | 9504 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM +NODE | iobm/ES<4> | 9389 | ? | 561 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 9423 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 9313 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/ETACK | 9517 | ? | 575 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM +NODE | iobm/ETACK | 9402 | ? | 562 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/ETACK.SI | iobm/ETACK | 0 | 6 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/nVMA | 9426 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nVMA.Q | iobm/nVMA | 1 | 0 | MC_UIM +NODE | iobm/nVMA | 9316 | ? | 561 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nVMA.Q | iobm/nVMA | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9494 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 9379 | ? | 561 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9499 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 9384 | ? | 561 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 9502 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 9387 | ? | 561 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 9503 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +NODE | iobm/ES<3> | 9388 | ? | 561 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<4> | 9504 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM +NODE | iobm/ES<4> | 9389 | ? | 561 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/ETACK.D1 | 9963 | ? | 574 | 4096 | iobm/ETACK | NULL | NULL | iobm/ETACK.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/ETACK.D1 | 9839 | ? | 561 | 4096 | iobm/ETACK | NULL | NULL | iobm/ETACK.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/ETACK.D2 | 9964 | ? | 574 | 4096 | iobm/ETACK | NULL | NULL | iobm/ETACK.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/ETACK.D2 | 9840 | ? | 561 | 4096 | iobm/ETACK | NULL | NULL | iobm/ETACK.SI | 2 | 9 | MC_SI_D2 SPPTERM | 6 | IV_FALSE | iobm/nVMA | IV_FALSE | iobm/ES<0> | IV_FALSE | iobm/ES<1> | IV_FALSE | iobm/ES<2> | IV_FALSE | iobm/ES<3> | IV_TRUE | iobm/ES<4> SRFF_INSTANCE | iobm/ETACK.REG | iobm/ETACK | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/ETACK.D | 9962 | ? | 574 | 0 | iobm/ETACK | NULL | NULL | iobm/ETACK.XOR | 0 | 7 | ALU_F +NODE | iobm/ETACK.D | 9838 | ? | 561 | 0 | iobm/ETACK | NULL | NULL | iobm/ETACK.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 9423 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 9313 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/ETACK.Q | 9965 | ? | 575 | 0 | iobm/ETACK | NULL | NULL | iobm/ETACK.REG | 0 | 8 | SRFF_Q +NODE | iobm/ETACK.Q | 9841 | ? | 562 | 0 | iobm/ETACK | NULL | NULL | iobm/ETACK.REG | 0 | 8 | SRFF_Q TNAME | CLK2X_IOB MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | iobm/Er | WarpSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | E_IBUF | 9484 | ? | 553 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX +NODE | E_IBUF | 9369 | ? | 542 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF/FCLK- | 9437 | ? | 587 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 9326 | ? | 571 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/Er | 9518 | ? | 582 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +NODE | iobm/Er | 9403 | ? | 569 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/Er.SI | iobm/Er | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | E_IBUF | 9484 | ? | 553 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX +NODE | E_IBUF | 9369 | ? | 542 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/Er.D1 | 9967 | ? | 508 | 4096 | iobm/Er | NULL | NULL | iobm/Er.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/Er.D1 | 9843 | ? | 496 | 4096 | iobm/Er | NULL | NULL | iobm/Er.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/Er.D2 | 9968 | ? | 508 | 4096 | iobm/Er | NULL | NULL | iobm/Er.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/Er.D2 | 9844 | ? | 496 | 4096 | iobm/Er | NULL | NULL | iobm/Er.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | E_IBUF SRFF_INSTANCE | iobm/Er.REG | iobm/Er | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/Er.D | 9966 | ? | 508 | 0 | iobm/Er | NULL | NULL | iobm/Er.XOR | 0 | 7 | ALU_F +NODE | iobm/Er.D | 9842 | ? | 496 | 0 | iobm/Er | NULL | NULL | iobm/Er.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C8M_IBUF/FCLK- | 9437 | ? | 587 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 9326 | ? | 571 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/Er.Q | 9969 | ? | 582 | 0 | iobm/Er | NULL | NULL | iobm/Er.REG | 0 | 8 | SRFF_Q +NODE | iobm/Er.Q | 9845 | ? | 569 | 0 | iobm/Er | NULL | NULL | iobm/Er.REG | 0 | 8 | SRFF_Q TNAME | CLK_IOB MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | iobm/IOACT | WarpSE_COPY_0_COPY_0 | 2155873536 | 12 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9488 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 9373 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9489 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 9374 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9486 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 9371 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOREQr | 9512 | ? | 576 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM +NODE | iobm/IOREQr | 9397 | ? | 563 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF | 9436 | ? | 575 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX +NODE | C8M_IBUF | 9325 | ? | 562 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ETACK | 9517 | ? | 575 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM +NODE | iobm/ETACK | 9402 | ? | 562 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrf | 9524 | ? | 575 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM +NODE | iobm/BERRrf | 9409 | ? | 562 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrr | 9525 | ? | 575 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM +NODE | iobm/BERRrr | 9410 | ? | 562 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrf | 9527 | ? | 575 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM +NODE | iobm/DTACKrf | 9412 | ? | 562 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrr | 9528 | ? | 575 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM +NODE | iobm/DTACKrr | 9413 | ? | 562 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nADoutLE0_OBUF.EXP | 10242 | ? | 575 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE0_OBUF.EXP | nADoutLE0_OBUF | 4 | 0 | MC_EXPORT +NODE | iobm/nDinLE.EXP | 10119 | ? | 562 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nDinLE.EXP | iobm/nDinLE | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 9423 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 9313 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/IOACT | 9519 | ? | 556 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOACT.Q | iobm/IOACT | 1 | 0 | MC_UIM +NODE | iobm/IOACT | 9404 | ? | 545 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOACT.Q | iobm/IOACT | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/IOACT.SI | iobm/IOACT | 0 | 11 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9488 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 9373 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9489 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 9374 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9486 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 9371 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOREQr | 9512 | ? | 576 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM +NODE | iobm/IOREQr | 9397 | ? | 563 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF | 9436 | ? | 575 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX +NODE | C8M_IBUF | 9325 | ? | 562 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ETACK | 9517 | ? | 575 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM +NODE | iobm/ETACK | 9402 | ? | 562 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrf | 9524 | ? | 575 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM +NODE | iobm/BERRrf | 9409 | ? | 562 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrr | 9525 | ? | 575 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM +NODE | iobm/BERRrr | 9410 | ? | 562 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrf | 9527 | ? | 575 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM +NODE | iobm/DTACKrf | 9412 | ? | 562 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrr | 9528 | ? | 575 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM +NODE | iobm/DTACKrr | 9413 | ? | 562 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nADoutLE0_OBUF.EXP | 10242 | ? | 575 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE0_OBUF.EXP | nADoutLE0_OBUF | 4 | 0 | MC_EXPORT +NODE | iobm/nDinLE.EXP | 10119 | ? | 562 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nDinLE.EXP | iobm/nDinLE | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/IOACT.D1 | 9971 | ? | 575 | 4096 | iobm/IOACT | NULL | NULL | iobm/IOACT.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/IOACT.D1 | 9847 | ? | 562 | 4096 | iobm/IOACT | NULL | NULL | iobm/IOACT.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/IOACT.D2 | 9972 | ? | 575 | 4096 | iobm/IOACT | NULL | NULL | iobm/IOACT.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | nADoutLE0_OBUF.EXP +SIGNAL | NODE | iobm/IOACT.D2 | 9848 | ? | 562 | 4096 | iobm/IOACT | NULL | NULL | iobm/IOACT.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | iobm/nDinLE.EXP SPPTERM | 2 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_FALSE | iobm/IOS_FSM_FFd2 SPPTERM | 3 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd2 | IV_FALSE | iobm/IOREQr SPPTERM | 4 | IV_TRUE | C8M_IBUF | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/ETACK @@ -4411,1036 +4294,1052 @@ SPPTERM | 5 | IV_TRUE | C8M_IBUF | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/ SRFF_INSTANCE | iobm/IOACT.REG | iobm/IOACT | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/IOACT.D | 9970 | ? | 575 | 0 | iobm/IOACT | NULL | NULL | iobm/IOACT.XOR | 0 | 7 | ALU_F +NODE | iobm/IOACT.D | 9846 | ? | 562 | 0 | iobm/IOACT | NULL | NULL | iobm/IOACT.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 9423 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 9313 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/IOACT.Q | 9973 | ? | 556 | 0 | iobm/IOACT | NULL | NULL | iobm/IOACT.REG | 0 | 8 | SRFF_Q +NODE | iobm/IOACT.Q | 9849 | ? | 545 | 0 | iobm/IOACT | NULL | NULL | iobm/IOACT.REG | 0 | 8 | SRFF_Q TNAME | CLK_IOB | CLK2X_IOB MACROCELL_INSTANCE | PrldLow+OptxMapped | cnt/RefReq | WarpSE_COPY_0_COPY_0 | 2155873280 | 5 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<1> | 9497 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM +NODE | cnt/Timer<1> | 9382 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<3> | 9501 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<3>.Q | cnt/Timer<3> | 1 | 0 | MC_UIM +NODE | cnt/Timer<3> | 9386 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<3>.Q | cnt/Timer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<2> | 9498 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM +NODE | cnt/Timer<2> | 9383 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<0> | 9500 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM +NODE | cnt/Timer<0> | 9385 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | E_IBUF | 9484 | ? | 553 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX +NODE | E_IBUF | 9369 | ? | 542 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/RefReq | 9520 | ? | 545 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefReq.Q | cnt/RefReq | 1 | 0 | MC_UIM +NODE | cnt/RefReq | 9405 | ? | 534 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefReq.Q | cnt/RefReq | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cnt/RefReq.SI | cnt/RefReq | 0 | 5 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<1> | 9497 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM +NODE | cnt/Timer<1> | 9382 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<3> | 9501 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<3>.Q | cnt/Timer<3> | 1 | 0 | MC_UIM +NODE | cnt/Timer<3> | 9386 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<3>.Q | cnt/Timer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<2> | 9498 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM +NODE | cnt/Timer<2> | 9383 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<0> | 9500 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM +NODE | cnt/Timer<0> | 9385 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | E_IBUF | 9484 | ? | 553 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX +NODE | E_IBUF | 9369 | ? | 542 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/RefReq.D1 | 9975 | ? | 0 | 4096 | cnt/RefReq | NULL | NULL | cnt/RefReq.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/RefReq.D1 | 9851 | ? | 0 | 4096 | cnt/RefReq | NULL | NULL | cnt/RefReq.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/RefReq.D2 | 9976 | ? | 0 | 4096 | cnt/RefReq | NULL | NULL | cnt/RefReq.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/RefReq.D2 | 9852 | ? | 0 | 4096 | cnt/RefReq | NULL | NULL | cnt/RefReq.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | cnt/Timer<1> | IV_FALSE | cnt/Timer<3> SPPTERM | 2 | IV_TRUE | cnt/Timer<2> | IV_FALSE | cnt/Timer<3> SPPTERM | 4 | IV_FALSE | cnt/Timer<1> | IV_FALSE | cnt/Timer<2> | IV_FALSE | cnt/Timer<0> | IV_TRUE | cnt/Timer<3> OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF -SIGNAL | NODE | cnt/RefReq.CLKF | 9977 | ? | 545 | 4096 | cnt/RefReq | NULL | NULL | cnt/RefReq.SI | 3 | 9 | MC_SI_CLKF +SIGNAL | NODE | cnt/RefReq.CLKF | 9853 | ? | 534 | 4096 | cnt/RefReq | NULL | NULL | cnt/RefReq.SI | 3 | 9 | MC_SI_CLKF SPPTERM | 1 | IV_TRUE | E_IBUF SRFF_INSTANCE | cnt/RefReq.REG | cnt/RefReq | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/RefReq.D | 9974 | ? | 0 | 0 | cnt/RefReq | NULL | NULL | cnt/RefReq.XOR | 0 | 7 | ALU_F +NODE | cnt/RefReq.D | 9850 | ? | 0 | 0 | cnt/RefReq | NULL | NULL | cnt/RefReq.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -SIGNAL | NODE | cnt/RefReq.CLKF | 9977 | ? | 545 | 4096 | cnt/RefReq | NULL | NULL | cnt/RefReq.SI | 3 | 9 | MC_SI_CLKF +SIGNAL | NODE | cnt/RefReq.CLKF | 9853 | ? | 534 | 4096 | cnt/RefReq | NULL | NULL | cnt/RefReq.SI | 3 | 9 | MC_SI_CLKF SPPTERM | 1 | IV_TRUE | E_IBUF OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/RefReq.Q | 9978 | ? | 545 | 0 | cnt/RefReq | NULL | NULL | cnt/RefReq.REG | 0 | 8 | SRFF_Q +NODE | cnt/RefReq.Q | 9854 | ? | 534 | 0 | cnt/RefReq | NULL | NULL | cnt/RefReq.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | cnt/RefUrgent | WarpSE_COPY_0_COPY_0 | 2155873280 | 5 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<1> | 9497 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM +NODE | cnt/Timer<1> | 9382 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<2> | 9498 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM +NODE | cnt/Timer<2> | 9383 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<3> | 9501 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<3>.Q | cnt/Timer<3> | 1 | 0 | MC_UIM +NODE | cnt/Timer<3> | 9386 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<3>.Q | cnt/Timer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<0> | 9500 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM +NODE | cnt/Timer<0> | 9385 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | E_IBUF | 9484 | ? | 553 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX +NODE | E_IBUF | 9369 | ? | 542 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/RefUrgent | 9521 | ? | 553 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefUrgent.Q | cnt/RefUrgent | 1 | 0 | MC_UIM +NODE | cnt/RefUrgent | 9406 | ? | 542 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefUrgent.Q | cnt/RefUrgent | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cnt/RefUrgent.SI | cnt/RefUrgent | 0 | 5 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<1> | 9497 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM +NODE | cnt/Timer<1> | 9382 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<2> | 9498 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM +NODE | cnt/Timer<2> | 9383 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<3> | 9501 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<3>.Q | cnt/Timer<3> | 1 | 0 | MC_UIM +NODE | cnt/Timer<3> | 9386 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<3>.Q | cnt/Timer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<0> | 9500 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM +NODE | cnt/Timer<0> | 9385 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | E_IBUF | 9484 | ? | 553 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX +NODE | E_IBUF | 9369 | ? | 542 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/RefUrgent.D1 | 9980 | ? | 0 | 4096 | cnt/RefUrgent | NULL | NULL | cnt/RefUrgent.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/RefUrgent.D1 | 9856 | ? | 0 | 4096 | cnt/RefUrgent | NULL | NULL | cnt/RefUrgent.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/RefUrgent.D2 | 9981 | ? | 0 | 4096 | cnt/RefUrgent | NULL | NULL | cnt/RefUrgent.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/RefUrgent.D2 | 9857 | ? | 0 | 4096 | cnt/RefUrgent | NULL | NULL | cnt/RefUrgent.SI | 2 | 9 | MC_SI_D2 SPPTERM | 3 | IV_TRUE | cnt/Timer<1> | IV_TRUE | cnt/Timer<2> | IV_FALSE | cnt/Timer<3> SPPTERM | 4 | IV_FALSE | cnt/Timer<1> | IV_FALSE | cnt/Timer<2> | IV_FALSE | cnt/Timer<0> | IV_TRUE | cnt/Timer<3> OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF -SIGNAL | NODE | cnt/RefUrgent.CLKF | 9982 | ? | 553 | 4096 | cnt/RefUrgent | NULL | NULL | cnt/RefUrgent.SI | 3 | 9 | MC_SI_CLKF +SIGNAL | NODE | cnt/RefUrgent.CLKF | 9858 | ? | 542 | 4096 | cnt/RefUrgent | NULL | NULL | cnt/RefUrgent.SI | 3 | 9 | MC_SI_CLKF SPPTERM | 1 | IV_TRUE | E_IBUF SRFF_INSTANCE | cnt/RefUrgent.REG | cnt/RefUrgent | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/RefUrgent.D | 9979 | ? | 0 | 0 | cnt/RefUrgent | NULL | NULL | cnt/RefUrgent.XOR | 0 | 7 | ALU_F +NODE | cnt/RefUrgent.D | 9855 | ? | 0 | 0 | cnt/RefUrgent | NULL | NULL | cnt/RefUrgent.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -SIGNAL | NODE | cnt/RefUrgent.CLKF | 9982 | ? | 553 | 4096 | cnt/RefUrgent | NULL | NULL | cnt/RefUrgent.SI | 3 | 9 | MC_SI_CLKF +SIGNAL | NODE | cnt/RefUrgent.CLKF | 9858 | ? | 542 | 4096 | cnt/RefUrgent | NULL | NULL | cnt/RefUrgent.SI | 3 | 9 | MC_SI_CLKF SPPTERM | 1 | IV_TRUE | E_IBUF OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/RefUrgent.Q | 9983 | ? | 553 | 0 | cnt/RefUrgent | NULL | NULL | cnt/RefUrgent.REG | 0 | 8 | SRFF_Q +NODE | cnt/RefUrgent.Q | 9859 | ? | 542 | 0 | cnt/RefUrgent | NULL | NULL | cnt/RefUrgent.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | fsb/ASrf | WarpSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9473 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK- | 9445 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 7 | 5 | II_FCLKINV +NODE | FCLK_IBUF/FCLK- | 9334 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | fsb/ASrf | 9522 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9407 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM SIGNAL_INSTANCE | fsb/ASrf.SI | fsb/ASrf | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9473 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | fsb/ASrf.D1 | 9985 | ? | 546 | 4096 | fsb/ASrf | NULL | NULL | fsb/ASrf.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | fsb/ASrf.D1 | 9861 | ? | 535 | 4096 | fsb/ASrf | NULL | NULL | fsb/ASrf.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | fsb/ASrf.D2 | 9986 | ? | 546 | 4096 | fsb/ASrf | NULL | NULL | fsb/ASrf.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | fsb/ASrf.D2 | 9862 | ? | 535 | 4096 | fsb/ASrf | NULL | NULL | fsb/ASrf.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_FALSE | nAS_FSB_IBUF SRFF_INSTANCE | fsb/ASrf.REG | fsb/ASrf | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | fsb/ASrf.D | 9984 | ? | 546 | 0 | fsb/ASrf | NULL | NULL | fsb/ASrf.XOR | 0 | 7 | ALU_F +NODE | fsb/ASrf.D | 9860 | ? | 535 | 0 | fsb/ASrf | NULL | NULL | fsb/ASrf.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK- | 9445 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 7 | 5 | II_FCLKINV +NODE | FCLK_IBUF/FCLK- | 9334 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | fsb/ASrf.Q | 9987 | ? | 551 | 0 | fsb/ASrf | NULL | NULL | fsb/ASrf.REG | 0 | 8 | SRFF_Q +NODE | fsb/ASrf.Q | 9863 | ? | 540 | 0 | fsb/ASrf | NULL | NULL | fsb/ASrf.REG | 0 | 8 | SRFF_Q TNAME | CLK_FSB MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | iobm/ALE0 | WarpSE_COPY_0_COPY_0 | 2155873536 | 5 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9488 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 9373 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9489 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 9374 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9486 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 9371 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOREQr | 9512 | ? | 576 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM +NODE | iobm/IOREQr | 9397 | ? | 563 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 9423 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 9313 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/ALE0 | 9523 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ALE0.Q | iobm/ALE0 | 1 | 0 | MC_UIM +NODE | iobm/ALE0 | 9408 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ALE0.Q | iobm/ALE0 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/ALE0.SI | iobm/ALE0 | 0 | 4 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9488 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 9373 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9489 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 9374 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9486 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 9371 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOREQr | 9512 | ? | 576 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM +NODE | iobm/IOREQr | 9397 | ? | 563 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/ALE0.D1 | 9989 | ? | 576 | 4096 | iobm/ALE0 | NULL | NULL | iobm/ALE0.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/ALE0.D1 | 9865 | ? | 563 | 4096 | iobm/ALE0 | NULL | NULL | iobm/ALE0.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/ALE0.D2 | 9990 | ? | 576 | 4096 | iobm/ALE0 | NULL | NULL | iobm/ALE0.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/ALE0.D2 | 9866 | ? | 563 | 4096 | iobm/ALE0 | NULL | NULL | iobm/ALE0.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_FALSE | iobm/IOS_FSM_FFd2 SPPTERM | 3 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd2 | IV_FALSE | iobm/IOREQr SRFF_INSTANCE | iobm/ALE0.REG | iobm/ALE0 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/ALE0.D | 9988 | ? | 576 | 0 | iobm/ALE0 | NULL | NULL | iobm/ALE0.XOR | 0 | 7 | ALU_F +NODE | iobm/ALE0.D | 9864 | ? | 563 | 0 | iobm/ALE0 | NULL | NULL | iobm/ALE0.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 9423 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 9313 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/ALE0.Q | 9991 | ? | 0 | 0 | iobm/ALE0 | NULL | NULL | iobm/ALE0.REG | 0 | 8 | SRFF_Q +NODE | iobm/ALE0.Q | 9867 | ? | 0 | 0 | iobm/ALE0 | NULL | NULL | iobm/ALE0.REG | 0 | 8 | SRFF_Q TNAME | CLK2X_IOB MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | iobm/BERRrf | WarpSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_IOB_IBUF | 9474 | ? | 578 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX +NODE | nBERR_IOB_IBUF | 9361 | ? | 565 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK- | 9424 | ? | 587 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV +NODE | C16M_IBUF/FCLK- | 9314 | ? | 563 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/BERRrf | 9524 | ? | 575 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM +NODE | iobm/BERRrf | 9409 | ? | 562 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/BERRrf.SI | iobm/BERRrf | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_IOB_IBUF | 9474 | ? | 578 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX +NODE | nBERR_IOB_IBUF | 9361 | ? | 565 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/BERRrf.D1 | 9993 | ? | 577 | 4096 | iobm/BERRrf | NULL | NULL | iobm/BERRrf.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/BERRrf.D1 | 9869 | ? | 564 | 4096 | iobm/BERRrf | NULL | NULL | iobm/BERRrf.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/BERRrf.D2 | 9994 | ? | 577 | 4096 | iobm/BERRrf | NULL | NULL | iobm/BERRrf.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/BERRrf.D2 | 9870 | ? | 564 | 4096 | iobm/BERRrf | NULL | NULL | iobm/BERRrf.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_FALSE | nBERR_IOB_IBUF SRFF_INSTANCE | iobm/BERRrf.REG | iobm/BERRrf | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/BERRrf.D | 9992 | ? | 577 | 0 | iobm/BERRrf | NULL | NULL | iobm/BERRrf.XOR | 0 | 7 | ALU_F +NODE | iobm/BERRrf.D | 9868 | ? | 564 | 0 | iobm/BERRrf | NULL | NULL | iobm/BERRrf.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK- | 9424 | ? | 587 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV +NODE | C16M_IBUF/FCLK- | 9314 | ? | 563 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/BERRrf.Q | 9995 | ? | 575 | 0 | iobm/BERRrf | NULL | NULL | iobm/BERRrf.REG | 0 | 8 | SRFF_Q +NODE | iobm/BERRrf.Q | 9871 | ? | 562 | 0 | iobm/BERRrf | NULL | NULL | iobm/BERRrf.REG | 0 | 8 | SRFF_Q TNAME | CLK2X_IOB MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/BERRrr | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_IOB_IBUF | 9474 | ? | 578 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX +NODE | nBERR_IOB_IBUF | 9361 | ? | 565 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 9423 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 9313 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/BERRrr | 9525 | ? | 575 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM +NODE | iobm/BERRrr | 9410 | ? | 562 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/BERRrr.SI | iobm/BERRrr | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_IOB_IBUF | 9474 | ? | 578 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX +NODE | nBERR_IOB_IBUF | 9361 | ? | 565 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/BERRrr.D1 | 9997 | ? | 578 | 4096 | iobm/BERRrr | NULL | NULL | iobm/BERRrr.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/BERRrr.D1 | 9873 | ? | 565 | 4096 | iobm/BERRrr | NULL | NULL | iobm/BERRrr.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/BERRrr.D2 | 9998 | ? | 578 | 4096 | iobm/BERRrr | NULL | NULL | iobm/BERRrr.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/BERRrr.D2 | 9874 | ? | 565 | 4096 | iobm/BERRrr | NULL | NULL | iobm/BERRrr.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_FALSE | nBERR_IOB_IBUF SRFF_INSTANCE | iobm/BERRrr.REG | iobm/BERRrr | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/BERRrr.D | 9996 | ? | 578 | 0 | iobm/BERRrr | NULL | NULL | iobm/BERRrr.XOR | 0 | 7 | ALU_F +NODE | iobm/BERRrr.D | 9872 | ? | 565 | 0 | iobm/BERRrr | NULL | NULL | iobm/BERRrr.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 9423 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 9313 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/BERRrr.Q | 9999 | ? | 575 | 0 | iobm/BERRrr | NULL | NULL | iobm/BERRrr.REG | 0 | 8 | SRFF_Q +NODE | iobm/BERRrr.Q | 9875 | ? | 562 | 0 | iobm/BERRrr | NULL | NULL | iobm/BERRrr.REG | 0 | 8 | SRFF_Q TNAME | CLK2X_IOB INPUT_INSTANCE | 0 | 0 | NULL | nDTACK_IOB_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nDTACK_IOB | 9643 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | nDTACK_IOB | 9528 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | nDTACK_IOB_IBUF | 9526 | ? | 580 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX +NODE | nDTACK_IOB_IBUF | 9411 | ? | 567 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | iobm/DTACKrf | WarpSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDTACK_IOB_IBUF | 9526 | ? | 580 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX +NODE | nDTACK_IOB_IBUF | 9411 | ? | 567 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK- | 9424 | ? | 587 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV +NODE | C16M_IBUF/FCLK- | 9314 | ? | 563 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/DTACKrf | 9527 | ? | 575 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM +NODE | iobm/DTACKrf | 9412 | ? | 562 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/DTACKrf.SI | iobm/DTACKrf | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDTACK_IOB_IBUF | 9526 | ? | 580 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX +NODE | nDTACK_IOB_IBUF | 9411 | ? | 567 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/DTACKrf.D1 | 10001 | ? | 579 | 4096 | iobm/DTACKrf | NULL | NULL | iobm/DTACKrf.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/DTACKrf.D1 | 9877 | ? | 566 | 4096 | iobm/DTACKrf | NULL | NULL | iobm/DTACKrf.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/DTACKrf.D2 | 10002 | ? | 579 | 4096 | iobm/DTACKrf | NULL | NULL | iobm/DTACKrf.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/DTACKrf.D2 | 9878 | ? | 566 | 4096 | iobm/DTACKrf | NULL | NULL | iobm/DTACKrf.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_FALSE | nDTACK_IOB_IBUF SRFF_INSTANCE | iobm/DTACKrf.REG | iobm/DTACKrf | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/DTACKrf.D | 10000 | ? | 579 | 0 | iobm/DTACKrf | NULL | NULL | iobm/DTACKrf.XOR | 0 | 7 | ALU_F +NODE | iobm/DTACKrf.D | 9876 | ? | 566 | 0 | iobm/DTACKrf | NULL | NULL | iobm/DTACKrf.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK- | 9424 | ? | 587 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV +NODE | C16M_IBUF/FCLK- | 9314 | ? | 563 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/DTACKrf.Q | 10003 | ? | 575 | 0 | iobm/DTACKrf | NULL | NULL | iobm/DTACKrf.REG | 0 | 8 | SRFF_Q +NODE | iobm/DTACKrf.Q | 9879 | ? | 562 | 0 | iobm/DTACKrf | NULL | NULL | iobm/DTACKrf.REG | 0 | 8 | SRFF_Q TNAME | CLK2X_IOB MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/DTACKrr | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDTACK_IOB_IBUF | 9526 | ? | 580 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX +NODE | nDTACK_IOB_IBUF | 9411 | ? | 567 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 9423 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 9313 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/DTACKrr | 9528 | ? | 575 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM +NODE | iobm/DTACKrr | 9413 | ? | 562 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/DTACKrr.SI | iobm/DTACKrr | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDTACK_IOB_IBUF | 9526 | ? | 580 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX +NODE | nDTACK_IOB_IBUF | 9411 | ? | 567 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/DTACKrr.D1 | 10005 | ? | 580 | 4096 | iobm/DTACKrr | NULL | NULL | iobm/DTACKrr.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/DTACKrr.D1 | 9881 | ? | 567 | 4096 | iobm/DTACKrr | NULL | NULL | iobm/DTACKrr.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/DTACKrr.D2 | 10006 | ? | 580 | 4096 | iobm/DTACKrr | NULL | NULL | iobm/DTACKrr.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/DTACKrr.D2 | 9882 | ? | 567 | 4096 | iobm/DTACKrr | NULL | NULL | iobm/DTACKrr.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_FALSE | nDTACK_IOB_IBUF SRFF_INSTANCE | iobm/DTACKrr.REG | iobm/DTACKrr | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/DTACKrr.D | 10004 | ? | 580 | 0 | iobm/DTACKrr | NULL | NULL | iobm/DTACKrr.XOR | 0 | 7 | ALU_F +NODE | iobm/DTACKrr.D | 9880 | ? | 567 | 0 | iobm/DTACKrr | NULL | NULL | iobm/DTACKrr.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 9423 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 9313 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/DTACKrr.Q | 10007 | ? | 575 | 0 | iobm/DTACKrr | NULL | NULL | iobm/DTACKrr.REG | 0 | 8 | SRFF_Q +NODE | iobm/DTACKrr.Q | 9883 | ? | 562 | 0 | iobm/DTACKrr | NULL | NULL | iobm/DTACKrr.REG | 0 | 8 | SRFF_Q TNAME | CLK2X_IOB MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/DoutOE | WarpSE_COPY_0_COPY_0 | 2155873280 | 4 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW0 | 9456 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW0.Q | iobs/IORW0 | 1 | 0 | MC_UIM +NODE | iobs/IORW0 | 9345 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW0.Q | iobs/IORW0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9489 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 9374 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9486 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 9371 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 9423 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 9313 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/DoutOE | 9529 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DoutOE.Q | iobm/DoutOE | 1 | 0 | MC_UIM +NODE | iobm/DoutOE | 9414 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DoutOE.Q | iobm/DoutOE | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/DoutOE.SI | iobm/DoutOE | 0 | 3 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW0 | 9456 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW0.Q | iobs/IORW0 | 1 | 0 | MC_UIM +NODE | iobs/IORW0 | 9345 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW0.Q | iobs/IORW0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9489 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 9374 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9486 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 9371 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/DoutOE.D1 | 10009 | ? | 581 | 4096 | iobm/DoutOE | NULL | NULL | iobm/DoutOE.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/DoutOE.D1 | 9885 | ? | 568 | 4096 | iobm/DoutOE | NULL | NULL | iobm/DoutOE.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/DoutOE.D2 | 10010 | ? | 581 | 4096 | iobm/DoutOE | NULL | NULL | iobm/DoutOE.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/DoutOE.D2 | 9886 | ? | 568 | 4096 | iobm/DoutOE | NULL | NULL | iobm/DoutOE.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | iobs/IORW0 | IV_TRUE | iobm/IOS_FSM_FFd3 SPPTERM | 2 | IV_TRUE | iobs/IORW0 | IV_TRUE | iobm/IOS_FSM_FFd2 SRFF_INSTANCE | iobm/DoutOE.REG | iobm/DoutOE | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/DoutOE.D | 10008 | ? | 581 | 0 | iobm/DoutOE | NULL | NULL | iobm/DoutOE.XOR | 0 | 7 | ALU_F +NODE | iobm/DoutOE.D | 9884 | ? | 568 | 0 | iobm/DoutOE | NULL | NULL | iobm/DoutOE.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 9423 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 9313 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/DoutOE.Q | 10011 | ? | 0 | 0 | iobm/DoutOE | NULL | NULL | iobm/DoutOE.REG | 0 | 8 | SRFF_Q +NODE | iobm/DoutOE.Q | 9887 | ? | 0 | 0 | iobm/DoutOE | NULL | NULL | iobm/DoutOE.REG | 0 | 8 | SRFF_Q TNAME | CLK2X_IOB MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/Er2 | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 9518 | ? | 582 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +NODE | iobm/Er | 9403 | ? | 569 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 9423 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 9313 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/Er2 | 9530 | ? | 571 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM +NODE | iobm/Er2 | 9415 | ? | 558 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/Er2.SI | iobm/Er2 | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 9518 | ? | 582 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +NODE | iobm/Er | 9403 | ? | 569 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/Er2.D1 | 10013 | ? | 582 | 4096 | iobm/Er2 | NULL | NULL | iobm/Er2.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/Er2.D1 | 9889 | ? | 569 | 4096 | iobm/Er2 | NULL | NULL | iobm/Er2.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/Er2.D2 | 10014 | ? | 582 | 4096 | iobm/Er2 | NULL | NULL | iobm/Er2.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/Er2.D2 | 9890 | ? | 569 | 4096 | iobm/Er2 | NULL | NULL | iobm/Er2.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | iobm/Er SRFF_INSTANCE | iobm/Er2.REG | iobm/Er2 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/Er2.D | 10012 | ? | 582 | 0 | iobm/Er2 | NULL | NULL | iobm/Er2.XOR | 0 | 7 | ALU_F +NODE | iobm/Er2.D | 9888 | ? | 569 | 0 | iobm/Er2 | NULL | NULL | iobm/Er2.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 9423 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 9313 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/Er2.Q | 10015 | ? | 571 | 0 | iobm/Er2 | NULL | NULL | iobm/Er2.REG | 0 | 8 | SRFF_Q +NODE | iobm/Er2.Q | 9891 | ? | 558 | 0 | iobm/Er2 | NULL | NULL | iobm/Er2.REG | 0 | 8 | SRFF_Q TNAME | CLK2X_IOB MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | iobm/RESrf | WarpSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | N01 | 9458 | ? | 584 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | N01 | 0 | 5 | II_IMUX +NODE | N01 | 9347 | ? | 571 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | N01 | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK- | 9424 | ? | 587 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV +NODE | C16M_IBUF/FCLK- | 9314 | ? | 563 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/RESrf | 9531 | ? | 575 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM +NODE | iobm/RESrf | 9416 | ? | 562 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/RESrf.SI | iobm/RESrf | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | N01 | 9458 | ? | 584 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | N01 | 0 | 5 | II_IMUX +NODE | N01 | 9347 | ? | 571 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | N01 | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/RESrf.D1 | 10017 | ? | 583 | 4096 | iobm/RESrf | NULL | NULL | iobm/RESrf.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/RESrf.D1 | 9893 | ? | 570 | 4096 | iobm/RESrf | NULL | NULL | iobm/RESrf.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/RESrf.D2 | 10018 | ? | 583 | 4096 | iobm/RESrf | NULL | NULL | iobm/RESrf.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/RESrf.D2 | 9894 | ? | 570 | 4096 | iobm/RESrf | NULL | NULL | iobm/RESrf.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_FALSE | N01 SRFF_INSTANCE | iobm/RESrf.REG | iobm/RESrf | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/RESrf.D | 10016 | ? | 583 | 0 | iobm/RESrf | NULL | NULL | iobm/RESrf.XOR | 0 | 7 | ALU_F +NODE | iobm/RESrf.D | 9892 | ? | 570 | 0 | iobm/RESrf | NULL | NULL | iobm/RESrf.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK- | 9424 | ? | 587 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV +NODE | C16M_IBUF/FCLK- | 9314 | ? | 563 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/RESrf.Q | 10019 | ? | 575 | 0 | iobm/RESrf | NULL | NULL | iobm/RESrf.REG | 0 | 8 | SRFF_Q +NODE | iobm/RESrf.Q | 9895 | ? | 562 | 0 | iobm/RESrf | NULL | NULL | iobm/RESrf.REG | 0 | 8 | SRFF_Q TNAME | CLK2X_IOB MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/RESrr | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | N01 | 9458 | ? | 584 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | N01 | 0 | 5 | II_IMUX +NODE | N01 | 9347 | ? | 571 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | N01 | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 9423 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 9313 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/RESrr | 9532 | ? | 575 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM +NODE | iobm/RESrr | 9417 | ? | 562 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/RESrr.SI | iobm/RESrr | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | N01 | 9458 | ? | 584 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | N01 | 0 | 5 | II_IMUX +NODE | N01 | 9347 | ? | 571 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | N01 | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/RESrr.D1 | 10021 | ? | 584 | 4096 | iobm/RESrr | NULL | NULL | iobm/RESrr.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/RESrr.D1 | 9897 | ? | 571 | 4096 | iobm/RESrr | NULL | NULL | iobm/RESrr.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/RESrr.D2 | 10022 | ? | 584 | 4096 | iobm/RESrr | NULL | NULL | iobm/RESrr.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/RESrr.D2 | 9898 | ? | 571 | 4096 | iobm/RESrr | NULL | NULL | iobm/RESrr.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_FALSE | N01 SRFF_INSTANCE | iobm/RESrr.REG | iobm/RESrr | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/RESrr.D | 10020 | ? | 584 | 0 | iobm/RESrr | NULL | NULL | iobm/RESrr.XOR | 0 | 7 | ALU_F +NODE | iobm/RESrr.D | 9896 | ? | 571 | 0 | iobm/RESrr | NULL | NULL | iobm/RESrr.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 9423 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 9313 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/RESrr.Q | 10023 | ? | 575 | 0 | iobm/RESrr | NULL | NULL | iobm/RESrr.REG | 0 | 8 | SRFF_Q +NODE | iobm/RESrr.Q | 9899 | ? | 562 | 0 | iobm/RESrr | NULL | NULL | iobm/RESrr.REG | 0 | 8 | SRFF_Q TNAME | CLK2X_IOB INPUT_INSTANCE | 0 | 0 | NULL | nVPA_IOB_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nVPA_IOB | 9644 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | nVPA_IOB | 9529 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | nVPA_IOB_IBUF | 9533 | ? | 586 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX +NODE | nVPA_IOB_IBUF | 9418 | ? | 573 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | iobm/VPArf | WarpSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nVPA_IOB_IBUF | 9533 | ? | 586 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX +NODE | nVPA_IOB_IBUF | 9418 | ? | 573 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK- | 9424 | ? | 587 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV +NODE | C16M_IBUF/FCLK- | 9314 | ? | 563 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/VPArf | 9534 | ? | 556 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/VPArf.Q | iobm/VPArf | 1 | 0 | MC_UIM +NODE | iobm/VPArf | 9419 | ? | 545 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/VPArf.Q | iobm/VPArf | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/VPArf.SI | iobm/VPArf | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nVPA_IOB_IBUF | 9533 | ? | 586 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX +NODE | nVPA_IOB_IBUF | 9418 | ? | 573 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/VPArf.D1 | 10025 | ? | 585 | 4096 | iobm/VPArf | NULL | NULL | iobm/VPArf.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/VPArf.D1 | 9901 | ? | 572 | 4096 | iobm/VPArf | NULL | NULL | iobm/VPArf.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/VPArf.D2 | 10026 | ? | 585 | 4096 | iobm/VPArf | NULL | NULL | iobm/VPArf.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/VPArf.D2 | 9902 | ? | 572 | 4096 | iobm/VPArf | NULL | NULL | iobm/VPArf.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_FALSE | nVPA_IOB_IBUF SRFF_INSTANCE | iobm/VPArf.REG | iobm/VPArf | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/VPArf.D | 10024 | ? | 585 | 0 | iobm/VPArf | NULL | NULL | iobm/VPArf.XOR | 0 | 7 | ALU_F +NODE | iobm/VPArf.D | 9900 | ? | 572 | 0 | iobm/VPArf | NULL | NULL | iobm/VPArf.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK- | 9424 | ? | 587 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV +NODE | C16M_IBUF/FCLK- | 9314 | ? | 563 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/VPArf.Q | 10027 | ? | 556 | 0 | iobm/VPArf | NULL | NULL | iobm/VPArf.REG | 0 | 8 | SRFF_Q +NODE | iobm/VPArf.Q | 9903 | ? | 545 | 0 | iobm/VPArf | NULL | NULL | iobm/VPArf.REG | 0 | 8 | SRFF_Q TNAME | CLK2X_IOB MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/VPArr | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nVPA_IOB_IBUF | 9533 | ? | 586 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX +NODE | nVPA_IOB_IBUF | 9418 | ? | 573 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 9423 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 9313 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/VPArr | 9535 | ? | 556 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/VPArr.Q | iobm/VPArr | 1 | 0 | MC_UIM +NODE | iobm/VPArr | 9420 | ? | 545 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/VPArr.Q | iobm/VPArr | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/VPArr.SI | iobm/VPArr | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nVPA_IOB_IBUF | 9533 | ? | 586 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX +NODE | nVPA_IOB_IBUF | 9418 | ? | 573 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/VPArr.D1 | 10029 | ? | 586 | 4096 | iobm/VPArr | NULL | NULL | iobm/VPArr.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/VPArr.D1 | 9905 | ? | 573 | 4096 | iobm/VPArr | NULL | NULL | iobm/VPArr.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/VPArr.D2 | 10030 | ? | 586 | 4096 | iobm/VPArr | NULL | NULL | iobm/VPArr.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/VPArr.D2 | 9906 | ? | 573 | 4096 | iobm/VPArr | NULL | NULL | iobm/VPArr.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_FALSE | nVPA_IOB_IBUF SRFF_INSTANCE | iobm/VPArr.REG | iobm/VPArr | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/VPArr.D | 10028 | ? | 586 | 0 | iobm/VPArr | NULL | NULL | iobm/VPArr.XOR | 0 | 7 | ALU_F +NODE | iobm/VPArr.D | 9904 | ? | 573 | 0 | iobm/VPArr | NULL | NULL | iobm/VPArr.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 9423 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 9313 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/VPArr.Q | 10031 | ? | 556 | 0 | iobm/VPArr | NULL | NULL | iobm/VPArr.REG | 0 | 8 | SRFF_Q -TNAME | CLK2X_IOB - -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | iobm/nASr | WarpSE_COPY_0_COPY_0 | 2155873536 | 3 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/nASout | 9429 | ? | 587 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nASout.Q | iobm/nASout | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/AoutOE | 9480 | ? | 587 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/AoutOE.Q | cnt/AoutOE | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 9423 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/nASr | 9536 | ? | 563 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nASr.Q | iobm/nASr | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobm/nASr.SI | iobm/nASr | 0 | 2 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/nASout | 9429 | ? | 587 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nASout.Q | iobm/nASout | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/AoutOE | 9480 | ? | 587 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/AoutOE.Q | cnt/AoutOE | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/nASr.D1 | 10033 | ? | 587 | 4096 | iobm/nASr | NULL | NULL | iobm/nASr.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/nASr.D2 | 10034 | ? | 587 | 4096 | iobm/nASr | NULL | NULL | iobm/nASr.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_FALSE | iobm/nASout | IV_TRUE | cnt/AoutOE - -SRFF_INSTANCE | iobm/nASr.REG | iobm/nASr | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/nASr.D | 10032 | ? | 587 | 0 | iobm/nASr | NULL | NULL | iobm/nASr.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 9423 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/nASr.Q | 10035 | ? | 563 | 0 | iobm/nASr | NULL | NULL | iobm/nASr.REG | 0 | 8 | SRFF_Q +NODE | iobm/VPArr.Q | 9907 | ? | 545 | 0 | iobm/VPArr | NULL | NULL | iobm/VPArr.REG | 0 | 8 | SRFF_Q TNAME | CLK2X_IOB MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/ALE0 | WarpSE_COPY_0_COPY_0 | 2155873280 | 3 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9491 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd2 | 9376 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9495 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd1 | 9380 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9444 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9333 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/ALE0 | 9537 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/ALE0.Q | iobs/ALE0 | 1 | 0 | MC_UIM +NODE | iobs/ALE0 | 9421 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/ALE0.Q | iobs/ALE0 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobs/ALE0.SI | iobs/ALE0 | 0 | 2 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9491 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd2 | 9376 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9495 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd1 | 9380 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/ALE0.D1 | 10037 | ? | 548 | 4096 | iobs/ALE0 | NULL | NULL | iobs/ALE0.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/ALE0.D1 | 9909 | ? | 537 | 4096 | iobs/ALE0 | NULL | NULL | iobs/ALE0.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/ALE0.D2 | 10038 | ? | 548 | 4096 | iobs/ALE0 | NULL | NULL | iobs/ALE0.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/ALE0.D2 | 9910 | ? | 537 | 4096 | iobs/ALE0 | NULL | NULL | iobs/ALE0.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 SRFF_INSTANCE | iobs/ALE0.REG | iobs/ALE0 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/ALE0.D | 10036 | ? | 548 | 0 | iobs/ALE0 | NULL | NULL | iobs/ALE0.XOR | 0 | 7 | ALU_F +NODE | iobs/ALE0.D | 9908 | ? | 537 | 0 | iobs/ALE0 | NULL | NULL | iobs/ALE0.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9444 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9333 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/ALE0.Q | 10039 | ? | 0 | 0 | iobs/ALE0 | NULL | NULL | iobs/ALE0.REG | 0 | 8 | SRFF_Q +NODE | iobs/ALE0.Q | 9911 | ? | 0 | 0 | iobs/ALE0 | NULL | NULL | iobs/ALE0.REG | 0 | 8 | SRFF_Q TNAME | CLK_FSB -MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/Clear1 | WarpSE_COPY_0_COPY_0 | 2155873280 | 6 | 2 +MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/Clear1 | WarpSE_COPY_0_COPY_0 | 2155873280 | 5 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9491 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd2 | 9376 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9495 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd1 | 9380 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/ALE1 | 9574 | ? | 555 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | iobs/ALE1 | 9458 | ? | 544 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9444 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9333 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9473 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9522 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | A_FSB_19_IBUF | 9309 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/Clear1 | 9538 | ? | 555 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Clear1.Q | iobs/Clear1 | 1 | 0 | MC_UIM +NODE | iobs/Clear1 | 9422 | ? | 544 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Clear1.Q | iobs/Clear1 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | iobs/Clear1.EXP | 10204 | ? | 518 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Clear1.EXP | iobs/Clear1 | 4 | 0 | MC_EXPORT +NODE | iobs/Clear1.EXP | 10138 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Clear1.EXP | iobs/Clear1 | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | iobs/Clear1.SI | iobs/Clear1 | 0 | 5 | 3 +SIGNAL_INSTANCE | iobs/Clear1.SI | iobs/Clear1 | 0 | 4 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9491 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd2 | 9376 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9495 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd1 | 9380 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/ALE1 | 9574 | ? | 555 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | iobs/ALE1 | 9458 | ? | 544 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9473 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9522 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | A_FSB_19_IBUF | 9309 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/Clear1.D1 | 10041 | ? | 549 | 4096 | iobs/Clear1 | NULL | NULL | iobs/Clear1.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/Clear1.D1 | 9913 | ? | 538 | 4096 | iobs/Clear1 | NULL | NULL | iobs/Clear1.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/Clear1.D2 | 10042 | ? | 549 | 4096 | iobs/Clear1 | NULL | NULL | iobs/Clear1.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/Clear1.D2 | 9914 | ? | 538 | 4096 | iobs/Clear1 | NULL | NULL | iobs/Clear1.SI | 2 | 9 | MC_SI_D2 SPPTERM | 3 | IV_TRUE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_FALSE | iobs/ALE1 OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | iobs/Clear1.EXP | 10190 | ? | 518 | 0 | iobs/Clear1 | NULL | NULL | iobs/Clear1.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +SIGNAL | NODE | iobs/Clear1.EXP | 10125 | ? | 0 | 0 | iobs/Clear1 | NULL | NULL | iobs/Clear1.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 1 | IV_TRUE | A_FSB_19_IBUF SRFF_INSTANCE | iobs/Clear1.REG | iobs/Clear1 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/Clear1.D | 10040 | ? | 549 | 0 | iobs/Clear1 | NULL | NULL | iobs/Clear1.XOR | 0 | 7 | ALU_F +NODE | iobs/Clear1.D | 9912 | ? | 538 | 0 | iobs/Clear1 | NULL | NULL | iobs/Clear1.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9444 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9333 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/Clear1.Q | 10043 | ? | 555 | 0 | iobs/Clear1 | NULL | NULL | iobs/Clear1.REG | 0 | 8 | SRFF_Q +NODE | iobs/Clear1.Q | 9915 | ? | 544 | 0 | iobs/Clear1 | NULL | NULL | iobs/Clear1.REG | 0 | 8 | SRFF_Q TNAME | CLK_FSB -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | iobs/IOREQ | WarpSE_COPY_0_COPY_0 | 2155873536 | 12 | 1 +MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/IOREQ | WarpSE_COPY_0_COPY_0 | 2155873280 | 24 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9491 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd1 | 9380 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9495 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/ALE1 | 9458 | ? | 544 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 9506 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd2 | 9376 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9447 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +NODE | iobs/IOACTr | 9391 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/ALE1 | 9574 | ? | 555 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | A_FSB_9_IBUF | 9297 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_8_IBUF | 9298 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9418 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_15_IBUF | 9299 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9473 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 9300 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9522 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | A_FSB_13_IBUF | 9301 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP26_.EXP | 10268 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP26_.EXP | EXP26_ | 4 | 0 | MC_EXPORT +NODE | A_FSB_12_IBUF | 9302 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/nBR_IOB.EXP | 10269 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/nBR_IOB.EXP | cnt/nBR_IOB | 4 | 0 | MC_EXPORT +NODE | A_FSB_11_IBUF | 9303 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9444 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | A_FSB_10_IBUF | 9304 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9305 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9306 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9307 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9308 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9309 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9310 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9311 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 9333 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9312 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready1r | 9350 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady | 9356 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP17_.EXP | 10081 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP17_.EXP | EXP17_ | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/IOREQ | 9539 | ? | 573 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOREQ.Q | iobs/IOREQ | 1 | 0 | MC_UIM +NODE | iobs/IOREQ | 9423 | ? | 560 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOREQ.Q | iobs/IOREQ | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | iobs/IOREQ.EXP | 10082 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOREQ.EXP | iobs/IOREQ | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | iobs/IOREQ.SI | iobs/IOREQ | 0 | 11 | 2 +SIGNAL_INSTANCE | iobs/IOREQ.SI | iobs/IOREQ | 0 | 23 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9491 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd1 | 9380 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9495 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/ALE1 | 9458 | ? | 544 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 9506 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd2 | 9376 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9447 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +NODE | iobs/IOACTr | 9391 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/ALE1 | 9574 | ? | 555 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | A_FSB_9_IBUF | 9297 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_8_IBUF | 9298 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9418 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_15_IBUF | 9299 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9473 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 9300 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9522 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | A_FSB_13_IBUF | 9301 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP26_.EXP | 10268 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP26_.EXP | EXP26_ | 4 | 0 | MC_EXPORT +NODE | A_FSB_12_IBUF | 9302 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/nBR_IOB.EXP | 10269 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/nBR_IOB.EXP | cnt/nBR_IOB | 4 | 0 | MC_EXPORT +NODE | A_FSB_11_IBUF | 9303 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 9304 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9305 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9306 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9307 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9308 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9309 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9310 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9311 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9312 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready1r | 9350 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady | 9356 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP17_.EXP | 10081 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP17_.EXP | EXP17_ | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/IOREQ.D1 | 10045 | ? | 550 | 4096 | iobs/IOREQ | NULL | NULL | iobs/IOREQ.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/IOREQ.D1 | 9917 | ? | 539 | 4096 | iobs/IOREQ | NULL | NULL | iobs/IOREQ.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/IOREQ.D2 | 10046 | ? | 550 | 4096 | iobs/IOREQ | NULL | NULL | iobs/IOREQ.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | EXP26_.EXP -SPPTERM | 1 | IV_TRUE | cnt/nBR_IOB.EXP -SPPTERM | 2 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | iobs/PS_FSM_FFd1 -SPPTERM | 2 | IV_TRUE | iobs/PS_FSM_FFd1 | IV_TRUE | iobs/IOACTr -SPPTERM | 3 | IV_TRUE | iobs/Once | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | iobs/ALE1 -SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | iobs/ALE1 -SPPTERM | 4 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | fsb/ASrf | IV_TRUE | iobs/ALE1 +SIGNAL | NODE | iobs/IOREQ.D2 | 9918 | ? | 539 | 4096 | iobs/IOREQ | NULL | NULL | iobs/IOREQ.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | EXP17_.EXP +SPPTERM | 2 | IV_TRUE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 +SPPTERM | 2 | IV_TRUE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/IOACTr +SPPTERM | 2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_FALSE | iobs/ALE1 +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | iobs/IOREQ.EXP | 10068 | ? | 520 | 0 | iobs/IOREQ | NULL | NULL | iobs/IOREQ.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 17 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | fsb/Ready1r +SPPTERM | 17 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | iobs/IOReady SRFF_INSTANCE | iobs/IOREQ.REG | iobs/IOREQ | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/IOREQ.D | 10044 | ? | 550 | 0 | iobs/IOREQ | NULL | NULL | iobs/IOREQ.XOR | 0 | 7 | ALU_F +NODE | iobs/IOREQ.D | 9916 | ? | 539 | 0 | iobs/IOREQ | NULL | NULL | iobs/IOREQ.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9444 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9333 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/IOREQ.Q | 10047 | ? | 573 | 0 | iobs/IOREQ | NULL | NULL | iobs/IOREQ.REG | 0 | 8 | SRFF_Q +NODE | iobs/IOREQ.Q | 9919 | ? | 560 | 0 | iobs/IOREQ | NULL | NULL | iobs/IOREQ.REG | 0 | 8 | SRFF_Q TNAME | CLK_FSB MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RAMReady | WarpSE_COPY_0_COPY_0 | 2155873280 | 14 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9487 | ? | 552 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd1 | 9372 | ? | 541 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9490 | ? | 552 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd2 | 9375 | ? | 541 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefUrgent | 9492 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrgent.Q | ram/RefUrgent | 1 | 0 | MC_UIM +NODE | ram/RefUrgent | 9377 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrgent.Q | ram/RefUrgent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9493 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd3 | 9378 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9473 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9522 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9407 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9305 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9509 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +NODE | ram/BACTr | 9394 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9416 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9306 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9417 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9307 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9446 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +NODE | cs/nOverlay1 | 9340 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMEN | 9496 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM +NODE | ram/RAMEN | 9381 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP22_.EXP | 10237 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP22_.EXP | EXP22_ | 4 | 0 | MC_EXPORT +NODE | EXP25_.EXP | 10115 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP25_.EXP | EXP25_ | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9444 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9333 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RAMReady | 9540 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM +NODE | ram/RAMReady | 9424 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | ram/RAMReady.EXP | 10238 | ? | 533 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMReady.EXP | ram/RAMReady | 4 | 0 | MC_EXPORT +NODE | ram/RAMReady.EXP | 10116 | ? | 522 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMReady.EXP | ram/RAMReady | 4 | 0 | MC_EXPORT SIGNAL_INSTANCE | ram/RAMReady.SI | ram/RAMReady | 0 | 13 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9487 | ? | 552 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd1 | 9372 | ? | 541 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9490 | ? | 552 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd2 | 9375 | ? | 541 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefUrgent | 9492 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrgent.Q | ram/RefUrgent | 1 | 0 | MC_UIM +NODE | ram/RefUrgent | 9377 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrgent.Q | ram/RefUrgent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9493 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd3 | 9378 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9473 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9522 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9407 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9305 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9509 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +NODE | ram/BACTr | 9394 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9416 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9306 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9417 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9307 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9446 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +NODE | cs/nOverlay1 | 9340 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMEN | 9496 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM +NODE | ram/RAMEN | 9381 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP22_.EXP | 10237 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP22_.EXP | EXP22_ | 4 | 0 | MC_EXPORT +NODE | EXP25_.EXP | 10115 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP25_.EXP | EXP25_ | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RAMReady.D1 | 10049 | ? | 551 | 4096 | ram/RAMReady | NULL | NULL | ram/RAMReady.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RAMReady.D1 | 9921 | ? | 540 | 4096 | ram/RAMReady | NULL | NULL | ram/RAMReady.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RAMReady.D2 | 10050 | ? | 551 | 4096 | ram/RAMReady | NULL | NULL | ram/RAMReady.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | EXP22_.EXP +SIGNAL | NODE | ram/RAMReady.D2 | 9922 | ? | 540 | 4096 | ram/RAMReady | NULL | NULL | ram/RAMReady.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | EXP25_.EXP SPPTERM | 4 | IV_TRUE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RefUrgent | IV_FALSE | ram/RS_FSM_FFd3 SPPTERM | 5 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RefUrgent | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | ram/BACTr SPPTERM | 5 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RefUrgent | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | fsb/ASrf OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | ram/RAMReady.EXP | 10226 | ? | 533 | 0 | ram/RAMReady | NULL | NULL | ram/RAMReady.SI | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | ram/RAMReady.EXP | 10105 | ? | 522 | 0 | ram/RAMReady | NULL | NULL | ram/RAMReady.SI | 7 | 9 | MC_SI_EXPORT SPPTERM | 8 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RAMEN SPPTERM | 8 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RAMEN | IV_TRUE | fsb/ASrf SRFF_INSTANCE | ram/RAMReady.REG | ram/RAMReady | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RAMReady.D | 10048 | ? | 551 | 0 | ram/RAMReady | NULL | NULL | ram/RAMReady.XOR | 0 | 7 | ALU_F +NODE | ram/RAMReady.D | 9920 | ? | 540 | 0 | ram/RAMReady | NULL | NULL | ram/RAMReady.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9444 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9333 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RAMReady.Q | 10051 | ? | 531 | 0 | ram/RAMReady | NULL | NULL | ram/RAMReady.REG | 0 | 8 | SRFF_Q +NODE | ram/RAMReady.Q | 9923 | ? | 520 | 0 | ram/RAMReady | NULL | NULL | ram/RAMReady.REG | 0 | 8 | SRFF_Q TNAME | CLK_FSB INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_1_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<1> | 9645 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<1> | 9530 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_1_IBUF | 9541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_1_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_1_IBUF | 9425 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_1_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_2_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<2> | 9646 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<2> | 9531 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_2_IBUF | 9542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_2_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_2_IBUF | 9426 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_2_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_3_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<3> | 9647 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<3> | 9532 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_3_IBUF | 9543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_3_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_3_IBUF | 9427 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_3_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_4_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<4> | 9648 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<4> | 9533 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_4_IBUF | 9544 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_4_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_4_IBUF | 9428 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_4_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_5_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<5> | 9649 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<5> | 9534 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_5_IBUF | 9545 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_5_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_5_IBUF | 9429 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_5_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_6_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<6> | 9650 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<6> | 9535 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_6_IBUF | 9546 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_6_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_6_IBUF | 9430 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_6_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_7_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<7> | 9651 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<7> | 9536 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_7_IBUF | 9547 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_7_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_7_IBUF | 9431 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_7_IBUF | 0 | 5 | II_IMUX MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RefRAS | WarpSE_COPY_0_COPY_0 | 2155873280 | 3 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9487 | ? | 552 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd1 | 9372 | ? | 541 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9490 | ? | 552 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd2 | 9375 | ? | 541 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9444 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9333 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RefRAS | 9548 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefRAS.Q | ram/RefRAS | 1 | 0 | MC_UIM +NODE | ram/RefRAS | 9432 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefRAS.Q | ram/RefRAS | 1 | 0 | MC_UIM SIGNAL_INSTANCE | ram/RefRAS.SI | ram/RefRAS | 0 | 2 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9487 | ? | 552 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd1 | 9372 | ? | 541 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9490 | ? | 552 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd2 | 9375 | ? | 541 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RefRAS.D1 | 10053 | ? | 552 | 4096 | ram/RefRAS | NULL | NULL | ram/RefRAS.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RefRAS.D1 | 9925 | ? | 541 | 4096 | ram/RefRAS | NULL | NULL | ram/RefRAS.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RefRAS.D2 | 10054 | ? | 552 | 4096 | ram/RefRAS | NULL | NULL | ram/RefRAS.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/RefRAS.D2 | 9926 | ? | 541 | 4096 | ram/RefRAS | NULL | NULL | ram/RefRAS.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd2 SRFF_INSTANCE | ram/RefRAS.REG | ram/RefRAS | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RefRAS.D | 10052 | ? | 552 | 0 | ram/RefRAS | NULL | NULL | ram/RefRAS.XOR | 0 | 7 | ALU_F +NODE | ram/RefRAS.D | 9924 | ? | 541 | 0 | ram/RefRAS | NULL | NULL | ram/RefRAS.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9444 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9333 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RefRAS.Q | 10055 | ? | 0 | 0 | ram/RefRAS | NULL | NULL | ram/RefRAS.REG | 0 | 8 | SRFF_Q +NODE | ram/RefRAS.Q | 9927 | ? | 0 | 0 | ram/RefRAS | NULL | NULL | ram/RefRAS.REG | 0 | 8 | SRFF_Q TNAME | CLK_FSB MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RegUrgentSync | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefUrgent | 9521 | ? | 553 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefUrgent.Q | cnt/RefUrgent | 1 | 0 | MC_UIM +NODE | cnt/RefUrgent | 9406 | ? | 542 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefUrgent.Q | cnt/RefUrgent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9444 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9333 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RegUrgentSync | 9549 | ? | 537 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RegUrgentSync.Q | ram/RegUrgentSync | 1 | 0 | MC_UIM +NODE | ram/RegUrgentSync | 9433 | ? | 526 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RegUrgentSync.Q | ram/RegUrgentSync | 1 | 0 | MC_UIM SIGNAL_INSTANCE | ram/RegUrgentSync.SI | ram/RegUrgentSync | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefUrgent | 9521 | ? | 553 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefUrgent.Q | cnt/RefUrgent | 1 | 0 | MC_UIM +NODE | cnt/RefUrgent | 9406 | ? | 542 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/RefUrgent.Q | cnt/RefUrgent | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RegUrgentSync.D1 | 10057 | ? | 553 | 4096 | ram/RegUrgentSync | NULL | NULL | ram/RegUrgentSync.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RegUrgentSync.D1 | 9929 | ? | 542 | 4096 | ram/RegUrgentSync | NULL | NULL | ram/RegUrgentSync.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RegUrgentSync.D2 | 10058 | ? | 553 | 4096 | ram/RegUrgentSync | NULL | NULL | ram/RegUrgentSync.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/RegUrgentSync.D2 | 9930 | ? | 542 | 4096 | ram/RegUrgentSync | NULL | NULL | ram/RegUrgentSync.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | cnt/RefUrgent SRFF_INSTANCE | ram/RegUrgentSync.REG | ram/RegUrgentSync | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RegUrgentSync.D | 10056 | ? | 553 | 0 | ram/RegUrgentSync | NULL | NULL | ram/RegUrgentSync.XOR | 0 | 7 | ALU_F +NODE | ram/RegUrgentSync.D | 9928 | ? | 542 | 0 | ram/RegUrgentSync | NULL | NULL | ram/RegUrgentSync.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9444 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9333 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RegUrgentSync.Q | 10059 | ? | 537 | 0 | ram/RegUrgentSync | NULL | NULL | ram/RegUrgentSync.REG | 0 | 8 | SRFF_Q +NODE | ram/RegUrgentSync.Q | 9931 | ? | 526 | 0 | ram/RegUrgentSync | NULL | NULL | ram/RegUrgentSync.REG | 0 | 8 | SRFF_Q TNAME | CLK_FSB MACROCELL_INSTANCE | OptxMapped | RA_0_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 3 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 9414 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_10_IBUF | 9304 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9483 | ? | 554 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 9368 | ? | 543 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_1_IBUF | 9541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_1_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_1_IBUF | 9425 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_1_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_0_OBUF | 9550 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_0_OBUF.Q | RA_0_OBUF | 0 | 0 | MC_Q +NODE | RA_0_OBUF | 9434 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_0_OBUF.Q | RA_0_OBUF | 0 | 0 | MC_Q SIGNAL_INSTANCE | RA_0_OBUF.SI | RA_0_OBUF | 0 | 3 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 9414 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_10_IBUF | 9304 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9483 | ? | 554 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 9368 | ? | 543 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_1_IBUF | 9541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_1_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_1_IBUF | 9425 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_1_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_0_OBUF.D1 | 10061 | ? | 0 | 4096 | RA_0_OBUF | NULL | NULL | RA_0_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_0_OBUF.D1 | 9933 | ? | 0 | 4096 | RA_0_OBUF | NULL | NULL | RA_0_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_0_OBUF.D2 | 10062 | ? | 0 | 4096 | RA_0_OBUF | NULL | NULL | RA_0_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_0_OBUF.D2 | 9934 | ? | 0 | 4096 | RA_0_OBUF | NULL | NULL | RA_0_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | ram/RASEL SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_1_IBUF SRFF_INSTANCE | RA_0_OBUF.REG | RA_0_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_0_OBUF.D | 10060 | ? | 0 | 0 | RA_0_OBUF | NULL | NULL | RA_0_OBUF.XOR | 0 | 7 | ALU_F +NODE | RA_0_OBUF.D | 9932 | ? | 0 | 0 | RA_0_OBUF | NULL | NULL | RA_0_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_0_OBUF.Q | 10063 | ? | 0 | 0 | RA_0_OBUF | NULL | NULL | RA_0_OBUF.REG | 0 | 8 | SRFF_Q +NODE | RA_0_OBUF.Q | 9935 | ? | 0 | 0 | RA_0_OBUF | NULL | NULL | RA_0_OBUF.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | OptxMapped | RA_1_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 3 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 9413 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_11_IBUF | 9303 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9483 | ? | 554 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 9368 | ? | 543 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_2_IBUF | 9542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_2_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_2_IBUF | 9426 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_2_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_1_OBUF | 9551 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_1_OBUF.Q | RA_1_OBUF | 0 | 0 | MC_Q +NODE | RA_1_OBUF | 9435 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_1_OBUF.Q | RA_1_OBUF | 0 | 0 | MC_Q SIGNAL_INSTANCE | RA_1_OBUF.SI | RA_1_OBUF | 0 | 3 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 9413 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_11_IBUF | 9303 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9483 | ? | 554 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 9368 | ? | 543 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_2_IBUF | 9542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_2_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_2_IBUF | 9426 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_2_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_1_OBUF.D1 | 10065 | ? | 0 | 4096 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_1_OBUF.D1 | 9937 | ? | 0 | 4096 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_1_OBUF.D2 | 10066 | ? | 0 | 4096 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_1_OBUF.D2 | 9938 | ? | 0 | 4096 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | A_FSB_11_IBUF | IV_FALSE | ram/RASEL SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_2_IBUF SRFF_INSTANCE | RA_1_OBUF.REG | RA_1_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_1_OBUF.D | 10064 | ? | 0 | 0 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.XOR | 0 | 7 | ALU_F +NODE | RA_1_OBUF.D | 9936 | ? | 0 | 0 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_1_OBUF.Q | 10067 | ? | 0 | 0 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.REG | 0 | 8 | SRFF_Q +NODE | RA_1_OBUF.Q | 9939 | ? | 0 | 0 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | OptxMapped | RA_2_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 4 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 9412 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_12_IBUF | 9302 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9483 | ? | 554 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 9368 | ? | 543 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_3_IBUF | 9543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_3_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_3_IBUF | 9427 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_3_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_7_IBUF | 9547 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_7_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_7_IBUF | 9431 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_7_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_2_OBUF | 9552 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_2_OBUF.Q | RA_2_OBUF | 0 | 0 | MC_Q +NODE | RA_2_OBUF | 9436 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_2_OBUF.Q | RA_2_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | RA_2_OBUF.EXP | 10235 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_2_OBUF.EXP | RA_2_OBUF | 4 | 0 | MC_EXPORT +NODE | RA_2_OBUF.EXP | 10113 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_2_OBUF.EXP | RA_2_OBUF | 4 | 0 | MC_EXPORT SIGNAL_INSTANCE | RA_2_OBUF.SI | RA_2_OBUF | 0 | 4 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 9412 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_12_IBUF | 9302 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9483 | ? | 554 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 9368 | ? | 543 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_3_IBUF | 9543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_3_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_3_IBUF | 9427 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_3_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_7_IBUF | 9547 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_7_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_7_IBUF | 9431 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_7_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_2_OBUF.D1 | 10069 | ? | 0 | 4096 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_2_OBUF.D1 | 9941 | ? | 0 | 4096 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_2_OBUF.D2 | 10070 | ? | 0 | 4096 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_2_OBUF.D2 | 9942 | ? | 0 | 4096 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | A_FSB_12_IBUF | IV_FALSE | ram/RASEL SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_3_IBUF OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | RA_2_OBUF.EXP | 10223 | ? | 0 | 0 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | RA_2_OBUF.EXP | 10102 | ? | 0 | 0 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.SI | 7 | 9 | MC_SI_EXPORT SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_7_IBUF SRFF_INSTANCE | RA_2_OBUF.REG | RA_2_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_2_OBUF.D | 10068 | ? | 0 | 0 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.XOR | 0 | 7 | ALU_F +NODE | RA_2_OBUF.D | 9940 | ? | 0 | 0 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_2_OBUF.Q | 10071 | ? | 0 | 0 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.REG | 0 | 8 | SRFF_Q +NODE | RA_2_OBUF.Q | 9943 | ? | 0 | 0 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | OptxMapped | RA_3_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 5 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9411 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 9301 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9483 | ? | 554 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 9368 | ? | 543 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_4_IBUF | 9544 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_4_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_4_IBUF | 9428 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_4_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 9409 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_15_IBUF | 9299 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_6_IBUF | 9546 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_6_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_6_IBUF | 9430 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_6_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_3_OBUF | 9553 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_3_OBUF.Q | RA_3_OBUF | 0 | 0 | MC_Q +NODE | RA_3_OBUF | 9437 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_3_OBUF.Q | RA_3_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | RA_3_OBUF.EXP | 10233 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_3_OBUF.EXP | RA_3_OBUF | 4 | 0 | MC_EXPORT +NODE | RA_3_OBUF.EXP | 10111 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_3_OBUF.EXP | RA_3_OBUF | 4 | 0 | MC_EXPORT SIGNAL_INSTANCE | RA_3_OBUF.SI | RA_3_OBUF | 0 | 5 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9411 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 9301 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9483 | ? | 554 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 9368 | ? | 543 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_4_IBUF | 9544 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_4_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_4_IBUF | 9428 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_4_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 9409 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_15_IBUF | 9299 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_6_IBUF | 9546 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_6_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_6_IBUF | 9430 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_6_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_3_OBUF.D1 | 10073 | ? | 0 | 4096 | RA_3_OBUF | NULL | NULL | RA_3_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_3_OBUF.D1 | 9945 | ? | 0 | 4096 | RA_3_OBUF | NULL | NULL | RA_3_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_3_OBUF.D2 | 10074 | ? | 0 | 4096 | RA_3_OBUF | NULL | NULL | RA_3_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_3_OBUF.D2 | 9946 | ? | 0 | 4096 | RA_3_OBUF | NULL | NULL | RA_3_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | ram/RASEL SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_4_IBUF OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | RA_3_OBUF.EXP | 10221 | ? | 0 | 0 | RA_3_OBUF | NULL | NULL | RA_3_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | RA_3_OBUF.EXP | 10100 | ? | 0 | 0 | RA_3_OBUF | NULL | NULL | RA_3_OBUF.SI | 7 | 9 | MC_SI_EXPORT SPPTERM | 2 | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | ram/RASEL SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_6_IBUF SRFF_INSTANCE | RA_3_OBUF.REG | RA_3_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_3_OBUF.D | 10072 | ? | 0 | 0 | RA_3_OBUF | NULL | NULL | RA_3_OBUF.XOR | 0 | 7 | ALU_F +NODE | RA_3_OBUF.D | 9944 | ? | 0 | 0 | RA_3_OBUF | NULL | NULL | RA_3_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_3_OBUF.Q | 10075 | ? | 0 | 0 | RA_3_OBUF | NULL | NULL | RA_3_OBUF.REG | 0 | 8 | SRFF_Q +NODE | RA_3_OBUF.Q | 9947 | ? | 0 | 0 | RA_3_OBUF | NULL | NULL | RA_3_OBUF.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | OptxMapped | RA_4_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 11 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9410 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 9300 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9483 | ? | 554 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 9368 | ? | 543 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9305 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9416 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9306 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9446 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +NODE | cs/nOverlay1 | 9340 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9473 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9487 | ? | 552 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd1 | 9372 | ? | 541 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMEN | 9496 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM +NODE | ram/RAMEN | 9381 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9522 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9407 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9417 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9307 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay0.EXP | 10231 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay0.EXP | cs/nOverlay0 | 4 | 0 | MC_EXPORT +NODE | cs/nOverlay0.EXP | 10109 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay0.EXP | cs/nOverlay0 | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_4_OBUF | 9554 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_4_OBUF.Q | RA_4_OBUF | 0 | 0 | MC_Q +NODE | RA_4_OBUF | 9438 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_4_OBUF.Q | RA_4_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | RA_4_OBUF.EXP | 10232 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_4_OBUF.EXP | RA_4_OBUF | 4 | 0 | MC_EXPORT +NODE | RA_4_OBUF.EXP | 10110 | ? | 529 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_4_OBUF.EXP | RA_4_OBUF | 4 | 0 | MC_EXPORT SIGNAL_INSTANCE | RA_4_OBUF.SI | RA_4_OBUF | 0 | 11 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9410 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 9300 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9483 | ? | 554 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 9368 | ? | 543 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9305 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9416 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9306 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9446 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +NODE | cs/nOverlay1 | 9340 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9473 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9487 | ? | 552 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd1 | 9372 | ? | 541 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMEN | 9496 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM +NODE | ram/RAMEN | 9381 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9522 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9407 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9417 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9307 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay0.EXP | 10231 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay0.EXP | cs/nOverlay0 | 4 | 0 | MC_EXPORT +NODE | cs/nOverlay0.EXP | 10109 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay0.EXP | cs/nOverlay0 | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_4_OBUF.D1 | 10077 | ? | 0 | 4096 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_4_OBUF.D1 | 9949 | ? | 0 | 4096 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_4_OBUF.D2 | 10078 | ? | 0 | 4096 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_4_OBUF.D2 | 9950 | ? | 0 | 4096 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | cs/nOverlay0.EXP SPPTERM | 2 | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | ram/RASEL OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | RA_4_OBUF.EXP | 10220 | ? | 540 | 0 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | RA_4_OBUF.EXP | 10099 | ? | 529 | 0 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.SI | 7 | 9 | MC_SI_EXPORT SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RAMEN SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RAMEN | IV_TRUE | fsb/ASrf SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RAMEN @@ -5448,65 +5347,65 @@ SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_F SRFF_INSTANCE | RA_4_OBUF.REG | RA_4_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_4_OBUF.D | 10076 | ? | 0 | 0 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.XOR | 0 | 7 | ALU_F +NODE | RA_4_OBUF.D | 9948 | ? | 0 | 0 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_4_OBUF.Q | 10079 | ? | 0 | 0 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.REG | 0 | 8 | SRFF_Q +NODE | RA_4_OBUF.Q | 9951 | ? | 0 | 0 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | OptxMapped | RA_5_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 10 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9473 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9490 | ? | 552 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd2 | 9375 | ? | 541 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefUrgent | 9492 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrgent.Q | ram/RefUrgent | 1 | 0 | MC_UIM +NODE | ram/RefUrgent | 9377 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrgent.Q | ram/RefUrgent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9493 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd3 | 9378 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9522 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9407 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9305 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9416 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9306 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9446 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +NODE | cs/nOverlay1 | 9340 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9417 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9307 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_3_OBUF.EXP | 10233 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_3_OBUF.EXP | RA_3_OBUF | 4 | 0 | MC_EXPORT +NODE | RA_3_OBUF.EXP | 10111 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_3_OBUF.EXP | RA_3_OBUF | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_5_OBUF | 9555 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_5_OBUF.Q | RA_5_OBUF | 0 | 0 | MC_Q +NODE | RA_5_OBUF | 9439 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_5_OBUF.Q | RA_5_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | RA_5_OBUF.EXP | 10234 | ? | 535 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_5_OBUF.EXP | RA_5_OBUF | 4 | 0 | MC_EXPORT +NODE | RA_5_OBUF.EXP | 10112 | ? | 524 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_5_OBUF.EXP | RA_5_OBUF | 4 | 0 | MC_EXPORT SIGNAL_INSTANCE | RA_5_OBUF.SI | RA_5_OBUF | 0 | 10 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9473 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9490 | ? | 552 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd2 | 9375 | ? | 541 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefUrgent | 9492 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrgent.Q | ram/RefUrgent | 1 | 0 | MC_UIM +NODE | ram/RefUrgent | 9377 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrgent.Q | ram/RefUrgent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9493 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd3 | 9378 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9522 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9407 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9305 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9416 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9306 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9446 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +NODE | cs/nOverlay1 | 9340 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9417 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9307 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_3_OBUF.EXP | 10233 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_3_OBUF.EXP | RA_3_OBUF | 4 | 0 | MC_EXPORT +NODE | RA_3_OBUF.EXP | 10111 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_3_OBUF.EXP | RA_3_OBUF | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_5_OBUF.D1 | 10081 | ? | 0 | 4096 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_5_OBUF.D1 | 9953 | ? | 0 | 4096 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_5_OBUF.D2 | 10082 | ? | 0 | 4096 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_5_OBUF.D2 | 9954 | ? | 0 | 4096 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | RA_3_OBUF.EXP OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | RA_5_OBUF.EXP | 10222 | ? | 535 | 0 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | RA_5_OBUF.EXP | 10101 | ? | 524 | 0 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.SI | 7 | 9 | MC_SI_EXPORT SPPTERM | 5 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RefUrgent | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | fsb/ASrf SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | fsb/ASrf @@ -5515,74 +5414,74 @@ SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_F SRFF_INSTANCE | RA_5_OBUF.REG | RA_5_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_5_OBUF.D | 10080 | ? | 0 | 0 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.XOR | 0 | 7 | ALU_F +NODE | RA_5_OBUF.D | 9952 | ? | 0 | 0 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_5_OBUF.Q | 10083 | ? | 0 | 0 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.REG | 0 | 8 | SRFF_Q +NODE | RA_5_OBUF.Q | 9955 | ? | 0 | 0 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | OptxMapped | RA_6_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 12 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9422 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 9312 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9483 | ? | 554 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 9368 | ? | 543 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9416 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9306 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9446 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +NODE | cs/nOverlay1 | 9340 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9490 | ? | 552 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd2 | 9375 | ? | 541 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefUrgent | 9492 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrgent.Q | ram/RefUrgent | 1 | 0 | MC_UIM +NODE | ram/RefUrgent | 9377 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrgent.Q | ram/RefUrgent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9493 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd3 | 9378 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefReq | 9510 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReq.Q | ram/RefReq | 1 | 0 | MC_UIM +NODE | ram/RefReq | 9395 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReq.Q | ram/RefReq | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9305 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMEN | 9496 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM +NODE | ram/RAMEN | 9381 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9417 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9307 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_2_OBUF.EXP | 10235 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_2_OBUF.EXP | RA_2_OBUF | 4 | 0 | MC_EXPORT +NODE | RA_2_OBUF.EXP | 10113 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_2_OBUF.EXP | RA_2_OBUF | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_6_OBUF | 9556 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_6_OBUF.Q | RA_6_OBUF | 0 | 0 | MC_Q +NODE | RA_6_OBUF | 9440 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_6_OBUF.Q | RA_6_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | RA_6_OBUF.EXP | 10236 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_6_OBUF.EXP | RA_6_OBUF | 4 | 0 | MC_EXPORT +NODE | RA_6_OBUF.EXP | 10114 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_6_OBUF.EXP | RA_6_OBUF | 4 | 0 | MC_EXPORT SIGNAL_INSTANCE | RA_6_OBUF.SI | RA_6_OBUF | 0 | 12 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9422 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 9312 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9483 | ? | 554 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 9368 | ? | 543 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9416 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9306 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9446 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +NODE | cs/nOverlay1 | 9340 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9490 | ? | 552 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd2 | 9375 | ? | 541 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefUrgent | 9492 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrgent.Q | ram/RefUrgent | 1 | 0 | MC_UIM +NODE | ram/RefUrgent | 9377 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrgent.Q | ram/RefUrgent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9493 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd3 | 9378 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefReq | 9510 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReq.Q | ram/RefReq | 1 | 0 | MC_UIM +NODE | ram/RefReq | 9395 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReq.Q | ram/RefReq | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9305 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMEN | 9496 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM +NODE | ram/RAMEN | 9381 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9417 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9307 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_2_OBUF.EXP | 10235 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_2_OBUF.EXP | RA_2_OBUF | 4 | 0 | MC_EXPORT +NODE | RA_2_OBUF.EXP | 10113 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_2_OBUF.EXP | RA_2_OBUF | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_6_OBUF.D1 | 10085 | ? | 0 | 4096 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_6_OBUF.D1 | 9957 | ? | 0 | 4096 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_6_OBUF.D2 | 10086 | ? | 0 | 4096 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_6_OBUF.D2 | 9958 | ? | 0 | 4096 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | RA_2_OBUF.EXP SPPTERM | 2 | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | ram/RASEL OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | RA_6_OBUF.EXP | 10224 | ? | 551 | 0 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | RA_6_OBUF.EXP | 10103 | ? | 540 | 0 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.SI | 7 | 9 | MC_SI_EXPORT SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RefUrgent | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RefReq SPPTERM | 6 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RefUrgent | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RefReq SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RefUrgent | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RAMEN @@ -5590,83 +5489,83 @@ SPPTERM | 8 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_F SRFF_INSTANCE | RA_6_OBUF.REG | RA_6_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_6_OBUF.D | 10084 | ? | 0 | 0 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.XOR | 0 | 7 | ALU_F +NODE | RA_6_OBUF.D | 9956 | ? | 0 | 0 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_6_OBUF.Q | 10087 | ? | 0 | 0 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.REG | 0 | 8 | SRFF_Q +NODE | RA_6_OBUF.Q | 9959 | ? | 0 | 0 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | OptxMapped | RA_7_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 3 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9421 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 9311 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9483 | ? | 554 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 9368 | ? | 543 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 9408 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_8_IBUF | 9298 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_7_OBUF | 9557 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_7_OBUF.Q | RA_7_OBUF | 0 | 0 | MC_Q +NODE | RA_7_OBUF | 9441 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_7_OBUF.Q | RA_7_OBUF | 0 | 0 | MC_Q SIGNAL_INSTANCE | RA_7_OBUF.SI | RA_7_OBUF | 0 | 3 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9421 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 9311 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9483 | ? | 554 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 9368 | ? | 543 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 9408 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_8_IBUF | 9298 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_7_OBUF.D1 | 10089 | ? | 0 | 4096 | RA_7_OBUF | NULL | NULL | RA_7_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_7_OBUF.D1 | 9961 | ? | 0 | 4096 | RA_7_OBUF | NULL | NULL | RA_7_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_7_OBUF.D2 | 10090 | ? | 0 | 4096 | RA_7_OBUF | NULL | NULL | RA_7_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_7_OBUF.D2 | 9962 | ? | 0 | 4096 | RA_7_OBUF | NULL | NULL | RA_7_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | ram/RASEL SPPTERM | 2 | IV_TRUE | A_FSB_17_IBUF | IV_FALSE | ram/RASEL SRFF_INSTANCE | RA_7_OBUF.REG | RA_7_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_7_OBUF.D | 10088 | ? | 0 | 0 | RA_7_OBUF | NULL | NULL | RA_7_OBUF.XOR | 0 | 7 | ALU_F +NODE | RA_7_OBUF.D | 9960 | ? | 0 | 0 | RA_7_OBUF | NULL | NULL | RA_7_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_7_OBUF.Q | 10091 | ? | 0 | 0 | RA_7_OBUF | NULL | NULL | RA_7_OBUF.REG | 0 | 8 | SRFF_Q +NODE | RA_7_OBUF.Q | 9963 | ? | 0 | 0 | RA_7_OBUF | NULL | NULL | RA_7_OBUF.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | OptxMapped | RA_8_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 8 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9305 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9420 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 9310 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9483 | ? | 554 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 9368 | ? | 543 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9416 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9306 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9417 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9307 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9446 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +NODE | cs/nOverlay1 | 9340 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7>.EXP | 10246 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.EXP | cnt/LTimer<7> | 4 | 0 | MC_EXPORT +NODE | cnt/LTimer<7>.EXP | 10122 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.EXP | cnt/LTimer<7> | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF$BUF0.EXP | 10247 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | A_FSB_21_IBUF$BUF0.EXP | A_FSB_21_IBUF$BUF0 | 4 | 0 | MC_EXPORT +NODE | A_FSB_21_IBUF$BUF0.EXP | 10123 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | A_FSB_21_IBUF$BUF0.EXP | A_FSB_21_IBUF$BUF0 | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_8_OBUF | 9558 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_8_OBUF.Q | RA_8_OBUF | 0 | 0 | MC_Q +NODE | RA_8_OBUF | 9442 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_8_OBUF.Q | RA_8_OBUF | 0 | 0 | MC_Q SIGNAL_INSTANCE | RA_8_OBUF.SI | RA_8_OBUF | 0 | 8 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9305 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9420 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 9310 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9483 | ? | 554 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 9368 | ? | 543 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9416 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9306 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9417 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9307 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9446 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +NODE | cs/nOverlay1 | 9340 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7>.EXP | 10246 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.EXP | cnt/LTimer<7> | 4 | 0 | MC_EXPORT +NODE | cnt/LTimer<7>.EXP | 10122 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.EXP | cnt/LTimer<7> | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF$BUF0.EXP | 10247 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | A_FSB_21_IBUF$BUF0.EXP | A_FSB_21_IBUF$BUF0 | 4 | 0 | MC_EXPORT +NODE | A_FSB_21_IBUF$BUF0.EXP | 10123 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | A_FSB_21_IBUF$BUF0.EXP | A_FSB_21_IBUF$BUF0 | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_8_OBUF.D1 | 10093 | ? | 0 | 4096 | RA_8_OBUF | NULL | NULL | RA_8_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_8_OBUF.D1 | 9965 | ? | 0 | 4096 | RA_8_OBUF | NULL | NULL | RA_8_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_8_OBUF.D2 | 10094 | ? | 0 | 4096 | RA_8_OBUF | NULL | NULL | RA_8_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_8_OBUF.D2 | 9966 | ? | 0 | 4096 | RA_8_OBUF | NULL | NULL | RA_8_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | cnt/LTimer<7>.EXP SPPTERM | 1 | IV_TRUE | A_FSB_21_IBUF$BUF0.EXP SPPTERM | 2 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_18_IBUF @@ -5677,917 +5576,835 @@ SPPTERM | 3 | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_FALSE | cs SRFF_INSTANCE | RA_8_OBUF.REG | RA_8_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_8_OBUF.D | 10092 | ? | 0 | 0 | RA_8_OBUF | NULL | NULL | RA_8_OBUF.XOR | 0 | 7 | ALU_F +NODE | RA_8_OBUF.D | 9964 | ? | 0 | 0 | RA_8_OBUF | NULL | NULL | RA_8_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_8_OBUF.Q | 10095 | ? | 0 | 0 | RA_8_OBUF | NULL | NULL | RA_8_OBUF.REG | 0 | 8 | SRFF_Q +NODE | RA_8_OBUF.Q | 9967 | ? | 0 | 0 | RA_8_OBUF | NULL | NULL | RA_8_OBUF.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | OptxMapped | RA_9_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 3 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9419 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 9309 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9483 | ? | 554 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 9368 | ? | 543 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9418 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 9308 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_9_OBUF | 9559 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_9_OBUF.Q | RA_9_OBUF | 0 | 0 | MC_Q +NODE | RA_9_OBUF | 9443 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_9_OBUF.Q | RA_9_OBUF | 0 | 0 | MC_Q SIGNAL_INSTANCE | RA_9_OBUF.SI | RA_9_OBUF | 0 | 3 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9419 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 9309 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9483 | ? | 554 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 9368 | ? | 543 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9418 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 9308 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_9_OBUF.D1 | 10097 | ? | 0 | 4096 | RA_9_OBUF | NULL | NULL | RA_9_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_9_OBUF.D1 | 9969 | ? | 0 | 4096 | RA_9_OBUF | NULL | NULL | RA_9_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_9_OBUF.D2 | 10098 | ? | 0 | 4096 | RA_9_OBUF | NULL | NULL | RA_9_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_9_OBUF.D2 | 9970 | ? | 0 | 4096 | RA_9_OBUF | NULL | NULL | RA_9_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | ram/RASEL SPPTERM | 2 | IV_TRUE | A_FSB_19_IBUF | IV_FALSE | ram/RASEL SRFF_INSTANCE | RA_9_OBUF.REG | RA_9_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_9_OBUF.D | 10096 | ? | 0 | 0 | RA_9_OBUF | NULL | NULL | RA_9_OBUF.XOR | 0 | 7 | ALU_F +NODE | RA_9_OBUF.D | 9968 | ? | 0 | 0 | RA_9_OBUF | NULL | NULL | RA_9_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_9_OBUF.Q | 10099 | ? | 0 | 0 | RA_9_OBUF | NULL | NULL | RA_9_OBUF.REG | 0 | 8 | SRFF_Q +NODE | RA_9_OBUF.Q | 9971 | ? | 0 | 0 | RA_9_OBUF | NULL | NULL | RA_9_OBUF.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | Inv+OptxMapped | nDoutOE_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/AoutOE | 9480 | ? | 587 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/AoutOE.Q | cnt/AoutOE | 1 | 0 | MC_UIM +NODE | iobm/DoutOE | 9414 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DoutOE.Q | iobm/DoutOE | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DoutOE | 9529 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DoutOE.Q | iobm/DoutOE | 1 | 0 | MC_UIM +NODE | cnt/AoutOE | 9460 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nDoutOE_OBUF | 9560 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDoutOE_OBUF.Q | nDoutOE_OBUF | 0 | 0 | MC_Q +NODE | nDoutOE_OBUF | 9444 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDoutOE_OBUF.Q | nDoutOE_OBUF | 0 | 0 | MC_Q SIGNAL_INSTANCE | nDoutOE_OBUF.SI | nDoutOE_OBUF | 0 | 2 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/AoutOE | 9480 | ? | 587 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/AoutOE.Q | cnt/AoutOE | 1 | 0 | MC_UIM +NODE | iobm/DoutOE | 9414 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DoutOE.Q | iobm/DoutOE | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DoutOE | 9529 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DoutOE.Q | iobm/DoutOE | 1 | 0 | MC_UIM +NODE | cnt/AoutOE | 9460 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nDoutOE_OBUF.D1 | 10101 | ? | 0 | 4096 | nDoutOE_OBUF | NULL | NULL | nDoutOE_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nDoutOE_OBUF.D1 | 9973 | ? | 0 | 4096 | nDoutOE_OBUF | NULL | NULL | nDoutOE_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nDoutOE_OBUF.D2 | 10102 | ? | 0 | 4096 | nDoutOE_OBUF | NULL | NULL | nDoutOE_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_FALSE | cnt/AoutOE | IV_TRUE | iobm/DoutOE +SIGNAL | NODE | nDoutOE_OBUF.D2 | 9974 | ? | 0 | 4096 | nDoutOE_OBUF | NULL | NULL | nDoutOE_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | iobm/DoutOE | IV_FALSE | cnt/AoutOE SRFF_INSTANCE | nDoutOE_OBUF.REG | nDoutOE_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nDoutOE_OBUF.D | 10100 | ? | 0 | 0 | nDoutOE_OBUF | NULL | NULL | nDoutOE_OBUF.XOR | 0 | 7 | ALU_F +NODE | nDoutOE_OBUF.D | 9972 | ? | 0 | 0 | nDoutOE_OBUF | NULL | NULL | nDoutOE_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nDoutOE_OBUF.Q | 10103 | ? | 0 | 0 | nDoutOE_OBUF | NULL | NULL | nDoutOE_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nDoutOE_OBUF.Q | 9975 | ? | 0 | 0 | nDoutOE_OBUF | NULL | NULL | nDoutOE_OBUF.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | Inv+OptxMapped | nOE_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 11 | 2 +MACROCELL_INSTANCE | Inv+OptxMapped | nOE_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9435 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 9324 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9473 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9416 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9446 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9487 | ? | 552 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9490 | ? | 552 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefUrgent | 9492 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrgent.Q | ram/RefUrgent | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMEN | 9496 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9522 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9417 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nOE_OBUF | 9561 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nOE_OBUF.Q | nOE_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | nOE_OBUF.EXP | 10230 | ? | 534 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nOE_OBUF.EXP | nOE_OBUF | 4 | 0 | MC_EXPORT +NODE | nOE_OBUF | 9445 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nOE_OBUF.Q | nOE_OBUF | 0 | 0 | MC_Q -SIGNAL_INSTANCE | nOE_OBUF.SI | nOE_OBUF | 0 | 11 | 3 +SIGNAL_INSTANCE | nOE_OBUF.SI | nOE_OBUF | 0 | 2 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9435 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 9324 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9473 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9416 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9446 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9487 | ? | 552 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9490 | ? | 552 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefUrgent | 9492 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrgent.Q | ram/RefUrgent | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMEN | 9496 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9522 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9417 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nOE_OBUF.D1 | 10105 | ? | 0 | 4096 | nOE_OBUF | NULL | NULL | nOE_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nOE_OBUF.D1 | 9977 | ? | 0 | 4096 | nOE_OBUF | NULL | NULL | nOE_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nOE_OBUF.D2 | 10106 | ? | 0 | 4096 | nOE_OBUF | NULL | NULL | nOE_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nOE_OBUF.D2 | 9978 | ? | 0 | 4096 | nOE_OBUF | NULL | NULL | nOE_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | nOE_OBUF.EXP | 10218 | ? | 534 | 0 | nOE_OBUF | NULL | NULL | nOE_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 8 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RefUrgent | IV_FALSE | ram/RAMEN | IV_TRUE | fsb/ASrf -SPPTERM | 9 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RefUrgent | IV_FALSE | ram/RAMEN -SPPTERM | 9 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RefUrgent | IV_FALSE | ram/RAMEN | IV_TRUE | fsb/ASrf SRFF_INSTANCE | nOE_OBUF.REG | nOE_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nOE_OBUF.D | 10104 | ? | 0 | 0 | nOE_OBUF | NULL | NULL | nOE_OBUF.XOR | 0 | 7 | ALU_F +NODE | nOE_OBUF.D | 9976 | ? | 0 | 0 | nOE_OBUF | NULL | NULL | nOE_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nOE_OBUF.Q | 10107 | ? | 0 | 0 | nOE_OBUF | NULL | NULL | nOE_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nOE_OBUF.Q | 9979 | ? | 0 | 0 | nOE_OBUF | NULL | NULL | nOE_OBUF.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | Inv+OptxMapped | nROMWE_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 18 | 2 +MACROCELL_INSTANCE | Inv+OptxMapped | nROMWE_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 16 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9435 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 9324 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9473 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9410 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 9300 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9416 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9307 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9417 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 9308 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9418 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 9309 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9419 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 9310 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9420 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 9311 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9421 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 9312 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9422 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | iobs/Once | 9335 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9446 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +NODE | cs/nOverlay1 | 9340 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9447 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd2 | 9376 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW0 | 9456 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW0.Q | iobs/IORW0 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd1 | 9380 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9491 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9407 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9495 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/ALE1 | 9458 | ? | 544 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9522 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/ALE1 | 9574 | ? | 555 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9411 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 9301 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nROMWE_OBUF | 9562 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMWE_OBUF.Q | nROMWE_OBUF | 0 | 0 | MC_Q +NODE | nROMWE_OBUF | 9446 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMWE_OBUF.Q | nROMWE_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | nROMWE_OBUF.EXP | 10214 | ? | 514 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMWE_OBUF.EXP | nROMWE_OBUF | 4 | 0 | MC_EXPORT +NODE | nROMWE_OBUF.EXP | 10090 | ? | 503 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMWE_OBUF.EXP | nROMWE_OBUF | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | nROMWE_OBUF.SI | nROMWE_OBUF | 0 | 18 | 3 +SIGNAL_INSTANCE | nROMWE_OBUF.SI | nROMWE_OBUF | 0 | 16 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9435 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 9324 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9473 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9410 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 9300 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9416 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9307 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9417 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 9308 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9418 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 9309 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9419 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 9310 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9420 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 9311 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9421 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 9312 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9422 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | iobs/Once | 9335 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9446 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +NODE | cs/nOverlay1 | 9340 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9447 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd2 | 9376 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW0 | 9456 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW0.Q | iobs/IORW0 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd1 | 9380 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9491 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9407 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9495 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/ALE1 | 9458 | ? | 544 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9522 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/ALE1 | 9574 | ? | 555 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9411 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 9301 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nROMWE_OBUF.D1 | 10109 | ? | 0 | 4096 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nROMWE_OBUF.D1 | 9981 | ? | 0 | 4096 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nROMWE_OBUF.D2 | 10110 | ? | 0 | 4096 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nROMWE_OBUF.D2 | 9982 | ? | 0 | 4096 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | nROMWE_OBUF.EXP | 10200 | ? | 514 | 0 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 16 | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | iobs/Once | IV_TRUE | iobs/IORW0 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | iobs/ALE1 -SPPTERM | 16 | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | iobs/Once | IV_TRUE | iobs/IORW0 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | iobs/ALE1 -SPPTERM | 16 | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | iobs/Once | IV_TRUE | iobs/IORW0 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | iobs/ALE1 +SIGNAL | NODE | nROMWE_OBUF.EXP | 10076 | ? | 503 | 0 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 14 | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/Once | IV_TRUE | cs/nOverlay1 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | iobs/ALE1 +SPPTERM | 14 | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/Once | IV_TRUE | cs/nOverlay1 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | iobs/ALE1 SRFF_INSTANCE | nROMWE_OBUF.REG | nROMWE_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nROMWE_OBUF.D | 10108 | ? | 0 | 0 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.XOR | 0 | 7 | ALU_F +NODE | nROMWE_OBUF.D | 9980 | ? | 0 | 0 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nROMWE_OBUF.Q | 10111 | ? | 0 | 0 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nROMWE_OBUF.Q | 9983 | ? | 0 | 0 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | Inv+OptxMapped | nVPA_FSB_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/VPA | 9462 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM +NODE | fsb/VPA | 9351 | ? | 509 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9473 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nVPA_FSB_OBUF | 9563 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVPA_FSB_OBUF.Q | nVPA_FSB_OBUF | 0 | 0 | MC_Q +NODE | nVPA_FSB_OBUF | 9447 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVPA_FSB_OBUF.Q | nVPA_FSB_OBUF | 0 | 0 | MC_Q SIGNAL_INSTANCE | nVPA_FSB_OBUF.SI | nVPA_FSB_OBUF | 0 | 2 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/VPA | 9462 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM +NODE | fsb/VPA | 9351 | ? | 509 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9473 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nVPA_FSB_OBUF.D1 | 10113 | ? | 0 | 4096 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nVPA_FSB_OBUF.D1 | 9985 | ? | 0 | 4096 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nVPA_FSB_OBUF.D2 | 10114 | ? | 0 | 4096 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nVPA_FSB_OBUF.D2 | 9986 | ? | 0 | 4096 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | fsb/VPA | IV_FALSE | nAS_FSB_IBUF SRFF_INSTANCE | nVPA_FSB_OBUF.REG | nVPA_FSB_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nVPA_FSB_OBUF.D | 10112 | ? | 0 | 0 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.XOR | 0 | 7 | ALU_F +NODE | nVPA_FSB_OBUF.D | 9984 | ? | 0 | 0 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nVPA_FSB_OBUF.Q | 10115 | ? | 0 | 0 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nVPA_FSB_OBUF.Q | 9987 | ? | 0 | 0 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | iobm/nDinLE | WarpSE_COPY_0_COPY_0 | 2424308736 | 13 | 2 +MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | iobm/nDinLE | WarpSE_COPY_0_COPY_0 | 2424308736 | 7 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9488 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 9373 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9489 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 9374 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK- | 9424 | ? | 587 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV +NODE | C16M_IBUF/FCLK- | 9314 | ? | 563 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF | 9436 | ? | 575 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX +NODE | C8M_IBUF | 9325 | ? | 562 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_IOB_IBUF | 9474 | ? | 578 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX +NODE | iobm/IOS_FSM_FFd3 | 9371 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9486 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/RESrf | 9416 | ? | 562 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOBERR | 9511 | ? | 572 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOBERR.Q | iobm/IOBERR | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrf | 9531 | ? | 575 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrr | 9532 | ? | 575 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrf | 9524 | ? | 575 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrr | 9525 | ? | 575 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrf | 9527 | ? | 575 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrr | 9528 | ? | 575 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM +NODE | iobm/RESrr | 9417 | ? | 562 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | iobm/nDinLE | 9564 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nDinLE.Q | iobm/nDinLE | 0 | 0 | MC_Q +NODE | iobm/nDinLE | 9448 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nDinLE.Q | iobm/nDinLE | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | iobm/nDinLE.EXP | 10243 | ? | 572 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nDinLE.EXP | iobm/nDinLE | 4 | 0 | MC_EXPORT +NODE | iobm/nDinLE.EXP | 10119 | ? | 562 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nDinLE.EXP | iobm/nDinLE | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | iobm/nDinLE.SI | iobm/nDinLE | 0 | 12 | 3 +SIGNAL_INSTANCE | iobm/nDinLE.SI | iobm/nDinLE | 0 | 6 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9488 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 9373 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9489 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 9374 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF | 9436 | ? | 575 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX +NODE | C8M_IBUF | 9325 | ? | 562 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_IOB_IBUF | 9474 | ? | 578 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX +NODE | iobm/IOS_FSM_FFd3 | 9371 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9486 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/RESrf | 9416 | ? | 562 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOBERR | 9511 | ? | 572 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOBERR.Q | iobm/IOBERR | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrf | 9531 | ? | 575 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrr | 9532 | ? | 575 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrf | 9524 | ? | 575 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrr | 9525 | ? | 575 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrf | 9527 | ? | 575 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrr | 9528 | ? | 575 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM +NODE | iobm/RESrr | 9417 | ? | 562 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/nDinLE.D1 | 10117 | ? | 588 | 4096 | iobm/nDinLE | NULL | NULL | iobm/nDinLE.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/nDinLE.D1 | 9989 | ? | 574 | 4096 | iobm/nDinLE | NULL | NULL | iobm/nDinLE.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/nDinLE.D2 | 10118 | ? | 588 | 4096 | iobm/nDinLE | NULL | NULL | iobm/nDinLE.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/nDinLE.D2 | 9990 | ? | 574 | 4096 | iobm/nDinLE | NULL | NULL | iobm/nDinLE.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/IOS_FSM_FFd2 OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | iobm/nDinLE.EXP | 10241 | ? | 572 | 0 | iobm/nDinLE | NULL | NULL | iobm/nDinLE.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 8 | IV_TRUE | C8M_IBUF | IV_TRUE | nBERR_IOB_IBUF | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/IOS_FSM_FFd2 | IV_TRUE | iobm/IOBERR | IV_TRUE | iobm/RESrf | IV_TRUE | iobm/RESrr -SPPTERM | 8 | IV_TRUE | C8M_IBUF | IV_FALSE | nBERR_IOB_IBUF | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/IOS_FSM_FFd2 | IV_FALSE | iobm/IOBERR | IV_TRUE | iobm/BERRrf | IV_TRUE | iobm/BERRrr -SPPTERM | 8 | IV_TRUE | C8M_IBUF | IV_FALSE | nBERR_IOB_IBUF | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/IOS_FSM_FFd2 | IV_FALSE | iobm/IOBERR | IV_TRUE | iobm/DTACKrf | IV_TRUE | iobm/DTACKrr -SPPTERM | 8 | IV_TRUE | C8M_IBUF | IV_FALSE | nBERR_IOB_IBUF | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/IOS_FSM_FFd2 | IV_FALSE | iobm/IOBERR | IV_TRUE | iobm/RESrf | IV_TRUE | iobm/RESrr +SIGNAL | NODE | iobm/nDinLE.EXP | 10118 | ? | 562 | 0 | iobm/nDinLE | NULL | NULL | iobm/nDinLE.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 5 | IV_TRUE | C8M_IBUF | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/RESrf | IV_TRUE | iobm/RESrr SRFF_INSTANCE | iobm/nDinLE.REG | iobm/nDinLE | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/nDinLE.D | 10116 | ? | 588 | 0 | iobm/nDinLE | NULL | NULL | iobm/nDinLE.XOR | 0 | 7 | ALU_F +NODE | iobm/nDinLE.D | 9988 | ? | 574 | 0 | iobm/nDinLE | NULL | NULL | iobm/nDinLE.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK- | 9424 | ? | 587 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV +NODE | C16M_IBUF/FCLK- | 9314 | ? | 563 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/nDinLE.Q | 10119 | ? | 0 | 0 | iobm/nDinLE | NULL | NULL | iobm/nDinLE.REG | 0 | 8 | SRFF_Q +NODE | iobm/nDinLE.Q | 9991 | ? | 0 | 0 | iobm/nDinLE | NULL | NULL | iobm/nDinLE.REG | 0 | 8 | SRFF_Q TNAME | CLK2X_IOB -MACROCELL_INSTANCE | OptxMapped | nADoutLE0_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 7 | 2 +MACROCELL_INSTANCE | OptxMapped | nADoutLE0_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ALE0 | 9523 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ALE0.Q | iobm/ALE0 | 1 | 0 | MC_UIM +NODE | iobm/ALE0 | 9408 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ALE0.Q | iobm/ALE0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/ALE0 | 9537 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/ALE0.Q | iobs/ALE0 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF | 9436 | ? | 575 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9486 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9488 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrf | 9531 | ? | 575 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrr | 9532 | ? | 575 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM +NODE | iobs/ALE0 | 9421 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/ALE0.Q | iobs/ALE0 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nADoutLE0_OBUF | 9565 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE0_OBUF.Q | nADoutLE0_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | nADoutLE0_OBUF.EXP | 10242 | ? | 575 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE0_OBUF.EXP | nADoutLE0_OBUF | 4 | 0 | MC_EXPORT +NODE | nADoutLE0_OBUF | 9449 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE0_OBUF.Q | nADoutLE0_OBUF | 0 | 0 | MC_Q -SIGNAL_INSTANCE | nADoutLE0_OBUF.SI | nADoutLE0_OBUF | 0 | 7 | 3 +SIGNAL_INSTANCE | nADoutLE0_OBUF.SI | nADoutLE0_OBUF | 0 | 2 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ALE0 | 9523 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ALE0.Q | iobm/ALE0 | 1 | 0 | MC_UIM +NODE | iobm/ALE0 | 9408 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ALE0.Q | iobm/ALE0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/ALE0 | 9537 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/ALE0.Q | iobs/ALE0 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF | 9436 | ? | 575 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9486 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9488 | ? | 588 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrf | 9531 | ? | 575 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrr | 9532 | ? | 575 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM +NODE | iobs/ALE0 | 9421 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/ALE0.Q | iobs/ALE0 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nADoutLE0_OBUF.D1 | 10121 | ? | 0 | 4096 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nADoutLE0_OBUF.D1 | 9993 | ? | 0 | 4096 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nADoutLE0_OBUF.D2 | 10122 | ? | 0 | 4096 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nADoutLE0_OBUF.D2 | 9994 | ? | 0 | 4096 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_FALSE | iobm/ALE0 | IV_FALSE | iobs/ALE0 -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | nADoutLE0_OBUF.EXP | 10240 | ? | 575 | 0 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 5 | IV_TRUE | C8M_IBUF | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/RESrf | IV_TRUE | iobm/RESrr SRFF_INSTANCE | nADoutLE0_OBUF.REG | nADoutLE0_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nADoutLE0_OBUF.D | 10120 | ? | 0 | 0 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.XOR | 0 | 7 | ALU_F +NODE | nADoutLE0_OBUF.D | 9992 | ? | 0 | 0 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nADoutLE0_OBUF.Q | 10123 | ? | 0 | 0 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nADoutLE0_OBUF.Q | 9995 | ? | 0 | 0 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | OptxMapped | nDinOE_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 6 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9305 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9435 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 9324 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9473 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9416 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9306 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9417 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9307 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9418 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 9308 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nDinOE_OBUF | 9566 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDinOE_OBUF.Q | nDinOE_OBUF | 0 | 0 | MC_Q +NODE | nDinOE_OBUF | 9450 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDinOE_OBUF.Q | nDinOE_OBUF | 0 | 0 | MC_Q SIGNAL_INSTANCE | nDinOE_OBUF.SI | nDinOE_OBUF | 0 | 6 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9305 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9435 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 9324 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9473 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9416 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9306 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9417 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9307 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9418 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 9308 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nDinOE_OBUF.D1 | 10125 | ? | 0 | 4096 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nDinOE_OBUF.D1 | 9997 | ? | 0 | 4096 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nDinOE_OBUF.D2 | 10126 | ? | 0 | 4096 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nDinOE_OBUF.D2 | 9998 | ? | 0 | 4096 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 3 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF -SPPTERM | 5 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF +SPPTERM | 4 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF +SPPTERM | 4 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF SRFF_INSTANCE | nDinOE_OBUF.REG | nDinOE_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nDinOE_OBUF.D | 10124 | ? | 0 | 0 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.XOR | 0 | 7 | ALU_F +NODE | nDinOE_OBUF.D | 9996 | ? | 0 | 0 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nDinOE_OBUF.Q | 10127 | ? | 0 | 0 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nDinOE_OBUF.Q | 9999 | ? | 0 | 0 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | Inv+OptxMapped | nRAS_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 9 | 2 +MACROCELL_INSTANCE | Inv+OptxMapped | nRAS_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 7 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefRAS | 9548 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefRAS.Q | ram/RefRAS | 1 | 0 | MC_UIM +NODE | ram/RefRAS | 9432 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefRAS.Q | ram/RefRAS | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9305 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9416 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9306 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9446 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +NODE | cs/nOverlay1 | 9340 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9473 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMEN | 9496 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM +NODE | ram/RAMEN | 9381 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9417 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9435 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nLDS_FSB_IBUF | 9466 | ? | 523 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9307 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nRAS_OBUF | 9567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAS_OBUF.Q | nRAS_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | nRAS_OBUF.EXP | 10262 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAS_OBUF.EXP | nRAS_OBUF | 4 | 0 | MC_EXPORT +NODE | nRAS_OBUF | 9451 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAS_OBUF.Q | nRAS_OBUF | 0 | 0 | MC_Q -SIGNAL_INSTANCE | nRAS_OBUF.SI | nRAS_OBUF | 0 | 9 | 3 +SIGNAL_INSTANCE | nRAS_OBUF.SI | nRAS_OBUF | 0 | 7 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefRAS | 9548 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefRAS.Q | ram/RefRAS | 1 | 0 | MC_UIM +NODE | ram/RefRAS | 9432 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefRAS.Q | ram/RefRAS | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9305 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9416 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9306 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9446 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +NODE | cs/nOverlay1 | 9340 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9473 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMEN | 9496 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM +NODE | ram/RAMEN | 9381 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9417 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9435 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nLDS_FSB_IBUF | 9466 | ? | 523 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9307 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nRAS_OBUF.D1 | 10129 | ? | 0 | 4096 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nRAS_OBUF.D1 | 10001 | ? | 0 | 4096 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nRAS_OBUF.D2 | 10130 | ? | 0 | 4096 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nRAS_OBUF.D2 | 10002 | ? | 0 | 4096 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | ram/RefRAS SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RAMEN SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RAMEN -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | nRAS_OBUF.EXP | 10250 | ? | 0 | 0 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 4 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nLDS_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RAMEN SRFF_INSTANCE | nRAS_OBUF.REG | nRAS_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nRAS_OBUF.D | 10128 | ? | 0 | 0 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.XOR | 0 | 7 | ALU_F +NODE | nRAS_OBUF.D | 10000 | ? | 0 | 0 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nRAS_OBUF.Q | 10131 | ? | 0 | 0 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nRAS_OBUF.Q | 10003 | ? | 0 | 0 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | ram/nCAS | WarpSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9483 | ? | 554 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 9368 | ? | 543 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK- | 9445 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 7 | 5 | II_FCLKINV +NODE | FCLK_IBUF/FCLK- | 9334 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | ram/nCAS | 9568 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/nCAS.Q | ram/nCAS | 0 | 0 | MC_Q +NODE | ram/nCAS | 9452 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/nCAS.Q | ram/nCAS | 0 | 0 | MC_Q SIGNAL_INSTANCE | ram/nCAS.SI | ram/nCAS | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9483 | ? | 554 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 9368 | ? | 543 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/nCAS.D1 | 10133 | ? | 554 | 4096 | ram/nCAS | NULL | NULL | ram/nCAS.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/nCAS.D1 | 10005 | ? | 543 | 4096 | ram/nCAS | NULL | NULL | ram/nCAS.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/nCAS.D2 | 10134 | ? | 554 | 4096 | ram/nCAS | NULL | NULL | ram/nCAS.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/nCAS.D2 | 10006 | ? | 543 | 4096 | ram/nCAS | NULL | NULL | ram/nCAS.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_FALSE | ram/RASEL SRFF_INSTANCE | ram/nCAS.REG | ram/nCAS | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/nCAS.D | 10132 | ? | 554 | 0 | ram/nCAS | NULL | NULL | ram/nCAS.XOR | 0 | 7 | ALU_F +NODE | ram/nCAS.D | 10004 | ? | 543 | 0 | ram/nCAS | NULL | NULL | ram/nCAS.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK- | 9445 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 7 | 5 | II_FCLKINV +NODE | FCLK_IBUF/FCLK- | 9334 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/nCAS.Q | 10135 | ? | 0 | 0 | ram/nCAS | NULL | NULL | ram/nCAS.REG | 0 | 8 | SRFF_Q +NODE | ram/nCAS.Q | 10007 | ? | 0 | 0 | ram/nCAS | NULL | NULL | ram/nCAS.REG | 0 | 8 | SRFF_Q TNAME | CLK_FSB MACROCELL_INSTANCE | FbkInv+PinTrst+Merge+OptxMapped | N0 | WarpSE_COPY_0_COPY_0 | 2155923456 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/nRESout | 9516 | ? | 584 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/nRESout.Q | cnt/nRESout | 1 | 0 | MC_UIM +NODE | cnt/nRESout | 9401 | ? | 571 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/nRESout.Q | cnt/nRESout | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | N0$Q | 9569 | ? | 584 | 0 | WarpSE_COPY_0_COPY_0 | NULL | N0.Q | N0 | 0 | 0 | MC_Q +NODE | N0$Q | 9453 | ? | 571 | 0 | WarpSE_COPY_0_COPY_0 | NULL | N0.Q | N0 | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 2 | 0 | MC_OE -NODE | N0$OE | 9570 | ? | 584 | 0 | WarpSE_COPY_0_COPY_0 | NULL | N0.BUFOE.OUT | N0 | 2 | 0 | MC_OE +NODE | N0$OE | 9454 | ? | 571 | 0 | WarpSE_COPY_0_COPY_0 | NULL | N0.BUFOE.OUT | N0 | 2 | 0 | MC_OE SIGNAL_INSTANCE | N0.SI | N0 | 0 | 1 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/nRESout | 9516 | ? | 584 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/nRESout.Q | cnt/nRESout | 1 | 0 | MC_UIM +NODE | cnt/nRESout | 9401 | ? | 571 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/nRESout.Q | cnt/nRESout | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | N0.D1 | 10137 | ? | 584 | 4096 | N0 | NULL | NULL | N0.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | N0.D1 | 10009 | ? | 571 | 4096 | N0 | NULL | NULL | N0.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | N0.D2 | 10138 | ? | 584 | 4096 | N0 | NULL | NULL | N0.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | N0.D2 | 10010 | ? | 571 | 4096 | N0 | NULL | NULL | N0.SI | 2 | 9 | MC_SI_D2 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 4 | 9 | MC_SI_TRST -SIGNAL | NODE | N0.TRST | 10140 | ? | 584 | 4096 | N0 | NULL | NULL | N0.SI | 4 | 9 | MC_SI_TRST +SIGNAL | NODE | N0.TRST | 10012 | ? | 571 | 4096 | N0 | NULL | NULL | N0.SI | 4 | 9 | MC_SI_TRST SPPTERM | 1 | IV_FALSE | cnt/nRESout SRFF_INSTANCE | N0.REG | N0 | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | N0.D | 10136 | ? | 584 | 0 | N0 | NULL | NULL | N0.XOR | 0 | 7 | ALU_F +NODE | N0.D | 10008 | ? | 571 | 0 | N0 | NULL | NULL | N0.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | N0.Q | 10141 | ? | 584 | 0 | N0 | NULL | NULL | N0.REG | 0 | 8 | SRFF_Q +NODE | N0.Q | 10013 | ? | 571 | 0 | N0 | NULL | NULL | N0.REG | 0 | 8 | SRFF_Q BUF_INSTANCE | N0.BUFOE | N0 | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 10 | CTOR_UNKNOWN -SIGNAL | NODE | N0.TRST | 10140 | ? | 584 | 4096 | N0 | NULL | NULL | N0.SI | 4 | 9 | MC_SI_TRST +SIGNAL | NODE | N0.TRST | 10012 | ? | 571 | 4096 | N0 | NULL | NULL | N0.SI | 4 | 9 | MC_SI_TRST SPPTERM | 1 | IV_FALSE | cnt/nRESout OUTPUT_NODE_TYPE | 0 | 10 | BUF_OUT -NODE | N0.BUFOE.OUT | 10139 | ? | 584 | 0 | N0 | NULL | NULL | N0.BUFOE | 0 | 10 | BUF_OUT +NODE | N0.BUFOE.OUT | 10011 | ? | 571 | 0 | N0 | NULL | NULL | N0.BUFOE | 0 | 10 | BUF_OUT -MACROCELL_INSTANCE | OptxMapped | A_FSB_19_IBUF$BUF0 | WarpSE_COPY_0_COPY_0 | 2155872256 | 8 | 2 +MACROCELL_INSTANCE | OptxMapped | A_FSB_19_IBUF$BUF0 | WarpSE_COPY_0_COPY_0 | 2155872256 | 19 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9419 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 9301 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9487 | ? | 552 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | A_FSB_21_IBUF | 9307 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9490 | ? | 552 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | A_FSB_20_IBUF | 9308 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9493 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | A_FSB_19_IBUF | 9309 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefUrgent | 9492 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrgent.Q | ram/RefUrgent | 1 | 0 | MC_UIM +NODE | A_FSB_18_IBUF | 9310 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 9311 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9473 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 9312 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9522 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | nWE_FSB_IBUF | 9324 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9335 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9340 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9376 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9380 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9407 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9300 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9305 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9306 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/ALE1 | 9458 | ? | 544 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Clear1.EXP | 10138 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Clear1.EXP | iobs/Clear1 | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | A_FSB_19_IBUF$BUF0 | 9571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | A_FSB_19_IBUF$BUF0.Q | A_FSB_19_IBUF$BUF0 | 0 | 0 | MC_Q +NODE | A_FSB_19_IBUF$BUF0 | 9455 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | A_FSB_19_IBUF$BUF0.Q | A_FSB_19_IBUF$BUF0 | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | A_FSB_19_IBUF$BUF0.EXP | 10261 | ? | 538 | 0 | WarpSE_COPY_0_COPY_0 | NULL | A_FSB_19_IBUF$BUF0.EXP | A_FSB_19_IBUF$BUF0 | 4 | 0 | MC_EXPORT +NODE | A_FSB_19_IBUF$BUF0.EXP | 10137 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | A_FSB_19_IBUF$BUF0.EXP | A_FSB_19_IBUF$BUF0 | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | A_FSB_19_IBUF$BUF0.SI | A_FSB_19_IBUF$BUF0 | 0 | 8 | 3 +SIGNAL_INSTANCE | A_FSB_19_IBUF$BUF0.SI | A_FSB_19_IBUF$BUF0 | 0 | 19 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9419 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 9301 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9487 | ? | 552 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | A_FSB_21_IBUF | 9307 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9490 | ? | 552 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | A_FSB_20_IBUF | 9308 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9493 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | A_FSB_19_IBUF | 9309 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefUrgent | 9492 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrgent.Q | ram/RefUrgent | 1 | 0 | MC_UIM +NODE | A_FSB_18_IBUF | 9310 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 9311 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9473 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 9312 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9522 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | nWE_FSB_IBUF | 9324 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9335 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9340 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9376 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9380 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9407 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9300 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9305 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9306 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/ALE1 | 9458 | ? | 544 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Clear1.EXP | 10138 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Clear1.EXP | iobs/Clear1 | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | A_FSB_19_IBUF$BUF0.D1 | 10143 | ? | 0 | 4096 | A_FSB_19_IBUF$BUF0 | NULL | NULL | A_FSB_19_IBUF$BUF0.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | A_FSB_19_IBUF$BUF0.D1 | 10015 | ? | 0 | 4096 | A_FSB_19_IBUF$BUF0 | NULL | NULL | A_FSB_19_IBUF$BUF0.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | A_FSB_19_IBUF$BUF0.D2 | 10144 | ? | 0 | 4096 | A_FSB_19_IBUF$BUF0 | NULL | NULL | A_FSB_19_IBUF$BUF0.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | A_FSB_19_IBUF +SIGNAL | NODE | A_FSB_19_IBUF$BUF0.D2 | 10016 | ? | 0 | 4096 | A_FSB_19_IBUF$BUF0 | NULL | NULL | A_FSB_19_IBUF$BUF0.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | iobs/Clear1.EXP OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | A_FSB_19_IBUF$BUF0.EXP | 10249 | ? | 538 | 0 | A_FSB_19_IBUF$BUF0 | NULL | NULL | A_FSB_19_IBUF$BUF0.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 3 | IV_TRUE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 -SPPTERM | 3 | IV_TRUE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RefUrgent | IV_FALSE | ram/RS_FSM_FFd3 -SPPTERM | 4 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 -SPPTERM | 4 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | fsb/ASrf +SIGNAL | NODE | A_FSB_19_IBUF$BUF0.EXP | 10124 | ? | 499 | 0 | A_FSB_19_IBUF$BUF0 | NULL | NULL | A_FSB_19_IBUF$BUF0.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 13 | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/Once | IV_TRUE | cs/nOverlay1 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf +SPPTERM | 14 | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/Once | IV_TRUE | cs/nOverlay1 | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | iobs/ALE1 +SPPTERM | 14 | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/Once | IV_TRUE | cs/nOverlay1 | IV_TRUE | fsb/ASrf | IV_TRUE | iobs/ALE1 +SPPTERM | 14 | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/Once | IV_TRUE | cs/nOverlay1 | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | iobs/ALE1 +SPPTERM | 14 | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/Once | IV_TRUE | cs/nOverlay1 | IV_TRUE | fsb/ASrf | IV_TRUE | iobs/ALE1 SRFF_INSTANCE | A_FSB_19_IBUF$BUF0.REG | A_FSB_19_IBUF$BUF0 | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | A_FSB_19_IBUF$BUF0.D | 10142 | ? | 0 | 0 | A_FSB_19_IBUF$BUF0 | NULL | NULL | A_FSB_19_IBUF$BUF0.XOR | 0 | 7 | ALU_F +NODE | A_FSB_19_IBUF$BUF0.D | 10014 | ? | 0 | 0 | A_FSB_19_IBUF$BUF0 | NULL | NULL | A_FSB_19_IBUF$BUF0.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | A_FSB_19_IBUF$BUF0.Q | 10145 | ? | 0 | 0 | A_FSB_19_IBUF$BUF0 | NULL | NULL | A_FSB_19_IBUF$BUF0.REG | 0 | 8 | SRFF_Q +NODE | A_FSB_19_IBUF$BUF0.Q | 10017 | ? | 0 | 0 | A_FSB_19_IBUF$BUF0 | NULL | NULL | A_FSB_19_IBUF$BUF0.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | OptxMapped | A_FSB_21_IBUF$BUF0 | WarpSE_COPY_0_COPY_0 | 2155872256 | 6 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9417 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9307 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 9407 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_9_IBUF | 9297 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9305 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9416 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9306 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9446 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +NODE | cs/nOverlay1 | 9340 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9483 | ? | 554 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 9368 | ? | 543 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | A_FSB_21_IBUF$BUF0 | 9572 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | A_FSB_21_IBUF$BUF0.Q | A_FSB_21_IBUF$BUF0 | 0 | 0 | MC_Q +NODE | A_FSB_21_IBUF$BUF0 | 9456 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | A_FSB_21_IBUF$BUF0.Q | A_FSB_21_IBUF$BUF0 | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | A_FSB_21_IBUF$BUF0.EXP | 10247 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | A_FSB_21_IBUF$BUF0.EXP | A_FSB_21_IBUF$BUF0 | 4 | 0 | MC_EXPORT +NODE | A_FSB_21_IBUF$BUF0.EXP | 10123 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | A_FSB_21_IBUF$BUF0.EXP | A_FSB_21_IBUF$BUF0 | 4 | 0 | MC_EXPORT SIGNAL_INSTANCE | A_FSB_21_IBUF$BUF0.SI | A_FSB_21_IBUF$BUF0 | 0 | 6 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9417 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9307 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 9407 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_9_IBUF | 9297 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9305 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9416 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9306 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9446 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +NODE | cs/nOverlay1 | 9340 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9483 | ? | 554 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 9368 | ? | 543 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | A_FSB_21_IBUF$BUF0.D1 | 10147 | ? | 0 | 4096 | A_FSB_21_IBUF$BUF0 | NULL | NULL | A_FSB_21_IBUF$BUF0.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | A_FSB_21_IBUF$BUF0.D1 | 10019 | ? | 0 | 4096 | A_FSB_21_IBUF$BUF0 | NULL | NULL | A_FSB_21_IBUF$BUF0.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | A_FSB_21_IBUF$BUF0.D2 | 10148 | ? | 0 | 4096 | A_FSB_21_IBUF$BUF0 | NULL | NULL | A_FSB_21_IBUF$BUF0.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | A_FSB_21_IBUF$BUF0.D2 | 10020 | ? | 0 | 4096 | A_FSB_21_IBUF$BUF0 | NULL | NULL | A_FSB_21_IBUF$BUF0.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | A_FSB_21_IBUF OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | A_FSB_21_IBUF$BUF0.EXP | 10245 | ? | 0 | 0 | A_FSB_21_IBUF$BUF0 | NULL | NULL | A_FSB_21_IBUF$BUF0.SI | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | A_FSB_21_IBUF$BUF0.EXP | 10121 | ? | 0 | 0 | A_FSB_21_IBUF$BUF0 | NULL | NULL | A_FSB_21_IBUF$BUF0.SI | 7 | 9 | MC_SI_EXPORT SPPTERM | 6 | IV_TRUE | A_FSB_9_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_TRUE | ram/RASEL SRFF_INSTANCE | A_FSB_21_IBUF$BUF0.REG | A_FSB_21_IBUF$BUF0 | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | A_FSB_21_IBUF$BUF0.D | 10146 | ? | 0 | 0 | A_FSB_21_IBUF$BUF0 | NULL | NULL | A_FSB_21_IBUF$BUF0.XOR | 0 | 7 | ALU_F +NODE | A_FSB_21_IBUF$BUF0.D | 10018 | ? | 0 | 0 | A_FSB_21_IBUF$BUF0 | NULL | NULL | A_FSB_21_IBUF$BUF0.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | A_FSB_21_IBUF$BUF0.Q | 10149 | ? | 0 | 0 | A_FSB_21_IBUF$BUF0 | NULL | NULL | A_FSB_21_IBUF$BUF0.REG | 0 | 8 | SRFF_Q +NODE | A_FSB_21_IBUF$BUF0.Q | 10021 | ? | 0 | 0 | A_FSB_21_IBUF$BUF0 | NULL | NULL | A_FSB_21_IBUF$BUF0.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | Inv+PrldHigh+OptxMapped | nADoutLE1_OBUF | WarpSE_COPY_0_COPY_0 | 2155873024 | 4 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Clear1 | 9538 | ? | 555 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Clear1.Q | iobs/Clear1 | 1 | 0 | MC_UIM +NODE | iobs/Clear1 | 9422 | ? | 544 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Clear1.Q | iobs/Clear1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/ALE1 | 9574 | ? | 555 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | iobs/ALE1 | 9458 | ? | 544 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Load1 | 9508 | ? | 555 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM +NODE | iobs/Load1 | 9393 | ? | 544 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 9444 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9333 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nADoutLE1_OBUF | 9573 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 0 | 0 | MC_Q +NODE | nADoutLE1_OBUF | 9457 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/ALE1 | 9574 | ? | 555 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | iobs/ALE1 | 9458 | ? | 544 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM SIGNAL_INSTANCE | nADoutLE1_OBUF.SI | nADoutLE1_OBUF | 0 | 3 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Clear1 | 9538 | ? | 555 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Clear1.Q | iobs/Clear1 | 1 | 0 | MC_UIM +NODE | iobs/Clear1 | 9422 | ? | 544 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Clear1.Q | iobs/Clear1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/ALE1 | 9574 | ? | 555 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | iobs/ALE1 | 9458 | ? | 544 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Load1 | 9508 | ? | 555 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM +NODE | iobs/Load1 | 9393 | ? | 544 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nADoutLE1_OBUF.D1 | 10151 | ? | 555 | 4096 | nADoutLE1_OBUF | NULL | NULL | nADoutLE1_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nADoutLE1_OBUF.D1 | 10023 | ? | 544 | 4096 | nADoutLE1_OBUF | NULL | NULL | nADoutLE1_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nADoutLE1_OBUF.D2 | 10152 | ? | 555 | 4096 | nADoutLE1_OBUF | NULL | NULL | nADoutLE1_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nADoutLE1_OBUF.D2 | 10024 | ? | 544 | 4096 | nADoutLE1_OBUF | NULL | NULL | nADoutLE1_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | iobs/Load1 SPPTERM | 2 | IV_FALSE | iobs/Clear1 | IV_FALSE | iobs/ALE1 SRFF_INSTANCE | nADoutLE1_OBUF.REG | nADoutLE1_OBUF | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nADoutLE1_OBUF.D | 10150 | ? | 555 | 0 | nADoutLE1_OBUF | NULL | NULL | nADoutLE1_OBUF.XOR | 0 | 7 | ALU_F +NODE | nADoutLE1_OBUF.D | 10022 | ? | 544 | 0 | nADoutLE1_OBUF | NULL | NULL | nADoutLE1_OBUF.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 9444 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9333 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nADoutLE1_OBUF.Q | 10153 | ? | 555 | 0 | nADoutLE1_OBUF | NULL | NULL | nADoutLE1_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nADoutLE1_OBUF.Q | 10025 | ? | 544 | 0 | nADoutLE1_OBUF | NULL | NULL | nADoutLE1_OBUF.REG | 0 | 8 | SRFF_Q TNAME | CLK_FSB -MACROCELL_INSTANCE | Inv+OptxMapped | nRAMLWE_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 11 | 2 +MACROCELL_INSTANCE | Inv+PrldHigh+OptxMapped+Ce | nAoutOE_OBUF | WarpSE_COPY_0_COPY_0 | 2424308480 | 4 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | cnt/nBR_IOB | 9367 | ? | 498 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/nBR_IOB.Q | cnt/nBR_IOB | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9416 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | cnt/PORS_FSM_FFd1 | 9390 | ? | 498 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/PORS_FSM_FFd1.Q | cnt/PORS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9417 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | cnt/PORS_FSM_FFd2 | 9392 | ? | 498 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/PORS_FSM_FFd2.Q | cnt/PORS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9491 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9495 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/ALE1 | 9574 | ? | 555 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9446 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9419 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9422 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9435 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nRAS_OBUF.EXP | 10262 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAS_OBUF.EXP | nRAS_OBUF | 4 | 0 | MC_EXPORT +NODE | C8M_IBUF/FCLK- | 9326 | ? | 571 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nRAMLWE_OBUF | 9575 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMLWE_OBUF.Q | nRAMLWE_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | nRAMLWE_OBUF.EXP | 10263 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMLWE_OBUF.EXP | nRAMLWE_OBUF | 4 | 0 | MC_EXPORT +NODE | nAoutOE_OBUF | 9459 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/AoutOE | 9460 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | nRAMLWE_OBUF.SI | nRAMLWE_OBUF | 0 | 11 | 3 +SIGNAL_INSTANCE | nAoutOE_OBUF.SI | nAoutOE_OBUF | 0 | 3 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | cnt/nBR_IOB | 9367 | ? | 498 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/nBR_IOB.Q | cnt/nBR_IOB | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9416 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | cnt/PORS_FSM_FFd1 | 9390 | ? | 498 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/PORS_FSM_FFd1.Q | cnt/PORS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9417 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9491 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9495 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/ALE1 | 9574 | ? | 555 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9446 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9419 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9422 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9435 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nRAS_OBUF.EXP | 10262 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAS_OBUF.EXP | nRAS_OBUF | 4 | 0 | MC_EXPORT +NODE | cnt/PORS_FSM_FFd2 | 9392 | ? | 498 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/PORS_FSM_FFd2.Q | cnt/PORS_FSM_FFd2 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nRAMLWE_OBUF.D1 | 10155 | ? | 0 | 4096 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nAoutOE_OBUF.D1 | 10027 | ? | 498 | 4096 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nRAMLWE_OBUF.D2 | 10156 | ? | 0 | 4096 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | nRAS_OBUF.EXP -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | nRAMLWE_OBUF.EXP | 10251 | ? | 536 | 0 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | iobs/ALE1 -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | iobs/ALE1 -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_19_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | iobs/ALE1 -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_16_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | iobs/ALE1 -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | iobs/ALE1 +SIGNAL | NODE | nAoutOE_OBUF.D2 | 10028 | ? | 498 | 4096 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 3 | IV_FALSE | cnt/nBR_IOB | IV_TRUE | cnt/PORS_FSM_FFd1 | IV_FALSE | cnt/PORS_FSM_FFd2 + +SRFF_INSTANCE | nAoutOE_OBUF.REG | nAoutOE_OBUF | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nAoutOE_OBUF.D | 10026 | ? | 498 | 0 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | C8M_IBUF/FCLK- | 9326 | ? | 571 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nAoutOE_OBUF.Q | 10029 | ? | 551 | 0 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.REG | 0 | 8 | SRFF_Q +TNAME | CLK_IOB + +MACROCELL_INSTANCE | Inv+OptxMapped | nRAMLWE_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 4 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9324 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nLDS_FSB_IBUF | 9353 | ? | 512 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMEN | 9381 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nRAMLWE_OBUF | 9461 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMLWE_OBUF.Q | nRAMLWE_OBUF | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | nRAMLWE_OBUF.SI | nRAMLWE_OBUF | 0 | 4 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9324 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nLDS_FSB_IBUF | 9353 | ? | 512 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMEN | 9381 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nRAMLWE_OBUF.D1 | 10031 | ? | 0 | 4096 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nRAMLWE_OBUF.D2 | 10032 | ? | 0 | 4096 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 4 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nLDS_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RAMEN SRFF_INSTANCE | nRAMLWE_OBUF.REG | nRAMLWE_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nRAMLWE_OBUF.D | 10154 | ? | 0 | 0 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.XOR | 0 | 7 | ALU_F +NODE | nRAMLWE_OBUF.D | 10030 | ? | 0 | 0 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nRAMLWE_OBUF.Q | 10157 | ? | 0 | 0 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nRAMLWE_OBUF.Q | 10033 | ? | 0 | 0 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | Inv+OptxMapped | nRAMUWE_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 15 | 2 +MACROCELL_INSTANCE | Inv+OptxMapped | nRAMUWE_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 12 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9435 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd1 | 9372 | ? | 541 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nUDS_FSB_IBUF | 9471 | ? | 529 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | ram/RefUrgent | 9377 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrgent.Q | ram/RefUrgent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9473 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd3 | 9378 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMEN | 9496 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9407 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9305 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9417 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9306 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9420 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | cs/nOverlay1 | 9340 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9491 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9495 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd2 | 9375 | ? | 541 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/ALE1 | 9574 | ? | 555 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ram/RAMEN | 9381 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9421 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9307 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9410 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9411 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9416 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9446 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd1.EXP | 10139 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.EXP | iobs/PS_FSM_FFd1 | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nRAMUWE_OBUF | 9576 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMUWE_OBUF.Q | nRAMUWE_OBUF | 0 | 0 | MC_Q +NODE | nRAMUWE_OBUF | 9462 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMUWE_OBUF.Q | nRAMUWE_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | nRAMUWE_OBUF.EXP | 10264 | ? | 536 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMUWE_OBUF.EXP | nRAMUWE_OBUF | 4 | 0 | MC_EXPORT +NODE | nRAMUWE_OBUF.EXP | 10140 | ? | 523 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMUWE_OBUF.EXP | nRAMUWE_OBUF | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | nRAMUWE_OBUF.SI | nRAMUWE_OBUF | 0 | 15 | 3 +SIGNAL_INSTANCE | nRAMUWE_OBUF.SI | nRAMUWE_OBUF | 0 | 12 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9435 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd1 | 9372 | ? | 541 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nUDS_FSB_IBUF | 9471 | ? | 529 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | ram/RefUrgent | 9377 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrgent.Q | ram/RefUrgent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9473 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd3 | 9378 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMEN | 9496 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9407 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9305 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9417 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9306 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9420 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | cs/nOverlay1 | 9340 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9491 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9495 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd2 | 9375 | ? | 541 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/ALE1 | 9574 | ? | 555 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ram/RAMEN | 9381 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9421 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9307 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9410 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9411 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9416 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9446 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd1.EXP | 10139 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.EXP | iobs/PS_FSM_FFd1 | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nRAMUWE_OBUF.D1 | 10159 | ? | 0 | 4096 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nRAMUWE_OBUF.D1 | 10035 | ? | 0 | 4096 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nRAMUWE_OBUF.D2 | 10160 | ? | 0 | 4096 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 4 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nUDS_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RAMEN +SIGNAL | NODE | nRAMUWE_OBUF.D2 | 10036 | ? | 0 | 4096 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | iobs/PS_FSM_FFd1.EXP OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | nRAMUWE_OBUF.EXP | 10252 | ? | 536 | 0 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_18_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | iobs/ALE1 -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_17_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | iobs/ALE1 -SPPTERM | 7 | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_13_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | iobs/ALE1 -SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | iobs/ALE1 +SIGNAL | NODE | nRAMUWE_OBUF.EXP | 10127 | ? | 523 | 0 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 4 | IV_TRUE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RefUrgent | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | fsb/ASrf +SPPTERM | 8 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RefUrgent | IV_FALSE | ram/RAMEN +SPPTERM | 8 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RefUrgent | IV_FALSE | ram/RAMEN | IV_TRUE | fsb/ASrf +SPPTERM | 9 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RefUrgent | IV_FALSE | ram/RAMEN +SPPTERM | 9 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RefUrgent | IV_FALSE | ram/RAMEN | IV_TRUE | fsb/ASrf SRFF_INSTANCE | nRAMUWE_OBUF.REG | nRAMUWE_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nRAMUWE_OBUF.D | 10158 | ? | 0 | 0 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.XOR | 0 | 7 | ALU_F +NODE | nRAMUWE_OBUF.D | 10034 | ? | 0 | 0 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nRAMUWE_OBUF.Q | 10161 | ? | 0 | 0 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nRAMUWE_OBUF.Q | 10037 | ? | 0 | 0 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | Inv+OptxMapped | nROMCS_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 12 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9416 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9306 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9417 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9307 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9490 | ? | 552 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd2 | 9375 | ? | 541 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefUrgent | 9492 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrgent.Q | ram/RefUrgent | 1 | 0 | MC_UIM +NODE | ram/RefUrgent | 9377 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrgent.Q | ram/RefUrgent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9493 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd3 | 9378 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefReq | 9510 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReq.Q | ram/RefReq | 1 | 0 | MC_UIM +NODE | ram/RefReq | 9395 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReq.Q | ram/RefReq | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9446 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +NODE | cs/nOverlay1 | 9340 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9305 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9473 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMEN | 9496 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM +NODE | ram/RAMEN | 9381 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9522 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9407 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone.EXP | 10229 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.EXP | ram/RefDone | 4 | 0 | MC_EXPORT +NODE | ram/RefDone.EXP | 10108 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.EXP | ram/RefDone | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nROMCS_OBUF | 9577 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.Q | nROMCS_OBUF | 0 | 0 | MC_Q +NODE | nROMCS_OBUF | 9463 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.Q | nROMCS_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | nROMCS_OBUF.EXP | 10228 | ? | 533 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.EXP | nROMCS_OBUF | 4 | 0 | MC_EXPORT +NODE | nROMCS_OBUF.EXP | 10107 | ? | 522 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.EXP | nROMCS_OBUF | 4 | 0 | MC_EXPORT SIGNAL_INSTANCE | nROMCS_OBUF.SI | nROMCS_OBUF | 0 | 12 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9416 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9306 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9417 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9307 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9490 | ? | 552 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd2 | 9375 | ? | 541 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefUrgent | 9492 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrgent.Q | ram/RefUrgent | 1 | 0 | MC_UIM +NODE | ram/RefUrgent | 9377 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrgent.Q | ram/RefUrgent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9493 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd3 | 9378 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefReq | 9510 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReq.Q | ram/RefReq | 1 | 0 | MC_UIM +NODE | ram/RefReq | 9395 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReq.Q | ram/RefReq | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9446 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +NODE | cs/nOverlay1 | 9340 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9305 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9473 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMEN | 9496 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM +NODE | ram/RAMEN | 9381 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9522 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9407 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone.EXP | 10229 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.EXP | ram/RefDone | 4 | 0 | MC_EXPORT +NODE | ram/RefDone.EXP | 10108 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.EXP | ram/RefDone | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nROMCS_OBUF.D1 | 10163 | ? | 0 | 4096 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nROMCS_OBUF.D1 | 10039 | ? | 0 | 4096 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nROMCS_OBUF.D2 | 10164 | ? | 0 | 4096 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nROMCS_OBUF.D2 | 10040 | ? | 0 | 4096 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | ram/RefDone.EXP OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | nROMCS_OBUF.EXP | 10216 | ? | 533 | 0 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | nROMCS_OBUF.EXP | 10096 | ? | 522 | 0 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.SI | 7 | 9 | MC_SI_EXPORT SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RefUrgent | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RefReq SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RefUrgent | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RefReq SPPTERM | 6 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RefUrgent | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RefReq @@ -6596,943 +6413,1075 @@ SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs SRFF_INSTANCE | nROMCS_OBUF.REG | nROMCS_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nROMCS_OBUF.D | 10162 | ? | 0 | 0 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.XOR | 0 | 7 | ALU_F +NODE | nROMCS_OBUF.D | 10038 | ? | 0 | 0 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nROMCS_OBUF.Q | 10165 | ? | 0 | 0 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nROMCS_OBUF.Q | 10041 | ? | 0 | 0 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | FbkInv+Merge+OptxMapped | N1 | WarpSE_COPY_0_COPY_0 | 2155907072 | 0 | 1 OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | N1$Q | 9578 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | N1.Q | N1 | 0 | 0 | MC_Q +NODE | N1$Q | 9464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | N1.Q | N1 | 0 | 0 | MC_Q SIGNAL_INSTANCE | N1.SI | N1 | 0 | 0 | 2 OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | N1.D1 | 10167 | ? | 0 | 4096 | N1 | NULL | NULL | N1.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | N1.D1 | 10043 | ? | 0 | 4096 | N1 | NULL | NULL | N1.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | N1.D2 | 10168 | ? | 0 | 4096 | N1 | NULL | NULL | N1.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | N1.D2 | 10044 | ? | 0 | 4096 | N1 | NULL | NULL | N1.SI | 2 | 9 | MC_SI_D2 SPPTERM | 0 | IV_DC SRFF_INSTANCE | N1.REG | N1 | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | N1.D | 10166 | ? | 0 | 0 | N1 | NULL | NULL | N1.XOR | 0 | 7 | ALU_F +NODE | N1.D | 10042 | ? | 0 | 0 | N1 | NULL | NULL | N1.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | N1.Q | 10169 | ? | 0 | 0 | N1 | NULL | NULL | N1.REG | 0 | 8 | SRFF_Q +NODE | N1.Q | 10045 | ? | 0 | 0 | N1 | NULL | NULL | N1.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | OptxMapped | N1$BUF0 | WarpSE_COPY_0_COPY_0 | 2155872256 | 0 | 1 OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | N1$BUF0 | 9579 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | N1$BUF0.Q | N1$BUF0 | 0 | 0 | MC_Q +NODE | N1$BUF0 | 9465 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | N1$BUF0.Q | N1$BUF0 | 0 | 0 | MC_Q SIGNAL_INSTANCE | N1$BUF0.SI | N1$BUF0 | 0 | 0 | 2 OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | N1$BUF0.D1 | 10171 | ? | 0 | 4096 | N1$BUF0 | NULL | NULL | N1$BUF0.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | N1$BUF0.D1 | 10047 | ? | 0 | 4096 | N1$BUF0 | NULL | NULL | N1$BUF0.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | N1$BUF0.D2 | 10172 | ? | 0 | 6144 | N1$BUF0 | NULL | NULL | N1$BUF0.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | N1$BUF0.D2 | 10048 | ? | 0 | 6144 | N1$BUF0 | NULL | NULL | N1$BUF0.SI | 2 | 9 | MC_SI_D2 SPPTERM | 0 | IV_DC SRFF_INSTANCE | N1$BUF0.REG | N1$BUF0 | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | N1$BUF0.D | 10170 | ? | 0 | 0 | N1$BUF0 | NULL | NULL | N1$BUF0.XOR | 0 | 7 | ALU_F +NODE | N1$BUF0.D | 10046 | ? | 0 | 0 | N1$BUF0 | NULL | NULL | N1$BUF0.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | N1$BUF0.Q | 10173 | ? | 0 | 0 | N1$BUF0 | NULL | NULL | N1$BUF0.REG | 0 | 8 | SRFF_Q +NODE | N1$BUF0.Q | 10049 | ? | 0 | 0 | N1$BUF0 | NULL | NULL | N1$BUF0.REG | 0 | 8 | SRFF_Q OUTPUT_INSTANCE | 0 | nVMA_IOB | WarpSE_COPY_0_COPY_0 | 7 | 2 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | iobm/nVMA$Q | 9425 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nVMA.Q | iobm/nVMA | 0 | 0 | MC_Q +NODE | iobm/nVMA$Q | 9315 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nVMA.Q | iobm/nVMA | 0 | 0 | MC_Q INPUT_NODE_TYPE | 2 | 6 | OI_OE -NODE | iobm/nVMA$OE | 9427 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nVMA.BUFOE.OUT | iobm/nVMA | 2 | 0 | MC_OE +NODE | iobm/nVMA$OE | 9317 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nVMA.BUFOE.OUT | iobm/nVMA | 2 | 0 | MC_OE OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nVMA_IOB | 9580 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nVMA_IOB | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nAS_IOB | WarpSE_COPY_0_COPY_0 | 7 | 2 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | iobm/nASout$Q | 9428 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nASout.Q | iobm/nASout | 0 | 0 | MC_Q -INPUT_NODE_TYPE | 2 | 6 | OI_OE -NODE | iobm/nASout$OE | 9430 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nASout.BUFOE.OUT | iobm/nASout | 2 | 0 | MC_OE -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nAS_IOB | 9581 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_IOB | 0 | 6 | OI_OUT +NODE | nVMA_IOB | 9466 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nVMA_IOB | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nLDS_IOB | WarpSE_COPY_0_COPY_0 | 7 | 2 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | iobm/nLDS | 9431 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nLDS.Q | iobm/nLDS | 0 | 0 | MC_Q +NODE | iobm/nLDS | 9318 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nLDS.Q | iobm/nLDS | 0 | 0 | MC_Q INPUT_NODE_TYPE | 2 | 6 | OI_OE -NODE | iobm/nLDS$OE | 9432 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nLDS.BUFOE.OUT | iobm/nLDS | 2 | 0 | MC_OE +NODE | iobm/nLDS$OE | 9319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nLDS.BUFOE.OUT | iobm/nLDS | 2 | 0 | MC_OE OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nLDS_IOB | 9582 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_IOB | 0 | 6 | OI_OUT +NODE | nLDS_IOB | 9467 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_IOB | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nUDS_IOB | WarpSE_COPY_0_COPY_0 | 7 | 2 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | iobm/nUDS | 9433 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nUDS.Q | iobm/nUDS | 0 | 0 | MC_Q +NODE | iobm/nUDS | 9320 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nUDS.Q | iobm/nUDS | 0 | 0 | MC_Q INPUT_NODE_TYPE | 2 | 6 | OI_OE -NODE | iobm/nUDS$OE | 9434 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nUDS.BUFOE.OUT | iobm/nUDS | 2 | 0 | MC_OE +NODE | iobm/nUDS$OE | 9321 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nUDS.BUFOE.OUT | iobm/nUDS | 2 | 0 | MC_OE OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nUDS_IOB | 9583 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_IOB | 0 | 6 | OI_OUT +NODE | nUDS_IOB | 9468 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_IOB | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nAS_IOB | WarpSE_COPY_0_COPY_0 | 7 | 2 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | iobm/nASout | 9322 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nASout.Q | iobm/nASout | 0 | 0 | MC_Q +INPUT_NODE_TYPE | 2 | 6 | OI_OE +NODE | iobm/nASout$OE | 9323 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nASout.BUFOE.OUT | iobm/nASout | 2 | 0 | MC_OE +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nAS_IOB | 9469 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_IOB | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nDTACK_FSB | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | fsb/nDTACK | 9475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/nDTACK.Q | fsb/nDTACK | 0 | 0 | MC_Q +NODE | fsb/nDTACK | 9362 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/nDTACK.Q | fsb/nDTACK | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nDTACK_FSB | 9584 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_FSB | 0 | 6 | OI_OUT +NODE | nDTACK_FSB | 9470 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_FSB | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nBERR_FSB | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | iobs/nBERR_FSB | 9477 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/nBERR_FSB.Q | iobs/nBERR_FSB | 0 | 0 | MC_Q +NODE | iobs/nBERR_FSB | 9364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/nBERR_FSB.Q | iobs/nBERR_FSB | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nBERR_FSB | 9585 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_FSB | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nAoutOE | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | cnt/AoutOE$Q | 9479 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/AoutOE.Q | cnt/AoutOE | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nAoutOE | 9586 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAoutOE | 0 | 6 | OI_OUT +NODE | nBERR_FSB | 9471 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_FSB | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nBR_IOB | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | cnt/nBR_IOB$Q | 9481 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/nBR_IOB.Q | cnt/nBR_IOB | 0 | 0 | MC_Q +NODE | cnt/nBR_IOB$Q | 9366 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/nBR_IOB.Q | cnt/nBR_IOB | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nBR_IOB | 9587 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBR_IOB | 0 | 6 | OI_OUT +NODE | nBR_IOB | 9472 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBR_IOB | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | RA<0> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_0_OBUF | 9550 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_0_OBUF.Q | RA_0_OBUF | 0 | 0 | MC_Q +NODE | RA_0_OBUF | 9434 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_0_OBUF.Q | RA_0_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<0> | 9588 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<0> | 0 | 6 | OI_OUT +NODE | RA<0> | 9473 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<0> | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | RA<1> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_1_OBUF | 9551 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_1_OBUF.Q | RA_1_OBUF | 0 | 0 | MC_Q +NODE | RA_1_OBUF | 9435 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_1_OBUF.Q | RA_1_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<1> | 9589 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<1> | 0 | 6 | OI_OUT +NODE | RA<1> | 9474 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<1> | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | RA<2> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_2_OBUF | 9552 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_2_OBUF.Q | RA_2_OBUF | 0 | 0 | MC_Q +NODE | RA_2_OBUF | 9436 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_2_OBUF.Q | RA_2_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<2> | 9590 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<2> | 0 | 6 | OI_OUT +NODE | RA<2> | 9475 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<2> | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | RA<3> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_3_OBUF | 9553 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_3_OBUF.Q | RA_3_OBUF | 0 | 0 | MC_Q +NODE | RA_3_OBUF | 9437 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_3_OBUF.Q | RA_3_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<3> | 9591 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<3> | 0 | 6 | OI_OUT +NODE | RA<3> | 9476 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<3> | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | RA<4> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_4_OBUF | 9554 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_4_OBUF.Q | RA_4_OBUF | 0 | 0 | MC_Q +NODE | RA_4_OBUF | 9438 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_4_OBUF.Q | RA_4_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<4> | 9592 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<4> | 0 | 6 | OI_OUT +NODE | RA<4> | 9477 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<4> | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | RA<5> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_5_OBUF | 9555 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_5_OBUF.Q | RA_5_OBUF | 0 | 0 | MC_Q +NODE | RA_5_OBUF | 9439 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_5_OBUF.Q | RA_5_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<5> | 9593 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<5> | 0 | 6 | OI_OUT +NODE | RA<5> | 9478 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<5> | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | RA<6> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_6_OBUF | 9556 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_6_OBUF.Q | RA_6_OBUF | 0 | 0 | MC_Q +NODE | RA_6_OBUF | 9440 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_6_OBUF.Q | RA_6_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<6> | 9594 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<6> | 0 | 6 | OI_OUT +NODE | RA<6> | 9479 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<6> | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | RA<7> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_7_OBUF | 9557 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_7_OBUF.Q | RA_7_OBUF | 0 | 0 | MC_Q +NODE | RA_7_OBUF | 9441 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_7_OBUF.Q | RA_7_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<7> | 9595 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<7> | 0 | 6 | OI_OUT +NODE | RA<7> | 9480 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<7> | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | RA<8> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_8_OBUF | 9558 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_8_OBUF.Q | RA_8_OBUF | 0 | 0 | MC_Q +NODE | RA_8_OBUF | 9442 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_8_OBUF.Q | RA_8_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<8> | 9596 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<8> | 0 | 6 | OI_OUT +NODE | RA<8> | 9481 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<8> | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | RA<9> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_9_OBUF | 9559 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_9_OBUF.Q | RA_9_OBUF | 0 | 0 | MC_Q +NODE | RA_9_OBUF | 9443 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_9_OBUF.Q | RA_9_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<9> | 9597 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<9> | 0 | 6 | OI_OUT +NODE | RA<9> | 9482 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<9> | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nDoutOE | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nDoutOE_OBUF | 9560 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDoutOE_OBUF.Q | nDoutOE_OBUF | 0 | 0 | MC_Q +NODE | nDoutOE_OBUF | 9444 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDoutOE_OBUF.Q | nDoutOE_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nDoutOE | 9598 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDoutOE | 0 | 6 | OI_OUT +NODE | nDoutOE | 9483 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDoutOE | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nOE | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nOE_OBUF | 9561 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nOE_OBUF.Q | nOE_OBUF | 0 | 0 | MC_Q +NODE | nOE_OBUF | 9445 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nOE_OBUF.Q | nOE_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nOE | 9599 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nOE | 0 | 6 | OI_OUT +NODE | nOE | 9484 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nOE | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nROMWE | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nROMWE_OBUF | 9562 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMWE_OBUF.Q | nROMWE_OBUF | 0 | 0 | MC_Q +NODE | nROMWE_OBUF | 9446 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMWE_OBUF.Q | nROMWE_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nROMWE | 9600 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nROMWE | 0 | 6 | OI_OUT +NODE | nROMWE | 9485 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nROMWE | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nVPA_FSB | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nVPA_FSB_OBUF | 9563 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVPA_FSB_OBUF.Q | nVPA_FSB_OBUF | 0 | 0 | MC_Q +NODE | nVPA_FSB_OBUF | 9447 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVPA_FSB_OBUF.Q | nVPA_FSB_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nVPA_FSB | 9601 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nVPA_FSB | 0 | 6 | OI_OUT +NODE | nVPA_FSB | 9486 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nVPA_FSB | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nDinLE | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | iobm/nDinLE | 9564 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nDinLE.Q | iobm/nDinLE | 0 | 0 | MC_Q +NODE | iobm/nDinLE | 9448 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/nDinLE.Q | iobm/nDinLE | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nDinLE | 9602 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDinLE | 0 | 6 | OI_OUT +NODE | nDinLE | 9487 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDinLE | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nADoutLE0 | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nADoutLE0_OBUF | 9565 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE0_OBUF.Q | nADoutLE0_OBUF | 0 | 0 | MC_Q +NODE | nADoutLE0_OBUF | 9449 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE0_OBUF.Q | nADoutLE0_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nADoutLE0 | 9603 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nADoutLE0 | 0 | 6 | OI_OUT +NODE | nADoutLE0 | 9488 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nADoutLE0 | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nDinOE | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nDinOE_OBUF | 9566 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDinOE_OBUF.Q | nDinOE_OBUF | 0 | 0 | MC_Q +NODE | nDinOE_OBUF | 9450 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDinOE_OBUF.Q | nDinOE_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nDinOE | 9604 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDinOE | 0 | 6 | OI_OUT +NODE | nDinOE | 9489 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDinOE | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nRAS | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nRAS_OBUF | 9567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAS_OBUF.Q | nRAS_OBUF | 0 | 0 | MC_Q +NODE | nRAS_OBUF | 9451 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAS_OBUF.Q | nRAS_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nRAS | 9605 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nRAS | 0 | 6 | OI_OUT +NODE | nRAS | 9490 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nRAS | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nCAS | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | ram/nCAS | 9568 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/nCAS.Q | ram/nCAS | 0 | 0 | MC_Q +NODE | ram/nCAS | 9452 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/nCAS.Q | ram/nCAS | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nCAS | 9606 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nCAS | 0 | 6 | OI_OUT +NODE | nCAS | 9491 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nCAS | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nRES | WarpSE_COPY_0_COPY_0 | 7 | 2 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | N0$Q | 9569 | ? | 584 | 0 | WarpSE_COPY_0_COPY_0 | NULL | N0.Q | N0 | 0 | 0 | MC_Q +NODE | N0$Q | 9453 | ? | 571 | 0 | WarpSE_COPY_0_COPY_0 | NULL | N0.Q | N0 | 0 | 0 | MC_Q INPUT_NODE_TYPE | 2 | 6 | OI_OE -NODE | N0$OE | 9570 | ? | 584 | 0 | WarpSE_COPY_0_COPY_0 | NULL | N0.BUFOE.OUT | N0 | 2 | 0 | MC_OE +NODE | N0$OE | 9454 | ? | 571 | 0 | WarpSE_COPY_0_COPY_0 | NULL | N0.BUFOE.OUT | N0 | 2 | 0 | MC_OE OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nRES | 9607 | PIPO | 584 | 64 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nRES | 0 | 6 | OI_OUT +NODE | nRES | 9492 | PIPO | 571 | 64 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nRES | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | RA<11> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | A_FSB_19_IBUF$BUF0 | 9571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | A_FSB_19_IBUF$BUF0.Q | A_FSB_19_IBUF$BUF0 | 0 | 0 | MC_Q +NODE | A_FSB_19_IBUF$BUF0 | 9455 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | A_FSB_19_IBUF$BUF0.Q | A_FSB_19_IBUF$BUF0 | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<11> | 9608 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<11> | 0 | 6 | OI_OUT +NODE | RA<11> | 9493 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<11> | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | RA<10> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | A_FSB_21_IBUF$BUF0 | 9572 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | A_FSB_21_IBUF$BUF0.Q | A_FSB_21_IBUF$BUF0 | 0 | 0 | MC_Q +NODE | A_FSB_21_IBUF$BUF0 | 9456 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | A_FSB_21_IBUF$BUF0.Q | A_FSB_21_IBUF$BUF0 | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<10> | 9609 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<10> | 0 | 6 | OI_OUT +NODE | RA<10> | 9494 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<10> | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nADoutLE1 | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nADoutLE1_OBUF | 9573 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 0 | 0 | MC_Q +NODE | nADoutLE1_OBUF | 9457 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nADoutLE1 | 9610 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nADoutLE1 | 0 | 6 | OI_OUT +NODE | nADoutLE1 | 9495 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nADoutLE1 | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nAoutOE | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nAoutOE_OBUF | 9459 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nAoutOE | 9496 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAoutOE | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nRAMLWE | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nRAMLWE_OBUF | 9575 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMLWE_OBUF.Q | nRAMLWE_OBUF | 0 | 0 | MC_Q +NODE | nRAMLWE_OBUF | 9461 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMLWE_OBUF.Q | nRAMLWE_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nRAMLWE | 9611 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nRAMLWE | 0 | 6 | OI_OUT +NODE | nRAMLWE | 9497 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nRAMLWE | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nRAMUWE | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nRAMUWE_OBUF | 9576 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMUWE_OBUF.Q | nRAMUWE_OBUF | 0 | 0 | MC_Q +NODE | nRAMUWE_OBUF | 9462 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMUWE_OBUF.Q | nRAMUWE_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nRAMUWE | 9612 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nRAMUWE | 0 | 6 | OI_OUT +NODE | nRAMUWE | 9498 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nRAMUWE | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nROMCS | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nROMCS_OBUF | 9577 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.Q | nROMCS_OBUF | 0 | 0 | MC_Q +NODE | nROMCS_OBUF | 9463 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.Q | nROMCS_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nROMCS | 9613 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nROMCS | 0 | 6 | OI_OUT +NODE | nROMCS | 9499 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nROMCS | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | C25MEN | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | N1$Q | 9578 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | N1.Q | N1 | 0 | 0 | MC_Q +NODE | N1$Q | 9464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | N1.Q | N1 | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | C25MEN | 9614 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C25MEN | 0 | 6 | OI_OUT +NODE | C25MEN | 9500 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C25MEN | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | C20MEN | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | N1$BUF0 | 9579 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | N1$BUF0.Q | N1$BUF0 | 0 | 0 | MC_Q +NODE | N1$BUF0 | 9465 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | N1$BUF0.Q | N1$BUF0 | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | C20MEN | 9615 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C20MEN | 0 | 6 | OI_OUT +NODE | C20MEN | 9501 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C20MEN | 0 | 6 | OI_OUT -MACROCELL_INSTANCE | NULL | EXP14_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 20 | 1 +MACROCELL_INSTANCE | NULL | EXP14_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 25 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 9300 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9416 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9307 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9446 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +NODE | A_FSB_20_IBUF | 9308 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready0r | 9460 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM +NODE | A_FSB_19_IBUF | 9309 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/VPA | 9462 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM +NODE | A_FSB_18_IBUF | 9310 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9522 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | A_FSB_17_IBUF | 9311 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMReady | 9540 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM +NODE | A_FSB_16_IBUF | 9312 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9417 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 9324 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9473 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | cs/nOverlay1 | 9340 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9410 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | fsb/Ready1r | 9350 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9418 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | fsb/VPA | 9351 | ? | 509 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9419 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | iobs/IOReady | 9356 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9420 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9421 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | iobs/ALE1 | 9458 | ? | 544 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9422 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | fsb/ASrf | 9407 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9435 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 9301 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9461 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +NODE | A_FSB_9_IBUF | 9297 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9469 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +NODE | A_FSB_8_IBUF | 9298 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9411 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_15_IBUF | 9299 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP21_.EXP | 10215 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP21_.EXP | EXP21_ | 4 | 0 | MC_EXPORT +NODE | A_FSB_12_IBUF | 9302 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 9303 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 9304 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9305 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9306 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP15_.EXP | 10079 | ? | 509 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP15_.EXP | EXP15_ | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP14_.EXP | 10202 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP14_.EXP | EXP14_ | 4 | 0 | MC_EXPORT +NODE | EXP14_.EXP | 10078 | ? | 509 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP14_.EXP | EXP14_ | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | EXP14_.SI | EXP14_ | 0 | 20 | 1 +SIGNAL_INSTANCE | EXP14_.SI | EXP14_ | 0 | 25 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 9300 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9416 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9307 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9446 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +NODE | A_FSB_20_IBUF | 9308 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready0r | 9460 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM +NODE | A_FSB_19_IBUF | 9309 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/VPA | 9462 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM +NODE | A_FSB_18_IBUF | 9310 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9522 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | A_FSB_17_IBUF | 9311 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMReady | 9540 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM +NODE | A_FSB_16_IBUF | 9312 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9417 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 9324 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9473 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | cs/nOverlay1 | 9340 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9410 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | fsb/Ready1r | 9350 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9418 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | fsb/VPA | 9351 | ? | 509 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9419 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | iobs/IOReady | 9356 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9420 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9421 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | iobs/ALE1 | 9458 | ? | 544 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9422 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | fsb/ASrf | 9407 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9435 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 9301 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9461 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +NODE | A_FSB_9_IBUF | 9297 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9469 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +NODE | A_FSB_8_IBUF | 9298 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9411 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_15_IBUF | 9299 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP21_.EXP | 10215 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP21_.EXP | EXP21_ | 4 | 0 | MC_EXPORT +NODE | A_FSB_12_IBUF | 9302 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 9303 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 9304 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9305 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9306 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP15_.EXP | 10079 | ? | 509 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP15_.EXP | EXP15_ | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP14_.EXP | 10188 | ? | 520 | 0 | EXP14_ | NULL | NULL | EXP14_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 1 | IV_TRUE | EXP21_.EXP +SIGNAL | NODE | EXP14_.EXP | 10064 | ? | 509 | 0 | EXP14_ | NULL | NULL | EXP14_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 1 | IV_TRUE | EXP15_.EXP +SPPTERM | 14 | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | fsb/Ready1r | IV_TRUE | fsb/VPA | IV_FALSE | iobs/IOReady | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/ALE1 +SPPTERM | 14 | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | fsb/Ready1r | IV_TRUE | fsb/VPA | IV_FALSE | iobs/IOReady | IV_TRUE | fsb/ASrf | IV_FALSE | iobs/ALE1 +SPPTERM | 14 | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | fsb/Ready1r | IV_TRUE | fsb/VPA | IV_FALSE | iobs/IOReady | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/ALE1 +SPPTERM | 14 | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | fsb/Ready1r | IV_TRUE | fsb/VPA | IV_FALSE | iobs/IOReady | IV_TRUE | fsb/ASrf | IV_FALSE | iobs/ALE1 +SPPTERM | 18 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | iobs/IOReady | IV_FALSE | nAS_FSB_IBUF + +MACROCELL_INSTANCE | NULL | EXP15_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 20 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 9297 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 9298 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 9299 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9300 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9301 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 9302 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 9303 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 9304 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9305 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9306 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9307 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9308 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9309 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9310 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9311 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9312 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready1r | 9350 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9407 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady | 9356 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP15_.EXP | 10079 | ? | 509 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP15_.EXP | EXP15_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP15_.SI | EXP15_ | 0 | 20 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 9297 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 9298 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 9299 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9300 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9301 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 9302 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 9303 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 9304 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9305 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9306 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9307 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9308 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9309 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9310 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9311 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9312 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready1r | 9350 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9407 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady | 9356 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP15_.EXP | 10065 | ? | 509 | 0 | EXP15_ | NULL | NULL | EXP15_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 18 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | fsb/Ready1r | IV_FALSE | nAS_FSB_IBUF +SPPTERM | 18 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | fsb/Ready1r | IV_TRUE | fsb/ASrf +SPPTERM | 18 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | iobs/IOReady | IV_TRUE | fsb/ASrf + +MACROCELL_INSTANCE | NULL | EXP16_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 15 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9306 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9308 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9335 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9380 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9407 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9300 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9307 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9309 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9310 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9311 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9312 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9324 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9340 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9301 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP16_.EXP | 10080 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP16_.EXP | EXP16_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP16_.SI | EXP16_ | 0 | 15 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9306 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9308 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9335 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9380 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9407 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9300 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9307 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9309 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9310 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9311 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9312 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9324 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9340 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9301 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP16_.EXP | 10066 | ? | 539 | 0 | EXP16_ | NULL | NULL | EXP16_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 5 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | iobs/Once | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf +SPPTERM | 12 | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/Once | IV_TRUE | cs/nOverlay1 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd1 +SPPTERM | 12 | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/Once | IV_TRUE | cs/nOverlay1 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf +SPPTERM | 12 | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/Once | IV_TRUE | cs/nOverlay1 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd1 +SPPTERM | 12 | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/Once | IV_TRUE | cs/nOverlay1 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf + +MACROCELL_INSTANCE | NULL | EXP17_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 9 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9305 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9335 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9380 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9407 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9306 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9307 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9308 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP16_.EXP | 10080 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP16_.EXP | EXP16_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP17_.EXP | 10081 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP17_.EXP | EXP17_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP17_.SI | EXP17_ | 0 | 9 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9305 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9335 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9380 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9407 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9306 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9307 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9308 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP16_.EXP | 10080 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP16_.EXP | EXP16_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP17_.EXP | 10067 | ? | 539 | 0 | EXP17_ | NULL | NULL | EXP17_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 1 | IV_TRUE | EXP16_.EXP +SPPTERM | 4 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | iobs/Once | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd1 +SPPTERM | 4 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | iobs/Once | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf +SPPTERM | 5 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | iobs/Once | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd1 +SPPTERM | 5 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | iobs/Once | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf +SPPTERM | 5 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | iobs/Once | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd1 + +MACROCELL_INSTANCE | NULL | EXP18_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 13 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9407 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9305 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready1r | 9350 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady | 9356 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/nDTACK.UIM | 9363 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/nDTACK.Q | fsb/nDTACK | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9306 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9307 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9308 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9340 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready0r | 9349 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMReady | 9424 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOREQ.EXP | 10082 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOREQ.EXP | iobs/IOREQ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP18_.EXP | 10083 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP18_.EXP | EXP18_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP18_.SI | EXP18_ | 0 | 13 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9407 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9305 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready1r | 9350 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady | 9356 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/nDTACK.UIM | 9363 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/nDTACK.Q | fsb/nDTACK | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9306 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9307 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9308 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9340 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready0r | 9349 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMReady | 9424 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOREQ.EXP | 10082 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOREQ.EXP | iobs/IOREQ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP18_.EXP | 10069 | ? | 520 | 0 | EXP18_ | NULL | NULL | EXP18_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 1 | IV_TRUE | iobs/IOREQ.EXP +SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +SPPTERM | 4 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady | IV_TRUE | fsb/nDTACK.UIM +SPPTERM | 5 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady | IV_TRUE | fsb/nDTACK.UIM +SPPTERM | 5 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady | IV_TRUE | fsb/nDTACK.UIM +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | fsb/Ready0r | IV_TRUE | fsb/nDTACK.UIM | IV_FALSE | ram/RAMReady + +MACROCELL_INSTANCE | NULL | EXP19_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 9 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9407 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9305 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9306 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9307 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9309 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9324 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9340 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready1r.EXP | 10085 | ? | 514 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.EXP | fsb/Ready1r | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP19_.EXP | 10086 | ? | 514 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP19_.EXP | EXP19_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP19_.SI | EXP19_ | 0 | 9 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9407 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9305 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9306 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9307 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9309 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9324 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9340 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready1r.EXP | 10085 | ? | 514 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.EXP | fsb/Ready1r | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP19_.EXP | 10072 | ? | 514 | 0 | EXP19_ | NULL | NULL | EXP19_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 1 | IV_TRUE | fsb/Ready1r.EXP +SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF +SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_19_IBUF +SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | nWE_FSB_IBUF +SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 + +MACROCELL_INSTANCE | NULL | EXP20_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 7 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9305 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9306 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9308 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9310 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9311 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9312 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9307 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP20_.EXP | 10087 | ? | 514 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP20_.EXP | EXP20_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP20_.SI | EXP20_ | 0 | 7 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9305 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9306 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9308 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9310 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9311 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9312 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9307 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP20_.EXP | 10073 | ? | 514 | 0 | EXP20_ | NULL | NULL | EXP20_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_20_IBUF +SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_18_IBUF +SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_17_IBUF +SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_16_IBUF +SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF + +MACROCELL_INSTANCE | NULL | EXP21_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 13 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IORW1 | 9355 | ? | 514 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9376 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9380 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/ALE1 | 9458 | ? | 544 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9305 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9307 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9308 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IORW0 | 9345 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW0.Q | iobs/IORW0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9324 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9335 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9407 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9306 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP21_.EXP | 10088 | ? | 503 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP21_.EXP | EXP21_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP21_.SI | EXP21_ | 0 | 13 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IORW1 | 9355 | ? | 514 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9376 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9380 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/ALE1 | 9458 | ? | 544 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9305 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9307 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9308 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IORW0 | 9345 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW0.Q | iobs/IORW0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9324 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9335 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9407 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9306 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP21_.EXP | 10074 | ? | 503 | 0 | EXP21_ | NULL | NULL | EXP21_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 4 | IV_FALSE | iobs/IORW1 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_FALSE | iobs/ALE1 +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | iobs/IORW0 | IV_TRUE | iobs/ALE1 +SPPTERM | 7 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/Once | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | iobs/ALE1 +SPPTERM | 7 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/Once | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | iobs/ALE1 +SPPTERM | 8 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/Once | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | iobs/ALE1 + +MACROCELL_INSTANCE | NULL | EXP22_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 18 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9306 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9307 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9324 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9335 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9376 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9380 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9407 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/ALE1 | 9458 | ? | 544 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9308 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9300 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9309 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9310 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9311 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9312 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9340 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9301 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nROMWE_OBUF.EXP | 10090 | ? | 503 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMWE_OBUF.EXP | nROMWE_OBUF | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP22_.EXP | 10089 | ? | 503 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP22_.EXP | EXP22_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP22_.SI | EXP22_ | 0 | 18 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9306 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9307 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9324 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9335 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9376 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9380 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9407 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/ALE1 | 9458 | ? | 544 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9308 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9300 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9309 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9310 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9311 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9312 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9340 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9301 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nROMWE_OBUF.EXP | 10090 | ? | 503 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMWE_OBUF.EXP | nROMWE_OBUF | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP22_.EXP | 10075 | ? | 503 | 0 | EXP22_ | NULL | NULL | EXP22_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 1 | IV_TRUE | nROMWE_OBUF.EXP +SPPTERM | 8 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/Once | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | iobs/ALE1 +SPPTERM | 8 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/Once | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | iobs/ALE1 +SPPTERM | 8 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/Once | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | iobs/ALE1 +SPPTERM | 14 | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/Once | IV_TRUE | cs/nOverlay1 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | iobs/ALE1 +SPPTERM | 14 | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/Once | IV_TRUE | cs/nOverlay1 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | iobs/ALE1 + +MACROCELL_INSTANCE | NULL | EXP23_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 12 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9306 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9308 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready1r | 9350 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/VPA | 9351 | ? | 509 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady | 9356 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9407 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9305 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9340 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready0r | 9349 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMReady | 9424 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9307 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP23_.EXP | 10091 | ? | 509 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP23_.EXP | EXP23_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP23_.SI | EXP23_ | 0 | 12 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9306 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9308 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready1r | 9350 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/VPA | 9351 | ? | 509 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady | 9356 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9407 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9305 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9340 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready0r | 9349 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMReady | 9424 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9307 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP23_.EXP | 10077 | ? | 509 | 0 | EXP23_ | NULL | NULL | EXP23_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | fsb/Ready1r | IV_TRUE | fsb/VPA | IV_FALSE | iobs/IOReady | IV_TRUE | fsb/ASrf +SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | fsb/Ready0r | IV_TRUE | fsb/VPA | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RAMReady SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | fsb/Ready0r | IV_TRUE | fsb/VPA | IV_TRUE | fsb/ASrf | IV_FALSE | ram/RAMReady SPPTERM | 8 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | fsb/Ready0r | IV_TRUE | fsb/VPA | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RAMReady SPPTERM | 8 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | fsb/Ready0r | IV_TRUE | fsb/VPA | IV_TRUE | fsb/ASrf | IV_FALSE | ram/RAMReady -SPPTERM | 13 | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | fsb/Ready1r | IV_TRUE | fsb/VPA | IV_FALSE | iobs/IOReady | IV_FALSE | nAS_FSB_IBUF -SPPTERM | 13 | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | fsb/Ready1r | IV_TRUE | fsb/VPA | IV_FALSE | iobs/IOReady | IV_FALSE | nAS_FSB_IBUF -MACROCELL_INSTANCE | NULL | EXP15_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 17 | 1 +MACROCELL_INSTANCE | NULL | EXP24_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 12 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9410 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | C8M_IBUF | 9325 | ? | 562 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9416 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | nBERR_IOB_IBUF | 9361 | ? | 565 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9418 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | iobm/IOS_FSM_FFd3 | 9371 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9419 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | iobm/IOS_FSM_FFd1 | 9373 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9420 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | iobm/IOS_FSM_FFd2 | 9374 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9421 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | iobm/IOBERR | 9396 | ? | 559 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOBERR.Q | iobm/IOBERR | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9422 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | iobm/BERRrf | 9409 | ? | 562 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9435 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | iobm/BERRrr | 9410 | ? | 562 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9446 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +NODE | iobm/DTACKrf | 9412 | ? | 562 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9461 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +NODE | iobm/DTACKrr | 9413 | ? | 562 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/VPA | 9462 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM +NODE | iobm/RESrf | 9416 | ? | 562 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9469 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9522 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9411 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9417 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9473 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/ALE1 | 9574 | ? | 555 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | iobm/RESrr | 9417 | ? | 562 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP15_.EXP | 10203 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP15_.EXP | EXP15_ | 4 | 0 | MC_EXPORT +NODE | EXP24_.EXP | 10094 | ? | 559 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP24_.EXP | EXP24_ | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | EXP15_.SI | EXP15_ | 0 | 17 | 1 +SIGNAL_INSTANCE | EXP24_.SI | EXP24_ | 0 | 12 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9410 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | C8M_IBUF | 9325 | ? | 562 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9416 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | nBERR_IOB_IBUF | 9361 | ? | 565 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9418 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | iobm/IOS_FSM_FFd3 | 9371 | ? | 568 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9419 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | iobm/IOS_FSM_FFd1 | 9373 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9420 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | iobm/IOS_FSM_FFd2 | 9374 | ? | 574 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9421 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | iobm/IOBERR | 9396 | ? | 559 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOBERR.Q | iobm/IOBERR | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9422 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | iobm/BERRrf | 9409 | ? | 562 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9435 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | iobm/BERRrr | 9410 | ? | 562 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9446 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +NODE | iobm/DTACKrf | 9412 | ? | 562 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9461 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +NODE | iobm/DTACKrr | 9413 | ? | 562 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/VPA | 9462 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM +NODE | iobm/RESrf | 9416 | ? | 562 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9469 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9522 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9411 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9417 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9473 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/ALE1 | 9574 | ? | 555 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | iobm/RESrr | 9417 | ? | 562 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP15_.EXP | 10189 | ? | 520 | 0 | EXP15_ | NULL | NULL | EXP15_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 13 | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | fsb/Ready1r | IV_TRUE | fsb/VPA | IV_FALSE | iobs/IOReady | IV_TRUE | fsb/ASrf -SPPTERM | 13 | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | fsb/Ready1r | IV_TRUE | fsb/VPA | IV_FALSE | iobs/IOReady | IV_TRUE | fsb/ASrf -SPPTERM | 15 | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | fsb/Ready1r | IV_TRUE | fsb/VPA | IV_FALSE | iobs/IOReady | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/ALE1 -SPPTERM | 15 | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | fsb/Ready1r | IV_TRUE | fsb/VPA | IV_FALSE | iobs/IOReady | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/ALE1 -SPPTERM | 15 | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | fsb/Ready1r | IV_TRUE | fsb/VPA | IV_FALSE | iobs/IOReady | IV_TRUE | fsb/ASrf | IV_FALSE | iobs/ALE1 +SIGNAL | NODE | EXP24_.EXP | 10092 | ? | 559 | 0 | EXP24_ | NULL | NULL | EXP24_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 8 | IV_TRUE | C8M_IBUF | IV_FALSE | nBERR_IOB_IBUF | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/IOS_FSM_FFd2 | IV_FALSE | iobm/IOBERR | IV_TRUE | iobm/BERRrf | IV_TRUE | iobm/BERRrr +SPPTERM | 8 | IV_TRUE | C8M_IBUF | IV_FALSE | nBERR_IOB_IBUF | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/IOS_FSM_FFd2 | IV_FALSE | iobm/IOBERR | IV_TRUE | iobm/DTACKrf | IV_TRUE | iobm/DTACKrr +SPPTERM | 8 | IV_TRUE | C8M_IBUF | IV_FALSE | nBERR_IOB_IBUF | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/IOS_FSM_FFd2 | IV_FALSE | iobm/IOBERR | IV_TRUE | iobm/RESrf | IV_TRUE | iobm/RESrr -MACROCELL_INSTANCE | NULL | EXP16_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 18 | 1 +MACROCELL_INSTANCE | NULL | EXP25_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 10 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9305 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9416 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd2 | 9375 | ? | 541 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9446 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +NODE | ram/RefUrgent | 9377 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrgent.Q | ram/RefUrgent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready0r | 9460 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd3 | 9378 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/nDTACK.UIM | 9476 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/nDTACK.Q | fsb/nDTACK | 1 | 0 | MC_UIM +NODE | ram/RefReq | 9395 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReq.Q | ram/RefReq | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMReady | 9540 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 9306 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9417 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9307 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9418 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | ram/BACTr | 9394 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9461 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +NODE | cs/nOverlay1 | 9340 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9469 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9410 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9419 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9420 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9421 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9422 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9435 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9411 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady.EXP | 10206 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.EXP | iobs/IOReady | 4 | 0 | MC_EXPORT +NODE | RA_6_OBUF.EXP | 10114 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_6_OBUF.EXP | RA_6_OBUF | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP16_.EXP | 10207 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP16_.EXP | EXP16_ | 4 | 0 | MC_EXPORT +NODE | EXP25_.EXP | 10115 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP25_.EXP | EXP25_ | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | EXP16_.SI | EXP16_ | 0 | 18 | 1 +SIGNAL_INSTANCE | EXP25_.SI | EXP25_ | 0 | 10 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9305 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9416 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd2 | 9375 | ? | 541 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9446 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +NODE | ram/RefUrgent | 9377 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrgent.Q | ram/RefUrgent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready0r | 9460 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd3 | 9378 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/nDTACK.UIM | 9476 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/nDTACK.Q | fsb/nDTACK | 1 | 0 | MC_UIM +NODE | ram/RefReq | 9395 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReq.Q | ram/RefReq | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMReady | 9540 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 9306 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9417 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9307 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9418 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | ram/BACTr | 9394 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9461 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +NODE | cs/nOverlay1 | 9340 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9469 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9410 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9419 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9420 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9421 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9422 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9435 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9411 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady.EXP | 10206 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.EXP | iobs/IOReady | 4 | 0 | MC_EXPORT +NODE | RA_6_OBUF.EXP | 10114 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_6_OBUF.EXP | RA_6_OBUF | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP16_.EXP | 10193 | ? | 531 | 0 | EXP16_ | NULL | NULL | EXP16_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 1 | IV_TRUE | iobs/IOReady.EXP -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | fsb/Ready0r | IV_TRUE | fsb/nDTACK.UIM | IV_FALSE | ram/RAMReady -SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady | IV_TRUE | fsb/nDTACK.UIM -SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | fsb/Ready0r | IV_TRUE | fsb/nDTACK.UIM | IV_FALSE | ram/RAMReady -SPPTERM | 12 | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady | IV_TRUE | fsb/nDTACK.UIM -SPPTERM | 12 | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady | IV_TRUE | fsb/nDTACK.UIM - -MACROCELL_INSTANCE | NULL | EXP17_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 11 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9435 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW1 | 9468 | ? | 525 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9473 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9522 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9491 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9495 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9416 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9417 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9446 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r.EXP | 10209 | ? | 525 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.EXP | fsb/Ready1r | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP17_.EXP | 10210 | ? | 525 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP17_.EXP | EXP17_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP17_.SI | EXP17_ | 0 | 11 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9435 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW1 | 9468 | ? | 525 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9473 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9522 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9491 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9495 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9416 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9417 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9446 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r.EXP | 10209 | ? | 525 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.EXP | fsb/Ready1r | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP17_.EXP | 10196 | ? | 525 | 0 | EXP17_ | NULL | NULL | EXP17_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 1 | IV_TRUE | fsb/Ready1r.EXP -SPPTERM | 2 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/IORW1 -SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf -SPPTERM | 2 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 -SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF -SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 - -MACROCELL_INSTANCE | NULL | EXP18_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 8 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9417 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9419 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9420 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9421 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9422 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9416 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9446 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP18_.EXP | 10211 | ? | 525 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP18_.EXP | EXP18_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP18_.SI | EXP18_ | 0 | 8 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9417 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9419 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9420 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9421 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9422 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9416 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9446 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP18_.EXP | 10197 | ? | 525 | 0 | EXP18_ | NULL | NULL | EXP18_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_19_IBUF -SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_18_IBUF -SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_17_IBUF -SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_16_IBUF -SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | cs/nOverlay1 - -MACROCELL_INSTANCE | NULL | EXP19_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 12 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9435 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9447 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW0 | 9456 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW0.Q | iobs/IORW0 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9491 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9495 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9522 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/ALE1 | 9574 | ? | 555 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9416 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9417 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9418 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9473 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP19_.EXP | 10212 | ? | 514 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP19_.EXP | EXP19_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP19_.SI | EXP19_ | 0 | 12 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9435 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9447 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW0 | 9456 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW0.Q | iobs/IORW0 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9491 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9495 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9522 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/ALE1 | 9574 | ? | 555 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9416 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9417 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9418 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9473 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP19_.EXP | 10198 | ? | 514 | 0 | EXP19_ | NULL | NULL | EXP19_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 8 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | iobs/Once | IV_FALSE | iobs/IORW0 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | iobs/ALE1 -SPPTERM | 10 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | iobs/Once | IV_FALSE | iobs/IORW0 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | iobs/ALE1 -SPPTERM | 10 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | iobs/Once | IV_FALSE | iobs/IORW0 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | iobs/ALE1 -SPPTERM | 10 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/Once | IV_TRUE | iobs/IORW0 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | iobs/ALE1 -SPPTERM | 10 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/Once | IV_TRUE | iobs/IORW0 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | iobs/ALE1 - -MACROCELL_INSTANCE | NULL | EXP20_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 19 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9410 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9416 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9418 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9419 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9420 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9421 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9422 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9435 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9446 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9447 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW0 | 9456 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW0.Q | iobs/IORW0 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9473 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9491 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9495 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/ALE1 | 9574 | ? | 555 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9522 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9411 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9417 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nROMWE_OBUF.EXP | 10214 | ? | 514 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMWE_OBUF.EXP | nROMWE_OBUF | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP20_.EXP | 10213 | ? | 514 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP20_.EXP | EXP20_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP20_.SI | EXP20_ | 0 | 19 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9410 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9416 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9418 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9419 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9420 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9421 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9422 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9435 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9446 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9447 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW0 | 9456 | ? | 581 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW0.Q | iobs/IORW0 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9473 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9491 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9495 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/ALE1 | 9574 | ? | 555 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9522 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9411 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9417 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nROMWE_OBUF.EXP | 10214 | ? | 514 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMWE_OBUF.EXP | nROMWE_OBUF | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP20_.EXP | 10199 | ? | 514 | 0 | EXP20_ | NULL | NULL | EXP20_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 1 | IV_TRUE | nROMWE_OBUF.EXP -SPPTERM | 15 | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | iobs/Once | IV_TRUE | iobs/IORW0 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | iobs/ALE1 -SPPTERM | 15 | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | iobs/Once | IV_TRUE | iobs/IORW0 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | iobs/ALE1 -SPPTERM | 15 | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | iobs/Once | IV_TRUE | iobs/IORW0 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | iobs/ALE1 -SPPTERM | 15 | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | iobs/Once | IV_TRUE | iobs/IORW0 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | iobs/ALE1 -SPPTERM | 16 | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | iobs/Once | IV_TRUE | iobs/IORW0 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | iobs/ALE1 - -MACROCELL_INSTANCE | NULL | EXP21_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 24 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9410 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9416 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9417 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9418 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9419 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9420 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9421 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9422 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9435 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9446 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9461 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/VPA | 9462 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9469 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9522 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/ALE1 | 9574 | ? | 555 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 9407 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 9408 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 9409 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9411 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 9412 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 9413 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 9414 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9473 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP21_.EXP | 10215 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP21_.EXP | EXP21_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP21_.SI | EXP21_ | 0 | 24 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9410 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9416 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9417 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9418 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9419 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9420 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9421 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9422 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9435 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9446 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9461 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/VPA | 9462 | ? | 520 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9469 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9522 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/ALE1 | 9574 | ? | 555 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 9407 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 9408 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 9409 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9411 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 9412 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 9413 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 9414 | ? | 531 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9473 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP21_.EXP | 10201 | ? | 520 | 0 | EXP21_ | NULL | NULL | EXP21_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 15 | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | fsb/Ready1r | IV_TRUE | fsb/VPA | IV_FALSE | iobs/IOReady | IV_TRUE | fsb/ASrf | IV_FALSE | iobs/ALE1 -SPPTERM | 18 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | fsb/Ready1r | IV_FALSE | nAS_FSB_IBUF -SPPTERM | 18 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | fsb/Ready1r | IV_TRUE | fsb/ASrf -SPPTERM | 18 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | iobs/IOReady | IV_FALSE | nAS_FSB_IBUF -SPPTERM | 18 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | iobs/IOReady | IV_TRUE | fsb/ASrf - -MACROCELL_INSTANCE | NULL | EXP22_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 10 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9490 | ? | 552 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefUrgent | 9492 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrgent.Q | ram/RefUrgent | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9493 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefReq | 9510 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReq.Q | ram/RefReq | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9416 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9417 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9509 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9446 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_6_OBUF.EXP | 10236 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_6_OBUF.EXP | RA_6_OBUF | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP22_.EXP | 10237 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP22_.EXP | EXP22_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP22_.SI | EXP22_ | 0 | 10 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9490 | ? | 552 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefUrgent | 9492 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrgent.Q | ram/RefUrgent | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9493 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefReq | 9510 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReq.Q | ram/RefReq | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9416 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9417 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9509 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9446 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_6_OBUF.EXP | 10236 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_6_OBUF.EXP | RA_6_OBUF | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP22_.EXP | 10225 | ? | 551 | 0 | EXP22_ | NULL | NULL | EXP22_.SI | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP25_.EXP | 10104 | ? | 540 | 0 | EXP25_ | NULL | NULL | EXP25_.SI | 7 | 9 | MC_SI_EXPORT SPPTERM | 1 | IV_TRUE | RA_6_OBUF.EXP SPPTERM | 5 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RefUrgent | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RefReq SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RefUrgent | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | ram/BACTr @@ -7540,53 +7489,53 @@ SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | ra SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RefUrgent | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | ram/BACTr SPPTERM | 6 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RefUrgent | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | ram/BACTr -MACROCELL_INSTANCE | NULL | EXP23_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 10 | 1 +MACROCELL_INSTANCE | NULL | EXP26_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 10 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9305 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9490 | ? | 552 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd2 | 9375 | ? | 541 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefUrgent | 9492 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrgent.Q | ram/RefUrgent | 1 | 0 | MC_UIM +NODE | ram/RefUrgent | 9377 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrgent.Q | ram/RefUrgent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9493 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd3 | 9378 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9509 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +NODE | ram/BACTr | 9394 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefReq | 9510 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReq.Q | ram/RefReq | 1 | 0 | MC_UIM +NODE | ram/RefReq | 9395 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReq.Q | ram/RefReq | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9416 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9306 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9417 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9307 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9446 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +NODE | cs/nOverlay1 | 9340 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMReady.EXP | 10238 | ? | 533 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMReady.EXP | ram/RAMReady | 4 | 0 | MC_EXPORT +NODE | ram/RAMReady.EXP | 10116 | ? | 522 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMReady.EXP | ram/RAMReady | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP23_.EXP | 10239 | ? | 533 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP23_.EXP | EXP23_ | 4 | 0 | MC_EXPORT +NODE | EXP26_.EXP | 10117 | ? | 522 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP26_.EXP | EXP26_ | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | EXP23_.SI | EXP23_ | 0 | 10 | 1 +SIGNAL_INSTANCE | EXP26_.SI | EXP26_ | 0 | 10 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9305 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9490 | ? | 552 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd2 | 9375 | ? | 541 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefUrgent | 9492 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrgent.Q | ram/RefUrgent | 1 | 0 | MC_UIM +NODE | ram/RefUrgent | 9377 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrgent.Q | ram/RefUrgent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9493 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd3 | 9378 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9509 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +NODE | ram/BACTr | 9394 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefReq | 9510 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReq.Q | ram/RefReq | 1 | 0 | MC_UIM +NODE | ram/RefReq | 9395 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefReq.Q | ram/RefReq | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9416 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9306 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9417 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9307 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9446 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +NODE | cs/nOverlay1 | 9340 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMReady.EXP | 10238 | ? | 533 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMReady.EXP | ram/RAMReady | 4 | 0 | MC_EXPORT +NODE | ram/RAMReady.EXP | 10116 | ? | 522 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMReady.EXP | ram/RAMReady | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP23_.EXP | 10227 | ? | 533 | 0 | EXP23_ | NULL | NULL | EXP23_.SI | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP26_.EXP | 10106 | ? | 522 | 0 | EXP26_ | NULL | NULL | EXP26_.SI | 7 | 9 | MC_SI_EXPORT SPPTERM | 1 | IV_TRUE | ram/RAMReady.EXP SPPTERM | 5 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RefUrgent | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | ram/BACTr SPPTERM | 5 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RefUrgent | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RefReq @@ -7594,313 +7543,267 @@ SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | ra SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RefUrgent | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | ram/BACTr SPPTERM | 6 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RefUrgent | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | ram/BACTr -MACROCELL_INSTANCE | NULL | EXP24_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 6 | 1 +MACROCELL_INSTANCE | NULL | EXP27_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 11 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd1 | 9372 | ? | 541 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9416 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd2 | 9375 | ? | 541 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9417 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd3 | 9378 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9446 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +NODE | A_FSB_23_IBUF | 9305 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9419 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9435 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | fsb/ASrf | 9407 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefUrgent | 9377 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrgent.Q | ram/RefUrgent | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMEN | 9381 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9306 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9307 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1.EXP | 10141 | ? | 527 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.EXP | ram/RS_FSM_FFd1 | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP24_.EXP | 10265 | ? | 542 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP24_.EXP | EXP24_ | 4 | 0 | MC_EXPORT +NODE | EXP27_.EXP | 10142 | ? | 527 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP27_.EXP | EXP27_ | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | EXP24_.SI | EXP24_ | 0 | 6 | 1 +SIGNAL_INSTANCE | EXP27_.SI | EXP27_ | 0 | 11 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd1 | 9372 | ? | 541 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9416 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd2 | 9375 | ? | 541 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9417 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd3 | 9378 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9446 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +NODE | A_FSB_23_IBUF | 9305 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9419 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9435 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | fsb/ASrf | 9407 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefUrgent | 9377 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefUrgent.Q | ram/RefUrgent | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMEN | 9381 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9306 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9307 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1.EXP | 10141 | ? | 527 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.EXP | ram/RS_FSM_FFd1 | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP24_.EXP | 10253 | ? | 542 | 0 | EXP24_ | NULL | NULL | EXP24_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF -SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 -SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_19_IBUF -SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | nWE_FSB_IBUF +SIGNAL | NODE | EXP27_.EXP | 10129 | ? | 527 | 0 | EXP27_ | NULL | NULL | EXP27_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 1 | IV_TRUE | ram/RS_FSM_FFd1.EXP +SPPTERM | 3 | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd3 +SPPTERM | 4 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 +SPPTERM | 4 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | fsb/ASrf +SPPTERM | 4 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RefUrgent | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RAMEN +SPPTERM | 5 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 -MACROCELL_INSTANCE | NULL | EXP25_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 9 | 1 +MACROCELL_INSTANCE | NULL | EXP28_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 10 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9305 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9417 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | iobs/Once | 9335 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9420 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | iobs/PS_FSM_FFd2 | 9376 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9421 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | iobs/PS_FSM_FFd1 | 9380 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9422 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | fsb/ASrf | 9407 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9410 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9306 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9411 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9307 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9416 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9446 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +NODE | A_FSB_20_IBUF | 9308 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/nBERR_FSB.EXP | 10144 | ? | 525 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/nBERR_FSB.EXP | iobs/nBERR_FSB | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP25_.EXP | 10266 | ? | 542 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP25_.EXP | EXP25_ | 4 | 0 | MC_EXPORT +NODE | EXP28_.EXP | 10145 | ? | 525 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP28_.EXP | EXP28_ | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | EXP25_.SI | EXP25_ | 0 | 9 | 1 +SIGNAL_INSTANCE | EXP28_.SI | EXP28_ | 0 | 10 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9305 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9417 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | iobs/Once | 9335 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9420 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | iobs/PS_FSM_FFd2 | 9376 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9421 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | iobs/PS_FSM_FFd1 | 9380 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9422 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | fsb/ASrf | 9407 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9410 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9306 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9411 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9307 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9416 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9446 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +NODE | A_FSB_20_IBUF | 9308 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/nBERR_FSB.EXP | 10144 | ? | 525 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/nBERR_FSB.EXP | iobs/nBERR_FSB | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP25_.EXP | 10254 | ? | 542 | 0 | EXP25_ | NULL | NULL | EXP25_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_18_IBUF -SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_17_IBUF -SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_16_IBUF -SPPTERM | 4 | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_13_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF -SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | cs/nOverlay1 - -MACROCELL_INSTANCE | NULL | EXP26_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 10 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9416 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9417 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9491 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/ALE1 | 9574 | ? | 555 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9446 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9419 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9422 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9435 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/nBERR_FSB.EXP | 10267 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/nBERR_FSB.EXP | iobs/nBERR_FSB | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP26_.EXP | 10268 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP26_.EXP | EXP26_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP26_.SI | EXP26_ | 0 | 10 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9416 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9417 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9491 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/ALE1 | 9574 | ? | 555 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9446 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9419 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9422 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9435 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/nBERR_FSB.EXP | 10267 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/nBERR_FSB.EXP | iobs/nBERR_FSB | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP26_.EXP | 10256 | ? | 550 | 0 | EXP26_ | NULL | NULL | EXP26_.SI | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP28_.EXP | 10132 | ? | 525 | 0 | EXP28_ | NULL | NULL | EXP28_.SI | 7 | 9 | MC_SI_EXPORT SPPTERM | 1 | IV_TRUE | iobs/nBERR_FSB.EXP -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | iobs/ALE1 -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | iobs/ALE1 -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_19_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | iobs/ALE1 -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_16_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | iobs/ALE1 -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | iobs/ALE1 +SPPTERM | 5 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | iobs/Once | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf +SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | iobs/Once | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 +SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | iobs/Once | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf +SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | iobs/Once | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 +SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | iobs/Once | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf -MACROCELL_INSTANCE | NULL | EXP27_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 8 | 1 +MACROCELL_INSTANCE | NULL | EXP29_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 16 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9306 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9447 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +NODE | A_FSB_21_IBUF | 9307 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9495 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/Once | 9335 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9491 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd2 | 9376 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/ALE1 | 9574 | ? | 555 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd1 | 9380 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9416 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | fsb/ASrf | 9407 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9417 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 9308 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9446 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +NODE | A_FSB_14_IBUF | 9300 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9309 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9310 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9311 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9312 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9324 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9340 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9301 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP27_.EXP | 10270 | ? | 513 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP27_.EXP | EXP27_ | 4 | 0 | MC_EXPORT +NODE | EXP29_.EXP | 10149 | ? | 499 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP29_.EXP | EXP29_ | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | EXP27_.SI | EXP27_ | 0 | 8 | 1 +SIGNAL_INSTANCE | EXP29_.SI | EXP29_ | 0 | 16 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9306 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9447 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +NODE | A_FSB_21_IBUF | 9307 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9495 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/Once | 9335 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9491 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd2 | 9376 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/ALE1 | 9574 | ? | 555 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd1 | 9380 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9416 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | fsb/ASrf | 9407 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9417 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 9308 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9446 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +NODE | A_FSB_14_IBUF | 9300 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9309 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9310 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9311 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9312 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9324 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9340 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9360 | ? | 540 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9301 | ? | 539 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP27_.EXP | 10258 | ? | 513 | 0 | EXP27_ | NULL | NULL | EXP27_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 3 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | iobs/Once | IV_TRUE | iobs/PS_FSM_FFd1 -SPPTERM | 3 | IV_FALSE | iobs/Once | IV_TRUE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/ALE1 -SPPTERM | 3 | IV_FALSE | iobs/Once | IV_TRUE | iobs/PS_FSM_FFd1 | IV_FALSE | iobs/ALE1 -SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | iobs/Once -SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | iobs/Once - -MACROCELL_INSTANCE | NULL | EXP28_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 9 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9417 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9419 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9447 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9420 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9421 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9422 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9435 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/PORS_FSM_FFd1.EXP | 10260 | ? | 513 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/PORS_FSM_FFd1.EXP | cnt/PORS_FSM_FFd1 | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP28_.EXP | 10271 | ? | 513 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP28_.EXP | EXP28_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP28_.SI | EXP28_ | 0 | 9 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9415 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9417 | ? | 551 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9419 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9447 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9420 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9421 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9422 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9435 | ? | 550 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/PORS_FSM_FFd1.EXP | 10260 | ? | 513 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/PORS_FSM_FFd1.EXP | cnt/PORS_FSM_FFd1 | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP28_.EXP | 10259 | ? | 513 | 0 | EXP28_ | NULL | NULL | EXP28_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 1 | IV_TRUE | cnt/PORS_FSM_FFd1.EXP -SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_19_IBUF | IV_FALSE | iobs/Once -SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_18_IBUF | IV_FALSE | iobs/Once -SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_17_IBUF | IV_FALSE | iobs/Once -SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_16_IBUF | IV_FALSE | iobs/Once -SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | iobs/Once +SIGNAL | NODE | EXP29_.EXP | 10136 | ? | 499 | 0 | EXP29_ | NULL | NULL | EXP29_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | iobs/Once | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf +SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | iobs/Once | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf +SPPTERM | 13 | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/Once | IV_TRUE | cs/nOverlay1 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 +SPPTERM | 13 | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/Once | IV_TRUE | cs/nOverlay1 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf +SPPTERM | 13 | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/Once | IV_TRUE | cs/nOverlay1 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 FB_INSTANCE | FOOBAR1_ | WarpSE_COPY_0_COPY_0 | 0 | 0 | 0 -FBPIN | 1 | iobm/nASr | 1 | NULL | 0 | NULL | 0 -FBPIN | 2 | iobm/VPArr | 1 | A_FSB_13_IBUF | 1 | NULL | 0 | 11 | 49152 -FBPIN | 3 | iobm/VPArf | 1 | A_FSB_14_IBUF | 1 | NULL | 0 | 12 | 49152 -FBPIN | 4 | iobm/RESrr | 1 | NULL | 0 | NULL | 0 -FBPIN | 5 | iobm/RESrf | 1 | A_FSB_15_IBUF | 1 | NULL | 0 | 13 | 49152 -FBPIN | 6 | iobm/IOREQr | 1 | A_FSB_16_IBUF | 1 | NULL | 0 | 14 | 49152 -FBPIN | 7 | iobm/Er2 | 1 | NULL | 0 | NULL | 0 -FBPIN | 8 | iobm/Er | 1 | A_FSB_17_IBUF | 1 | NULL | 0 | 15 | 49152 -FBPIN | 9 | iobm/DTACKrr | 1 | A_FSB_18_IBUF | 1 | NULL | 0 | 16 | 49152 -FBPIN | 10 | iobm/DTACKrf | 1 | NULL | 0 | NULL | 0 -FBPIN | 11 | iobm/BERRrr | 1 | A_FSB_19_IBUF | 1 | NULL | 0 | 17 | 49152 -FBPIN | 12 | iobm/BERRrf | 1 | A_FSB_20_IBUF | 1 | NULL | 0 | 18 | 49152 -FBPIN | 13 | cnt/IPL2r | 1 | NULL | 0 | NULL | 0 -FBPIN | 14 | iobm/IOS_FSM_FFd1 | 1 | A_FSB_21_IBUF | 1 | NULL | 0 | 19 | 49152 -FBPIN | 15 | iobm/BG | 1 | A_FSB_22_IBUF | 1 | NULL | 0 | 20 | 49152 -FBPIN | 16 | iobs/IOU0 | 1 | NULL | 0 | NULL | 0 -FBPIN | 17 | iobs/IOL0 | 1 | C16M_IBUF | 0 | NULL | 0 | 22 | 57344 -FBPIN | 18 | iobm/IOS_FSM_FFd3 | 1 | NULL | 0 | NULL | 0 +FBPIN | 1 | ram/RegUrgentSync | 1 | NULL | 0 | NULL | 0 +FBPIN | 2 | ram/RefUrgent | 1 | A_FSB_13_IBUF | 1 | NULL | 0 | 11 | 49152 +FBPIN | 3 | ram/RefReqSync | 1 | A_FSB_14_IBUF | 1 | NULL | 0 | 12 | 49152 +FBPIN | 4 | ram/RefReq | 1 | NULL | 0 | NULL | 0 +FBPIN | 5 | ram/RefRAS | 1 | A_FSB_15_IBUF | 1 | NULL | 0 | 13 | 49152 +FBPIN | 6 | iobs/IOACTr | 1 | A_FSB_16_IBUF | 1 | NULL | 0 | 14 | 49152 +FBPIN | 7 | fsb/ASrf | 1 | NULL | 0 | NULL | 0 +FBPIN | 8 | iobs/IOU1 | 1 | A_FSB_17_IBUF | 1 | NULL | 0 | 15 | 49152 +FBPIN | 9 | iobs/IOL1 | 1 | A_FSB_18_IBUF | 1 | NULL | 0 | 16 | 49152 +FBPIN | 10 | cnt/TimerTC | 1 | NULL | 0 | NULL | 0 +FBPIN | 11 | iobs/IOU0 | 1 | A_FSB_19_IBUF | 1 | NULL | 0 | 17 | 49152 +FBPIN | 12 | iobs/IOL0 | 1 | A_FSB_20_IBUF | 1 | NULL | 0 | 18 | 49152 +FBPIN | 13 | cnt/Timer<3> | 1 | NULL | 0 | NULL | 0 +FBPIN | 14 | cnt/Timer<2> | 1 | A_FSB_21_IBUF | 1 | NULL | 0 | 19 | 49152 +FBPIN | 15 | cnt/Timer<1> | 1 | A_FSB_22_IBUF | 1 | NULL | 0 | 20 | 49152 +FBPIN | 16 | cnt/RefUrgent | 1 | NULL | 0 | NULL | 0 +FBPIN | 17 | iobs/IOReady | 1 | C16M_IBUF | 0 | NULL | 0 | 22 | 57344 +FBPIN | 18 | cnt/RefReq | 1 | NULL | 0 | NULL | 0 FB_INSTANCE | FOOBAR2_ | WarpSE_COPY_0_COPY_0 | 0 | 0 | 0 FBPIN | 6 | NULL | 0 | A_FSB_5_IBUF | 1 | NULL | 0 | 2 | 53248 -FBPIN | 7 | ram/RegUrgentSync | 1 | NULL | 0 | NULL | 0 -FBPIN | 8 | ram/RefUrgent | 1 | A_FSB_6_IBUF | 1 | NULL | 0 | 3 | 53248 -FBPIN | 9 | ram/RefReqSync | 1 | A_FSB_7_IBUF | 1 | NULL | 0 | 4 | 53248 -FBPIN | 10 | ram/RefReq | 1 | NULL | 0 | NULL | 0 -FBPIN | 11 | ram/RefRAS | 1 | A_FSB_8_IBUF | 1 | NULL | 0 | 6 | 49152 -FBPIN | 12 | ram/BACTr | 1 | A_FSB_9_IBUF | 1 | NULL | 0 | 7 | 49152 -FBPIN | 13 | iobs/IOACTr | 1 | NULL | 0 | NULL | 0 -FBPIN | 14 | iobs/ALE0 | 1 | A_FSB_10_IBUF | 1 | NULL | 0 | 8 | 49152 -FBPIN | 15 | fsb/ASrf | 1 | A_FSB_11_IBUF | 1 | NULL | 0 | 9 | 49152 -FBPIN | 16 | iobs/PS_FSM_FFd1 | 1 | NULL | 0 | NULL | 0 -FBPIN | 17 | iobs/IOU1 | 1 | A_FSB_12_IBUF | 1 | NULL | 0 | 10 | 49152 -FBPIN | 18 | iobs/IOL1 | 1 | NULL | 0 | NULL | 0 +FBPIN | 8 | NULL | 0 | A_FSB_6_IBUF | 1 | NULL | 0 | 3 | 53248 +FBPIN | 9 | iobm/VPArr | 1 | A_FSB_7_IBUF | 1 | NULL | 0 | 4 | 53248 +FBPIN | 10 | iobm/VPArf | 1 | NULL | 0 | NULL | 0 +FBPIN | 11 | iobm/RESrr | 1 | A_FSB_8_IBUF | 1 | NULL | 0 | 6 | 49152 +FBPIN | 12 | iobm/RESrf | 1 | A_FSB_9_IBUF | 1 | NULL | 0 | 7 | 49152 +FBPIN | 13 | iobm/IOREQr | 1 | NULL | 0 | NULL | 0 +FBPIN | 14 | iobm/Er2 | 1 | A_FSB_10_IBUF | 1 | NULL | 0 | 8 | 49152 +FBPIN | 15 | iobm/Er | 1 | A_FSB_11_IBUF | 1 | NULL | 0 | 9 | 49152 +FBPIN | 16 | iobm/DTACKrr | 1 | NULL | 0 | NULL | 0 +FBPIN | 17 | iobm/DTACKrf | 1 | A_FSB_12_IBUF | 1 | NULL | 0 | 10 | 49152 +FBPIN | 18 | cnt/Timer<0> | 1 | NULL | 0 | NULL | 0 FB_INSTANCE | FOOBAR3_ | WarpSE_COPY_0_COPY_0 | 0 | 0 | 0 -FBPIN | 1 | EXP14_ | 1 | NULL | 0 | NULL | 0 -FBPIN | 2 | fsb/VPA | 1 | C8M_IBUF | 1 | NULL | 0 | 23 | 57344 +FBPIN | 1 | fsb/VPA | 1 | NULL | 0 | NULL | 0 +FBPIN | 2 | EXP14_ | 1 | C8M_IBUF | 1 | NULL | 0 | 23 | 57344 FBPIN | 3 | EXP15_ | 1 | NULL | 0 | NULL | 0 -FBPIN | 5 | iobs/Clear1 | 1 | A_FSB_23_IBUF | 1 | NULL | 0 | 24 | 49152 -FBPIN | 6 | fsb/Ready0r | 1 | E_IBUF | 1 | NULL | 0 | 25 | 49152 -FBPIN | 7 | iobs/IOReady | 1 | NULL | 0 | NULL | 0 -FBPIN | 8 | EXP16_ | 1 | FCLK_IBUF | 0 | NULL | 0 | 27 | 57344 +FBPIN | 5 | EXP16_ | 1 | A_FSB_23_IBUF | 1 | NULL | 0 | 24 | 49152 +FBPIN | 6 | EXP17_ | 1 | E_IBUF | 1 | NULL | 0 | 25 | 49152 +FBPIN | 7 | iobs/IOREQ | 1 | NULL | 0 | NULL | 0 +FBPIN | 8 | EXP18_ | 1 | FCLK_IBUF | 0 | NULL | 0 | 27 | 57344 FBPIN | 9 | fsb/nDTACK | 1 | NULL | 0 | nDTACK_FSB | 1 | 28 | 49152 FBPIN | 10 | fsb/Ready1r | 1 | NULL | 0 | NULL | 0 -FBPIN | 11 | EXP17_ | 1 | nWE_FSB_IBUF | 1 | NULL | 0 | 29 | 49152 +FBPIN | 11 | EXP19_ | 1 | nWE_FSB_IBUF | 1 | NULL | 0 | 29 | 49152 FBPIN | 12 | iobs/IORW1 | 1 | nLDS_FSB_IBUF | 1 | NULL | 0 | 30 | 49152 -FBPIN | 13 | EXP18_ | 1 | NULL | 0 | NULL | 0 -FBPIN | 14 | EXP19_ | 1 | nAS_FSB_IBUF | 1 | NULL | 0 | 32 | 49152 +FBPIN | 13 | EXP20_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 14 | EXP21_ | 1 | nAS_FSB_IBUF | 1 | NULL | 0 | 32 | 49152 FBPIN | 15 | iobs/IORW0 | 1 | nUDS_FSB_IBUF | 1 | NULL | 0 | 33 | 49152 -FBPIN | 16 | EXP20_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 16 | EXP22_ | 1 | NULL | 0 | NULL | 0 FBPIN | 17 | nROMWE_OBUF | 1 | NULL | 0 | nROMWE | 1 | 34 | 49152 -FBPIN | 18 | EXP21_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 18 | EXP23_ | 1 | NULL | 0 | NULL | 0 FB_INSTANCE | FOOBAR4_ | WarpSE_COPY_0_COPY_0 | 0 | 0 | 0 -FBPIN | 1 | cnt/nRESout | 1 | NULL | 0 | NULL | 0 -FBPIN | 2 | cnt/AoutOE | 1 | NULL | 0 | nAoutOE | 1 | 87 | 49152 -FBPIN | 3 | cnt/TimerTC | 1 | NULL | 0 | NULL | 0 -FBPIN | 4 | cnt/Timer<0> | 1 | NULL | 0 | NULL | 0 +FBPIN | 1 | EXP24_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 2 | nAoutOE_OBUF | 1 | NULL | 0 | nAoutOE | 1 | 87 | 49152 +FBPIN | 3 | iobm/BERRrr | 1 | NULL | 0 | NULL | 0 +FBPIN | 4 | iobm/BERRrf | 1 | NULL | 0 | NULL | 0 FBPIN | 5 | nDoutOE_OBUF | 1 | NULL | 0 | nDoutOE | 1 | 89 | 49152 FBPIN | 6 | nDinOE_OBUF | 1 | NULL | 0 | nDinOE | 1 | 90 | 49152 -FBPIN | 7 | cnt/PORS_FSM_FFd2 | 1 | NULL | 0 | NULL | 0 +FBPIN | 7 | cnt/PORS_FSM_FFd1 | 1 | NULL | 0 | NULL | 0 FBPIN | 8 | N0 | 1 | N01 | 1 | nRES | 1 | 91 | 49152 -FBPIN | 9 | cnt/LTimer<0> | 1 | nIPL2_IBUF | 1 | NULL | 0 | 92 | 49152 -FBPIN | 10 | cnt/Timer<3> | 1 | NULL | 0 | NULL | 0 +FBPIN | 9 | cnt/IPL2r | 1 | nIPL2_IBUF | 1 | NULL | 0 | 92 | 49152 +FBPIN | 10 | iobm/IOS_FSM_FFd1 | 1 | NULL | 0 | NULL | 0 FBPIN | 11 | nVPA_FSB_OBUF | 1 | NULL | 0 | nVPA_FSB | 1 | 93 | 49152 -FBPIN | 12 | cnt/Timer<2> | 1 | A_FSB_1_IBUF | 1 | NULL | 0 | 94 | 49152 -FBPIN | 13 | cnt/Timer<1> | 1 | NULL | 0 | NULL | 0 -FBPIN | 14 | cnt/RefUrgent | 1 | A_FSB_2_IBUF | 1 | NULL | 0 | 95 | 49152 -FBPIN | 15 | cnt/RefReq | 1 | A_FSB_3_IBUF | 1 | NULL | 0 | 96 | 49152 -FBPIN | 16 | cnt/LTimer<3> | 1 | NULL | 0 | NULL | 0 -FBPIN | 17 | cnt/LTimer<2> | 1 | A_FSB_4_IBUF | 1 | NULL | 0 | 97 | 49152 -FBPIN | 18 | cnt/LTimer<1> | 1 | NULL | 0 | NULL | 0 +FBPIN | 12 | cnt/nRESout | 1 | A_FSB_1_IBUF | 1 | NULL | 0 | 94 | 49152 +FBPIN | 13 | cnt/PORS_FSM_FFd2 | 1 | NULL | 0 | NULL | 0 +FBPIN | 14 | cnt/LTimer<0> | 1 | A_FSB_2_IBUF | 1 | NULL | 0 | 95 | 49152 +FBPIN | 15 | cnt/LTimer<3> | 1 | A_FSB_3_IBUF | 1 | NULL | 0 | 96 | 49152 +FBPIN | 16 | cnt/LTimer<2> | 1 | NULL | 0 | NULL | 0 +FBPIN | 17 | cnt/LTimer<1> | 1 | A_FSB_4_IBUF | 1 | NULL | 0 | 97 | 49152 +FBPIN | 18 | iobm/IOBERR | 1 | NULL | 0 | NULL | 0 FB_INSTANCE | FOOBAR5_ | WarpSE_COPY_0_COPY_0 | 0 | 0 | 0 FBPIN | 1 | ram/RASEL | 1 | NULL | 0 | NULL | 0 @@ -7909,7 +7812,7 @@ FBPIN | 3 | ram/RefDone | 1 | NULL | 0 | NULL | 0 FBPIN | 4 | cs/nOverlay1 | 1 | NULL | 0 | NULL | 0 FBPIN | 5 | ram/nCAS | 1 | NULL | 0 | nCAS | 1 | 36 | 49152 FBPIN | 6 | nOE_OBUF | 1 | NULL | 0 | nOE | 1 | 37 | 49152 -FBPIN | 7 | ram/RS_FSM_FFd1 | 1 | NULL | 0 | NULL | 0 +FBPIN | 7 | fsb/Ready0r | 1 | NULL | 0 | NULL | 0 FBPIN | 8 | cs/nOverlay0 | 1 | NULL | 0 | NULL | 0 | 39 | 49152 FBPIN | 9 | RA_4_OBUF | 1 | NULL | 0 | RA<4> | 1 | 40 | 49152 FBPIN | 10 | ram/RAMEN | 1 | NULL | 0 | NULL | 0 @@ -7918,29 +7821,29 @@ FBPIN | 12 | RA_5_OBUF | 1 | NULL | 0 | RA<5> | 1 | 42 | 49152 FBPIN | 13 | ram/RS_FSM_FFd2 | 1 | NULL | 0 | NULL | 0 FBPIN | 14 | RA_2_OBUF | 1 | NULL | 0 | RA<2> | 1 | 43 | 49152 FBPIN | 15 | RA_6_OBUF | 1 | NULL | 0 | RA<6> | 1 | 46 | 49152 -FBPIN | 16 | EXP22_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 16 | EXP25_ | 1 | NULL | 0 | NULL | 0 FBPIN | 17 | ram/RAMReady | 1 | NULL | 0 | NULL | 0 | 49 | 49152 -FBPIN | 18 | EXP23_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 18 | EXP26_ | 1 | NULL | 0 | NULL | 0 FB_INSTANCE | FOOBAR6_ | WarpSE_COPY_0_COPY_0 | 0 | 0 | 0 FBPIN | 1 | iobm/ETACK | 1 | NULL | 0 | NULL | 0 FBPIN | 2 | iobm/nVMA | 1 | NULL | 0 | nVMA_IOB | 1 | 74 | 49152 FBPIN | 3 | iobm/DoutOE | 1 | NULL | 0 | NULL | 0 FBPIN | 4 | iobm/ALE0 | 1 | NULL | 0 | NULL | 0 -FBPIN | 5 | iobm/ES<3> | 1 | nBERR_IOB_IBUF | 1 | NULL | 0 | 76 | 49152 -FBPIN | 6 | iobm/ES<1> | 1 | nVPA_IOB_IBUF | 1 | NULL | 0 | 77 | 49152 -FBPIN | 7 | iobm/ES<0> | 1 | NULL | 0 | NULL | 0 -FBPIN | 8 | iobm/ES<4> | 1 | nDTACK_IOB_IBUF | 1 | NULL | 0 | 78 | 49152 +FBPIN | 5 | iobm/IOS_FSM_FFd3 | 1 | nBERR_IOB_IBUF | 1 | NULL | 0 | 76 | 49152 +FBPIN | 6 | iobm/ES<3> | 1 | nVPA_IOB_IBUF | 1 | NULL | 0 | 77 | 49152 +FBPIN | 7 | iobm/ES<1> | 1 | NULL | 0 | NULL | 0 +FBPIN | 8 | iobm/ES<0> | 1 | nDTACK_IOB_IBUF | 1 | NULL | 0 | 78 | 49152 FBPIN | 9 | iobm/nLDS | 1 | NULL | 0 | nLDS_IOB | 1 | 79 | 49152 -FBPIN | 10 | iobm/IOS_FSM_FFd2 | 1 | NULL | 0 | NULL | 0 +FBPIN | 10 | iobm/ES<4> | 1 | NULL | 0 | NULL | 0 FBPIN | 11 | iobm/nUDS | 1 | NULL | 0 | nUDS_IOB | 1 | 80 | 49152 FBPIN | 12 | iobm/nASout | 1 | NULL | 0 | nAS_IOB | 1 | 81 | 49152 -FBPIN | 13 | iobm/ES<2> | 1 | NULL | 0 | NULL | 0 +FBPIN | 13 | iobm/IOS_FSM_FFd2 | 1 | NULL | 0 | NULL | 0 FBPIN | 14 | nADoutLE1_OBUF | 1 | NULL | 0 | nADoutLE1 | 1 | 82 | 49152 FBPIN | 15 | nADoutLE0_OBUF | 1 | NULL | 0 | nADoutLE0 | 1 | 85 | 49152 -FBPIN | 16 | iobm/IOACT | 1 | NULL | 0 | NULL | 0 +FBPIN | 16 | iobm/ES<2> | 1 | NULL | 0 | NULL | 0 FBPIN | 17 | iobm/nDinLE | 1 | NULL | 0 | nDinLE | 1 | 86 | 49152 -FBPIN | 18 | iobm/IOBERR | 1 | NULL | 0 | NULL | 0 +FBPIN | 18 | iobm/IOACT | 1 | NULL | 0 | NULL | 0 FB_INSTANCE | FOOBAR7_ | WarpSE_COPY_0_COPY_0 | 0 | 0 | 0 FBPIN | 1 | cnt/LTimer<13> | 1 | NULL | 0 | NULL | 0 @@ -7963,23 +7866,24 @@ FBPIN | 17 | cnt/LTimer<11> | 1 | NULL | 0 | NULL | 0 | 61 | 49152 FBPIN | 18 | cnt/LTimer<10> | 1 | NULL | 0 | NULL | 0 FB_INSTANCE | FOOBAR8_ | WarpSE_COPY_0_COPY_0 | 0 | 0 | 0 -FBPIN | 1 | cnt/PORS_FSM_FFd1 | 1 | NULL | 0 | NULL | 0 +FBPIN | 1 | iobs/Once | 1 | NULL | 0 | NULL | 0 FBPIN | 2 | A_FSB_19_IBUF$BUF0 | 1 | NULL | 0 | RA<11> | 1 | 63 | 49152 -FBPIN | 3 | ram/RS_FSM_FFd3 | 1 | NULL | 0 | NULL | 0 +FBPIN | 3 | iobs/Clear1 | 1 | NULL | 0 | NULL | 0 +FBPIN | 4 | iobs/ALE0 | 1 | NULL | 0 | NULL | 0 FBPIN | 5 | nRAS_OBUF | 1 | NULL | 0 | nRAS | 1 | 64 | 49152 FBPIN | 6 | nRAMLWE_OBUF | 1 | NULL | 0 | nRAMLWE | 1 | 65 | 49152 -FBPIN | 7 | iobs/PS_FSM_FFd2 | 1 | NULL | 0 | NULL | 0 +FBPIN | 7 | iobs/PS_FSM_FFd1 | 1 | NULL | 0 | NULL | 0 FBPIN | 8 | nRAMUWE_OBUF | 1 | NULL | 0 | nRAMUWE | 1 | 66 | 49152 -FBPIN | 9 | EXP24_ | 1 | NULL | 0 | NULL | 0 | 67 | 49152 -FBPIN | 10 | iobs/Load1 | 1 | NULL | 0 | NULL | 0 -FBPIN | 11 | EXP25_ | 1 | NULL | 0 | NULL | 0 | 68 | 49152 +FBPIN | 9 | ram/RS_FSM_FFd1 | 1 | NULL | 0 | NULL | 0 | 67 | 49152 +FBPIN | 10 | EXP27_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 11 | ram/RS_FSM_FFd3 | 1 | NULL | 0 | NULL | 0 | 68 | 49152 FBPIN | 12 | iobs/nBERR_FSB | 1 | NULL | 0 | nBERR_FSB | 1 | 70 | 49152 -FBPIN | 13 | EXP26_ | 1 | NULL | 0 | NULL | 0 -FBPIN | 14 | iobs/IOREQ | 1 | NULL | 0 | NULL | 0 | 71 | 49152 +FBPIN | 13 | EXP28_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 14 | iobs/PS_FSM_FFd2 | 1 | NULL | 0 | NULL | 0 | 71 | 49152 FBPIN | 15 | cnt/nBR_IOB | 1 | NULL | 0 | nBR_IOB | 1 | 72 | 49152 -FBPIN | 16 | EXP27_ | 1 | NULL | 0 | NULL | 0 -FBPIN | 17 | iobs/Once | 1 | nBG_IOB_IBUF | 1 | NULL | 0 | 73 | 49152 -FBPIN | 18 | EXP28_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 16 | iobs/Load1 | 1 | NULL | 0 | NULL | 0 +FBPIN | 17 | ram/BACTr | 1 | NULL | 0 | NULL | 0 | 73 | 49152 +FBPIN | 18 | EXP29_ | 1 | NULL | 0 | NULL | 0 FB_INSTANCE | INPUTPINS_FOOBAR9_ | WarpSE_COPY_0_COPY_0 | 0 | 0 | 0 @@ -7987,83 +7891,85 @@ BUSINFO | A_FSB<23:1> | 23 | 0 | 0 | A_FSB<10> | 13 | A_FSB<11> | 12 | A_FSB<12> BUSINFO | RA<11:0> | 12 | 0 | 1 | RA<0> | 11 | RA<10> | 1 | RA<11> | 0 | RA<1> | 10 | RA<2> | 9 | RA<3> | 8 | RA<4> | 7 | RA<5> | 6 | RA<6> | 5 | RA<7> | 4 | RA<8> | 3 | RA<9> | 2 BUSINFO | SW<3:1> | 3 | 0 | 0 | SW<1> | 2 | SW<2> | 1 | SW<3> | 0 -FB_ORDER_OF_INPUTS | FOOBAR1_ | 0 | iobm/nASr | NULL | 1 | cnt/AoutOE | NULL | 6 | iobs/PS_FSM_FFd2 | NULL | 7 | nDTACK_IOB | 78 | 9 | iobm/IOS_FSM_FFd2 | NULL -FB_ORDER_OF_INPUTS | FOOBAR1_ | 11 | iobm/nASout | NULL | 12 | C8M | 23 | 13 | iobs/IOREQ | NULL | 14 | iobm/BG | NULL | 15 | iobs/PS_FSM_FFd1 | NULL -FB_ORDER_OF_INPUTS | FOOBAR1_ | 16 | iobs/IOU1 | NULL | 17 | iobs/IOL1 | NULL | 20 | nIPL2 | 92 | 27 | nUDS_FSB | 33 | 29 | nLDS_FSB | 30 -FB_ORDER_OF_INPUTS | FOOBAR1_ | 34 | iobm/IOS_FSM_FFd3 | NULL | 43 | nBG_IOB | 73 | 44 | iobm/IOREQr | NULL | 45 | nVPA_IOB | 77 | 46 | nBERR_IOB | 76 -FB_ORDER_OF_INPUTS | FOOBAR1_ | 48 | iobm/IOS_FSM_FFd1 | NULL | 49 | iobs/ALE1 | NULL | 51 | nRES | 91 | 52 | E | 25 | 53 | iobm/Er | NULL +FB_ORDER_OF_INPUTS | FOOBAR1_ | 0 | ram/RegUrgentSync | NULL | 2 | ram/RefDone | NULL | 5 | iobs/IOACTr | NULL | 7 | iobs/IOU1 | NULL | 8 | iobs/IOL1 | NULL +FB_ORDER_OF_INPUTS | FOOBAR1_ | 9 | cnt/TimerTC | NULL | 12 | nUDS_FSB | 33 | 13 | cnt/Timer<2> | NULL | 14 | cnt/Timer<1> | NULL | 15 | cnt/RefUrgent | NULL +FB_ORDER_OF_INPUTS | FOOBAR1_ | 16 | E | 25 | 17 | cnt/RefReq | NULL | 20 | iobs/Once | NULL | 25 | iobs/PS_FSM_FFd2 | NULL | 27 | ram/RefReqSync | NULL +FB_ORDER_OF_INPUTS | FOOBAR1_ | 29 | nLDS_FSB | 30 | 30 | iobs/Load1 | NULL | 36 | nAS_FSB | 32 | 37 | ram/RS_FSM_FFd2 | NULL | 40 | cnt/Timer<0> | NULL +FB_ORDER_OF_INPUTS | FOOBAR1_ | 41 | ram/RS_FSM_FFd1 | NULL | 43 | fsb/ASrf | NULL | 45 | iobs/IOReady | NULL | 47 | iobm/IOBERR | NULL | 49 | iobs/ALE1 | NULL +FB_ORDER_OF_INPUTS | FOOBAR1_ | 51 | iobs/PS_FSM_FFd1 | NULL | 52 | cnt/Timer<3> | NULL | 53 | iobm/IOACT | NULL -FB_IMUX_INDEX | FOOBAR1_ | 0 | 55 | -1 | -1 | -1 | -1 | 132 | 167 | -1 | 99 | -1 | 101 | 238 | 139 | 14 | 33 | 34 | 35 | -1 | -1 | 150 | -1 | -1 | -1 | -1 | -1 | -1 | 272 | -1 | 260 | -1 | -1 | -1 | -1 | 17 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | 183 | 5 | 171 | 175 | -1 | 13 | 103 | -1 | 148 | 242 | 7 +FB_IMUX_INDEX | FOOBAR1_ | 0 | -1 | 74 | -1 | -1 | 5 | -1 | 7 | 8 | 9 | -1 | -1 | 272 | 13 | 14 | 15 | 242 | 17 | -1 | -1 | 126 | -1 | -1 | -1 | -1 | 139 | -1 | 2 | -1 | 260 | 141 | -1 | -1 | -1 | -1 | -1 | 268 | 84 | -1 | -1 | 35 | 134 | -1 | 6 | -1 | 16 | -1 | 71 | -1 | 103 | -1 | 132 | 12 | 107 -FB_ORDER_OF_INPUTS | FOOBAR2_ | 0 | nLDS_FSB | 30 | 6 | ram/RegUrgentSync | NULL | 8 | nAS_FSB | 32 | 9 | iobs/Load1 | NULL | 12 | iobs/IOACTr | NULL -FB_ORDER_OF_INPUTS | FOOBAR2_ | 13 | cnt/RefUrgent | NULL | 14 | cnt/RefReq | NULL | 15 | iobm/IOACT | NULL | 19 | ram/RS_FSM_FFd2 | NULL | 27 | nUDS_FSB | 33 -FB_ORDER_OF_INPUTS | FOOBAR2_ | 33 | ram/RefReqSync | NULL | 37 | fsb/ASrf | NULL | 39 | ram/RefDone | NULL | 50 | ram/RS_FSM_FFd1 | NULL | 51 | iobs/PS_FSM_FFd2 | NULL -FB_ORDER_OF_INPUTS | FOOBAR2_ | 52 | iobs/PS_FSM_FFd1 | NULL +FB_ORDER_OF_INPUTS | FOOBAR2_ | 4 | nRES | 91 | 6 | iobs/IOREQ | NULL | 7 | nDTACK_IOB | 78 | 11 | nVPA_IOB | 77 | 17 | cnt/Timer<0> | NULL +FB_ORDER_OF_INPUTS | FOOBAR2_ | 37 | iobm/Er | NULL | 51 | cnt/TimerTC | NULL | 52 | E | 25 -FB_IMUX_INDEX | FOOBAR2_ | 260 | -1 | -1 | -1 | -1 | -1 | 24 | -1 | 268 | 135 | -1 | -1 | 30 | 67 | 68 | 105 | -1 | -1 | -1 | 84 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | 272 | -1 | -1 | -1 | -1 | -1 | 26 | -1 | -1 | -1 | 32 | -1 | 74 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | 78 | 132 | 33 | -1 +FB_IMUX_INDEX | FOOBAR2_ | -1 | -1 | -1 | -1 | 148 | -1 | 42 | 167 | -1 | -1 | -1 | 171 | -1 | -1 | -1 | -1 | -1 | 35 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | 32 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | 9 | 242 | -1 -FB_ORDER_OF_INPUTS | FOOBAR3_ | 0 | A_FSB<20> | 18 | 1 | fsb/VPA | NULL | 2 | A_FSB<8> | 6 | 3 | cs/nOverlay1 | NULL | 4 | A_FSB<9> | 7 -FB_ORDER_OF_INPUTS | FOOBAR3_ | 5 | fsb/Ready0r | NULL | 6 | iobs/IOReady | NULL | 7 | A_FSB<17> | 15 | 8 | fsb/nDTACK.UIM | NULL | 9 | fsb/Ready1r | NULL -FB_ORDER_OF_INPUTS | FOOBAR3_ | 10 | A_FSB<11> | 9 | 11 | iobs/IORW1 | NULL | 12 | iobs/IOACTr | NULL | 13 | iobs/ALE1 | NULL | 14 | fsb/ASrf | NULL -FB_ORDER_OF_INPUTS | FOOBAR3_ | 15 | iobs/PS_FSM_FFd1 | NULL | 16 | ram/RAMReady | NULL | 17 | iobm/IOBERR | NULL | 21 | A_FSB<19> | 17 | 22 | A_FSB<12> | 10 -FB_ORDER_OF_INPUTS | FOOBAR3_ | 28 | nWE_FSB | 29 | 33 | nAS_FSB | 32 | 35 | A_FSB<14> | 12 | 36 | A_FSB<23> | 24 | 39 | A_FSB<22> | 20 -FB_ORDER_OF_INPUTS | FOOBAR3_ | 42 | iobs/IORW0 | NULL | 43 | A_FSB<10> | 8 | 44 | A_FSB<13> | 11 | 46 | A_FSB<15> | 13 | 47 | A_FSB<21> | 19 -FB_ORDER_OF_INPUTS | FOOBAR3_ | 50 | A_FSB<18> | 16 | 51 | iobs/PS_FSM_FFd2 | NULL | 52 | iobs/Once | NULL | 53 | A_FSB<16> | 14 +FB_ORDER_OF_INPUTS | FOOBAR3_ | 0 | iobs/Once | NULL | 1 | A_FSB<14> | 12 | 2 | A_FSB<8> | 6 | 3 | cs/nOverlay1 | NULL | 4 | A_FSB<9> | 7 +FB_ORDER_OF_INPUTS | FOOBAR3_ | 5 | iobs/IOACTr | NULL | 6 | fsb/ASrf | NULL | 7 | A_FSB<17> | 15 | 8 | fsb/nDTACK.UIM | NULL | 9 | fsb/Ready1r | NULL +FB_ORDER_OF_INPUTS | FOOBAR3_ | 10 | A_FSB<11> | 9 | 11 | iobs/IORW1 | NULL | 13 | iobs/ALE1 | NULL | 14 | iobs/IORW0 | NULL | 16 | iobs/IOReady | NULL +FB_ORDER_OF_INPUTS | FOOBAR3_ | 21 | A_FSB<19> | 17 | 23 | A_FSB<23> | 24 | 28 | nWE_FSB | 29 | 30 | A_FSB<20> | 18 | 31 | A_FSB<10> | 8 +FB_ORDER_OF_INPUTS | FOOBAR3_ | 33 | nAS_FSB | 32 | 37 | A_FSB<13> | 11 | 39 | A_FSB<22> | 20 | 42 | A_FSB<12> | 10 | 43 | iobs/PS_FSM_FFd2 | NULL +FB_ORDER_OF_INPUTS | FOOBAR3_ | 44 | fsb/VPA | NULL | 45 | A_FSB<18> | 16 | 46 | A_FSB<15> | 13 | 47 | A_FSB<21> | 19 | 49 | ram/RAMReady | NULL +FB_ORDER_OF_INPUTS | FOOBAR3_ | 50 | fsb/Ready0r | NULL | 51 | iobs/PS_FSM_FFd1 | NULL | 53 | A_FSB<16> | 14 -FB_IMUX_INDEX | FOOBAR3_ | 226 | 37 | 194 | 75 | 196 | 41 | 42 | 216 | 44 | 45 | 202 | 47 | 30 | 103 | 32 | 33 | 88 | 107 | -1 | -1 | -1 | 222 | 206 | -1 | -1 | -1 | -1 | -1 | 256 | -1 | -1 | -1 | -1 | 268 | -1 | 210 | 240 | -1 | -1 | 230 | -1 | -1 | 50 | 198 | 208 | -1 | 212 | 228 | -1 | -1 | 218 | 132 | 142 | 214 +FB_IMUX_INDEX | FOOBAR3_ | 126 | 210 | 194 | 75 | 196 | 5 | 6 | 216 | 44 | 45 | 202 | 47 | -1 | 103 | 50 | -1 | 16 | -1 | -1 | -1 | -1 | 222 | -1 | 240 | -1 | -1 | -1 | -1 | 256 | -1 | 226 | 198 | -1 | 268 | -1 | -1 | -1 | 208 | -1 | 230 | -1 | -1 | 206 | 139 | 36 | 218 | 212 | 228 | -1 | 88 | 78 | 132 | -1 | 214 -FB_ORDER_OF_INPUTS | FOOBAR4_ | 0 | cnt/LTimer<13> | NULL | 2 | cnt/TimerTC | NULL | 3 | cnt/Timer<0> | NULL | 8 | nAS_FSB | 32 | 9 | cnt/Timer<3> | NULL -FB_ORDER_OF_INPUTS | FOOBAR4_ | 11 | cnt/Timer<2> | NULL | 12 | cnt/Timer<1> | NULL | 14 | A_FSB<23> | 24 | 16 | E | 25 | 19 | A_FSB<20> | 18 -FB_ORDER_OF_INPUTS | FOOBAR4_ | 20 | cnt/PORS_FSM_FFd1 | NULL | 24 | A_FSB<22> | 20 | 27 | fsb/VPA | NULL | 28 | nWE_FSB | 29 | 35 | cnt/PORS_FSM_FFd2 | NULL -FB_ORDER_OF_INPUTS | FOOBAR4_ | 37 | cnt/LTimer<2> | NULL | 38 | cnt/LTimer<0> | NULL | 39 | cnt/AoutOE | NULL | 42 | cnt/nBR_IOB | NULL | 45 | A_FSB<21> | 19 -FB_ORDER_OF_INPUTS | FOOBAR4_ | 47 | cnt/LTimer<1> | NULL | 50 | cnt/LTimer<3> | NULL | 51 | iobm/DoutOE | NULL | 52 | cnt/nRESout | NULL +FB_ORDER_OF_INPUTS | FOOBAR4_ | 0 | fsb/VPA | NULL | 1 | cnt/AoutOE | NULL | 2 | iobm/BERRrr | NULL | 3 | iobm/BERRrf | NULL | 4 | iobm/IOS_FSM_FFd3 | NULL +FB_ORDER_OF_INPUTS | FOOBAR4_ | 6 | cnt/PORS_FSM_FFd1 | NULL | 8 | cnt/IPL2r | NULL | 9 | cnt/TimerTC | NULL | 10 | iobm/RESrr | NULL | 11 | iobm/RESrf | NULL +FB_ORDER_OF_INPUTS | FOOBAR4_ | 12 | cnt/PORS_FSM_FFd2 | NULL | 13 | cnt/LTimer<0> | NULL | 14 | cnt/LTimer<3> | NULL | 15 | iobm/DTACKrr | NULL | 16 | iobm/DTACKrf | NULL +FB_ORDER_OF_INPUTS | FOOBAR4_ | 17 | iobm/IOBERR | NULL | 23 | A_FSB<23> | 24 | 24 | iobm/ETACK | NULL | 28 | nWE_FSB | 29 | 30 | A_FSB<20> | 18 +FB_ORDER_OF_INPUTS | FOOBAR4_ | 33 | nAS_FSB | 32 | 36 | iobm/IOS_FSM_FFd1 | NULL | 37 | cnt/LTimer<1> | NULL | 39 | A_FSB<22> | 20 | 42 | cnt/nBR_IOB | NULL +FB_ORDER_OF_INPUTS | FOOBAR4_ | 43 | iobm/IOS_FSM_FFd2 | NULL | 45 | A_FSB<21> | 19 | 46 | nBERR_IOB | 76 | 47 | cnt/LTimer<13> | NULL | 48 | C8M | 23 +FB_ORDER_OF_INPUTS | FOOBAR4_ | 49 | nIPL2 | 92 | 50 | cnt/LTimer<2> | NULL | 51 | iobm/DoutOE | NULL | 53 | cnt/nRESout | NULL -FB_IMUX_INDEX | FOOBAR4_ | 108 | -1 | 56 | 57 | -1 | -1 | -1 | -1 | 268 | 63 | -1 | 65 | 66 | -1 | 240 | -1 | 242 | -1 | -1 | 226 | 126 | -1 | -1 | -1 | 230 | -1 | -1 | 37 | 256 | -1 | -1 | -1 | -1 | -1 | -1 | 60 | -1 | 70 | 62 | 55 | -1 | -1 | 140 | -1 | -1 | 228 | -1 | 71 | -1 | -1 | 69 | 92 | 54 | -1 +FB_IMUX_INDEX | FOOBAR4_ | 36 | 55 | 56 | 57 | 94 | -1 | 60 | -1 | 62 | 9 | 28 | 29 | 66 | 67 | 68 | 33 | 34 | 71 | -1 | -1 | -1 | -1 | -1 | 240 | 90 | -1 | -1 | -1 | 256 | -1 | 226 | -1 | -1 | 268 | -1 | -1 | 63 | 70 | -1 | 230 | -1 | -1 | 140 | 102 | -1 | 228 | 175 | 108 | 238 | 150 | 69 | 92 | -1 | 65 -FB_ORDER_OF_INPUTS | FOOBAR5_ | 0 | ram/RASEL | NULL | 2 | ram/RefDone | NULL | 3 | A_FSB<15> | 13 | 4 | nRES | 91 | 6 | ram/RS_FSM_FFd1 | NULL -FB_ORDER_OF_INPUTS | FOOBAR5_ | 7 | cs/nOverlay0 | NULL | 8 | ram/RefReqSync | NULL | 9 | ram/RefReq | NULL | 10 | A_FSB<6> | 3 | 11 | ram/BACTr | NULL -FB_ORDER_OF_INPUTS | FOOBAR5_ | 12 | ram/RS_FSM_FFd2 | NULL | 13 | nWE_FSB | 29 | 14 | fsb/ASrf | NULL | 16 | A_FSB<13> | 11 | 19 | A_FSB<20> | 18 -FB_ORDER_OF_INPUTS | FOOBAR5_ | 22 | A_FSB<12> | 10 | 23 | A_FSB<23> | 24 | 24 | A_FSB<22> | 20 | 30 | ram/RAMEN | NULL | 33 | nAS_FSB | 32 -FB_ORDER_OF_INPUTS | FOOBAR5_ | 34 | ram/RefUrgent | NULL | 35 | A_FSB<14> | 12 | 36 | A_FSB<4> | 97 | 37 | ram/RS_FSM_FFd3 | NULL | 39 | A_FSB<5> | 2 -FB_ORDER_OF_INPUTS | FOOBAR5_ | 40 | A_FSB<7> | 4 | 42 | A_FSB<3> | 96 | 47 | A_FSB<21> | 19 | 52 | cs/nOverlay1 | NULL | 53 | A_FSB<16> | 14 +FB_ORDER_OF_INPUTS | FOOBAR5_ | 0 | ram/RASEL | NULL | 2 | ram/RefReqSync | NULL | 3 | ram/RefReq | NULL | 4 | nRES | 91 | 5 | A_FSB<16> | 14 +FB_ORDER_OF_INPUTS | FOOBAR5_ | 6 | fsb/ASrf | NULL | 7 | cs/nOverlay0 | NULL | 8 | A_FSB<3> | 96 | 10 | ram/RS_FSM_FFd3 | NULL | 12 | A_FSB<4> | 97 +FB_ORDER_OF_INPUTS | FOOBAR5_ | 13 | nWE_FSB | 29 | 14 | A_FSB<7> | 4 | 16 | ram/RAMReady | NULL | 19 | A_FSB<20> | 18 | 22 | ram/RefUrgent | NULL +FB_ORDER_OF_INPUTS | FOOBAR5_ | 23 | A_FSB<23> | 24 | 24 | A_FSB<22> | 20 | 30 | ram/RAMEN | NULL | 33 | A_FSB<6> | 3 | 34 | A_FSB<5> | 2 +FB_ORDER_OF_INPUTS | FOOBAR5_ | 35 | A_FSB<14> | 12 | 36 | nAS_FSB | 32 | 37 | ram/RS_FSM_FFd2 | NULL | 39 | ram/RefDone | NULL | 42 | A_FSB<12> | 10 +FB_ORDER_OF_INPUTS | FOOBAR5_ | 44 | A_FSB<13> | 11 | 45 | A_FSB<21> | 19 | 46 | A_FSB<15> | 13 | 47 | ram/BACTr | NULL | 50 | fsb/Ready0r | NULL +FB_ORDER_OF_INPUTS | FOOBAR5_ | 52 | cs/nOverlay1 | NULL | 53 | ram/RS_FSM_FFd1 | NULL -FB_IMUX_INDEX | FOOBAR5_ | 72 | -1 | 74 | 212 | 148 | -1 | 78 | 79 | 26 | 27 | 186 | 29 | 84 | 256 | 32 | -1 | 208 | -1 | -1 | 226 | -1 | -1 | 206 | 240 | 230 | -1 | -1 | -1 | -1 | -1 | 81 | -1 | -1 | 268 | 25 | 210 | 172 | 128 | -1 | 182 | 190 | -1 | 168 | -1 | -1 | -1 | -1 | 228 | -1 | -1 | -1 | -1 | 75 | 214 +FB_IMUX_INDEX | FOOBAR5_ | 72 | -1 | 2 | 3 | 148 | 214 | 6 | 79 | 168 | -1 | 136 | -1 | 172 | 256 | 190 | -1 | 88 | -1 | -1 | 226 | -1 | -1 | 1 | 240 | 230 | -1 | -1 | -1 | -1 | -1 | 81 | -1 | -1 | 186 | 182 | 210 | 268 | 84 | -1 | 74 | -1 | -1 | 206 | -1 | 208 | 228 | 212 | 142 | -1 | -1 | 78 | -1 | 75 | 134 -FB_ORDER_OF_INPUTS | FOOBAR6_ | 0 | iobm/ETACK | NULL | 1 | iobm/VPArr | NULL | 2 | iobm/VPArf | NULL | 3 | iobm/RESrr | NULL | 4 | iobm/RESrf | NULL -FB_ORDER_OF_INPUTS | FOOBAR6_ | 5 | iobm/IOREQr | NULL | 6 | iobm/Er2 | NULL | 7 | iobm/Er | NULL | 8 | iobm/DTACKrr | NULL | 9 | iobm/DTACKrf | NULL -FB_ORDER_OF_INPUTS | FOOBAR6_ | 10 | iobm/BERRrr | NULL | 11 | iobm/BERRrf | NULL | 12 | iobm/ES<2> | NULL | 13 | iobm/IOS_FSM_FFd1 | NULL | 14 | iobs/IORW0 | NULL -FB_ORDER_OF_INPUTS | FOOBAR6_ | 15 | iobs/IOU0 | NULL | 16 | iobs/IOL0 | NULL | 17 | iobm/IOS_FSM_FFd3 | NULL | 26 | iobm/ES<1> | NULL | 28 | iobm/ES<3> | NULL -FB_ORDER_OF_INPUTS | FOOBAR6_ | 32 | iobm/ES<0> | NULL | 33 | iobm/ALE0 | NULL | 38 | iobm/IOACT | NULL | 39 | cnt/AoutOE | NULL | 40 | iobs/Load1 | NULL -FB_ORDER_OF_INPUTS | FOOBAR6_ | 42 | iobm/ES<4> | NULL | 44 | iobm/nVMA | NULL | 46 | nBERR_IOB | 76 | 47 | iobm/IOS_FSM_FFd2 | NULL | 48 | C8M | 23 -FB_ORDER_OF_INPUTS | FOOBAR6_ | 49 | iobs/ALE1 | NULL | 50 | iobs/ALE0 | NULL | 51 | iobs/Clear1 | NULL | 53 | iobm/IOBERR | NULL +FB_ORDER_OF_INPUTS | FOOBAR6_ | 0 | iobm/ETACK | NULL | 1 | iobm/nVMA | NULL | 2 | iobs/Clear1 | NULL | 3 | iobm/BERRrf | NULL | 4 | iobm/IOS_FSM_FFd3 | NULL +FB_ORDER_OF_INPUTS | FOOBAR6_ | 5 | iobm/ES<3> | NULL | 6 | iobm/ES<1> | NULL | 7 | iobm/ES<0> | NULL | 8 | iobm/VPArr | NULL | 9 | iobm/VPArf | NULL +FB_ORDER_OF_INPUTS | FOOBAR6_ | 10 | iobs/IOU0 | NULL | 11 | iobs/IOL0 | NULL | 12 | iobm/IOREQr | NULL | 13 | iobm/Er2 | NULL | 14 | iobm/Er | NULL +FB_ORDER_OF_INPUTS | FOOBAR6_ | 15 | iobm/ES<2> | NULL | 17 | iobm/IOACT | NULL | 20 | iobm/ALE0 | NULL | 28 | iobm/RESrr | NULL | 29 | iobm/IOS_FSM_FFd2 | NULL +FB_ORDER_OF_INPUTS | FOOBAR6_ | 30 | iobs/Load1 | NULL | 33 | iobm/BERRrr | NULL | 36 | iobm/IOS_FSM_FFd1 | NULL | 42 | iobs/IORW0 | NULL | 43 | iobm/RESrf | NULL +FB_ORDER_OF_INPUTS | FOOBAR6_ | 45 | iobs/ALE0 | NULL | 46 | iobm/DTACKrf | NULL | 47 | iobm/ES<4> | NULL | 48 | C8M | 23 | 49 | iobs/ALE1 | NULL +FB_ORDER_OF_INPUTS | FOOBAR6_ | 51 | cnt/AoutOE | NULL | 52 | iobm/DTACKrr | NULL -FB_IMUX_INDEX | FOOBAR6_ | 90 | 1 | 2 | 3 | 4 | 5 | 6 | 7 | 8 | 9 | 10 | 11 | 102 | 13 | 50 | 15 | 16 | 17 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | 95 | -1 | 94 | -1 | -1 | -1 | 96 | 93 | -1 | -1 | -1 | -1 | 105 | 55 | 135 | -1 | 97 | -1 | 91 | -1 | 175 | 99 | 238 | 103 | 31 | 40 | -1 | 107 +FB_IMUX_INDEX | FOOBAR6_ | 90 | 91 | 128 | 57 | 94 | 95 | 96 | 97 | 26 | 27 | 10 | 11 | 30 | 31 | 32 | 105 | -1 | 107 | -1 | -1 | 93 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | 28 | 102 | 141 | -1 | -1 | 56 | -1 | -1 | 63 | -1 | -1 | -1 | -1 | -1 | 50 | 29 | -1 | 129 | 34 | 99 | 238 | 103 | -1 | 55 | 33 | -1 -FB_ORDER_OF_INPUTS | FOOBAR7_ | 0 | A_FSB<20> | 18 | 2 | A_FSB<21> | 19 | 3 | cs/nOverlay1 | NULL | 4 | A_FSB<2> | 95 | 6 | cnt/LTimer<7> | NULL -FB_ORDER_OF_INPUTS | FOOBAR7_ | 7 | A_FSB<17> | 15 | 8 | cnt/LTimer<0> | NULL | 9 | A_FSB<18> | 16 | 12 | cnt/LTimer<5> | NULL | 13 | A_FSB<19> | 17 -FB_ORDER_OF_INPUTS | FOOBAR7_ | 14 | cnt/LTimer<4> | NULL | 15 | cnt/LTimer<3> | NULL | 16 | cnt/LTimer<2> | NULL | 17 | cnt/LTimer<1> | NULL | 19 | cnt/LTimer<12> | NULL -FB_ORDER_OF_INPUTS | FOOBAR7_ | 23 | A_FSB<23> | 24 | 24 | cnt/LTimer<6> | NULL | 29 | cnt/LTimer<11> | NULL | 33 | cnt/TimerTC | NULL | 39 | A_FSB<22> | 20 -FB_ORDER_OF_INPUTS | FOOBAR7_ | 40 | cnt/LTimer<10> | NULL | 43 | A_FSB<10> | 8 | 44 | cnt/LTimer<9> | NULL | 45 | A_FSB<1> | 94 | 47 | ram/RASEL | NULL -FB_ORDER_OF_INPUTS | FOOBAR7_ | 49 | A_FSB<8> | 6 | 50 | cnt/LTimer<13> | NULL | 51 | cnt/LTimer<8> | NULL | 52 | A_FSB<11> | 9 | 53 | A_FSB<9> | 7 +FB_ORDER_OF_INPUTS | FOOBAR7_ | 0 | cnt/LTimer<13> | NULL | 2 | A_FSB<21> | 19 | 3 | cs/nOverlay1 | NULL | 4 | A_FSB<2> | 95 | 6 | cnt/LTimer<7> | NULL +FB_ORDER_OF_INPUTS | FOOBAR7_ | 7 | A_FSB<17> | 15 | 9 | cnt/LTimer<6> | NULL | 12 | cnt/LTimer<5> | NULL | 13 | A_FSB<19> | 17 | 14 | cnt/LTimer<4> | NULL +FB_ORDER_OF_INPUTS | FOOBAR7_ | 15 | cnt/LTimer<2> | NULL | 16 | cnt/LTimer<1> | NULL | 17 | cnt/LTimer<10> | NULL | 23 | A_FSB<23> | 24 | 24 | A_FSB<22> | 20 +FB_ORDER_OF_INPUTS | FOOBAR7_ | 27 | cnt/LTimer<8> | NULL | 29 | cnt/LTimer<11> | NULL | 30 | A_FSB<20> | 18 | 31 | cnt/LTimer<3> | NULL | 33 | cnt/LTimer<12> | NULL +FB_ORDER_OF_INPUTS | FOOBAR7_ | 39 | A_FSB<1> | 94 | 43 | A_FSB<10> | 8 | 44 | cnt/LTimer<9> | NULL | 45 | cnt/LTimer<0> | NULL | 47 | ram/RASEL | NULL +FB_ORDER_OF_INPUTS | FOOBAR7_ | 49 | A_FSB<8> | 6 | 50 | A_FSB<18> | 16 | 51 | cnt/TimerTC | NULL | 52 | A_FSB<11> | 9 | 53 | A_FSB<9> | 7 -FB_IMUX_INDEX | FOOBAR7_ | 226 | -1 | 228 | 75 | 164 | -1 | 114 | 216 | 62 | 218 | -1 | -1 | 120 | 222 | 122 | 69 | 70 | 71 | -1 | 123 | -1 | -1 | -1 | 240 | 117 | -1 | -1 | -1 | -1 | 124 | -1 | -1 | -1 | 56 | -1 | -1 | -1 | -1 | -1 | 230 | 125 | -1 | -1 | 198 | 110 | 156 | -1 | 72 | -1 | 194 | 108 | 111 | 202 | 196 +FB_IMUX_INDEX | FOOBAR7_ | 108 | -1 | 228 | 75 | 164 | -1 | 114 | 216 | -1 | 117 | -1 | -1 | 120 | 222 | 122 | 69 | 70 | 125 | -1 | -1 | -1 | -1 | -1 | 240 | 230 | -1 | -1 | 111 | -1 | 124 | 226 | 68 | -1 | 123 | -1 | -1 | -1 | -1 | -1 | 156 | -1 | -1 | -1 | 198 | 110 | 67 | -1 | 72 | -1 | 194 | 218 | 9 | 202 | 196 -FB_ORDER_OF_INPUTS | FOOBAR8_ | 0 | cnt/LTimer<13> | NULL | 1 | A_FSB<14> | 12 | 2 | A_FSB<21> | 19 | 3 | cs/nOverlay1 | NULL | 4 | A_FSB<22> | 20 -FB_ORDER_OF_INPUTS | FOOBAR8_ | 5 | A_FSB<16> | 14 | 6 | cnt/PORS_FSM_FFd2 | NULL | 7 | ram/RefUrgent | NULL | 8 | nAS_FSB | 32 | 9 | ram/RAMEN | NULL -FB_ORDER_OF_INPUTS | FOOBAR8_ | 10 | ram/RefRAS | NULL | 11 | iobs/nBERR_FSB.UIM | NULL | 12 | cnt/IPL2r | NULL | 13 | iobs/ALE1 | NULL | 14 | cnt/nBR_IOB | NULL -FB_ORDER_OF_INPUTS | FOOBAR8_ | 16 | iobs/Once | NULL | 17 | iobm/IOBERR | NULL | 19 | ram/RS_FSM_FFd2 | NULL | 20 | cnt/PORS_FSM_FFd1 | NULL | 21 | A_FSB<19> | 17 -FB_ORDER_OF_INPUTS | FOOBAR8_ | 27 | nUDS_FSB | 33 | 28 | nWE_FSB | 29 | 29 | nLDS_FSB | 30 | 30 | A_FSB<20> | 18 | 33 | ram/RS_FSM_FFd3 | NULL -FB_ORDER_OF_INPUTS | FOOBAR8_ | 36 | A_FSB<23> | 24 | 37 | fsb/ASrf | NULL | 44 | A_FSB<13> | 11 | 45 | A_FSB<18> | 16 | 47 | iobs/IOACTr | NULL -FB_ORDER_OF_INPUTS | FOOBAR8_ | 49 | A_FSB<17> | 15 | 50 | ram/RS_FSM_FFd1 | NULL | 51 | iobs/PS_FSM_FFd2 | NULL | 52 | iobs/PS_FSM_FFd1 | NULL +FB_ORDER_OF_INPUTS | FOOBAR8_ | 0 | A_FSB<20> | 18 | 1 | ram/RefUrgent | NULL | 2 | A_FSB<21> | 19 | 4 | ram/RefRAS | NULL | 5 | A_FSB<16> | 14 +FB_ORDER_OF_INPUTS | FOOBAR8_ | 6 | iobs/PS_FSM_FFd1 | NULL | 7 | A_FSB<17> | 15 | 8 | ram/RS_FSM_FFd1 | NULL | 9 | ram/RAMEN | NULL | 10 | ram/RS_FSM_FFd3 | NULL +FB_ORDER_OF_INPUTS | FOOBAR8_ | 11 | iobs/nBERR_FSB.UIM | NULL | 12 | ram/RS_FSM_FFd2 | NULL | 13 | iobs/ALE1 | NULL | 14 | cnt/nBR_IOB | NULL | 16 | A_FSB<13> | 11 +FB_ORDER_OF_INPUTS | FOOBAR8_ | 21 | A_FSB<19> | 17 | 23 | A_FSB<23> | 24 | 25 | iobs/PS_FSM_FFd2 | NULL | 27 | iobs/Once | NULL | 28 | nWE_FSB | 29 +FB_ORDER_OF_INPUTS | FOOBAR8_ | 30 | cnt/PORS_FSM_FFd1 | NULL | 33 | nAS_FSB | 32 | 35 | A_FSB<14> | 12 | 37 | nLDS_FSB | 30 | 38 | cnt/IPL2r | NULL +FB_ORDER_OF_INPUTS | FOOBAR8_ | 39 | A_FSB<22> | 20 | 43 | fsb/ASrf | NULL | 44 | iobs/IOACTr | NULL | 45 | A_FSB<18> | 16 | 47 | iobm/IOBERR | NULL +FB_ORDER_OF_INPUTS | FOOBAR8_ | 49 | cnt/PORS_FSM_FFd2 | NULL | 51 | nUDS_FSB | 33 | 52 | cs/nOverlay1 | NULL -FB_IMUX_INDEX | FOOBAR8_ | 108 | 210 | 228 | 75 | 230 | 214 | 60 | 25 | 268 | 81 | 28 | 137 | 12 | 103 | 140 | -1 | 142 | 107 | -1 | 84 | 126 | 222 | -1 | -1 | -1 | -1 | -1 | 272 | 256 | 260 | 226 | -1 | -1 | 128 | -1 | -1 | 240 | 32 | -1 | -1 | -1 | -1 | -1 | -1 | 208 | 218 | -1 | 30 | -1 | 216 | 78 | 132 | 33 | -1 +FB_IMUX_INDEX | FOOBAR8_ | 226 | 1 | 228 | -1 | 4 | 214 | 132 | 216 | 134 | 81 | 136 | 137 | 84 | 103 | 140 | -1 | 208 | -1 | -1 | -1 | -1 | 222 | -1 | 240 | -1 | 139 | -1 | 126 | 256 | -1 | 60 | -1 | -1 | 268 | -1 | 210 | -1 | 260 | 62 | 230 | -1 | -1 | -1 | 6 | 5 | 218 | -1 | 71 | -1 | 66 | -1 | 272 | 75 | -1 GLOBAL_FCLK | C16M | 0 | 0 | C8M | 1 | 1 | FCLK | 2 | 2 diff --git a/cpld/XC95144XL/WarpSE.xml b/cpld/XC95144XL/WarpSE.xml index b70f7e2..b36e1a7 100644 --- a/cpld/XC95144XL/WarpSE.xml +++ b/cpld/XC95144XL/WarpSE.xml @@ -1,3 +1,3 @@ -WarpSE.rptC:/Xilinx/14.7/ISE_DS/ISE/xc9500xl/data/xc95144xl.chpWarpSE.mfd
Cpld - Unable to retrieve the path to the iSE Project Repository. Will use the default filename of 'WarpSE.ise'.INFO:Cpld - Inferring BUFG constraint for signal 'C16M' based upon the LOC constraint 'P22'. It is recommended that you declare this BUFG explicitedly in your design. Note that for certain device families the output of a BUFG constraint can not drive a gated clock, and the BUFG constraint will be ignored.INFO:Cpld - Inferring BUFG constraint for signal 'C8M' based upon the LOC constraint 'P23'. It is recommended that you declare this BUFG explicitedly in your design. Note that for certain device families the output of a BUFG constraint can not drive a gated clock, and the BUFG constraint will be ignored.INFO:Cpld - Inferring BUFG constraint for signal 'FCLK' based upon the LOC constraint 'P27'. It is recommended that you declare this BUFG explicitedly in your design. Note that for certain device families the output of a BUFG constraint can not drive a gated clock, and the BUFG constraint will be ignored.Cpld:1007 - Removing unused input(s) 'SW<1>'. The input(s) are unused after optimization. Please verify functionality via simulation.Cpld:1007 - Removing unused input(s) 'SW<2>'. The input(s) are unused after optimization. Please verify functionality via simulation.Cpld:1007 - Removing unused input(s) 'SW<3>'. The input(s) are unused after optimization. Please verify functionality via simulation. +WarpSE.rptC:/Xilinx/14.7/ISE_DS/ISE/xc9500xl/data/xc95144xl.chpWarpSE.mfd
Cpld - Unable to retrieve the path to the iSE Project Repository. Will use the default filename of 'WarpSE.ise'.INFO:Cpld - Inferring BUFG constraint for signal 'C16M' based upon the LOC constraint 'P22'. It is recommended that you declare this BUFG explicitedly in your design. Note that for certain device families the output of a BUFG constraint can not drive a gated clock, and the BUFG constraint will be ignored.INFO:Cpld - Inferring BUFG constraint for signal 'C8M' based upon the LOC constraint 'P23'. It is recommended that you declare this BUFG explicitedly in your design. Note that for certain device families the output of a BUFG constraint can not drive a gated clock, and the BUFG constraint will be ignored.INFO:Cpld - Inferring BUFG constraint for signal 'FCLK' based upon the LOC constraint 'P27'. It is recommended that you declare this BUFG explicitedly in your design. Note that for certain device families the output of a BUFG constraint can not drive a gated clock, and the BUFG constraint will be ignored.Cpld:1007 - Removing unused input(s) 'SW<1>'. The input(s) are unused after optimization. Please verify functionality via simulation.Cpld:1007 - Removing unused input(s) 'SW<2>'. The input(s) are unused after optimization. Please verify functionality via simulation.Cpld:1007 - Removing unused input(s) 'SW<3>'. The input(s) are unused after optimization. Please verify functionality via simulation.Cpld:1007 - Removing unused input(s) 'nBG_IOB'. The input(s) are unused after optimization. Please verify functionality via simulation. diff --git a/cpld/XC95144XL/WarpSE_html/fit/ascii.htm b/cpld/XC95144XL/WarpSE_html/fit/ascii.htm index 771db51..f49539f 100644 --- a/cpld/XC95144XL/WarpSE_html/fit/ascii.htm +++ b/cpld/XC95144XL/WarpSE_html/fit/ascii.htm @@ -3,7 +3,7 @@ cpldfit: version P.20131013 Xilinx Inc. Fitter Report -Design Name: WarpSE Date: 3-20-2023, 11:54PM +Design Name: WarpSE Date: 3-25-2023, 0:52AM Device Used: XC95144XL-10-TQ100 Fitting Status: Successful @@ -11,22 +11,22 @@ Fitting Status: Successful Macrocells Product Terms Function Block Registers Pins Used/Tot Used/Tot Inps Used/Tot Used/Tot Used/Tot -121/144 ( 84%) 440 /720 ( 61%) 227/432 ( 53%) 96 /144 ( 67%) 72 /81 ( 89%) +119/144 ( 83%) 428 /720 ( 59%) 230/432 ( 53%) 94 /144 ( 65%) 71 /81 ( 88%) ** Function Block Resources ** Function Mcells FB Inps Pterms IO Block Used/Tot Used/Tot Used/Tot Used/Tot -FB1 18/18* 25/54 26/90 11/11* -FB2 12/18 16/54 15/90 8/10 -FB3 9/18 34/54 81/90 10/10* -FB4 18/18* 24/54 44/90 10/10* -FB5 16/18 30/54 77/90 8/10 -FB6 18/18* 34/54 61/90 10/10* +FB1 18/18* 28/54 39/90 11/11* +FB2 10/18 8/54 11/90 8/10 +FB3 7/18 33/54 81/90 10/10* +FB4 17/18 34/54 40/90 10/10* +FB5 16/18 32/54 72/90 8/10 +FB6 18/18* 32/54 55/90 10/10* FB7 18/18* 30/54 55/90 8/10 -FB8 12/18 34/54 81/90 7/10 +FB8 15/18 33/54 75/90 6/10 ----- ----- ----- ----- - 121/144 227/432 440/720 72/81 + 119/144 230/432 428/720 71/81 * - Resource is exhausted @@ -42,18 +42,18 @@ Global set/reset net(s) unused. Signal Type Required Mapped | Pin Type Used Total ------------------------------------|------------------------------------ -Input : 33 33 | I/O : 66 73 +Input : 32 32 | I/O : 65 73 Output : 35 35 | GCK/IO : 3 3 Bidirectional : 1 1 | GTS/IO : 3 4 GCK : 3 3 | GSR/IO : 0 1 GTS : 0 0 | GSR : 0 0 | ---- ---- - Total 72 72 + Total 71 71 ** Power Data ** -There are 121 macrocells in high performance mode (MCHP). +There are 119 macrocells in high performance mode (MCHP). There are 0 macrocells in low power mode (MCLP). End of Mapped Resource Summary ************************** Errors and Warnings *************************** @@ -81,17 +81,19 @@ WARNING:Cpld:1007 - Removing unused input(s) 'SW<2>'. The input(s) are unused after optimization. Please verify functionality via simulation. WARNING:Cpld:1007 - Removing unused input(s) 'SW<3>'. The input(s) are unused after optimization. Please verify functionality via simulation. +WARNING:Cpld:1007 - Removing unused input(s) 'nBG_IOB'. The input(s) are unused + after optimization. Please verify functionality via simulation. ************************* Summary of Mapped Logic ************************ ** 36 Outputs ** Signal Total Total Loc Pin Pin Pin Pwr Slew Reg Init Name Pts Inps No. Type Use Mode Rate State -nDTACK_FSB 11 26 FB3_9 28 I/O O STD FAST RESET +nDTACK_FSB 10 26 FB3_9 28 I/O O STD FAST RESET nROMWE 1 2 FB3_17 34 I/O O STD FAST -nAoutOE 1 3 FB4_2 87 I/O O STD FAST RESET +nAoutOE 1 3 FB4_2 87 I/O O STD FAST SET nDoutOE 1 2 FB4_5 89 I/O O STD FAST -nDinOE 2 6 FB4_6 90 I/O O STD FAST +nDinOE 3 6 FB4_6 90 I/O O STD FAST nRES 1 1 FB4_8 91 I/O I/O STD FAST nVPA_FSB 1 2 FB4_11 93 I/O O STD FAST nROMCS 2 5 FB5_2 35 I/O O STD FAST @@ -124,67 +126,62 @@ nRAMUWE 1 4 FB8_8 66 I/O O STD FAST nBERR_FSB 4 8 FB8_12 70 I/O O STD FAST RESET nBR_IOB 2 4 FB8_15 72 I/O O STD FAST RESET -** 85 Buried Nodes ** +** 83 Buried Nodes ** Signal Total Total Loc Pwr Reg Init Name Pts Inps Mode State -iobm/nASr 1 2 FB1_1 STD RESET -iobm/VPArr 1 1 FB1_2 STD RESET -iobm/VPArf 1 1 FB1_3 STD RESET -iobm/RESrr 1 1 FB1_4 STD RESET -iobm/RESrf 1 1 FB1_5 STD RESET -iobm/IOREQr 1 1 FB1_6 STD RESET -iobm/Er2 1 1 FB1_7 STD RESET -iobm/Er 1 1 FB1_8 STD RESET -iobm/DTACKrr 1 1 FB1_9 STD RESET -iobm/DTACKrf 1 1 FB1_10 STD RESET -iobm/BERRrr 1 1 FB1_11 STD RESET -iobm/BERRrf 1 1 FB1_12 STD RESET -cnt/IPL2r 1 1 FB1_13 STD RESET -iobm/IOS_FSM_FFd1 2 3 FB1_14 STD RESET -iobm/BG 2 2 FB1_15 STD RESET -iobs/IOU0 3 5 FB1_16 STD RESET -iobs/IOL0 3 5 FB1_17 STD RESET -iobm/IOS_FSM_FFd3 3 6 FB1_18 STD RESET -ram/RegUrgentSync 1 1 FB2_7 STD RESET -ram/RefUrgent 1 2 FB2_8 STD RESET -ram/RefReqSync 1 1 FB2_9 STD RESET -ram/RefReq 1 2 FB2_10 STD RESET -ram/RefRAS 1 2 FB2_11 STD RESET -ram/BACTr 1 2 FB2_12 STD RESET -iobs/IOACTr 1 1 FB2_13 STD RESET -iobs/ALE0 1 2 FB2_14 STD RESET -fsb/ASrf 1 1 FB2_15 STD RESET -iobs/PS_FSM_FFd1 2 3 FB2_16 STD RESET -iobs/IOU1 2 2 FB2_17 STD RESET -iobs/IOL1 2 2 FB2_18 STD RESET -fsb/VPA 20 26 FB3_2 STD RESET -iobs/Clear1 1 3 FB3_5 STD RESET -fsb/Ready0r 3 8 FB3_6 STD RESET -iobs/IOReady 4 8 FB3_7 STD RESET -fsb/Ready1r 7 17 FB3_10 STD RESET +ram/RegUrgentSync 1 1 FB1_1 STD RESET +ram/RefUrgent 1 2 FB1_2 STD RESET +ram/RefReqSync 1 1 FB1_3 STD RESET +ram/RefReq 1 2 FB1_4 STD RESET +ram/RefRAS 1 2 FB1_5 STD RESET +iobs/IOACTr 1 1 FB1_6 STD RESET +fsb/ASrf 1 1 FB1_7 STD RESET +iobs/IOU1 2 2 FB1_8 STD RESET +iobs/IOL1 2 2 FB1_9 STD RESET +cnt/TimerTC 2 5 FB1_10 STD RESET +iobs/IOU0 3 5 FB1_11 STD RESET +iobs/IOL0 3 5 FB1_12 STD RESET +cnt/Timer<3> 3 6 FB1_13 STD RESET +cnt/Timer<2> 3 5 FB1_14 STD RESET +cnt/Timer<1> 3 4 FB1_15 STD RESET +cnt/RefUrgent 3 5 FB1_16 STD RESET +iobs/IOReady 4 8 FB1_17 STD RESET +cnt/RefReq 4 5 FB1_18 STD RESET +iobm/VPArr 1 1 FB2_9 STD RESET +iobm/VPArf 1 1 FB2_10 STD RESET +iobm/RESrr 1 1 FB2_11 STD RESET +iobm/RESrf 1 1 FB2_12 STD RESET +iobm/IOREQr 1 1 FB2_13 STD RESET +iobm/Er2 1 1 FB2_14 STD RESET +iobm/Er 1 1 FB2_15 STD RESET +iobm/DTACKrr 1 1 FB2_16 STD RESET +iobm/DTACKrf 1 1 FB2_17 STD RESET +cnt/Timer<0> 2 3 FB2_18 STD RESET +fsb/VPA 18 26 FB3_1 STD RESET +iobs/IOREQ 13 19 FB3_7 STD RESET +fsb/Ready1r 6 17 FB3_10 STD RESET iobs/IORW1 16 19 FB3_12 STD RESET -iobs/IORW0 18 20 FB3_15 STD RESET -cnt/nRESout 2 4 FB4_1 STD RESET -cnt/TimerTC 2 5 FB4_3 STD RESET -cnt/Timer<0> 2 3 FB4_4 STD RESET +iobs/IORW0 17 20 FB3_15 STD RESET +iobm/BERRrr 1 1 FB4_3 STD RESET +iobm/BERRrf 1 1 FB4_4 STD RESET +cnt/PORS_FSM_FFd1 1 4 FB4_7 STD RESET +cnt/IPL2r 1 1 FB4_9 STD RESET +iobm/IOS_FSM_FFd1 2 3 FB4_10 STD RESET +cnt/nRESout 2 4 FB4_12 STD RESET +cnt/PORS_FSM_FFd2 2 3 FB4_13 STD RESET Signal Total Total Loc Pwr Reg Init Name Pts Inps Mode State -cnt/PORS_FSM_FFd2 2 3 FB4_7 STD RESET -cnt/LTimer<0> 2 3 FB4_9 STD RESET -cnt/Timer<3> 3 6 FB4_10 STD RESET -cnt/Timer<2> 3 5 FB4_12 STD RESET -cnt/Timer<1> 3 4 FB4_13 STD RESET -cnt/RefUrgent 3 5 FB4_14 STD RESET -cnt/RefReq 4 5 FB4_15 STD RESET -cnt/LTimer<3> 4 6 FB4_16 STD RESET -cnt/LTimer<2> 4 5 FB4_17 STD RESET -cnt/LTimer<1> 4 4 FB4_18 STD RESET +cnt/LTimer<0> 2 3 FB4_14 STD RESET +cnt/LTimer<3> 4 6 FB4_15 STD RESET +cnt/LTimer<2> 4 5 FB4_16 STD RESET +cnt/LTimer<1> 4 4 FB4_17 STD RESET +iobm/IOBERR 9 13 FB4_18 STD RESET ram/RASEL 17 13 FB5_1 STD RESET ram/RefDone 2 4 FB5_3 STD RESET cs/nOverlay1 2 3 FB5_4 STD RESET -ram/RS_FSM_FFd1 8 11 FB5_7 STD RESET +fsb/Ready0r 3 8 FB5_7 STD RESET cs/nOverlay0 3 8 FB5_8 STD RESET ram/RAMEN 9 13 FB5_10 STD RESET ram/RS_FSM_FFd2 10 12 FB5_13 STD RESET @@ -192,14 +189,14 @@ ram/RAMReady 12 13 FB5_17 STD RESET iobm/ETACK 1 6 FB6_1 STD RESET iobm/DoutOE 2 3 FB6_3 STD RESET iobm/ALE0 2 4 FB6_4 STD RESET -iobm/ES<3> 3 6 FB6_5 STD RESET -iobm/ES<1> 3 4 FB6_6 STD RESET -iobm/ES<0> 3 7 FB6_7 STD RESET -iobm/ES<4> 4 7 FB6_8 STD RESET -iobm/IOS_FSM_FFd2 5 11 FB6_10 STD RESET -iobm/ES<2> 5 7 FB6_13 STD RESET -iobm/IOACT 6 12 FB6_16 STD RESET -iobm/IOBERR 9 13 FB6_18 STD RESET +iobm/IOS_FSM_FFd3 3 6 FB6_5 STD RESET +iobm/ES<3> 3 6 FB6_6 STD RESET +iobm/ES<1> 3 4 FB6_7 STD RESET +iobm/ES<0> 3 7 FB6_8 STD RESET +iobm/ES<4> 4 7 FB6_10 STD RESET +iobm/IOS_FSM_FFd2 5 11 FB6_13 STD RESET +iobm/ES<2> 5 7 FB6_16 STD RESET +iobm/IOACT 6 12 FB6_18 STD RESET cnt/LTimer<13> 3 15 FB7_1 STD RESET cnt/LTimer<9> 4 12 FB7_3 STD RESET cnt/LTimer<8> 4 11 FB7_4 STD RESET @@ -210,17 +207,20 @@ cnt/LTimer<4> 4 7 FB7_15 STD RESET cnt/LTimer<12> 4 15 FB7_16 STD RESET cnt/LTimer<11> 4 14 FB7_17 STD RESET cnt/LTimer<10> 4 13 FB7_18 STD RESET -cnt/PORS_FSM_FFd1 1 4 FB8_1 STD RESET +iobs/Once 15 18 FB8_1 STD RESET +iobs/Clear1 1 3 FB8_3 STD RESET +iobs/ALE0 1 2 FB8_4 STD RESET +iobs/PS_FSM_FFd1 2 3 FB8_7 STD RESET +ram/RS_FSM_FFd1 8 11 FB8_9 STD RESET +ram/RS_FSM_FFd3 9 11 FB8_11 STD RESET Signal Total Total Loc Pwr Reg Init Name Pts Inps Mode State -ram/RS_FSM_FFd3 9 11 FB8_3 STD RESET -iobs/PS_FSM_FFd2 14 19 FB8_7 STD RESET -iobs/Load1 14 18 FB8_10 STD RESET -iobs/IOREQ 14 19 FB8_14 STD RESET -iobs/Once 17 18 FB8_17 STD RESET +iobs/PS_FSM_FFd2 12 19 FB8_14 STD RESET +iobs/Load1 14 18 FB8_16 STD RESET +ram/BACTr 1 2 FB8_17 STD RESET -** 36 Inputs ** +** 35 Inputs ** Signal Loc Pin Pin Pin Name No. Type Use @@ -259,7 +259,6 @@ A_FSB<4> FB4_17 97 I/O I nBERR_IOB FB6_5 76 I/O I nVPA_IOB FB6_6 77 I/O I nDTACK_IOB FB6_8 78 I/O I -nBG_IOB FB8_17 73 I/O I Legend: Pin No. - ~ - User Assigned @@ -277,65 +276,66 @@ Pin Type/Use - I - Input GCK - Global Clock X - Signal used as input to the macrocell logic. Pin No. - ~ - User Assigned *********************************** FB1 *********************************** -Number of function block inputs used/remaining: 25/29 -Number of signals used by logic mapping into function block: 25 +Number of function block inputs used/remaining: 28/26 +Number of signals used by logic mapping into function block: 28 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use -iobm/nASr 1 0 0 4 FB1_1 (b) (b) -iobm/VPArr 1 0 0 4 FB1_2 11 I/O I -iobm/VPArf 1 0 0 4 FB1_3 12 I/O I -iobm/RESrr 1 0 0 4 FB1_4 (b) (b) -iobm/RESrf 1 0 0 4 FB1_5 13 I/O I -iobm/IOREQr 1 0 0 4 FB1_6 14 I/O I -iobm/Er2 1 0 0 4 FB1_7 (b) (b) -iobm/Er 1 0 0 4 FB1_8 15 I/O I -iobm/DTACKrr 1 0 0 4 FB1_9 16 I/O I -iobm/DTACKrf 1 0 0 4 FB1_10 (b) (b) -iobm/BERRrr 1 0 0 4 FB1_11 17 I/O I -iobm/BERRrf 1 0 0 4 FB1_12 18 I/O I -cnt/IPL2r 1 0 0 4 FB1_13 (b) (b) -iobm/IOS_FSM_FFd1 2 0 0 3 FB1_14 19 I/O I -iobm/BG 2 0 0 3 FB1_15 20 I/O I -iobs/IOU0 3 0 0 2 FB1_16 (b) (b) -iobs/IOL0 3 0 0 2 FB1_17 22 GCK/I/O GCK -iobm/IOS_FSM_FFd3 3 0 0 2 FB1_18 (b) (b) +ram/RegUrgentSync 1 0 0 4 FB1_1 (b) (b) +ram/RefUrgent 1 0 0 4 FB1_2 11 I/O I +ram/RefReqSync 1 0 0 4 FB1_3 12 I/O I +ram/RefReq 1 0 0 4 FB1_4 (b) (b) +ram/RefRAS 1 0 0 4 FB1_5 13 I/O I +iobs/IOACTr 1 0 0 4 FB1_6 14 I/O I +fsb/ASrf 1 0 0 4 FB1_7 (b) (b) +iobs/IOU1 2 0 0 3 FB1_8 15 I/O I +iobs/IOL1 2 0 0 3 FB1_9 16 I/O I +cnt/TimerTC 2 0 0 3 FB1_10 (b) (b) +iobs/IOU0 3 0 0 2 FB1_11 17 I/O I +iobs/IOL0 3 0 0 2 FB1_12 18 I/O I +cnt/Timer<3> 3 0 0 2 FB1_13 (b) (b) +cnt/Timer<2> 3 0 0 2 FB1_14 19 I/O I +cnt/Timer<1> 3 0 0 2 FB1_15 20 I/O I +cnt/RefUrgent 3 0 0 2 FB1_16 (b) (b) +iobs/IOReady 4 0 0 1 FB1_17 22 GCK/I/O GCK +cnt/RefReq 4 0 0 1 FB1_18 (b) (b) Signals Used by Logic in Function Block - 1: C8M 10: iobm/nASr 18: nAoutOE - 2: E 11: iobs/IOL1 19: nBERR_IOB - 3: nRES.PIN 12: iobs/IOREQ 20: nBG_IOB - 4: iobm/BG 13: iobs/IOU1 21: nDTACK_IOB - 5: iobm/Er 14: iobs/PS_FSM_FFd1 22: nIPL2 - 6: iobm/IOREQr 15: iobs/PS_FSM_FFd2 23: nLDS_FSB - 7: iobm/IOS_FSM_FFd1 16: nADoutLE1 24: nUDS_FSB - 8: iobm/IOS_FSM_FFd2 17: nAS_IOB 25: nVPA_IOB - 9: iobm/IOS_FSM_FFd3 + 1: E 11: iobm/IOBERR 20: nADoutLE1 + 2: cnt/RefReq 12: iobs/IOACTr 21: nAS_FSB + 3: cnt/RefUrgent 13: iobs/IOL1 22: nLDS_FSB + 4: cnt/Timer<0> 14: iobs/IOReady 23: nUDS_FSB + 5: cnt/Timer<1> 15: iobs/IOU1 24: ram/RS_FSM_FFd1 + 6: cnt/Timer<2> 16: iobs/Load1 25: ram/RS_FSM_FFd2 + 7: cnt/Timer<3> 17: iobs/Once 26: ram/RefDone + 8: cnt/TimerTC 18: iobs/PS_FSM_FFd1 27: ram/RefReqSync + 9: fsb/ASrf 19: iobs/PS_FSM_FFd2 28: ram/RegUrgentSync + 10: iobm/IOACT Signal 1 2 3 4 FB Name 0----+----0----+----0----+----0----+----0 Inputs -iobm/nASr ................XX...................... 2 -iobm/VPArr ........................X............... 1 -iobm/VPArf ........................X............... 1 -iobm/RESrr ..X..................................... 1 -iobm/RESrf ..X..................................... 1 -iobm/IOREQr ...........X............................ 1 -iobm/Er2 ....X................................... 1 -iobm/Er .X...................................... 1 -iobm/DTACKrr ....................X................... 1 -iobm/DTACKrf ....................X................... 1 -iobm/BERRrr ..................X..................... 1 -iobm/BERRrf ..................X..................... 1 -cnt/IPL2r .....................X.................. 1 -iobm/IOS_FSM_FFd1 ......XXX............................... 3 -iobm/BG .........X.........X.................... 2 -iobs/IOU0 ............XXXX.......X................ 5 -iobs/IOL0 ..........X..XXX......X................. 5 -iobm/IOS_FSM_FFd3 X..X.XXXX............................... 6 +ram/RegUrgentSync ..X..................................... 1 +ram/RefUrgent .........................X.X............ 2 +ram/RefReqSync .X...................................... 1 +ram/RefReq .........................XX............. 2 +ram/RefRAS .......................XX............... 2 +iobs/IOACTr .........X.............................. 1 +fsb/ASrf ....................X................... 1 +iobs/IOU1 ...............X......X................. 2 +iobs/IOL1 ...............X.....X.................. 2 +cnt/TimerTC X..XXXX................................. 5 +iobs/IOU0 ..............X..XXX..X................. 5 +iobs/IOL0 ............X....XXX.X.................. 5 +cnt/Timer<3> X..XXXXX................................ 6 +cnt/Timer<2> X..XXX.X................................ 5 +cnt/Timer<1> X..XX..X................................ 4 +cnt/RefUrgent X..XXXX................................. 5 +iobs/IOReady ........X.XX.X..X.XXX................... 8 +cnt/RefReq X..XXXX................................. 5 0----+----1----+----2----+----3----+----4 0 0 0 0 *********************************** FB2 *********************************** -Number of function block inputs used/remaining: 16/38 -Number of signals used by logic mapping into function block: 16 +Number of function block inputs used/remaining: 8/46 +Number of signals used by logic mapping into function block: 8 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use (unused) 0 0 0 5 FB2_1 (b) @@ -344,153 +344,148 @@ Name Pt Pt Pt Pt # Type Use (unused) 0 0 0 5 FB2_4 (b) (unused) 0 0 0 5 FB2_5 1 GTS/I/O (unused) 0 0 0 5 FB2_6 2 GTS/I/O I -ram/RegUrgentSync 1 0 0 4 FB2_7 (b) (b) -ram/RefUrgent 1 0 0 4 FB2_8 3 GTS/I/O I -ram/RefReqSync 1 0 0 4 FB2_9 4 GTS/I/O I -ram/RefReq 1 0 0 4 FB2_10 (b) (b) -ram/RefRAS 1 0 0 4 FB2_11 6 I/O I -ram/BACTr 1 0 0 4 FB2_12 7 I/O I -iobs/IOACTr 1 0 0 4 FB2_13 (b) (b) -iobs/ALE0 1 0 0 4 FB2_14 8 I/O I -fsb/ASrf 1 0 0 4 FB2_15 9 I/O I -iobs/PS_FSM_FFd1 2 0 0 3 FB2_16 (b) (b) -iobs/IOU1 2 0 0 3 FB2_17 10 I/O I -iobs/IOL1 2 0 0 3 FB2_18 (b) (b) +(unused) 0 0 0 5 FB2_7 (b) +(unused) 0 0 0 5 FB2_8 3 GTS/I/O I +iobm/VPArr 1 0 0 4 FB2_9 4 GTS/I/O I +iobm/VPArf 1 0 0 4 FB2_10 (b) (b) +iobm/RESrr 1 0 0 4 FB2_11 6 I/O I +iobm/RESrf 1 0 0 4 FB2_12 7 I/O I +iobm/IOREQr 1 0 0 4 FB2_13 (b) (b) +iobm/Er2 1 0 0 4 FB2_14 8 I/O I +iobm/Er 1 0 0 4 FB2_15 9 I/O I +iobm/DTACKrr 1 0 0 4 FB2_16 (b) (b) +iobm/DTACKrf 1 0 0 4 FB2_17 10 I/O I +cnt/Timer<0> 2 0 0 3 FB2_18 (b) (b) Signals Used by Logic in Function Block - 1: cnt/RefReq 7: iobs/PS_FSM_FFd1 12: ram/RS_FSM_FFd1 - 2: cnt/RefUrgent 8: iobs/PS_FSM_FFd2 13: ram/RS_FSM_FFd2 - 3: fsb/ASrf 9: nAS_FSB 14: ram/RefDone - 4: iobm/IOACT 10: nLDS_FSB 15: ram/RefReqSync - 5: iobs/IOACTr 11: nUDS_FSB 16: ram/RegUrgentSync - 6: iobs/Load1 + 1: E 4: cnt/TimerTC 7: nDTACK_IOB + 2: nRES.PIN 5: iobm/Er 8: nVPA_IOB + 3: cnt/Timer<0> 6: iobs/IOREQ Signal 1 2 3 4 FB Name 0----+----0----+----0----+----0----+----0 Inputs -ram/RegUrgentSync .X...................................... 1 -ram/RefUrgent .............X.X........................ 2 -ram/RefReqSync X....................................... 1 -ram/RefReq .............XX......................... 2 -ram/RefRAS ...........XX........................... 2 -ram/BACTr ..X.....X............................... 2 -iobs/IOACTr ...X.................................... 1 -iobs/ALE0 ......XX................................ 2 -fsb/ASrf ........X............................... 1 -iobs/PS_FSM_FFd1 ....X.XX................................ 3 -iobs/IOU1 .....X....X............................. 2 -iobs/IOL1 .....X...X.............................. 2 +iobm/VPArr .......X................................ 1 +iobm/VPArf .......X................................ 1 +iobm/RESrr .X...................................... 1 +iobm/RESrf .X...................................... 1 +iobm/IOREQr .....X.................................. 1 +iobm/Er2 ....X................................... 1 +iobm/Er X....................................... 1 +iobm/DTACKrr ......X................................. 1 +iobm/DTACKrf ......X................................. 1 +cnt/Timer<0> X.XX.................................... 3 0----+----1----+----2----+----3----+----4 0 0 0 0 *********************************** FB3 *********************************** -Number of function block inputs used/remaining: 34/20 -Number of signals used by logic mapping into function block: 34 +Number of function block inputs used/remaining: 33/21 +Number of signals used by logic mapping into function block: 33 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use -(unused) 0 0 \/5 0 FB3_1 (b) (b) -fsb/VPA 20 15<- 0 0 FB3_2 23 GCK/I/O GCK/I -(unused) 0 0 /\5 0 FB3_3 (b) (b) +fsb/VPA 18 13<- 0 0 FB3_1 (b) (b) +(unused) 0 0 /\5 0 FB3_2 23 GCK/I/O GCK/I +(unused) 0 0 /\3 2 FB3_3 (b) (b) (unused) 0 0 0 5 FB3_4 (b) -iobs/Clear1 1 0 \/1 3 FB3_5 24 I/O I -fsb/Ready0r 3 1<- \/3 0 FB3_6 25 I/O I -iobs/IOReady 4 3<- \/4 0 FB3_7 (b) (b) +(unused) 0 0 \/5 0 FB3_5 24 I/O I +(unused) 0 0 \/5 0 FB3_6 25 I/O I +iobs/IOREQ 13 10<- \/2 0 FB3_7 (b) (b) (unused) 0 0 \/5 0 FB3_8 27 GCK/I/O GCK -nDTACK_FSB 11 9<- \/3 0 FB3_9 28 I/O O -fsb/Ready1r 7 3<- \/1 0 FB3_10 (b) (b) +nDTACK_FSB 10 7<- \/2 0 FB3_9 28 I/O O +fsb/Ready1r 6 2<- \/1 0 FB3_10 (b) (b) (unused) 0 0 \/5 0 FB3_11 29 I/O I iobs/IORW1 16 11<- 0 0 FB3_12 30 I/O I (unused) 0 0 /\5 0 FB3_13 (b) (b) (unused) 0 0 \/5 0 FB3_14 32 I/O I -iobs/IORW0 18 13<- 0 0 FB3_15 33 I/O I +iobs/IORW0 17 12<- 0 0 FB3_15 33 I/O I (unused) 0 0 /\5 0 FB3_16 (b) (b) -nROMWE 1 0 /\3 1 FB3_17 34 I/O O +nROMWE 1 0 /\2 2 FB3_17 34 I/O O (unused) 0 0 \/5 0 FB3_18 (b) (b) Signals Used by Logic in Function Block - 1: A_FSB<10> 13: A_FSB<22> 24: iobs/IORW0 - 2: A_FSB<11> 14: A_FSB<23> 25: iobs/IORW1 - 3: A_FSB<12> 15: A_FSB<8> 26: iobs/IOReady - 4: A_FSB<13> 16: A_FSB<9> 27: iobs/Once - 5: A_FSB<14> 17: cs/nOverlay1 28: iobs/PS_FSM_FFd1 - 6: A_FSB<15> 18: fsb/ASrf 29: iobs/PS_FSM_FFd2 - 7: A_FSB<16> 19: fsb/Ready0r 30: nADoutLE1 - 8: A_FSB<17> 20: fsb/Ready1r 31: nAS_FSB - 9: A_FSB<18> 21: fsb/VPA 32: nDTACK_FSB - 10: A_FSB<19> 22: iobm/IOBERR 33: nWE_FSB - 11: A_FSB<20> 23: iobs/IOACTr 34: ram/RAMReady - 12: A_FSB<21> + 1: A_FSB<10> 12: A_FSB<21> 23: iobs/IORW0 + 2: A_FSB<11> 13: A_FSB<22> 24: iobs/IORW1 + 3: A_FSB<12> 14: A_FSB<23> 25: iobs/IOReady + 4: A_FSB<13> 15: A_FSB<8> 26: iobs/Once + 5: A_FSB<14> 16: A_FSB<9> 27: iobs/PS_FSM_FFd1 + 6: A_FSB<15> 17: cs/nOverlay1 28: iobs/PS_FSM_FFd2 + 7: A_FSB<16> 18: fsb/ASrf 29: nADoutLE1 + 8: A_FSB<17> 19: fsb/Ready0r 30: nAS_FSB + 9: A_FSB<18> 20: fsb/Ready1r 31: nDTACK_FSB + 10: A_FSB<19> 21: fsb/VPA 32: nWE_FSB + 11: A_FSB<20> 22: iobs/IOACTr 33: ram/RAMReady Signal 1 2 3 4 FB Name 0----+----0----+----0----+----0----+----0 Inputs -fsb/VPA XXXXXXXXXXXXXXXXXXXXX....X...XX.XX...... 26 -iobs/Clear1 ...........................XXX.......... 3 -fsb/Ready0r ...........XXX..XXX...........X..X...... 8 -iobs/IOReady .................X...XX..XX.XXX......... 8 -nDTACK_FSB XXXXXXXXXXXXXXXXXXXX.....X...XXXXX...... 26 -fsb/Ready1r ...XX.XXXXXXXX..XX.X.....X...XX.X....... 17 -iobs/IORW1 ...XX.XXXXXXXX..XX......X.XXXXX.X....... 19 -iobs/IORW0 ...XX.XXXXXXXX..XX.....XX.XXXXX.X....... 20 -nROMWE ..............................X.X....... 2 +fsb/VPA XXXXXXXXXXXXXXXXXXXXX...X...XX.XX....... 26 +iobs/IOREQ ...XX.XXXXXXXX..XX...X...XXXXX.X........ 19 +nDTACK_FSB XXXXXXXXXXXXXXXXXXXX....X...XXXXX....... 26 +fsb/Ready1r ...XX.XXXXXXXX..XX.X....X...XX.X........ 17 +iobs/IORW1 ...XX.XXXXXXXX..XX.....X.XXXXX.X........ 19 +iobs/IORW0 ...XX.XXXXXXXX..XX....XX.XXXXX.X........ 20 +nROMWE .............................X.X........ 2 0----+----1----+----2----+----3----+----4 0 0 0 0 *********************************** FB4 *********************************** -Number of function block inputs used/remaining: 24/30 -Number of signals used by logic mapping into function block: 24 +Number of function block inputs used/remaining: 34/20 +Number of signals used by logic mapping into function block: 34 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use -cnt/nRESout 2 0 0 3 FB4_1 (b) (b) +(unused) 0 0 /\3 2 FB4_1 (b) (b) nAoutOE 1 0 0 4 FB4_2 87 I/O O -cnt/TimerTC 2 0 0 3 FB4_3 (b) (b) -cnt/Timer<0> 2 0 0 3 FB4_4 (b) (b) +iobm/BERRrr 1 0 0 4 FB4_3 (b) (b) +iobm/BERRrf 1 0 0 4 FB4_4 (b) (b) nDoutOE 1 0 0 4 FB4_5 89 I/O O -nDinOE 2 0 0 3 FB4_6 90 I/O O -cnt/PORS_FSM_FFd2 2 0 0 3 FB4_7 (b) (b) +nDinOE 3 0 0 2 FB4_6 90 I/O O +cnt/PORS_FSM_FFd1 1 0 0 4 FB4_7 (b) (b) nRES 1 0 0 4 FB4_8 91 I/O I/O -cnt/LTimer<0> 2 0 0 3 FB4_9 92 I/O I -cnt/Timer<3> 3 0 0 2 FB4_10 (b) (b) +cnt/IPL2r 1 0 0 4 FB4_9 92 I/O I +iobm/IOS_FSM_FFd1 2 0 0 3 FB4_10 (b) (b) nVPA_FSB 1 0 0 4 FB4_11 93 I/O O -cnt/Timer<2> 3 0 0 2 FB4_12 94 I/O I -cnt/Timer<1> 3 0 0 2 FB4_13 (b) (b) -cnt/RefUrgent 3 0 0 2 FB4_14 95 I/O I -cnt/RefReq 4 0 0 1 FB4_15 96 I/O I -cnt/LTimer<3> 4 0 0 1 FB4_16 (b) (b) -cnt/LTimer<2> 4 0 0 1 FB4_17 97 I/O I -cnt/LTimer<1> 4 0 0 1 FB4_18 (b) (b) +cnt/nRESout 2 0 0 3 FB4_12 94 I/O I +cnt/PORS_FSM_FFd2 2 0 0 3 FB4_13 (b) (b) +cnt/LTimer<0> 2 0 0 3 FB4_14 95 I/O I +cnt/LTimer<3> 4 0 0 1 FB4_15 96 I/O I +cnt/LTimer<2> 4 0 0 1 FB4_16 (b) (b) +cnt/LTimer<1> 4 0 \/1 0 FB4_17 97 I/O I +iobm/IOBERR 9 4<- 0 0 FB4_18 (b) (b) Signals Used by Logic in Function Block - 1: A_FSB<20> 9: cnt/LTimer<2> 17: cnt/TimerTC - 2: A_FSB<21> 10: cnt/LTimer<3> 18: cnt/nRESout - 3: A_FSB<22> 11: cnt/PORS_FSM_FFd1 19: fsb/VPA - 4: A_FSB<23> 12: cnt/PORS_FSM_FFd2 20: iobm/DoutOE - 5: E 13: cnt/Timer<0> 21: nAS_FSB - 6: cnt/LTimer<0> 14: cnt/Timer<1> 22: nAoutOE - 7: cnt/LTimer<13> 15: cnt/Timer<2> 23: nBR_IOB - 8: cnt/LTimer<1> 16: cnt/Timer<3> 24: nWE_FSB + 1: A_FSB<20> 13: cnt/PORS_FSM_FFd2 24: iobm/IOS_FSM_FFd1 + 2: A_FSB<21> 14: cnt/TimerTC 25: iobm/IOS_FSM_FFd2 + 3: A_FSB<22> 15: cnt/nRESout 26: iobm/IOS_FSM_FFd3 + 4: A_FSB<23> 16: fsb/VPA 27: iobm/RESrf + 5: C8M 17: iobm/BERRrf 28: iobm/RESrr + 6: cnt/IPL2r 18: iobm/BERRrr 29: nAS_FSB + 7: cnt/LTimer<0> 19: iobm/DTACKrf 30: nAoutOE + 8: cnt/LTimer<13> 20: iobm/DTACKrr 31: nBERR_IOB + 9: cnt/LTimer<1> 21: iobm/DoutOE 32: nBR_IOB + 10: cnt/LTimer<2> 22: iobm/ETACK 33: nIPL2 + 11: cnt/LTimer<3> 23: iobm/IOBERR 34: nWE_FSB + 12: cnt/PORS_FSM_FFd1 Signal 1 2 3 4 FB Name 0----+----0----+----0----+----0----+----0 Inputs -cnt/nRESout ......X...XX.....X...................... 4 -nAoutOE ..........XX..........X................. 3 -cnt/TimerTC ....X.......XXXX........................ 5 -cnt/Timer<0> ....X.......X...X....................... 3 -nDoutOE ...................X.X.................. 2 -nDinOE XXXX................X..X................ 6 -cnt/PORS_FSM_FFd2 ......X...XX............................ 3 -nRES .................X...................... 1 -cnt/LTimer<0> .....XX.........X....................... 3 -cnt/Timer<3> ....X.......XXXXX....................... 6 -nVPA_FSB ..................X.X................... 2 -cnt/Timer<2> ....X.......XXX.X....................... 5 -cnt/Timer<1> ....X.......XX..X....................... 4 -cnt/RefUrgent ....X.......XXXX........................ 5 -cnt/RefReq ....X.......XXXX........................ 5 -cnt/LTimer<3> .....XXXXX......X....................... 6 -cnt/LTimer<2> .....XXXX.......X....................... 5 -cnt/LTimer<1> .....XXX........X....................... 4 +nAoutOE ...........XX..................X........ 3 +iobm/BERRrr ..............................X......... 1 +iobm/BERRrf ..............................X......... 1 +nDoutOE ....................X........X.......... 2 +nDinOE XXXX........................X....X...... 6 +cnt/PORS_FSM_FFd1 .....X.X...XX........................... 4 +nRES ..............X......................... 1 +cnt/IPL2r ................................X....... 1 +iobm/IOS_FSM_FFd1 .......................XXX.............. 3 +nVPA_FSB ...............X............X........... 2 +cnt/nRESout .......X...XX.X......................... 4 +cnt/PORS_FSM_FFd2 .......X...XX........................... 3 +cnt/LTimer<0> ......XX.....X.......................... 3 +cnt/LTimer<3> ......XXXXX..X.......................... 6 +cnt/LTimer<2> ......XXXX...X.......................... 5 +cnt/LTimer<1> ......XXX....X.......................... 4 +iobm/IOBERR ....X...........XXXX.XXXXXXX..X......... 13 0----+----1----+----2----+----3----+----4 0 0 0 0 *********************************** FB5 *********************************** -Number of function block inputs used/remaining: 30/24 -Number of signals used by logic mapping into function block: 30 +Number of function block inputs used/remaining: 32/22 +Number of signals used by logic mapping into function block: 32 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use ram/RASEL 17 12<- 0 0 FB5_1 (b) (b) @@ -498,8 +493,8 @@ nROMCS 2 2<- /\5 0 FB5_2 35 I/O O ram/RefDone 2 0 /\2 1 FB5_3 (b) (b) cs/nOverlay1 2 0 0 3 FB5_4 (b) (b) nCAS 1 0 0 4 FB5_5 36 I/O O -nOE 1 0 \/3 1 FB5_6 37 I/O O -ram/RS_FSM_FFd1 8 3<- 0 0 FB5_7 (b) (b) +nOE 1 0 0 4 FB5_6 37 I/O O +fsb/Ready0r 3 0 0 2 FB5_7 (b) (b) cs/nOverlay0 3 0 \/1 1 FB5_8 39 I/O (b) RA<4> 2 1<- \/4 0 FB5_9 40 I/O O ram/RAMEN 9 4<- 0 0 FB5_10 (b) (b) @@ -513,95 +508,95 @@ ram/RAMReady 12 9<- \/2 0 FB5_17 49 I/O (b) (unused) 0 0 \/5 0 FB5_18 (b) (b) Signals Used by Logic in Function Block - 1: A_FSB<12> 11: A_FSB<4> 21: ram/BACTr - 2: A_FSB<13> 12: A_FSB<5> 22: ram/RAMEN - 3: A_FSB<14> 13: A_FSB<6> 23: ram/RASEL - 4: A_FSB<15> 14: A_FSB<7> 24: ram/RS_FSM_FFd1 - 5: A_FSB<16> 15: nRES.PIN 25: ram/RS_FSM_FFd2 - 6: A_FSB<20> 16: cs/nOverlay0 26: ram/RS_FSM_FFd3 - 7: A_FSB<21> 17: cs/nOverlay1 27: ram/RefDone - 8: A_FSB<22> 18: fsb/ASrf 28: ram/RefReq - 9: A_FSB<23> 19: nAS_FSB 29: ram/RefReqSync - 10: A_FSB<3> 20: nWE_FSB 30: ram/RefUrgent + 1: A_FSB<12> 12: A_FSB<5> 23: ram/RAMEN + 2: A_FSB<13> 13: A_FSB<6> 24: ram/RAMReady + 3: A_FSB<14> 14: A_FSB<7> 25: ram/RASEL + 4: A_FSB<15> 15: nRES.PIN 26: ram/RS_FSM_FFd1 + 5: A_FSB<16> 16: cs/nOverlay0 27: ram/RS_FSM_FFd2 + 6: A_FSB<20> 17: cs/nOverlay1 28: ram/RS_FSM_FFd3 + 7: A_FSB<21> 18: fsb/ASrf 29: ram/RefDone + 8: A_FSB<22> 19: fsb/Ready0r 30: ram/RefReq + 9: A_FSB<23> 20: nAS_FSB 31: ram/RefReqSync + 10: A_FSB<3> 21: nWE_FSB 32: ram/RefUrgent + 11: A_FSB<4> 22: ram/BACTr Signal 1 2 3 4 FB Name 0----+----0----+----0----+----0----+----0 Inputs -ram/RASEL ......XXX.......XXX.XX.XXX.X.X.......... 13 +ram/RASEL ......XXX.......XX.X.XX..XXX.X.X........ 13 nROMCS .....XXXX.......X....................... 5 -ram/RefDone .......................XX.X.X........... 4 -cs/nOverlay1 ...............X.XX..................... 3 -nCAS ......................X................. 1 -nOE ..................XX.................... 2 -ram/RS_FSM_FFd1 ......XXX.......XXX..X.XXX...X.......... 11 -cs/nOverlay0 .....XXXX.....XX.XX..................... 8 -RA<4> ..X........X..........X................. 3 -ram/RAMEN ......XXX.......XXX.XX.XXX.X.X.......... 13 -RA<3> .X........X...........X................. 3 -RA<5> ...X........X.........X................. 3 -ram/RS_FSM_FFd2 ......XXX.......XXX.X..XXX.X.X.......... 12 -RA<2> X........X............X................. 3 -RA<6> ....X........X........X................. 3 -ram/RAMReady ......XXX.......XXX.XX.XXX.X.X.......... 13 +ram/RefDone .........................XX.X.X......... 4 +cs/nOverlay1 ...............X.X.X.................... 3 +nCAS ........................X............... 1 +nOE ...................XX................... 2 +fsb/Ready0r ......XXX.......XXXX...X................ 8 +cs/nOverlay0 .....XXXX.....XX.X.X.................... 8 +RA<4> ..X........X............X............... 3 +ram/RAMEN ......XXX.......XX.X.XX..XXX.X.X........ 13 +RA<3> .X........X.............X............... 3 +RA<5> ...X........X...........X............... 3 +ram/RS_FSM_FFd2 ......XXX.......XX.X.X...XXX.X.X........ 12 +RA<2> X........X..............X............... 3 +RA<6> ....X........X..........X............... 3 +ram/RAMReady ......XXX.......XX.X.XX..XXX.X.X........ 13 0----+----1----+----2----+----3----+----4 0 0 0 0 *********************************** FB6 *********************************** -Number of function block inputs used/remaining: 34/20 -Number of signals used by logic mapping into function block: 34 +Number of function block inputs used/remaining: 32/22 +Number of signals used by logic mapping into function block: 32 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use iobm/ETACK 1 0 0 4 FB6_1 (b) (b) nVMA_IOB 3 0 0 2 FB6_2 74 I/O O iobm/DoutOE 2 0 0 3 FB6_3 (b) (b) iobm/ALE0 2 0 0 3 FB6_4 (b) (b) -iobm/ES<3> 3 0 0 2 FB6_5 76 I/O I -iobm/ES<1> 3 0 0 2 FB6_6 77 I/O I -iobm/ES<0> 3 0 0 2 FB6_7 (b) (b) -iobm/ES<4> 4 0 0 1 FB6_8 78 I/O I +iobm/IOS_FSM_FFd3 3 0 0 2 FB6_5 76 I/O I +iobm/ES<3> 3 0 0 2 FB6_6 77 I/O I +iobm/ES<1> 3 0 0 2 FB6_7 (b) (b) +iobm/ES<0> 3 0 0 2 FB6_8 78 I/O I nLDS_IOB 4 0 0 1 FB6_9 79 I/O O -iobm/IOS_FSM_FFd2 5 0 0 0 FB6_10 (b) (b) +iobm/ES<4> 4 0 0 1 FB6_10 (b) (b) nUDS_IOB 4 0 0 1 FB6_11 80 I/O O nAS_IOB 3 0 0 2 FB6_12 81 I/O O -iobm/ES<2> 5 0 0 0 FB6_13 (b) (b) +iobm/IOS_FSM_FFd2 5 0 0 0 FB6_13 (b) (b) nADoutLE1 2 0 0 3 FB6_14 82 I/O O -nADoutLE0 1 0 \/1 3 FB6_15 85 I/O O -iobm/IOACT 6 1<- 0 0 FB6_16 (b) (b) -nDinLE 1 0 \/4 0 FB6_17 86 I/O O -iobm/IOBERR 9 4<- 0 0 FB6_18 (b) (b) +nADoutLE0 1 0 0 4 FB6_15 85 I/O O +iobm/ES<2> 5 0 0 0 FB6_16 (b) (b) +nDinLE 1 0 \/1 3 FB6_17 86 I/O O +iobm/IOACT 6 1<- 0 0 FB6_18 (b) (b) Signals Used by Logic in Function Block - 1: C8M 13: iobm/Er 24: iobm/VPArr - 2: iobm/ALE0 14: iobm/Er2 25: iobs/ALE0 - 3: iobm/BERRrf 15: iobm/IOACT 26: iobs/Clear1 - 4: iobm/BERRrr 16: iobm/IOBERR 27: iobs/IOL0 - 5: iobm/DTACKrf 17: iobm/IOREQr 28: iobs/IORW0 - 6: iobm/DTACKrr 18: iobm/IOS_FSM_FFd1 29: iobs/IOU0 - 7: iobm/ES<0> 19: iobm/IOS_FSM_FFd2 30: iobs/Load1 - 8: iobm/ES<1> 20: iobm/IOS_FSM_FFd3 31: nADoutLE1 - 9: iobm/ES<2> 21: iobm/RESrf 32: nAoutOE - 10: iobm/ES<3> 22: iobm/RESrr 33: nBERR_IOB - 11: iobm/ES<4> 23: iobm/VPArf 34: nVMA_IOB - 12: iobm/ETACK + 1: C8M 12: iobm/ETACK 23: iobm/VPArr + 2: iobm/ALE0 13: iobm/Er 24: iobs/ALE0 + 3: iobm/BERRrf 14: iobm/Er2 25: iobs/Clear1 + 4: iobm/BERRrr 15: iobm/IOACT 26: iobs/IOL0 + 5: iobm/DTACKrf 16: iobm/IOREQr 27: iobs/IORW0 + 6: iobm/DTACKrr 17: iobm/IOS_FSM_FFd1 28: iobs/IOU0 + 7: iobm/ES<0> 18: iobm/IOS_FSM_FFd2 29: iobs/Load1 + 8: iobm/ES<1> 19: iobm/IOS_FSM_FFd3 30: nADoutLE1 + 9: iobm/ES<2> 20: iobm/RESrf 31: nAoutOE + 10: iobm/ES<3> 21: iobm/RESrr 32: nVMA_IOB + 11: iobm/ES<4> 22: iobm/VPArf Signal 1 2 3 4 FB Name 0----+----0----+----0----+----0----+----0 Inputs -iobm/ETACK ......XXXXX......................X...... 6 -nVMA_IOB ......XXXXX...X.......XX.......X.X...... 10 -iobm/DoutOE ..................XX.......X............ 3 -iobm/ALE0 ................XXXX.................... 4 +iobm/ETACK ......XXXXX....................X........ 6 +nVMA_IOB ......XXXXX...X......XX.......XX........ 10 +iobm/DoutOE .................XX.......X............. 3 +iobm/ALE0 ...............XXXX..................... 4 +iobm/IOS_FSM_FFd3 X..............XXXX...........X......... 6 iobm/ES<3> ......XXXX..XX.......................... 6 iobm/ES<1> ......XX....XX.......................... 4 iobm/ES<0> ......XXXXX.XX.......................... 7 +nLDS_IOB ................XXX......XX...X......... 6 iobm/ES<4> ......XXXXX.XX.......................... 7 -nLDS_IOB .................XXX......XX...X........ 6 -iobm/IOS_FSM_FFd2 X.XXXX.....X.....XXXXX.................. 11 -nUDS_IOB .................XXX.......XX..X........ 6 -nAS_IOB .................XXX...........X........ 4 +nUDS_IOB ................XXX.......XX..X......... 6 +nAS_IOB ................XXX...........X......... 4 +iobm/IOS_FSM_FFd2 X.XXXX.....X....XXXXX................... 11 +nADoutLE1 ........................X...XX.......... 3 +nADoutLE0 .X.....................X................ 2 iobm/ES<2> ......XXXXX.XX.......................... 7 -nADoutLE1 .........................X...XX......... 3 -nADoutLE0 .X......................X............... 2 -iobm/IOACT X.XXXX.....X....XXXXXX.................. 12 -nDinLE .................XX..................... 2 -iobm/IOBERR X.XXXX.....X...X.XXXXX..........X....... 13 +nDinLE ................XX...................... 2 +iobm/IOACT X.XXXX.....X...XXXXXX................... 12 0----+----1----+----2----+----3----+----4 0 0 0 0 *********************************** FB7 *********************************** @@ -663,57 +658,59 @@ cnt/LTimer<10> .............XX..XXXXXXXXXXX............ 13 0----+----1----+----2----+----3----+----4 0 0 0 0 *********************************** FB8 *********************************** -Number of function block inputs used/remaining: 34/20 -Number of signals used by logic mapping into function block: 34 +Number of function block inputs used/remaining: 33/21 +Number of signals used by logic mapping into function block: 33 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use -cnt/PORS_FSM_FFd1 1 0 /\2 2 FB8_1 (b) (b) -RA<11> 1 0 \/4 0 FB8_2 63 I/O O -ram/RS_FSM_FFd3 9 4<- 0 0 FB8_3 (b) (b) -(unused) 0 0 0 5 FB8_4 (b) -nRAS 3 0 \/1 1 FB8_5 64 I/O O -nRAMLWE 1 1<- \/5 0 FB8_6 65 I/O O -iobs/PS_FSM_FFd2 14 9<- 0 0 FB8_7 (b) (b) -nRAMUWE 1 0 /\4 0 FB8_8 66 I/O O -(unused) 0 0 \/4 1 FB8_9 67 I/O (b) -iobs/Load1 14 9<- 0 0 FB8_10 (b) (b) -(unused) 0 0 /\5 0 FB8_11 68 I/O (b) -nBERR_FSB 4 0 \/1 0 FB8_12 70 I/O O +iobs/Once 15 10<- 0 0 FB8_1 (b) (b) +RA<11> 1 1<- /\5 0 FB8_2 63 I/O O +iobs/Clear1 1 0 /\1 3 FB8_3 (b) (b) +iobs/ALE0 1 0 0 4 FB8_4 (b) (b) +nRAS 3 0 0 2 FB8_5 64 I/O O +nRAMLWE 1 0 0 4 FB8_6 65 I/O O +iobs/PS_FSM_FFd1 2 0 \/1 2 FB8_7 (b) (b) +nRAMUWE 1 1<- \/5 0 FB8_8 66 I/O O +ram/RS_FSM_FFd1 8 5<- \/2 0 FB8_9 67 I/O (b) +(unused) 0 0 \/5 0 FB8_10 (b) (b) +ram/RS_FSM_FFd3 9 7<- \/3 0 FB8_11 68 I/O (b) +nBERR_FSB 4 3<- \/4 0 FB8_12 70 I/O O (unused) 0 0 \/5 0 FB8_13 (b) (b) -iobs/IOREQ 14 9<- 0 0 FB8_14 71 I/O (b) -nBR_IOB 2 0 /\3 0 FB8_15 72 I/O O -(unused) 0 0 \/5 0 FB8_16 (b) (b) -iobs/Once 17 12<- 0 0 FB8_17 73 I/O I -(unused) 0 0 /\5 0 FB8_18 (b) (b) +iobs/PS_FSM_FFd2 12 9<- \/2 0 FB8_14 71 I/O (b) +nBR_IOB 2 2<- \/5 0 FB8_15 72 I/O O +iobs/Load1 14 9<- 0 0 FB8_16 (b) (b) +ram/BACTr 1 0 /\4 0 FB8_17 73 I/O (b) +(unused) 0 0 \/5 0 FB8_18 (b) (b) Signals Used by Logic in Function Block - 1: A_FSB<13> 13: cnt/PORS_FSM_FFd1 24: nBERR_FSB - 2: A_FSB<14> 14: cnt/PORS_FSM_FFd2 25: nBR_IOB - 3: A_FSB<16> 15: cs/nOverlay1 26: nLDS_FSB - 4: A_FSB<17> 16: fsb/ASrf 27: nUDS_FSB - 5: A_FSB<18> 17: iobm/IOBERR 28: nWE_FSB - 6: A_FSB<19> 18: iobs/IOACTr 29: ram/RAMEN - 7: A_FSB<20> 19: iobs/Once 30: ram/RS_FSM_FFd1 - 8: A_FSB<21> 20: iobs/PS_FSM_FFd1 31: ram/RS_FSM_FFd2 - 9: A_FSB<22> 21: iobs/PS_FSM_FFd2 32: ram/RS_FSM_FFd3 - 10: A_FSB<23> 22: nADoutLE1 33: ram/RefRAS - 11: cnt/IPL2r 23: nAS_FSB 34: ram/RefUrgent - 12: cnt/LTimer<13> + 1: A_FSB<13> 12: cnt/PORS_FSM_FFd1 23: nBERR_FSB + 2: A_FSB<14> 13: cnt/PORS_FSM_FFd2 24: nBR_IOB + 3: A_FSB<16> 14: cs/nOverlay1 25: nLDS_FSB + 4: A_FSB<17> 15: fsb/ASrf 26: nUDS_FSB + 5: A_FSB<18> 16: iobm/IOBERR 27: nWE_FSB + 6: A_FSB<19> 17: iobs/IOACTr 28: ram/RAMEN + 7: A_FSB<20> 18: iobs/Once 29: ram/RS_FSM_FFd1 + 8: A_FSB<21> 19: iobs/PS_FSM_FFd1 30: ram/RS_FSM_FFd2 + 9: A_FSB<22> 20: iobs/PS_FSM_FFd2 31: ram/RS_FSM_FFd3 + 10: A_FSB<23> 21: nADoutLE1 32: ram/RefRAS + 11: cnt/IPL2r 22: nAS_FSB 33: ram/RefUrgent Signal 1 2 3 4 FB Name 0----+----0----+----0----+----0----+----0 Inputs -cnt/PORS_FSM_FFd1 ..........XXXX.......................... 4 +iobs/Once XXXXXXXXXX...XX..XXXXX....X............. 18 RA<11> .....X.................................. 1 -ram/RS_FSM_FFd3 .......XXX....XX......X.....XXXX.X...... 11 -nRAS .......XXX....X.......X.....X...X....... 7 -nRAMLWE ......................X..X.XX........... 4 -iobs/PS_FSM_FFd2 XXXXXXXXXX....XX.XXXXXX....X............ 19 -nRAMUWE ......................X...XXX........... 4 -iobs/Load1 XXXXXXXXXX....XX..XXXXX....X............ 18 -nBERR_FSB ...............XXXX.XXXX................ 8 -iobs/IOREQ XXXXXXXXXX....XX.XXXXXX....X............ 19 -nBR_IOB ..........X.XX..........X............... 4 -iobs/Once XXXXXXXXXX....XX..XXXXX....X............ 18 +iobs/Clear1 ..................XXX................... 3 +iobs/ALE0 ..................XX.................... 2 +nRAS .......XXX...X.......X.....X...X........ 7 +nRAMLWE .....................X..X.XX............ 4 +iobs/PS_FSM_FFd1 ................X.XX.................... 3 +nRAMUWE .....................X...XXX............ 4 +ram/RS_FSM_FFd1 .......XXX...XX......X.....XXXX.X....... 11 +ram/RS_FSM_FFd3 .......XXX...XX......X.....XXXX.X....... 11 +nBERR_FSB ..............XXXX.XXXX................. 8 +iobs/PS_FSM_FFd2 XXXXXXXXXX...XX.XXXXXX....X............. 19 +nBR_IOB ..........XXX..........X................ 4 +iobs/Load1 XXXXXXXXXX...XX..XXXXX....X............. 18 +ram/BACTr ..............X......X.................. 2 0----+----1----+----2----+----3----+----4 0 0 0 0 ******************************* Equations ******************************** @@ -754,6 +751,8 @@ assign C25MEN = 1'b1; + + @@ -981,65 +980,57 @@ assign fsb/Ready0r_D = ((nAS_FSB && !fsb/ASrf) !cs/nOverlay1 && !fsb/Ready0r && !ram/RAMReady)); FDCPE FDCPE_fsb/Ready1r (fsb/Ready1r,fsb/Ready1r_D,FCLK,1'b0,1'b0); -assign fsb/Ready1r_D = ((A_FSB[13] && A_FSB[22] && A_FSB[20] && A_FSB[19] && - A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && !cs/nOverlay1 && - !fsb/Ready1r && !iobs/IOReady) - || (A_FSB[14] && !A_FSB[22] && A_FSB[21] && A_FSB[20] && - A_FSB[19] && A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && - cs/nOverlay1 && !fsb/Ready1r && !iobs/IOReady && !nADoutLE1) - || (A_FSB[13] && !A_FSB[22] && A_FSB[21] && A_FSB[20] && - A_FSB[19] && A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && - cs/nOverlay1 && !fsb/Ready1r && !iobs/IOReady && !nADoutLE1) +assign fsb/Ready1r_D = ((A_FSB[14] && A_FSB[21] && A_FSB[20] && A_FSB[19] && + A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && cs/nOverlay1 && + !fsb/Ready1r && !iobs/IOReady && !nADoutLE1) + || (A_FSB[13] && A_FSB[21] && A_FSB[20] && A_FSB[19] && + A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && cs/nOverlay1 && + !fsb/Ready1r && !iobs/IOReady && !nADoutLE1) || (nAS_FSB && !fsb/ASrf) || (A_FSB[23] && !fsb/Ready1r && !iobs/IOReady) - || (A_FSB[22] && !A_FSB[21] && A_FSB[20] && !fsb/Ready1r && + || (A_FSB[22] && A_FSB[21] && !fsb/Ready1r && !iobs/IOReady) - || (A_FSB[14] && A_FSB[22] && A_FSB[20] && A_FSB[19] && - A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && !cs/nOverlay1 && - !fsb/Ready1r && !iobs/IOReady)); + || (A_FSB[22] && A_FSB[20] && !fsb/Ready1r && + !iobs/IOReady)); FDCPE FDCPE_fsb/VPA (fsb/VPA,fsb/VPA_D,FCLK,1'b0,1'b0); -assign fsb/VPA_D = ((EXP21_.EXP) +assign fsb/VPA_D = ((EXP15_.EXP) + || (A_FSB[14] && A_FSB[21] && A_FSB[20] && A_FSB[19] && + A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && cs/nOverlay1 && + !fsb/Ready1r && fsb/VPA && !iobs/IOReady && !nAS_FSB && !nADoutLE1) + || (A_FSB[14] && A_FSB[21] && A_FSB[20] && A_FSB[19] && + A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && cs/nOverlay1 && + !fsb/Ready1r && fsb/VPA && !iobs/IOReady && fsb/ASrf && !nADoutLE1) + || (A_FSB[13] && A_FSB[21] && A_FSB[20] && A_FSB[19] && + A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && cs/nOverlay1 && + !fsb/Ready1r && fsb/VPA && !iobs/IOReady && !nAS_FSB && !nADoutLE1) + || (A_FSB[13] && A_FSB[21] && A_FSB[20] && A_FSB[19] && + A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && cs/nOverlay1 && + !fsb/Ready1r && fsb/VPA && !iobs/IOReady && fsb/ASrf && !nADoutLE1) + || (A_FSB[9] && A_FSB[8] && A_FSB[15] && A_FSB[14] && + A_FSB[13] && A_FSB[12] && A_FSB[11] && A_FSB[10] && A_FSB[23] && + A_FSB[22] && A_FSB[21] && A_FSB[20] && A_FSB[19] && A_FSB[18] && + A_FSB[17] && A_FSB[16] && iobs/IOReady && !nAS_FSB) + || (A_FSB[22] && A_FSB[20] && !fsb/Ready1r && fsb/VPA && + !iobs/IOReady && fsb/ASrf) + || (!A_FSB[23] && !A_FSB[22] && cs/nOverlay1 && + !fsb/Ready0r && fsb/VPA && !nAS_FSB && !ram/RAMReady) || (!A_FSB[23] && !A_FSB[22] && cs/nOverlay1 && !fsb/Ready0r && fsb/VPA && fsb/ASrf && !ram/RAMReady) || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && !cs/nOverlay1 && !fsb/Ready0r && fsb/VPA && !nAS_FSB && !ram/RAMReady) || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && !cs/nOverlay1 && !fsb/Ready0r && fsb/VPA && fsb/ASrf && !ram/RAMReady) - || (A_FSB[14] && A_FSB[22] && A_FSB[20] && A_FSB[19] && - A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && !cs/nOverlay1 && - !fsb/Ready1r && fsb/VPA && !iobs/IOReady && !nAS_FSB) - || (A_FSB[13] && A_FSB[22] && A_FSB[20] && A_FSB[19] && - A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && !cs/nOverlay1 && - !fsb/Ready1r && fsb/VPA && !iobs/IOReady && !nAS_FSB) - || (A_FSB[14] && A_FSB[22] && A_FSB[20] && A_FSB[19] && - A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && !cs/nOverlay1 && - !fsb/Ready1r && fsb/VPA && !iobs/IOReady && fsb/ASrf) - || (A_FSB[13] && A_FSB[22] && A_FSB[20] && A_FSB[19] && - A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && !cs/nOverlay1 && - !fsb/Ready1r && fsb/VPA && !iobs/IOReady && fsb/ASrf) - || (A_FSB[14] && !A_FSB[22] && A_FSB[21] && A_FSB[20] && - A_FSB[19] && A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && - cs/nOverlay1 && !fsb/Ready1r && fsb/VPA && !iobs/IOReady && !nAS_FSB && - !nADoutLE1) - || (A_FSB[13] && !A_FSB[22] && A_FSB[21] && A_FSB[20] && - A_FSB[19] && A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && - cs/nOverlay1 && !fsb/Ready1r && fsb/VPA && !iobs/IOReady && !nAS_FSB && - !nADoutLE1) - || (A_FSB[13] && !A_FSB[22] && A_FSB[21] && A_FSB[20] && - A_FSB[19] && A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && - cs/nOverlay1 && !fsb/Ready1r && fsb/VPA && !iobs/IOReady && fsb/ASrf && - !nADoutLE1) || (A_FSB[23] && !fsb/Ready1r && fsb/VPA && !iobs/IOReady && !nAS_FSB) || (A_FSB[23] && !fsb/Ready1r && fsb/VPA && !iobs/IOReady && fsb/ASrf) - || (!A_FSB[23] && !A_FSB[22] && cs/nOverlay1 && - !fsb/Ready0r && fsb/VPA && !nAS_FSB && !ram/RAMReady) - || (A_FSB[22] && !A_FSB[21] && A_FSB[20] && !fsb/Ready1r && - fsb/VPA && !iobs/IOReady && !nAS_FSB) - || (A_FSB[22] && !A_FSB[21] && A_FSB[20] && !fsb/Ready1r && - fsb/VPA && !iobs/IOReady && fsb/ASrf)); + || (A_FSB[22] && A_FSB[21] && !fsb/Ready1r && fsb/VPA && + !iobs/IOReady && !nAS_FSB) + || (A_FSB[22] && A_FSB[21] && !fsb/Ready1r && fsb/VPA && + !iobs/IOReady && fsb/ASrf) + || (A_FSB[22] && A_FSB[20] && !fsb/Ready1r && fsb/VPA && + !iobs/IOReady && !nAS_FSB)); FDCPE FDCPE_iobm/ALE0 (iobm/ALE0,iobm/ALE0_D,C16M,1'b0,1'b0); assign iobm/ALE0_D = ((iobm/IOS_FSM_FFd1 && !iobm/IOS_FSM_FFd2) @@ -1050,8 +1041,6 @@ FDCPE FDCPE_iobm/BERRrf (iobm/BERRrf,!nBERR_IOB,!C16M,1'b0,1'b0); FDCPE FDCPE_iobm/BERRrr (iobm/BERRrr,!nBERR_IOB,C16M,1'b0,1'b0); -FDCPE FDCPE_iobm/BG (iobm/BG,!nBG_IOB,C16M,1'b0,1'b0,iobm/nASr); - FDCPE FDCPE_iobm/DTACKrf (iobm/DTACKrf,!nDTACK_IOB,!C16M,1'b0,1'b0); FDCPE FDCPE_iobm/DTACKrr (iobm/DTACKrr,!nDTACK_IOB,C16M,1'b0,1'b0); @@ -1115,10 +1104,7 @@ assign iobm/IOACT_D = ((C8M && iobm/IOS_FSM_FFd3 && iobm/IOS_FSM_FFd1 && iobm/DTACKrf && iobm/DTACKrr)); FTCPE FTCPE_iobm/IOBERR (iobm/IOBERR,iobm/IOBERR_T,C16M,1'b0,1'b0); -assign iobm/IOBERR_T = ((C8M && nBERR_IOB && iobm/IOS_FSM_FFd3 && - iobm/IOS_FSM_FFd1 && iobm/IOS_FSM_FFd2 && iobm/IOBERR && iobm/RESrf && - iobm/RESrr) - || (C8M && !nBERR_IOB && iobm/IOS_FSM_FFd3 && +assign iobm/IOBERR_T = ((C8M && !nBERR_IOB && iobm/IOS_FSM_FFd3 && iobm/IOS_FSM_FFd1 && iobm/IOS_FSM_FFd2 && !iobm/IOBERR && iobm/BERRrf && iobm/BERRrr) || (C8M && !nBERR_IOB && iobm/IOS_FSM_FFd3 && @@ -1127,6 +1113,9 @@ assign iobm/IOBERR_T = ((C8M && nBERR_IOB && iobm/IOS_FSM_FFd3 && || (C8M && !nBERR_IOB && iobm/IOS_FSM_FFd3 && iobm/IOS_FSM_FFd1 && iobm/IOS_FSM_FFd2 && !iobm/IOBERR && iobm/RESrf && iobm/RESrr) + || (C8M && nBERR_IOB && iobm/IOS_FSM_FFd3 && + iobm/IOS_FSM_FFd1 && iobm/IOS_FSM_FFd2 && iobm/IOBERR && iobm/RESrf && + iobm/RESrr) || (iobm/IOS_FSM_FFd3 && !iobm/IOS_FSM_FFd1 && !iobm/IOS_FSM_FFd2 && iobm/IOBERR) || (C8M && nBERR_IOB && iobm/IOS_FSM_FFd3 && @@ -1162,8 +1151,8 @@ FDCPE FDCPE_iobm/IOS_FSM_FFd3 (iobm/IOS_FSM_FFd3,iobm/IOS_FSM_FFd3_D,C16M,1'b0,1 assign iobm/IOS_FSM_FFd3_D = ((iobm/IOS_FSM_FFd1 && iobm/IOS_FSM_FFd2) || (iobm/IOS_FSM_FFd3 && !iobm/IOS_FSM_FFd1 && !iobm/IOS_FSM_FFd2) - || (!C8M && iobm/BG && !iobm/IOS_FSM_FFd1 && - !iobm/IOS_FSM_FFd2 && iobm/IOREQr)); + || (!C8M && !iobm/IOS_FSM_FFd1 && !iobm/IOS_FSM_FFd2 && + iobm/IOREQr && !nAoutOE)); FDCPE FDCPE_iobm/RESrf (iobm/RESrf,!nRES.PIN,!C16M,1'b0,1'b0); @@ -1173,9 +1162,6 @@ FDCPE FDCPE_iobm/VPArf (iobm/VPArf,!nVPA_IOB,!C16M,1'b0,1'b0); FDCPE FDCPE_iobm/VPArr (iobm/VPArr,!nVPA_IOB,C16M,1'b0,1'b0); -FDCPE FDCPE_iobm/nASr (iobm/nASr,iobm/nASr_D,C16M,1'b0,1'b0); -assign iobm/nASr_D = (!nAS_IOB && nAoutOE); - FDCPE FDCPE_iobs/ALE0 (iobs/ALE0,iobs/ALE0_D,FCLK,1'b0,1'b0); assign iobs/ALE0_D = (iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1); @@ -1192,105 +1178,79 @@ assign iobs/IOL0_CE = (iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1); FDCPE FDCPE_iobs/IOL1 (iobs/IOL1,!nLDS_FSB,FCLK,1'b0,1'b0,iobs/Load1); FDCPE FDCPE_iobs/IOREQ (iobs/IOREQ,iobs/IOREQ_D,FCLK,1'b0,1'b0); -assign iobs/IOREQ_D = ((iobs/nBERR_FSB.EXP) - || (!A_FSB[23] && !A_FSB[22] && !A_FSB[21] && - !iobs/PS_FSM_FFd2 && nADoutLE1) - || (!A_FSB[23] && !A_FSB[22] && !cs/nOverlay1 && - !iobs/PS_FSM_FFd2 && nADoutLE1) - || (!A_FSB[23] && A_FSB[21] && !A_FSB[19] && - !iobs/PS_FSM_FFd2 && nADoutLE1) - || (!A_FSB[23] && A_FSB[21] && !A_FSB[16] && - !iobs/PS_FSM_FFd2 && nADoutLE1) - || (!A_FSB[23] && A_FSB[21] && nWE_FSB && - !iobs/PS_FSM_FFd2 && nADoutLE1) - || (!A_FSB[23] && A_FSB[21] && !A_FSB[18] && - !iobs/PS_FSM_FFd2 && nADoutLE1) - || (!A_FSB[23] && A_FSB[21] && !A_FSB[17] && - !iobs/PS_FSM_FFd2 && nADoutLE1) - || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && - cs/nOverlay1 && !iobs/PS_FSM_FFd2 && nADoutLE1) - || (!iobs/PS_FSM_FFd2 && iobs/PS_FSM_FFd1) - || (iobs/PS_FSM_FFd1 && iobs/IOACTr) - || (iobs/Once && !iobs/PS_FSM_FFd2 && nADoutLE1) - || (!A_FSB[23] && !A_FSB[20] && !iobs/PS_FSM_FFd2 && - nADoutLE1) - || (nAS_FSB && !iobs/PS_FSM_FFd2 && !fsb/ASrf && - nADoutLE1)); +assign iobs/IOREQ_D = ((EXP16_.EXP) + || (A_FSB[23] && !iobs/Once && !nAS_FSB && + !iobs/PS_FSM_FFd1) + || (A_FSB[23] && !iobs/Once && !iobs/PS_FSM_FFd1 && + fsb/ASrf) + || (A_FSB[22] && A_FSB[21] && !iobs/Once && !nAS_FSB && + !iobs/PS_FSM_FFd1) + || (A_FSB[22] && A_FSB[21] && !iobs/Once && + !iobs/PS_FSM_FFd1 && fsb/ASrf) + || (A_FSB[22] && A_FSB[20] && !iobs/Once && !nAS_FSB && + !iobs/PS_FSM_FFd1) + || (iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1) + || (iobs/PS_FSM_FFd2 && !iobs/IOACTr) + || (!iobs/PS_FSM_FFd1 && !nADoutLE1)); -FTCPE FTCPE_iobs/IORW0 (iobs/IORW0,iobs/IORW0_T,FCLK,1'b0,1'b0); -assign iobs/IORW0_T = ((A_FSB[23] && nWE_FSB && !iobs/Once && !iobs/IORW0 && - !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && fsb/ASrf && nADoutLE1) - || (A_FSB[22] && !A_FSB[21] && A_FSB[20] && nWE_FSB && - !iobs/Once && !iobs/IORW0 && !nAS_FSB && !iobs/PS_FSM_FFd2 && - !iobs/PS_FSM_FFd1 && nADoutLE1) - || (A_FSB[22] && !A_FSB[21] && A_FSB[20] && nWE_FSB && - !iobs/Once && !iobs/IORW0 && !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && - fsb/ASrf && nADoutLE1) - || (A_FSB[22] && !A_FSB[21] && A_FSB[20] && !nWE_FSB && - !iobs/Once && iobs/IORW0 && !nAS_FSB && !iobs/PS_FSM_FFd2 && - !iobs/PS_FSM_FFd1 && nADoutLE1) - || (A_FSB[22] && !A_FSB[21] && A_FSB[20] && !nWE_FSB && - !iobs/Once && iobs/IORW0 && !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && - fsb/ASrf && nADoutLE1) - || (nROMWE_OBUF.EXP) - || (A_FSB[14] && A_FSB[22] && A_FSB[20] && A_FSB[19] && - A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && !cs/nOverlay1 && - !iobs/Once && iobs/IORW0 && !nAS_FSB && !iobs/PS_FSM_FFd2 && - !iobs/PS_FSM_FFd1 && nADoutLE1) - || (A_FSB[14] && A_FSB[22] && A_FSB[20] && A_FSB[19] && - A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && !cs/nOverlay1 && - !iobs/Once && iobs/IORW0 && !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && - fsb/ASrf && nADoutLE1) - || (A_FSB[13] && A_FSB[22] && A_FSB[20] && A_FSB[19] && - A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && !cs/nOverlay1 && - !iobs/Once && iobs/IORW0 && !nAS_FSB && !iobs/PS_FSM_FFd2 && - !iobs/PS_FSM_FFd1 && nADoutLE1) - || (A_FSB[13] && A_FSB[22] && A_FSB[20] && A_FSB[19] && - A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && !cs/nOverlay1 && - !iobs/Once && iobs/IORW0 && !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && - fsb/ASrf && nADoutLE1) - || (A_FSB[14] && !A_FSB[22] && A_FSB[21] && A_FSB[20] && - A_FSB[19] && A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && - cs/nOverlay1 && !iobs/Once && iobs/IORW0 && !nAS_FSB && +FDCPE FDCPE_iobs/IORW0 (iobs/IORW0,iobs/IORW0_D,FCLK,1'b0,1'b0); +assign iobs/IORW0_D = ((!iobs/IORW1 && !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && + !nADoutLE1) + || (!A_FSB[23] && !A_FSB[21] && !A_FSB[20] && !iobs/IORW0 && + nADoutLE1) + || (A_FSB[23] && !nWE_FSB && !iobs/Once && !nAS_FSB && !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && nADoutLE1) - || (iobs/IORW0 && !iobs/IORW1 && !iobs/PS_FSM_FFd2 && - !iobs/PS_FSM_FFd1 && !nADoutLE1) - || (!iobs/IORW0 && iobs/IORW1 && !iobs/PS_FSM_FFd2 && - !iobs/PS_FSM_FFd1 && !nADoutLE1) - || (A_FSB[23] && nWE_FSB && !iobs/Once && !iobs/IORW0 && + || (A_FSB[23] && !nWE_FSB && !iobs/Once && + !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && fsb/ASrf && nADoutLE1) + || (A_FSB[22] && A_FSB[21] && !nWE_FSB && !iobs/Once && !nAS_FSB && !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && nADoutLE1) - || (A_FSB[23] && !nWE_FSB && !iobs/Once && iobs/IORW0 && + || (nROMWE_OBUF.EXP) + || (A_FSB[22] && A_FSB[21] && !nWE_FSB && !iobs/Once && + !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && fsb/ASrf && nADoutLE1) + || (A_FSB[22] && A_FSB[20] && !nWE_FSB && !iobs/Once && !nAS_FSB && !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && nADoutLE1) - || (A_FSB[23] && !nWE_FSB && !iobs/Once && iobs/IORW0 && - !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && fsb/ASrf && nADoutLE1)); + || (A_FSB[22] && A_FSB[20] && !nWE_FSB && !iobs/Once && + !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && fsb/ASrf && nADoutLE1) + || (A_FSB[14] && A_FSB[21] && A_FSB[20] && A_FSB[19] && + A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && !iobs/Once && + cs/nOverlay1 && !nAS_FSB && !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && + nADoutLE1) + || (A_FSB[13] && A_FSB[21] && A_FSB[20] && A_FSB[19] && + A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && !iobs/Once && + cs/nOverlay1 && !nAS_FSB && !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && + nADoutLE1) + || (!iobs/IORW0 && iobs/PS_FSM_FFd2) + || (!iobs/IORW0 && iobs/PS_FSM_FFd1) + || (iobs/Once && !iobs/IORW0 && nADoutLE1) + || (!A_FSB[23] && !A_FSB[22] && !iobs/IORW0 && nADoutLE1) + || (!iobs/IORW0 && nAS_FSB && !fsb/ASrf && nADoutLE1)); FTCPE FTCPE_iobs/IORW1 (iobs/IORW1,iobs/IORW1_T,FCLK,1'b0,1'b0); assign iobs/IORW1_T = ((iobs/Once) || (!nADoutLE1) || (fsb/Ready1r.EXP) - || (!nWE_FSB && !iobs/IORW1) || (nAS_FSB && !fsb/ASrf) - || (!iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1) || (!A_FSB[23] && !A_FSB[22] && !A_FSB[21]) + || (!A_FSB[23] && !A_FSB[22] && !A_FSB[19]) + || (!A_FSB[23] && !A_FSB[22] && nWE_FSB) || (!A_FSB[23] && !A_FSB[22] && !cs/nOverlay1) - || (!A_FSB[23] && A_FSB[21] && !A_FSB[19]) - || (!A_FSB[23] && A_FSB[21] && !A_FSB[18]) - || (!A_FSB[23] && A_FSB[21] && !A_FSB[17]) - || (!A_FSB[23] && A_FSB[21] && !A_FSB[16]) - || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && - cs/nOverlay1) - || (!A_FSB[23] && !A_FSB[20]) + || (!A_FSB[23] && !A_FSB[22] && !A_FSB[20]) + || (!A_FSB[23] && !A_FSB[22] && !A_FSB[18]) + || (!A_FSB[23] && !A_FSB[22] && !A_FSB[17]) + || (!A_FSB[23] && !A_FSB[22] && !A_FSB[16]) + || (!A_FSB[23] && !A_FSB[21] && !A_FSB[20]) || (nWE_FSB && iobs/IORW1) - || (!A_FSB[23] && A_FSB[21] && !iobs/IORW1)); + || (!nWE_FSB && !iobs/IORW1) + || (!iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1)); FTCPE FTCPE_iobs/IOReady (iobs/IOReady,iobs/IOReady_T,FCLK,1'b0,1'b0); -assign iobs/IOReady_T = ((iobs/Once && iobs/IOReady && !iobs/PS_FSM_FFd2 && +assign iobs/IOReady_T = ((iobs/IOReady && nAS_FSB && !fsb/ASrf) + || (iobs/Once && iobs/IOReady && !iobs/PS_FSM_FFd2 && !iobs/IOACTr && iobm/IOBERR && nADoutLE1) || (iobs/Once && !iobs/IOReady && !nAS_FSB && !iobs/PS_FSM_FFd2 && !iobs/IOACTr && !iobm/IOBERR && nADoutLE1) || (iobs/Once && !iobs/IOReady && !iobs/PS_FSM_FFd2 && - !iobs/IOACTr && !iobm/IOBERR && fsb/ASrf && nADoutLE1) - || (iobs/IOReady && nAS_FSB && !fsb/ASrf)); + !iobs/IOACTr && !iobm/IOBERR && fsb/ASrf && nADoutLE1)); FDCPE FDCPE_iobs/IOU0 (iobs/IOU0,iobs/IOU0_D,FCLK,1'b0,1'b0,iobs/IOU0_CE); assign iobs/IOU0_D = ((!nUDS_FSB && nADoutLE1) @@ -1303,71 +1263,77 @@ FDCPE FDCPE_iobs/Load1 (iobs/Load1,iobs/Load1_D,FCLK,1'b0,1'b0); assign iobs/Load1_D = ((iobs/Once) || (!nADoutLE1) || (!A_FSB[23] && !A_FSB[22] && !A_FSB[21]) + || (!A_FSB[23] && !A_FSB[22] && !A_FSB[19]) + || (!A_FSB[23] && !A_FSB[22] && !A_FSB[17]) + || (!A_FSB[23] && !A_FSB[22] && !A_FSB[16]) || (!A_FSB[23] && !A_FSB[22] && !cs/nOverlay1) - || (!A_FSB[23] && A_FSB[21] && !A_FSB[19]) - || (!A_FSB[23] && A_FSB[21] && nWE_FSB) - || (!A_FSB[23] && A_FSB[21] && !A_FSB[18]) - || (!A_FSB[23] && A_FSB[21] && !A_FSB[17]) - || (!A_FSB[23] && A_FSB[21] && !A_FSB[16]) - || (!A_FSB[14] && !A_FSB[13] && !A_FSB[23] && A_FSB[21]) - || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && - cs/nOverlay1) - || (!A_FSB[23] && !A_FSB[20]) + || (!A_FSB[23] && !A_FSB[22] && !A_FSB[20]) + || (!A_FSB[23] && !A_FSB[22] && !A_FSB[18]) + || (!A_FSB[23] && !A_FSB[21] && !A_FSB[20]) + || (!A_FSB[14] && !A_FSB[13] && !A_FSB[23] && !A_FSB[22]) || (nAS_FSB && !fsb/ASrf) - || (!iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1)); + || (!iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1) + || (!A_FSB[23] && !A_FSB[22] && nWE_FSB)); -FDCPE FDCPE_iobs/Once (iobs/Once,iobs/Once_D,FCLK,1'b0,1'b0); -assign iobs/Once_D = ((A_FSB[23] && !iobs/Once && iobs/PS_FSM_FFd1) - || (!iobs/Once && iobs/PS_FSM_FFd2 && !nADoutLE1) - || (!iobs/Once && iobs/PS_FSM_FFd1 && !nADoutLE1) - || (!A_FSB[23] && !A_FSB[22] && !A_FSB[21] && !iobs/Once) - || (!A_FSB[23] && !A_FSB[22] && !cs/nOverlay1 && - !iobs/Once) - || (cnt/PORS_FSM_FFd1.EXP) - || (!A_FSB[23] && A_FSB[21] && !A_FSB[19] && !iobs/Once) - || (!A_FSB[23] && A_FSB[21] && !A_FSB[18] && !iobs/Once) - || (!A_FSB[23] && A_FSB[21] && !A_FSB[17] && !iobs/Once) - || (!A_FSB[23] && A_FSB[21] && !A_FSB[16] && !iobs/Once) - || (!A_FSB[23] && A_FSB[21] && nWE_FSB && !iobs/Once) - || (nAS_FSB && !fsb/ASrf) - || (A_FSB[23] && !iobs/Once && iobs/PS_FSM_FFd2) - || (!A_FSB[23] && !A_FSB[20] && !iobs/Once) - || (A_FSB[22] && !iobs/Once && iobs/PS_FSM_FFd2) - || (A_FSB[22] && !iobs/Once && iobs/PS_FSM_FFd1)); +FTCPE FTCPE_iobs/Once (iobs/Once,iobs/Once_T,FCLK,1'b0,1'b0); +assign iobs/Once_T = ((A_FSB[13] && A_FSB[21] && A_FSB[20] && A_FSB[19] && + A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && !iobs/Once && + cs/nOverlay1 && !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && fsb/ASrf) + || (A_FSB[14] && !A_FSB[23] && !A_FSB[22] && A_FSB[21] && + A_FSB[20] && A_FSB[19] && A_FSB[18] && A_FSB[17] && A_FSB[16] && + !nWE_FSB && !iobs/Once && cs/nOverlay1 && !nAS_FSB && nADoutLE1) + || (A_FSB[14] && !A_FSB[23] && !A_FSB[22] && A_FSB[21] && + A_FSB[20] && A_FSB[19] && A_FSB[18] && A_FSB[17] && A_FSB[16] && + !nWE_FSB && !iobs/Once && cs/nOverlay1 && fsb/ASrf && nADoutLE1) + || (A_FSB[13] && !A_FSB[23] && !A_FSB[22] && A_FSB[21] && + A_FSB[20] && A_FSB[19] && A_FSB[18] && A_FSB[17] && A_FSB[16] && + !nWE_FSB && !iobs/Once && cs/nOverlay1 && !nAS_FSB && nADoutLE1) + || (A_FSB[13] && !A_FSB[23] && !A_FSB[22] && A_FSB[21] && + A_FSB[20] && A_FSB[19] && A_FSB[18] && A_FSB[17] && A_FSB[16] && + !nWE_FSB && !iobs/Once && cs/nOverlay1 && fsb/ASrf && nADoutLE1) + || (A_FSB[22] && A_FSB[21] && !iobs/Once && + !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && fsb/ASrf) + || (A_FSB[22] && A_FSB[20] && !iobs/Once && + !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && fsb/ASrf) + || (A_FSB[14] && A_FSB[21] && A_FSB[20] && A_FSB[19] && + A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && !iobs/Once && + cs/nOverlay1 && !nAS_FSB && !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1) + || (A_FSB[14] && A_FSB[21] && A_FSB[20] && A_FSB[19] && + A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && !iobs/Once && + cs/nOverlay1 && !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && fsb/ASrf) + || (A_FSB[13] && A_FSB[21] && A_FSB[20] && A_FSB[19] && + A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && !iobs/Once && + cs/nOverlay1 && !nAS_FSB && !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1) + || (iobs/Once && nAS_FSB && !fsb/ASrf) + || (A_FSB[23] && !iobs/Once && !nAS_FSB && + !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1) + || (A_FSB[23] && !iobs/Once && !iobs/PS_FSM_FFd2 && + !iobs/PS_FSM_FFd1 && fsb/ASrf) + || (A_FSB[22] && A_FSB[21] && !iobs/Once && !nAS_FSB && + !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1) + || (A_FSB[22] && A_FSB[20] && !iobs/Once && !nAS_FSB && + !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1)); FDCPE FDCPE_iobs/PS_FSM_FFd1 (iobs/PS_FSM_FFd1,iobs/PS_FSM_FFd1_D,FCLK,1'b0,1'b0); assign iobs/PS_FSM_FFd1_D = ((iobs/PS_FSM_FFd2) || (iobs/PS_FSM_FFd1 && iobs/IOACTr)); -FDCPE FDCPE_iobs/PS_FSM_FFd2 (iobs/PS_FSM_FFd2,iobs/PS_FSM_FFd2_D,FCLK,1'b0,1'b0); -assign iobs/PS_FSM_FFd2_D = ((!A_FSB[23] && !A_FSB[22] && !A_FSB[21] && - !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && nADoutLE1) - || (!A_FSB[23] && !A_FSB[22] && !cs/nOverlay1 && - !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && nADoutLE1) - || (!A_FSB[23] && A_FSB[21] && !A_FSB[19] && - !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && nADoutLE1) - || (!A_FSB[23] && A_FSB[21] && !A_FSB[16] && - !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && nADoutLE1) - || (!A_FSB[23] && A_FSB[21] && nWE_FSB && - !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && nADoutLE1) - || (!A_FSB[23] && A_FSB[21] && !A_FSB[18] && - !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && nADoutLE1) - || (!A_FSB[23] && A_FSB[21] && !A_FSB[17] && - !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && nADoutLE1) - || (!A_FSB[14] && !A_FSB[13] && !A_FSB[23] && A_FSB[21] && - !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && nADoutLE1) - || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && - cs/nOverlay1 && !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && nADoutLE1) - || (iobs/PS_FSM_FFd2 && iobs/PS_FSM_FFd1 && - iobs/IOACTr) - || (!iobs/PS_FSM_FFd2 && iobs/PS_FSM_FFd1 && - !iobs/IOACTr) - || (iobs/Once && !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && - nADoutLE1) - || (!A_FSB[23] && !A_FSB[20] && !iobs/PS_FSM_FFd2 && - !iobs/PS_FSM_FFd1 && nADoutLE1) - || (nAS_FSB && !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && - !fsb/ASrf && nADoutLE1)); +FTCPE FTCPE_iobs/PS_FSM_FFd2 (iobs/PS_FSM_FFd2,iobs/PS_FSM_FFd2_T,FCLK,1'b0,1'b0); +assign iobs/PS_FSM_FFd2_T = ((iobs/nBERR_FSB.EXP) + || (A_FSB[23] && !iobs/Once && !iobs/PS_FSM_FFd2 && + !iobs/PS_FSM_FFd1 && fsb/ASrf) + || (A_FSB[22] && A_FSB[21] && !iobs/Once && !nAS_FSB && + !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1) + || (A_FSB[22] && A_FSB[21] && !iobs/Once && + !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && fsb/ASrf) + || (A_FSB[22] && A_FSB[20] && !iobs/Once && !nAS_FSB && + !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1) + || (A_FSB[22] && A_FSB[20] && !iobs/Once && + !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && fsb/ASrf) + || (iobs/PS_FSM_FFd1 && iobs/IOACTr) + || (!iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && !nADoutLE1) + || (A_FSB[23] && !iobs/Once && !nAS_FSB && + !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1)); assign nADoutLE0 = (!iobm/ALE0 && !iobs/ALE0); @@ -1380,19 +1346,19 @@ FDCPE FDCPE_nAS_IOB (nAS_IOB_I,nAS_IOB,!C16M,1'b0,1'b0); assign nAS_IOB = ((!iobm/IOS_FSM_FFd3 && !iobm/IOS_FSM_FFd2) || (iobm/IOS_FSM_FFd1 && !iobm/IOS_FSM_FFd2)); assign nAS_IOB = nAS_IOB_OE ? nAS_IOB_I : 1'bZ; -assign nAS_IOB_OE = nAoutOE; +assign nAS_IOB_OE = !nAoutOE; FDCPE FDCPE_nAoutOE (nAoutOE,nAoutOE_D,!C8M,1'b0,1'b0); assign nAoutOE_D = (!nBR_IOB && cnt/PORS_FSM_FFd1 && !cnt/PORS_FSM_FFd2); FTCPE FTCPE_nBERR_FSB (nBERR_FSB,nBERR_FSB_T,FCLK,1'b0,1'b0); -assign nBERR_FSB_T = ((nAS_FSB && !nBERR_FSB && !fsb/ASrf) - || (iobs/Once && !nBERR_FSB && !iobs/PS_FSM_FFd2 && +assign nBERR_FSB_T = ((iobs/Once && !nBERR_FSB && !iobs/PS_FSM_FFd2 && !iobs/IOACTr && !iobm/IOBERR && nADoutLE1) || (iobs/Once && !nAS_FSB && nBERR_FSB && !iobs/PS_FSM_FFd2 && !iobs/IOACTr && iobm/IOBERR && nADoutLE1) || (iobs/Once && nBERR_FSB && !iobs/PS_FSM_FFd2 && - !iobs/IOACTr && iobm/IOBERR && fsb/ASrf && nADoutLE1)); + !iobs/IOACTr && iobm/IOBERR && fsb/ASrf && nADoutLE1) + || (nAS_FSB && !nBERR_FSB && !fsb/ASrf)); FTCPE FTCPE_nBR_IOB (nBR_IOB,nBR_IOB_T,!C8M,1'b0,1'b0); assign nBR_IOB_T = ((nBR_IOB && !cnt/PORS_FSM_FFd1 && !cnt/PORS_FSM_FFd2) @@ -1402,38 +1368,35 @@ assign nBR_IOB_T = ((nBR_IOB && !cnt/PORS_FSM_FFd1 && !cnt/PORS_FSM_FFd2) FDCPE FDCPE_nCAS (nCAS,!ram/RASEL,!FCLK,1'b0,1'b0); FDCPE FDCPE_nDTACK_FSB (nDTACK_FSB,nDTACK_FSB_D,FCLK,1'b0,1'b0); -assign nDTACK_FSB_D = ((iobs/IOReady.EXP) +assign nDTACK_FSB_D = ((iobs/IOREQ.EXP) + || (nAS_FSB && !fsb/ASrf) + || (A_FSB[23] && !fsb/Ready1r && !iobs/IOReady && + nDTACK_FSB) + || (A_FSB[22] && A_FSB[21] && !fsb/Ready1r && + !iobs/IOReady && nDTACK_FSB) + || (A_FSB[22] && A_FSB[20] && !fsb/Ready1r && + !iobs/IOReady && nDTACK_FSB) || (!A_FSB[23] && !A_FSB[22] && cs/nOverlay1 && !fsb/Ready0r && nDTACK_FSB && !ram/RAMReady) - || (A_FSB[22] && !A_FSB[21] && A_FSB[20] && !fsb/Ready1r && - !iobs/IOReady && nDTACK_FSB) || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && !cs/nOverlay1 && !fsb/Ready0r && nDTACK_FSB && !ram/RAMReady) - || (A_FSB[14] && A_FSB[22] && A_FSB[20] && A_FSB[19] && - A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && !cs/nOverlay1 && - !fsb/Ready1r && !iobs/IOReady && nDTACK_FSB) - || (A_FSB[13] && A_FSB[22] && A_FSB[20] && A_FSB[19] && - A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && !cs/nOverlay1 && - !fsb/Ready1r && !iobs/IOReady && nDTACK_FSB) - || (A_FSB[14] && !A_FSB[22] && A_FSB[21] && A_FSB[20] && - A_FSB[19] && A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && - cs/nOverlay1 && !fsb/Ready1r && !iobs/IOReady && nDTACK_FSB && - !nADoutLE1) - || (A_FSB[13] && !A_FSB[22] && A_FSB[21] && A_FSB[20] && - A_FSB[19] && A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && - cs/nOverlay1 && !fsb/Ready1r && !iobs/IOReady && nDTACK_FSB && - !nADoutLE1)); + || (A_FSB[14] && A_FSB[21] && A_FSB[20] && A_FSB[19] && + A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && cs/nOverlay1 && + !fsb/Ready1r && !iobs/IOReady && nDTACK_FSB && !nADoutLE1) + || (A_FSB[13] && A_FSB[21] && A_FSB[20] && A_FSB[19] && + A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && cs/nOverlay1 && + !fsb/Ready1r && !iobs/IOReady && nDTACK_FSB && !nADoutLE1)); FDCPE FDCPE_nDinLE (nDinLE,nDinLE_D,!C16M,1'b0,1'b0); assign nDinLE_D = (iobm/IOS_FSM_FFd1 && iobm/IOS_FSM_FFd2); assign nDinOE = ((A_FSB[23] && nWE_FSB && !nAS_FSB) - || (A_FSB[22] && !A_FSB[21] && A_FSB[20] && nWE_FSB && - !nAS_FSB)); + || (A_FSB[22] && A_FSB[21] && nWE_FSB && !nAS_FSB) + || (A_FSB[22] && A_FSB[20] && nWE_FSB && !nAS_FSB)); -assign nDoutOE = !((!nAoutOE && iobm/DoutOE)); +assign nDoutOE = !((iobm/DoutOE && !nAoutOE)); FDCPE FDCPE_nLDS_IOB (nLDS_IOB_I,nLDS_IOB,!C16M,1'b0,1'b0); assign nLDS_IOB = ((iobs/IOL0 && !iobm/IOS_FSM_FFd3 && @@ -1443,7 +1406,7 @@ assign nLDS_IOB = ((iobs/IOL0 && !iobm/IOS_FSM_FFd3 && || (!iobs/IORW0 && iobs/IOL0 && iobm/IOS_FSM_FFd3 && !iobm/IOS_FSM_FFd1)); assign nLDS_IOB = nLDS_IOB_OE ? nLDS_IOB_I : 1'bZ; -assign nLDS_IOB_OE = nAoutOE; +assign nLDS_IOB_OE = !nAoutOE; assign nOE = !((nWE_FSB && !nAS_FSB)); @@ -1482,7 +1445,7 @@ assign nUDS_IOB = ((iobs/IOU0 && !iobm/IOS_FSM_FFd3 && || (!iobs/IORW0 && iobs/IOU0 && iobm/IOS_FSM_FFd3 && !iobm/IOS_FSM_FFd1)); assign nUDS_IOB = nUDS_IOB_OE ? nUDS_IOB_I : 1'bZ; -assign nUDS_IOB_OE = nAoutOE; +assign nUDS_IOB_OE = !nAoutOE; FTCPE FTCPE_nVMA_IOB (nVMA_IOB_I,nVMA_IOB_T,C16M,1'b0,1'b0); assign nVMA_IOB_T = ((!nVMA_IOB && !iobm/ES[0] && !iobm/ES[1] && !iobm/ES[2] && @@ -1491,7 +1454,7 @@ assign nVMA_IOB_T = ((!nVMA_IOB && !iobm/ES[0] && !iobm/ES[1] && !iobm/ES[2] && !iobm/ES[3] && !iobm/ES[4] && iobm/IOACT && iobm/VPArf && iobm/VPArr)); assign nVMA_IOB = nVMA_IOB_OE ? nVMA_IOB_I : 1'bZ; -assign nVMA_IOB_OE = nAoutOE; +assign nVMA_IOB_OE = !nAoutOE; assign nVPA_FSB = !((fsb/VPA && !nAS_FSB)); @@ -1566,7 +1529,11 @@ assign ram/RASEL_D = ((A_FSB[22] && !A_FSB[21] && !ram/RS_FSM_FFd2 && !ram/RS_FSM_FFd3 && !fsb/ASrf)); FDCPE FDCPE_ram/RS_FSM_FFd1 (ram/RS_FSM_FFd1,ram/RS_FSM_FFd1_D,FCLK,1'b0,1'b0); -assign ram/RS_FSM_FFd1_D = ((!A_FSB[23] && !A_FSB[22] && cs/nOverlay1 && +assign ram/RS_FSM_FFd1_D = ((ram/RS_FSM_FFd1 && ram/RefUrgent && + !ram/RS_FSM_FFd3 && fsb/ASrf) + || (!A_FSB[23] && !A_FSB[22] && cs/nOverlay1 && !nAS_FSB && + !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && ram/RefUrgent && !ram/RAMEN) + || (!A_FSB[23] && !A_FSB[22] && cs/nOverlay1 && !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && ram/RefUrgent && !ram/RAMEN && fsb/ASrf) || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && @@ -1578,11 +1545,7 @@ assign ram/RS_FSM_FFd1_D = ((!A_FSB[23] && !A_FSB[22] && cs/nOverlay1 && || (ram/RS_FSM_FFd1 && ram/RS_FSM_FFd2) || (!ram/RS_FSM_FFd1 && ram/RS_FSM_FFd3) || (!nAS_FSB && ram/RS_FSM_FFd1 && ram/RefUrgent && - !ram/RS_FSM_FFd3) - || (ram/RS_FSM_FFd1 && ram/RefUrgent && - !ram/RS_FSM_FFd3 && fsb/ASrf) - || (!A_FSB[23] && !A_FSB[22] && cs/nOverlay1 && !nAS_FSB && - !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && ram/RefUrgent && !ram/RAMEN)); + !ram/RS_FSM_FFd3)); FDCPE FDCPE_ram/RS_FSM_FFd2 (ram/RS_FSM_FFd2,ram/RS_FSM_FFd2_D,FCLK,1'b0,1'b0); assign ram/RS_FSM_FFd2_D = ((nAS_FSB && !ram/RS_FSM_FFd2 && !ram/RefUrgent && @@ -1604,16 +1567,13 @@ assign ram/RS_FSM_FFd2_D = ((nAS_FSB && !ram/RS_FSM_FFd2 && !ram/RefUrgent && !ram/RS_FSM_FFd3 && !ram/RefReq)); FTCPE FTCPE_ram/RS_FSM_FFd3 (ram/RS_FSM_FFd3,ram/RS_FSM_FFd3_T,FCLK,1'b0,1'b0); -assign ram/RS_FSM_FFd3_T = ((ram/RS_FSM_FFd1 && ram/RS_FSM_FFd2 && - !ram/RS_FSM_FFd3) - || (ram/RS_FSM_FFd1 && !ram/RefUrgent && - !ram/RS_FSM_FFd3) +assign ram/RS_FSM_FFd3_T = ((ram/RS_FSM_FFd1.EXP) + || (!ram/RS_FSM_FFd1 && ram/RS_FSM_FFd2 && + ram/RS_FSM_FFd3) || (A_FSB[23] && !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && !ram/RS_FSM_FFd3) || (nAS_FSB && !ram/RS_FSM_FFd2 && !ram/RS_FSM_FFd3 && !fsb/ASrf) - || (!ram/RS_FSM_FFd1 && ram/RS_FSM_FFd2 && - ram/RS_FSM_FFd3) || (!ram/RS_FSM_FFd2 && !ram/RefUrgent && !ram/RS_FSM_FFd3 && !ram/RAMEN) || (A_FSB[22] && !A_FSB[21] && !ram/RS_FSM_FFd1 && @@ -1708,7 +1668,7 @@ No. Name No. Name 20 A_FSB<22> 70 nBERR_FSB 21 GND 71 KPR 22 C16M 72 nBR_IOB - 23 C8M 73 nBG_IOB + 23 C8M 73 KPR 24 A_FSB<23> 74 nVMA_IOB 25 E 75 GND 26 VCC 76 nBERR_IOB diff --git a/cpld/XC95144XL/WarpSE_html/fit/defeqns.htm b/cpld/XC95144XL/WarpSE_html/fit/defeqns.htm index e47c983..9136855 100644 --- a/cpld/XC95144XL/WarpSE_html/fit/defeqns.htm +++ b/cpld/XC95144XL/WarpSE_html/fit/defeqns.htm @@ -42,6 +42,8 @@ assign C25MEN = 1'b1; + + assign RA[0] = ((A_FSB[10] && !ram/RASEL)
      || (ram/RASEL && A_FSB[1])); @@ -266,65 +268,57 @@ FDCPE FDCPE_fsb/Ready0r (fsb/Ready0r,fsb/Ready0r_D,FCLK,1'b0,1'b0);
      !cs/nOverlay1 && !fsb/Ready0r && !ram/RAMReady)); FDCPE FDCPE_fsb/Ready1r (fsb/Ready1r,fsb/Ready1r_D,FCLK,1'b0,1'b0); -
     assign fsb/Ready1r_D = ((A_FSB[13] && A_FSB[22] && A_FSB[20] && A_FSB[19] && -
      A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && !cs/nOverlay1 && -
      !fsb/Ready1r && !iobs/IOReady) -
      || (A_FSB[14] && !A_FSB[22] && A_FSB[21] && A_FSB[20] && -
      A_FSB[19] && A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && -
      cs/nOverlay1 && !fsb/Ready1r && !iobs/IOReady && !nADoutLE1) -
      || (A_FSB[13] && !A_FSB[22] && A_FSB[21] && A_FSB[20] && -
      A_FSB[19] && A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && -
      cs/nOverlay1 && !fsb/Ready1r && !iobs/IOReady && !nADoutLE1) +
     assign fsb/Ready1r_D = ((A_FSB[14] && A_FSB[21] && A_FSB[20] && A_FSB[19] && +
      A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && cs/nOverlay1 && +
      !fsb/Ready1r && !iobs/IOReady && !nADoutLE1) +
      || (A_FSB[13] && A_FSB[21] && A_FSB[20] && A_FSB[19] && +
      A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && cs/nOverlay1 && +
      !fsb/Ready1r && !iobs/IOReady && !nADoutLE1)
      || (nAS_FSB && !fsb/ASrf)
      || (A_FSB[23] && !fsb/Ready1r && !iobs/IOReady) -
      || (A_FSB[22] && !A_FSB[21] && A_FSB[20] && !fsb/Ready1r && +
      || (A_FSB[22] && A_FSB[21] && !fsb/Ready1r &&
      !iobs/IOReady) -
      || (A_FSB[14] && A_FSB[22] && A_FSB[20] && A_FSB[19] && -
      A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && !cs/nOverlay1 && -
      !fsb/Ready1r && !iobs/IOReady)); +
      || (A_FSB[22] && A_FSB[20] && !fsb/Ready1r && +
      !iobs/IOReady)); FDCPE FDCPE_fsb/VPA (fsb/VPA,fsb/VPA_D,FCLK,1'b0,1'b0); -
     assign fsb/VPA_D = ((EXP21_.EXP) +
     assign fsb/VPA_D = ((EXP15_.EXP) +
      || (A_FSB[14] && A_FSB[21] && A_FSB[20] && A_FSB[19] && +
      A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && cs/nOverlay1 && +
      !fsb/Ready1r && fsb/VPA && !iobs/IOReady && !nAS_FSB && !nADoutLE1) +
      || (A_FSB[14] && A_FSB[21] && A_FSB[20] && A_FSB[19] && +
      A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && cs/nOverlay1 && +
      !fsb/Ready1r && fsb/VPA && !iobs/IOReady && fsb/ASrf && !nADoutLE1) +
      || (A_FSB[13] && A_FSB[21] && A_FSB[20] && A_FSB[19] && +
      A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && cs/nOverlay1 && +
      !fsb/Ready1r && fsb/VPA && !iobs/IOReady && !nAS_FSB && !nADoutLE1) +
      || (A_FSB[13] && A_FSB[21] && A_FSB[20] && A_FSB[19] && +
      A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && cs/nOverlay1 && +
      !fsb/Ready1r && fsb/VPA && !iobs/IOReady && fsb/ASrf && !nADoutLE1) +
      || (A_FSB[9] && A_FSB[8] && A_FSB[15] && A_FSB[14] && +
      A_FSB[13] && A_FSB[12] && A_FSB[11] && A_FSB[10] && A_FSB[23] && +
      A_FSB[22] && A_FSB[21] && A_FSB[20] && A_FSB[19] && A_FSB[18] && +
      A_FSB[17] && A_FSB[16] && iobs/IOReady && !nAS_FSB) +
      || (A_FSB[22] && A_FSB[20] && !fsb/Ready1r && fsb/VPA && +
      !iobs/IOReady && fsb/ASrf) +
      || (!A_FSB[23] && !A_FSB[22] && cs/nOverlay1 && +
      !fsb/Ready0r && fsb/VPA && !nAS_FSB && !ram/RAMReady)
      || (!A_FSB[23] && !A_FSB[22] && cs/nOverlay1 &&
      !fsb/Ready0r && fsb/VPA && fsb/ASrf && !ram/RAMReady)
      || (!A_FSB[23] && A_FSB[22] && A_FSB[21] &&
      !cs/nOverlay1 && !fsb/Ready0r && fsb/VPA && !nAS_FSB && !ram/RAMReady)
      || (!A_FSB[23] && A_FSB[22] && A_FSB[21] &&
      !cs/nOverlay1 && !fsb/Ready0r && fsb/VPA && fsb/ASrf && !ram/RAMReady) -
      || (A_FSB[14] && A_FSB[22] && A_FSB[20] && A_FSB[19] && -
      A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && !cs/nOverlay1 && -
      !fsb/Ready1r && fsb/VPA && !iobs/IOReady && !nAS_FSB) -
      || (A_FSB[13] && A_FSB[22] && A_FSB[20] && A_FSB[19] && -
      A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && !cs/nOverlay1 && -
      !fsb/Ready1r && fsb/VPA && !iobs/IOReady && !nAS_FSB) -
      || (A_FSB[14] && A_FSB[22] && A_FSB[20] && A_FSB[19] && -
      A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && !cs/nOverlay1 && -
      !fsb/Ready1r && fsb/VPA && !iobs/IOReady && fsb/ASrf) -
      || (A_FSB[13] && A_FSB[22] && A_FSB[20] && A_FSB[19] && -
      A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && !cs/nOverlay1 && -
      !fsb/Ready1r && fsb/VPA && !iobs/IOReady && fsb/ASrf) -
      || (A_FSB[14] && !A_FSB[22] && A_FSB[21] && A_FSB[20] && -
      A_FSB[19] && A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && -
      cs/nOverlay1 && !fsb/Ready1r && fsb/VPA && !iobs/IOReady && !nAS_FSB && -
      !nADoutLE1) -
      || (A_FSB[13] && !A_FSB[22] && A_FSB[21] && A_FSB[20] && -
      A_FSB[19] && A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && -
      cs/nOverlay1 && !fsb/Ready1r && fsb/VPA && !iobs/IOReady && !nAS_FSB && -
      !nADoutLE1) -
      || (A_FSB[13] && !A_FSB[22] && A_FSB[21] && A_FSB[20] && -
      A_FSB[19] && A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && -
      cs/nOverlay1 && !fsb/Ready1r && fsb/VPA && !iobs/IOReady && fsb/ASrf && -
      !nADoutLE1)
      || (A_FSB[23] && !fsb/Ready1r && fsb/VPA &&
      !iobs/IOReady && !nAS_FSB)
      || (A_FSB[23] && !fsb/Ready1r && fsb/VPA &&
      !iobs/IOReady && fsb/ASrf) -
      || (!A_FSB[23] && !A_FSB[22] && cs/nOverlay1 && -
      !fsb/Ready0r && fsb/VPA && !nAS_FSB && !ram/RAMReady) -
      || (A_FSB[22] && !A_FSB[21] && A_FSB[20] && !fsb/Ready1r && -
      fsb/VPA && !iobs/IOReady && !nAS_FSB) -
      || (A_FSB[22] && !A_FSB[21] && A_FSB[20] && !fsb/Ready1r && -
      fsb/VPA && !iobs/IOReady && fsb/ASrf)); +
      || (A_FSB[22] && A_FSB[21] && !fsb/Ready1r && fsb/VPA && +
      !iobs/IOReady && !nAS_FSB) +
      || (A_FSB[22] && A_FSB[21] && !fsb/Ready1r && fsb/VPA && +
      !iobs/IOReady && fsb/ASrf) +
      || (A_FSB[22] && A_FSB[20] && !fsb/Ready1r && fsb/VPA && +
      !iobs/IOReady && !nAS_FSB)); FDCPE FDCPE_iobm/ALE0 (iobm/ALE0,iobm/ALE0_D,C16M,1'b0,1'b0);
     assign iobm/ALE0_D = ((iobm/IOS_FSM_FFd1 && !iobm/IOS_FSM_FFd2) @@ -335,8 +329,6 @@ FDCPE FDCPE_iobm/BERRrf (iobm/BERRrf,!nBERR_IOB,!C16M,1'b0,1'b0); FDCPE FDCPE_iobm/BERRrr (iobm/BERRrr,!nBERR_IOB,C16M,1'b0,1'b0); -FDCPE FDCPE_iobm/BG (iobm/BG,!nBG_IOB,C16M,1'b0,1'b0,iobm/nASr); - FDCPE FDCPE_iobm/DTACKrf (iobm/DTACKrf,!nDTACK_IOB,!C16M,1'b0,1'b0); FDCPE FDCPE_iobm/DTACKrr (iobm/DTACKrr,!nDTACK_IOB,C16M,1'b0,1'b0); @@ -400,10 +392,7 @@ FDCPE FDCPE_iobm/IOACT (iobm/IOACT,iobm/IOACT_D,C16M,1'b0,1'b0);
      iobm/DTACKrf && iobm/DTACKrr)); FTCPE FTCPE_iobm/IOBERR (iobm/IOBERR,iobm/IOBERR_T,C16M,1'b0,1'b0); -
     assign iobm/IOBERR_T = ((C8M && nBERR_IOB && iobm/IOS_FSM_FFd3 && -
      iobm/IOS_FSM_FFd1 && iobm/IOS_FSM_FFd2 && iobm/IOBERR && iobm/RESrf && -
      iobm/RESrr) -
      || (C8M && !nBERR_IOB && iobm/IOS_FSM_FFd3 && +
     assign iobm/IOBERR_T = ((C8M && !nBERR_IOB && iobm/IOS_FSM_FFd3 &&
      iobm/IOS_FSM_FFd1 && iobm/IOS_FSM_FFd2 && !iobm/IOBERR && iobm/BERRrf &&
      iobm/BERRrr)
      || (C8M && !nBERR_IOB && iobm/IOS_FSM_FFd3 && @@ -412,6 +401,9 @@ FTCPE FTCPE_iobm/IOBERR (iobm/IOBERR,iobm/IOBERR_T,C16M,1'b0,1'b0);
      || (C8M && !nBERR_IOB && iobm/IOS_FSM_FFd3 &&
      iobm/IOS_FSM_FFd1 && iobm/IOS_FSM_FFd2 && !iobm/IOBERR && iobm/RESrf &&
      iobm/RESrr) +
      || (C8M && nBERR_IOB && iobm/IOS_FSM_FFd3 && +
      iobm/IOS_FSM_FFd1 && iobm/IOS_FSM_FFd2 && iobm/IOBERR && iobm/RESrf && +
      iobm/RESrr)
      || (iobm/IOS_FSM_FFd3 && !iobm/IOS_FSM_FFd1 &&
      !iobm/IOS_FSM_FFd2 && iobm/IOBERR)
      || (C8M && nBERR_IOB && iobm/IOS_FSM_FFd3 && @@ -447,8 +439,8 @@ FDCPE FDCPE_iobm/IOS_FSM_FFd3 (iobm/IOS_FSM_FFd3,iobm/IOS_FSM_FFd3_D,C16M,1'b0,1
     assign iobm/IOS_FSM_FFd3_D = ((iobm/IOS_FSM_FFd1 && iobm/IOS_FSM_FFd2)
      || (iobm/IOS_FSM_FFd3 && !iobm/IOS_FSM_FFd1 &&
      !iobm/IOS_FSM_FFd2) -
      || (!C8M && iobm/BG && !iobm/IOS_FSM_FFd1 && -
      !iobm/IOS_FSM_FFd2 && iobm/IOREQr)); +
      || (!C8M && !iobm/IOS_FSM_FFd1 && !iobm/IOS_FSM_FFd2 && +
      iobm/IOREQr && !nAoutOE)); FDCPE FDCPE_iobm/RESrf (iobm/RESrf,!nRES.PIN,!C16M,1'b0,1'b0); @@ -458,9 +450,6 @@ FDCPE FDCPE_iobm/VPArf (iobm/VPArf,!nVPA_IOB,!C16M,1'b0,1'b0); FDCPE FDCPE_iobm/VPArr (iobm/VPArr,!nVPA_IOB,C16M,1'b0,1'b0); -FDCPE FDCPE_iobm/nASr (iobm/nASr,iobm/nASr_D,C16M,1'b0,1'b0); -
     assign iobm/nASr_D = (!nAS_IOB && nAoutOE); - FDCPE FDCPE_iobs/ALE0 (iobs/ALE0,iobs/ALE0_D,FCLK,1'b0,1'b0);
     assign iobs/ALE0_D = (iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1); @@ -477,105 +466,79 @@ FDCPE FDCPE_iobs/IOL0 (iobs/IOL0,iobs/IOL0_D,FCLK,1'b0,1'b0,iobs/IOL0_CE); FDCPE FDCPE_iobs/IOL1 (iobs/IOL1,!nLDS_FSB,FCLK,1'b0,1'b0,iobs/Load1); FDCPE FDCPE_iobs/IOREQ (iobs/IOREQ,iobs/IOREQ_D,FCLK,1'b0,1'b0); -
     assign iobs/IOREQ_D = ((iobs/nBERR_FSB.EXP) -
      || (!A_FSB[23] && !A_FSB[22] && !A_FSB[21] && -
      !iobs/PS_FSM_FFd2 && nADoutLE1) -
      || (!A_FSB[23] && !A_FSB[22] && !cs/nOverlay1 && -
      !iobs/PS_FSM_FFd2 && nADoutLE1) -
      || (!A_FSB[23] && A_FSB[21] && !A_FSB[19] && -
      !iobs/PS_FSM_FFd2 && nADoutLE1) -
      || (!A_FSB[23] && A_FSB[21] && !A_FSB[16] && -
      !iobs/PS_FSM_FFd2 && nADoutLE1) -
      || (!A_FSB[23] && A_FSB[21] && nWE_FSB && -
      !iobs/PS_FSM_FFd2 && nADoutLE1) -
      || (!A_FSB[23] && A_FSB[21] && !A_FSB[18] && -
      !iobs/PS_FSM_FFd2 && nADoutLE1) -
      || (!A_FSB[23] && A_FSB[21] && !A_FSB[17] && -
      !iobs/PS_FSM_FFd2 && nADoutLE1) -
      || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && -
      cs/nOverlay1 && !iobs/PS_FSM_FFd2 && nADoutLE1) -
      || (!iobs/PS_FSM_FFd2 && iobs/PS_FSM_FFd1) -
      || (iobs/PS_FSM_FFd1 && iobs/IOACTr) -
      || (iobs/Once && !iobs/PS_FSM_FFd2 && nADoutLE1) -
      || (!A_FSB[23] && !A_FSB[20] && !iobs/PS_FSM_FFd2 && -
      nADoutLE1) -
      || (nAS_FSB && !iobs/PS_FSM_FFd2 && !fsb/ASrf && -
      nADoutLE1)); +
     assign iobs/IOREQ_D = ((EXP16_.EXP) +
      || (A_FSB[23] && !iobs/Once && !nAS_FSB && +
      !iobs/PS_FSM_FFd1) +
      || (A_FSB[23] && !iobs/Once && !iobs/PS_FSM_FFd1 && +
      fsb/ASrf) +
      || (A_FSB[22] && A_FSB[21] && !iobs/Once && !nAS_FSB && +
      !iobs/PS_FSM_FFd1) +
      || (A_FSB[22] && A_FSB[21] && !iobs/Once && +
      !iobs/PS_FSM_FFd1 && fsb/ASrf) +
      || (A_FSB[22] && A_FSB[20] && !iobs/Once && !nAS_FSB && +
      !iobs/PS_FSM_FFd1) +
      || (iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1) +
      || (iobs/PS_FSM_FFd2 && !iobs/IOACTr) +
      || (!iobs/PS_FSM_FFd1 && !nADoutLE1)); -FTCPE FTCPE_iobs/IORW0 (iobs/IORW0,iobs/IORW0_T,FCLK,1'b0,1'b0); -
     assign iobs/IORW0_T = ((A_FSB[23] && nWE_FSB && !iobs/Once && !iobs/IORW0 && -
      !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && fsb/ASrf && nADoutLE1) -
      || (A_FSB[22] && !A_FSB[21] && A_FSB[20] && nWE_FSB && -
      !iobs/Once && !iobs/IORW0 && !nAS_FSB && !iobs/PS_FSM_FFd2 && -
      !iobs/PS_FSM_FFd1 && nADoutLE1) -
      || (A_FSB[22] && !A_FSB[21] && A_FSB[20] && nWE_FSB && -
      !iobs/Once && !iobs/IORW0 && !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && -
      fsb/ASrf && nADoutLE1) -
      || (A_FSB[22] && !A_FSB[21] && A_FSB[20] && !nWE_FSB && -
      !iobs/Once && iobs/IORW0 && !nAS_FSB && !iobs/PS_FSM_FFd2 && -
      !iobs/PS_FSM_FFd1 && nADoutLE1) -
      || (A_FSB[22] && !A_FSB[21] && A_FSB[20] && !nWE_FSB && -
      !iobs/Once && iobs/IORW0 && !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && -
      fsb/ASrf && nADoutLE1) -
      || (nROMWE_OBUF.EXP) -
      || (A_FSB[14] && A_FSB[22] && A_FSB[20] && A_FSB[19] && -
      A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && !cs/nOverlay1 && -
      !iobs/Once && iobs/IORW0 && !nAS_FSB && !iobs/PS_FSM_FFd2 && -
      !iobs/PS_FSM_FFd1 && nADoutLE1) -
      || (A_FSB[14] && A_FSB[22] && A_FSB[20] && A_FSB[19] && -
      A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && !cs/nOverlay1 && -
      !iobs/Once && iobs/IORW0 && !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && -
      fsb/ASrf && nADoutLE1) -
      || (A_FSB[13] && A_FSB[22] && A_FSB[20] && A_FSB[19] && -
      A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && !cs/nOverlay1 && -
      !iobs/Once && iobs/IORW0 && !nAS_FSB && !iobs/PS_FSM_FFd2 && -
      !iobs/PS_FSM_FFd1 && nADoutLE1) -
      || (A_FSB[13] && A_FSB[22] && A_FSB[20] && A_FSB[19] && -
      A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && !cs/nOverlay1 && -
      !iobs/Once && iobs/IORW0 && !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && -
      fsb/ASrf && nADoutLE1) -
      || (A_FSB[14] && !A_FSB[22] && A_FSB[21] && A_FSB[20] && -
      A_FSB[19] && A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && -
      cs/nOverlay1 && !iobs/Once && iobs/IORW0 && !nAS_FSB && +FDCPE FDCPE_iobs/IORW0 (iobs/IORW0,iobs/IORW0_D,FCLK,1'b0,1'b0); +
     assign iobs/IORW0_D = ((!iobs/IORW1 && !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && +
      !nADoutLE1) +
      || (!A_FSB[23] && !A_FSB[21] && !A_FSB[20] && !iobs/IORW0 && +
      nADoutLE1) +
      || (A_FSB[23] && !nWE_FSB && !iobs/Once && !nAS_FSB &&
      !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && nADoutLE1) -
      || (iobs/IORW0 && !iobs/IORW1 && !iobs/PS_FSM_FFd2 && -
      !iobs/PS_FSM_FFd1 && !nADoutLE1) -
      || (!iobs/IORW0 && iobs/IORW1 && !iobs/PS_FSM_FFd2 && -
      !iobs/PS_FSM_FFd1 && !nADoutLE1) -
      || (A_FSB[23] && nWE_FSB && !iobs/Once && !iobs/IORW0 && +
      || (A_FSB[23] && !nWE_FSB && !iobs/Once && +
      !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && fsb/ASrf && nADoutLE1) +
      || (A_FSB[22] && A_FSB[21] && !nWE_FSB && !iobs/Once &&
      !nAS_FSB && !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && nADoutLE1) -
      || (A_FSB[23] && !nWE_FSB && !iobs/Once && iobs/IORW0 && +
      || (nROMWE_OBUF.EXP) +
      || (A_FSB[22] && A_FSB[21] && !nWE_FSB && !iobs/Once && +
      !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && fsb/ASrf && nADoutLE1) +
      || (A_FSB[22] && A_FSB[20] && !nWE_FSB && !iobs/Once &&
      !nAS_FSB && !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && nADoutLE1) -
      || (A_FSB[23] && !nWE_FSB && !iobs/Once && iobs/IORW0 && -
      !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && fsb/ASrf && nADoutLE1)); +
      || (A_FSB[22] && A_FSB[20] && !nWE_FSB && !iobs/Once && +
      !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && fsb/ASrf && nADoutLE1) +
      || (A_FSB[14] && A_FSB[21] && A_FSB[20] && A_FSB[19] && +
      A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && !iobs/Once && +
      cs/nOverlay1 && !nAS_FSB && !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && +
      nADoutLE1) +
      || (A_FSB[13] && A_FSB[21] && A_FSB[20] && A_FSB[19] && +
      A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && !iobs/Once && +
      cs/nOverlay1 && !nAS_FSB && !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && +
      nADoutLE1) +
      || (!iobs/IORW0 && iobs/PS_FSM_FFd2) +
      || (!iobs/IORW0 && iobs/PS_FSM_FFd1) +
      || (iobs/Once && !iobs/IORW0 && nADoutLE1) +
      || (!A_FSB[23] && !A_FSB[22] && !iobs/IORW0 && nADoutLE1) +
      || (!iobs/IORW0 && nAS_FSB && !fsb/ASrf && nADoutLE1)); FTCPE FTCPE_iobs/IORW1 (iobs/IORW1,iobs/IORW1_T,FCLK,1'b0,1'b0);
     assign iobs/IORW1_T = ((iobs/Once)
      || (!nADoutLE1)
      || (fsb/Ready1r.EXP) -
      || (!nWE_FSB && !iobs/IORW1)
      || (nAS_FSB && !fsb/ASrf) -
      || (!iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1)
      || (!A_FSB[23] && !A_FSB[22] && !A_FSB[21]) +
      || (!A_FSB[23] && !A_FSB[22] && !A_FSB[19]) +
      || (!A_FSB[23] && !A_FSB[22] && nWE_FSB)
      || (!A_FSB[23] && !A_FSB[22] && !cs/nOverlay1) -
      || (!A_FSB[23] && A_FSB[21] && !A_FSB[19]) -
      || (!A_FSB[23] && A_FSB[21] && !A_FSB[18]) -
      || (!A_FSB[23] && A_FSB[21] && !A_FSB[17]) -
      || (!A_FSB[23] && A_FSB[21] && !A_FSB[16]) -
      || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && -
      cs/nOverlay1) -
      || (!A_FSB[23] && !A_FSB[20]) +
      || (!A_FSB[23] && !A_FSB[22] && !A_FSB[20]) +
      || (!A_FSB[23] && !A_FSB[22] && !A_FSB[18]) +
      || (!A_FSB[23] && !A_FSB[22] && !A_FSB[17]) +
      || (!A_FSB[23] && !A_FSB[22] && !A_FSB[16]) +
      || (!A_FSB[23] && !A_FSB[21] && !A_FSB[20])
      || (nWE_FSB && iobs/IORW1) -
      || (!A_FSB[23] && A_FSB[21] && !iobs/IORW1)); +
      || (!nWE_FSB && !iobs/IORW1) +
      || (!iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1)); FTCPE FTCPE_iobs/IOReady (iobs/IOReady,iobs/IOReady_T,FCLK,1'b0,1'b0); -
     assign iobs/IOReady_T = ((iobs/Once && iobs/IOReady && !iobs/PS_FSM_FFd2 && +
     assign iobs/IOReady_T = ((iobs/IOReady && nAS_FSB && !fsb/ASrf) +
      || (iobs/Once && iobs/IOReady && !iobs/PS_FSM_FFd2 &&
      !iobs/IOACTr && iobm/IOBERR && nADoutLE1)
      || (iobs/Once && !iobs/IOReady && !nAS_FSB &&
      !iobs/PS_FSM_FFd2 && !iobs/IOACTr && !iobm/IOBERR && nADoutLE1)
      || (iobs/Once && !iobs/IOReady && !iobs/PS_FSM_FFd2 && -
      !iobs/IOACTr && !iobm/IOBERR && fsb/ASrf && nADoutLE1) -
      || (iobs/IOReady && nAS_FSB && !fsb/ASrf)); +
      !iobs/IOACTr && !iobm/IOBERR && fsb/ASrf && nADoutLE1)); FDCPE FDCPE_iobs/IOU0 (iobs/IOU0,iobs/IOU0_D,FCLK,1'b0,1'b0,iobs/IOU0_CE);
     assign iobs/IOU0_D = ((!nUDS_FSB && nADoutLE1) @@ -588,71 +551,77 @@ FDCPE FDCPE_iobs/Load1 (iobs/Load1,iobs/Load1_D,FCLK,1'b0,1'b0);
     assign iobs/Load1_D = ((iobs/Once)
      || (!nADoutLE1)
      || (!A_FSB[23] && !A_FSB[22] && !A_FSB[21]) +
      || (!A_FSB[23] && !A_FSB[22] && !A_FSB[19]) +
      || (!A_FSB[23] && !A_FSB[22] && !A_FSB[17]) +
      || (!A_FSB[23] && !A_FSB[22] && !A_FSB[16])
      || (!A_FSB[23] && !A_FSB[22] && !cs/nOverlay1) -
      || (!A_FSB[23] && A_FSB[21] && !A_FSB[19]) -
      || (!A_FSB[23] && A_FSB[21] && nWE_FSB) -
      || (!A_FSB[23] && A_FSB[21] && !A_FSB[18]) -
      || (!A_FSB[23] && A_FSB[21] && !A_FSB[17]) -
      || (!A_FSB[23] && A_FSB[21] && !A_FSB[16]) -
      || (!A_FSB[14] && !A_FSB[13] && !A_FSB[23] && A_FSB[21]) -
      || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && -
      cs/nOverlay1) -
      || (!A_FSB[23] && !A_FSB[20]) +
      || (!A_FSB[23] && !A_FSB[22] && !A_FSB[20]) +
      || (!A_FSB[23] && !A_FSB[22] && !A_FSB[18]) +
      || (!A_FSB[23] && !A_FSB[21] && !A_FSB[20]) +
      || (!A_FSB[14] && !A_FSB[13] && !A_FSB[23] && !A_FSB[22])
      || (nAS_FSB && !fsb/ASrf) -
      || (!iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1)); +
      || (!iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1) +
      || (!A_FSB[23] && !A_FSB[22] && nWE_FSB)); -FDCPE FDCPE_iobs/Once (iobs/Once,iobs/Once_D,FCLK,1'b0,1'b0); -
     assign iobs/Once_D = ((A_FSB[23] && !iobs/Once && iobs/PS_FSM_FFd1) -
      || (!iobs/Once && iobs/PS_FSM_FFd2 && !nADoutLE1) -
      || (!iobs/Once && iobs/PS_FSM_FFd1 && !nADoutLE1) -
      || (!A_FSB[23] && !A_FSB[22] && !A_FSB[21] && !iobs/Once) -
      || (!A_FSB[23] && !A_FSB[22] && !cs/nOverlay1 && -
      !iobs/Once) -
      || (cnt/PORS_FSM_FFd1.EXP) -
      || (!A_FSB[23] && A_FSB[21] && !A_FSB[19] && !iobs/Once) -
      || (!A_FSB[23] && A_FSB[21] && !A_FSB[18] && !iobs/Once) -
      || (!A_FSB[23] && A_FSB[21] && !A_FSB[17] && !iobs/Once) -
      || (!A_FSB[23] && A_FSB[21] && !A_FSB[16] && !iobs/Once) -
      || (!A_FSB[23] && A_FSB[21] && nWE_FSB && !iobs/Once) -
      || (nAS_FSB && !fsb/ASrf) -
      || (A_FSB[23] && !iobs/Once && iobs/PS_FSM_FFd2) -
      || (!A_FSB[23] && !A_FSB[20] && !iobs/Once) -
      || (A_FSB[22] && !iobs/Once && iobs/PS_FSM_FFd2) -
      || (A_FSB[22] && !iobs/Once && iobs/PS_FSM_FFd1)); +FTCPE FTCPE_iobs/Once (iobs/Once,iobs/Once_T,FCLK,1'b0,1'b0); +
     assign iobs/Once_T = ((A_FSB[13] && A_FSB[21] && A_FSB[20] && A_FSB[19] && +
      A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && !iobs/Once && +
      cs/nOverlay1 && !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && fsb/ASrf) +
      || (A_FSB[14] && !A_FSB[23] && !A_FSB[22] && A_FSB[21] && +
      A_FSB[20] && A_FSB[19] && A_FSB[18] && A_FSB[17] && A_FSB[16] && +
      !nWE_FSB && !iobs/Once && cs/nOverlay1 && !nAS_FSB && nADoutLE1) +
      || (A_FSB[14] && !A_FSB[23] && !A_FSB[22] && A_FSB[21] && +
      A_FSB[20] && A_FSB[19] && A_FSB[18] && A_FSB[17] && A_FSB[16] && +
      !nWE_FSB && !iobs/Once && cs/nOverlay1 && fsb/ASrf && nADoutLE1) +
      || (A_FSB[13] && !A_FSB[23] && !A_FSB[22] && A_FSB[21] && +
      A_FSB[20] && A_FSB[19] && A_FSB[18] && A_FSB[17] && A_FSB[16] && +
      !nWE_FSB && !iobs/Once && cs/nOverlay1 && !nAS_FSB && nADoutLE1) +
      || (A_FSB[13] && !A_FSB[23] && !A_FSB[22] && A_FSB[21] && +
      A_FSB[20] && A_FSB[19] && A_FSB[18] && A_FSB[17] && A_FSB[16] && +
      !nWE_FSB && !iobs/Once && cs/nOverlay1 && fsb/ASrf && nADoutLE1) +
      || (A_FSB[22] && A_FSB[21] && !iobs/Once && +
      !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && fsb/ASrf) +
      || (A_FSB[22] && A_FSB[20] && !iobs/Once && +
      !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && fsb/ASrf) +
      || (A_FSB[14] && A_FSB[21] && A_FSB[20] && A_FSB[19] && +
      A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && !iobs/Once && +
      cs/nOverlay1 && !nAS_FSB && !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1) +
      || (A_FSB[14] && A_FSB[21] && A_FSB[20] && A_FSB[19] && +
      A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && !iobs/Once && +
      cs/nOverlay1 && !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && fsb/ASrf) +
      || (A_FSB[13] && A_FSB[21] && A_FSB[20] && A_FSB[19] && +
      A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && !iobs/Once && +
      cs/nOverlay1 && !nAS_FSB && !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1) +
      || (iobs/Once && nAS_FSB && !fsb/ASrf) +
      || (A_FSB[23] && !iobs/Once && !nAS_FSB && +
      !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1) +
      || (A_FSB[23] && !iobs/Once && !iobs/PS_FSM_FFd2 && +
      !iobs/PS_FSM_FFd1 && fsb/ASrf) +
      || (A_FSB[22] && A_FSB[21] && !iobs/Once && !nAS_FSB && +
      !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1) +
      || (A_FSB[22] && A_FSB[20] && !iobs/Once && !nAS_FSB && +
      !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1)); FDCPE FDCPE_iobs/PS_FSM_FFd1 (iobs/PS_FSM_FFd1,iobs/PS_FSM_FFd1_D,FCLK,1'b0,1'b0);
     assign iobs/PS_FSM_FFd1_D = ((iobs/PS_FSM_FFd2)
      || (iobs/PS_FSM_FFd1 && iobs/IOACTr)); -FDCPE FDCPE_iobs/PS_FSM_FFd2 (iobs/PS_FSM_FFd2,iobs/PS_FSM_FFd2_D,FCLK,1'b0,1'b0); -
     assign iobs/PS_FSM_FFd2_D = ((!A_FSB[23] && !A_FSB[22] && !A_FSB[21] && -
      !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && nADoutLE1) -
      || (!A_FSB[23] && !A_FSB[22] && !cs/nOverlay1 && -
      !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && nADoutLE1) -
      || (!A_FSB[23] && A_FSB[21] && !A_FSB[19] && -
      !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && nADoutLE1) -
      || (!A_FSB[23] && A_FSB[21] && !A_FSB[16] && -
      !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && nADoutLE1) -
      || (!A_FSB[23] && A_FSB[21] && nWE_FSB && -
      !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && nADoutLE1) -
      || (!A_FSB[23] && A_FSB[21] && !A_FSB[18] && -
      !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && nADoutLE1) -
      || (!A_FSB[23] && A_FSB[21] && !A_FSB[17] && -
      !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && nADoutLE1) -
      || (!A_FSB[14] && !A_FSB[13] && !A_FSB[23] && A_FSB[21] && -
      !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && nADoutLE1) -
      || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && -
      cs/nOverlay1 && !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && nADoutLE1) -
      || (iobs/PS_FSM_FFd2 && iobs/PS_FSM_FFd1 && -
      iobs/IOACTr) -
      || (!iobs/PS_FSM_FFd2 && iobs/PS_FSM_FFd1 && -
      !iobs/IOACTr) -
      || (iobs/Once && !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && -
      nADoutLE1) -
      || (!A_FSB[23] && !A_FSB[20] && !iobs/PS_FSM_FFd2 && -
      !iobs/PS_FSM_FFd1 && nADoutLE1) -
      || (nAS_FSB && !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && -
      !fsb/ASrf && nADoutLE1)); +FTCPE FTCPE_iobs/PS_FSM_FFd2 (iobs/PS_FSM_FFd2,iobs/PS_FSM_FFd2_T,FCLK,1'b0,1'b0); +
     assign iobs/PS_FSM_FFd2_T = ((iobs/nBERR_FSB.EXP) +
      || (A_FSB[23] && !iobs/Once && !iobs/PS_FSM_FFd2 && +
      !iobs/PS_FSM_FFd1 && fsb/ASrf) +
      || (A_FSB[22] && A_FSB[21] && !iobs/Once && !nAS_FSB && +
      !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1) +
      || (A_FSB[22] && A_FSB[21] && !iobs/Once && +
      !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && fsb/ASrf) +
      || (A_FSB[22] && A_FSB[20] && !iobs/Once && !nAS_FSB && +
      !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1) +
      || (A_FSB[22] && A_FSB[20] && !iobs/Once && +
      !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && fsb/ASrf) +
      || (iobs/PS_FSM_FFd1 && iobs/IOACTr) +
      || (!iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1 && !nADoutLE1) +
      || (A_FSB[23] && !iobs/Once && !nAS_FSB && +
      !iobs/PS_FSM_FFd2 && !iobs/PS_FSM_FFd1)); assign nADoutLE0 = (!iobm/ALE0 && !iobs/ALE0); @@ -665,19 +634,19 @@ FDCPE FDCPE_nAS_IOB (nAS_IOB_I,nAS_IOB,!C16M,1'b0,1'b0);
     assign nAS_IOB = ((!iobm/IOS_FSM_FFd3 && !iobm/IOS_FSM_FFd2)
      || (iobm/IOS_FSM_FFd1 && !iobm/IOS_FSM_FFd2));
     assign nAS_IOB = nAS_IOB_OE ? nAS_IOB_I : 1'bZ; -
     assign nAS_IOB_OE = nAoutOE; +
     assign nAS_IOB_OE = !nAoutOE; FDCPE FDCPE_nAoutOE (nAoutOE,nAoutOE_D,!C8M,1'b0,1'b0);
     assign nAoutOE_D = (!nBR_IOB && cnt/PORS_FSM_FFd1 && !cnt/PORS_FSM_FFd2); FTCPE FTCPE_nBERR_FSB (nBERR_FSB,nBERR_FSB_T,FCLK,1'b0,1'b0); -
     assign nBERR_FSB_T = ((nAS_FSB && !nBERR_FSB && !fsb/ASrf) -
      || (iobs/Once && !nBERR_FSB && !iobs/PS_FSM_FFd2 && +
     assign nBERR_FSB_T = ((iobs/Once && !nBERR_FSB && !iobs/PS_FSM_FFd2 &&
      !iobs/IOACTr && !iobm/IOBERR && nADoutLE1)
      || (iobs/Once && !nAS_FSB && nBERR_FSB &&
      !iobs/PS_FSM_FFd2 && !iobs/IOACTr && iobm/IOBERR && nADoutLE1)
      || (iobs/Once && nBERR_FSB && !iobs/PS_FSM_FFd2 && -
      !iobs/IOACTr && iobm/IOBERR && fsb/ASrf && nADoutLE1)); +
      !iobs/IOACTr && iobm/IOBERR && fsb/ASrf && nADoutLE1) +
      || (nAS_FSB && !nBERR_FSB && !fsb/ASrf)); FTCPE FTCPE_nBR_IOB (nBR_IOB,nBR_IOB_T,!C8M,1'b0,1'b0);
     assign nBR_IOB_T = ((nBR_IOB && !cnt/PORS_FSM_FFd1 && !cnt/PORS_FSM_FFd2) @@ -687,38 +656,35 @@ FTCPE FTCPE_nBR_IOB (nBR_IOB,nBR_IOB_T,!C8M,1'b0,1'b0); FDCPE FDCPE_nCAS (nCAS,!ram/RASEL,!FCLK,1'b0,1'b0); FDCPE FDCPE_nDTACK_FSB (nDTACK_FSB,nDTACK_FSB_D,FCLK,1'b0,1'b0); -
     assign nDTACK_FSB_D = ((iobs/IOReady.EXP) +
     assign nDTACK_FSB_D = ((iobs/IOREQ.EXP) +
      || (nAS_FSB && !fsb/ASrf) +
      || (A_FSB[23] && !fsb/Ready1r && !iobs/IOReady && +
      nDTACK_FSB) +
      || (A_FSB[22] && A_FSB[21] && !fsb/Ready1r && +
      !iobs/IOReady && nDTACK_FSB) +
      || (A_FSB[22] && A_FSB[20] && !fsb/Ready1r && +
      !iobs/IOReady && nDTACK_FSB)
      || (!A_FSB[23] && !A_FSB[22] && cs/nOverlay1 &&
      !fsb/Ready0r && nDTACK_FSB && !ram/RAMReady) -
      || (A_FSB[22] && !A_FSB[21] && A_FSB[20] && !fsb/Ready1r && -
      !iobs/IOReady && nDTACK_FSB)
      || (!A_FSB[23] && A_FSB[22] && A_FSB[21] &&
      !cs/nOverlay1 && !fsb/Ready0r && nDTACK_FSB && !ram/RAMReady) -
      || (A_FSB[14] && A_FSB[22] && A_FSB[20] && A_FSB[19] && -
      A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && !cs/nOverlay1 && -
      !fsb/Ready1r && !iobs/IOReady && nDTACK_FSB) -
      || (A_FSB[13] && A_FSB[22] && A_FSB[20] && A_FSB[19] && -
      A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && !cs/nOverlay1 && -
      !fsb/Ready1r && !iobs/IOReady && nDTACK_FSB) -
      || (A_FSB[14] && !A_FSB[22] && A_FSB[21] && A_FSB[20] && -
      A_FSB[19] && A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && -
      cs/nOverlay1 && !fsb/Ready1r && !iobs/IOReady && nDTACK_FSB && -
      !nADoutLE1) -
      || (A_FSB[13] && !A_FSB[22] && A_FSB[21] && A_FSB[20] && -
      A_FSB[19] && A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && -
      cs/nOverlay1 && !fsb/Ready1r && !iobs/IOReady && nDTACK_FSB && -
      !nADoutLE1)); +
      || (A_FSB[14] && A_FSB[21] && A_FSB[20] && A_FSB[19] && +
      A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && cs/nOverlay1 && +
      !fsb/Ready1r && !iobs/IOReady && nDTACK_FSB && !nADoutLE1) +
      || (A_FSB[13] && A_FSB[21] && A_FSB[20] && A_FSB[19] && +
      A_FSB[18] && A_FSB[17] && A_FSB[16] && !nWE_FSB && cs/nOverlay1 && +
      !fsb/Ready1r && !iobs/IOReady && nDTACK_FSB && !nADoutLE1)); FDCPE FDCPE_nDinLE (nDinLE,nDinLE_D,!C16M,1'b0,1'b0);
     assign nDinLE_D = (iobm/IOS_FSM_FFd1 && iobm/IOS_FSM_FFd2); assign nDinOE = ((A_FSB[23] && nWE_FSB && !nAS_FSB) -
      || (A_FSB[22] && !A_FSB[21] && A_FSB[20] && nWE_FSB && -
      !nAS_FSB)); +
      || (A_FSB[22] && A_FSB[21] && nWE_FSB && !nAS_FSB) +
      || (A_FSB[22] && A_FSB[20] && nWE_FSB && !nAS_FSB)); -assign nDoutOE = !((!nAoutOE && iobm/DoutOE)); +assign nDoutOE = !((iobm/DoutOE && !nAoutOE)); FDCPE FDCPE_nLDS_IOB (nLDS_IOB_I,nLDS_IOB,!C16M,1'b0,1'b0);
     assign nLDS_IOB = ((iobs/IOL0 && !iobm/IOS_FSM_FFd3 && @@ -728,7 +694,7 @@ FDCPE FDCPE_nLDS_IOB (nLDS_IOB_I,nLDS_IOB,!C16M,1'b0,1'b0);
      || (!iobs/IORW0 && iobs/IOL0 && iobm/IOS_FSM_FFd3 &&
      !iobm/IOS_FSM_FFd1));
     assign nLDS_IOB = nLDS_IOB_OE ? nLDS_IOB_I : 1'bZ; -
     assign nLDS_IOB_OE = nAoutOE; +
     assign nLDS_IOB_OE = !nAoutOE; assign nOE = !((nWE_FSB && !nAS_FSB)); @@ -767,7 +733,7 @@ FDCPE FDCPE_nUDS_IOB (nUDS_IOB_I,nUDS_IOB,!C16M,1'b0,1'b0);
      || (!iobs/IORW0 && iobs/IOU0 && iobm/IOS_FSM_FFd3 &&
      !iobm/IOS_FSM_FFd1));
     assign nUDS_IOB = nUDS_IOB_OE ? nUDS_IOB_I : 1'bZ; -
     assign nUDS_IOB_OE = nAoutOE; +
     assign nUDS_IOB_OE = !nAoutOE; FTCPE FTCPE_nVMA_IOB (nVMA_IOB_I,nVMA_IOB_T,C16M,1'b0,1'b0);
     assign nVMA_IOB_T = ((!nVMA_IOB && !iobm/ES[0] && !iobm/ES[1] && !iobm/ES[2] && @@ -776,7 +742,7 @@ FTCPE FTCPE_nVMA_IOB (nVMA_IOB_I,nVMA_IOB_T,C16M,1'b0,1'b0);
      !iobm/ES[3] && !iobm/ES[4] && iobm/IOACT && iobm/VPArf &&
      iobm/VPArr));
     assign nVMA_IOB = nVMA_IOB_OE ? nVMA_IOB_I : 1'bZ; -
     assign nVMA_IOB_OE = nAoutOE; +
     assign nVMA_IOB_OE = !nAoutOE; assign nVPA_FSB = !((fsb/VPA && !nAS_FSB)); @@ -851,7 +817,11 @@ FDCPE FDCPE_ram/RASEL (ram/RASEL,ram/RASEL_D,FCLK,1'b0,1'b0);
      !ram/RS_FSM_FFd3 && !fsb/ASrf)); FDCPE FDCPE_ram/RS_FSM_FFd1 (ram/RS_FSM_FFd1,ram/RS_FSM_FFd1_D,FCLK,1'b0,1'b0); -
     assign ram/RS_FSM_FFd1_D = ((!A_FSB[23] && !A_FSB[22] && cs/nOverlay1 && +
     assign ram/RS_FSM_FFd1_D = ((ram/RS_FSM_FFd1 && ram/RefUrgent && +
      !ram/RS_FSM_FFd3 && fsb/ASrf) +
      || (!A_FSB[23] && !A_FSB[22] && cs/nOverlay1 && !nAS_FSB && +
      !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && ram/RefUrgent && !ram/RAMEN) +
      || (!A_FSB[23] && !A_FSB[22] && cs/nOverlay1 &&
      !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && ram/RefUrgent && !ram/RAMEN &&
      fsb/ASrf)
      || (!A_FSB[23] && A_FSB[22] && A_FSB[21] && @@ -863,11 +833,7 @@ FDCPE FDCPE_ram/RS_FSM_FFd1 (ram/RS_FSM_FFd1,ram/RS_FSM_FFd1_D,FCLK,1'b0,1'b0);
      || (ram/RS_FSM_FFd1 && ram/RS_FSM_FFd2)
      || (!ram/RS_FSM_FFd1 && ram/RS_FSM_FFd3)
      || (!nAS_FSB && ram/RS_FSM_FFd1 && ram/RefUrgent && -
      !ram/RS_FSM_FFd3) -
      || (ram/RS_FSM_FFd1 && ram/RefUrgent && -
      !ram/RS_FSM_FFd3 && fsb/ASrf) -
      || (!A_FSB[23] && !A_FSB[22] && cs/nOverlay1 && !nAS_FSB && -
      !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 && ram/RefUrgent && !ram/RAMEN)); +
      !ram/RS_FSM_FFd3)); FDCPE FDCPE_ram/RS_FSM_FFd2 (ram/RS_FSM_FFd2,ram/RS_FSM_FFd2_D,FCLK,1'b0,1'b0);
     assign ram/RS_FSM_FFd2_D = ((nAS_FSB && !ram/RS_FSM_FFd2 && !ram/RefUrgent && @@ -889,16 +855,13 @@ FDCPE FDCPE_ram/RS_FSM_FFd2 (ram/RS_FSM_FFd2,ram/RS_FSM_FFd2_D,FCLK,1'b0,1'b0);
      !ram/RS_FSM_FFd3 && !ram/RefReq)); FTCPE FTCPE_ram/RS_FSM_FFd3 (ram/RS_FSM_FFd3,ram/RS_FSM_FFd3_T,FCLK,1'b0,1'b0); -
     assign ram/RS_FSM_FFd3_T = ((ram/RS_FSM_FFd1 && ram/RS_FSM_FFd2 && -
      !ram/RS_FSM_FFd3) -
      || (ram/RS_FSM_FFd1 && !ram/RefUrgent && -
      !ram/RS_FSM_FFd3) +
     assign ram/RS_FSM_FFd3_T = ((ram/RS_FSM_FFd1.EXP) +
      || (!ram/RS_FSM_FFd1 && ram/RS_FSM_FFd2 && +
      ram/RS_FSM_FFd3)
      || (A_FSB[23] && !ram/RS_FSM_FFd1 && !ram/RS_FSM_FFd2 &&
      !ram/RS_FSM_FFd3)
      || (nAS_FSB && !ram/RS_FSM_FFd2 && !ram/RS_FSM_FFd3 &&
      !fsb/ASrf) -
      || (!ram/RS_FSM_FFd1 && ram/RS_FSM_FFd2 && -
      ram/RS_FSM_FFd3)
      || (!ram/RS_FSM_FFd2 && !ram/RefUrgent &&
      !ram/RS_FSM_FFd3 && !ram/RAMEN)
      || (A_FSB[22] && !A_FSB[21] && !ram/RS_FSM_FFd1 && diff --git a/cpld/XC95144XL/WarpSE_html/fit/eqns.htm b/cpld/XC95144XL/WarpSE_html/fit/eqns.htm index 38a24fb..85f944b 100644 --- a/cpld/XC95144XL/WarpSE_html/fit/eqns.htm +++ b/cpld/XC95144XL/WarpSE_html/fit/eqns.htm @@ -3,9 +3,9 @@ + +
+ cnt/IPL2r.Q to nBR_IOB.D + 142.800 + 10.000 + 132.800 + + + + + cnt/LTimer<0>.Q to cnt/LTimer<0>.D + 142.800 + 10.000 + 132.800 + + + + + cnt/LTimer<0>.Q to cnt/LTimer<10>.D + 142.800 + 10.000 + 132.800 + + + + + cnt/LTimer<0>.Q to cnt/LTimer<11>.D + 142.800 + 10.000 + 132.800 + + + + + cnt/LTimer<0>.Q to cnt/LTimer<12>.D + 142.800 + 10.000 + 132.800 + + + + + cnt/LTimer<0>.Q to cnt/LTimer<13>.D + 142.800 + 10.000 + 132.800 + + + + + cnt/LTimer<0>.Q to cnt/LTimer<1>.D + 142.800 + 10.000 + 132.800 + + + + + cnt/LTimer<0>.Q to cnt/LTimer<2>.D + 142.800 + 10.000 + 132.800 + + + + + cnt/LTimer<0>.Q to cnt/LTimer<3>.D + 142.800 + 10.000 + 132.800 + + + + + cnt/LTimer<0>.Q to cnt/LTimer<4>.D + 142.800 + 10.000 + 132.800 + + + + + cnt/LTimer<0>.Q to cnt/LTimer<5>.D + 142.800 + 10.000 + 132.800 + + + + + cnt/LTimer<0>.Q to cnt/LTimer<6>.D + 142.800 + 10.000 + 132.800 + + + + + cnt/LTimer<0>.Q to cnt/LTimer<7>.D + 142.800 + 10.000 + 132.800 + + + + + cnt/LTimer<0>.Q to cnt/LTimer<8>.D + 142.800 + 10.000 + 132.800 + + + + + cnt/LTimer<0>.Q to cnt/LTimer<9>.D + 142.800 + 10.000 + 132.800 + + + + + cnt/LTimer<10>.Q to cnt/LTimer<10>.D + 142.800 + 10.000 + 132.800 + + + + + cnt/LTimer<10>.Q to cnt/LTimer<11>.D + 142.800 + 10.000 + 132.800 + + + + + cnt/LTimer<10>.Q to cnt/LTimer<12>.D + 142.800 + 10.000 + 132.800 + + + + + cnt/LTimer<10>.Q to cnt/LTimer<13>.D + 142.800 + 10.000 + 132.800 + + + + + cnt/LTimer<11>.Q to cnt/LTimer<11>.D + 142.800 + 10.000 + 132.800 + + + + + cnt/LTimer<11>.Q to cnt/LTimer<12>.D + 142.800 + 10.000 + 132.800 + + + + + cnt/LTimer<11>.Q to cnt/LTimer<13>.D + 142.800 + 10.000 + 132.800 + + + + + cnt/LTimer<12>.Q to cnt/LTimer<12>.D + 142.800 + 10.000 + 132.800 + + + + + cnt/LTimer<12>.Q to cnt/LTimer<13>.D + 142.800 + 10.000 + 132.800 + + + + + cnt/LTimer<13>.Q to cnt/LTimer<0>.D + 142.800 + 10.000 + 132.800 + + + + + cnt/LTimer<13>.Q to cnt/LTimer<10>.D + 142.800 + 10.000 + 132.800 + + + + + cnt/LTimer<13>.Q to cnt/LTimer<11>.D + 142.800 + 10.000 + 132.800 + + + + + cnt/LTimer<13>.Q to cnt/LTimer<12>.D + 142.800 + 10.000 + 132.800 + + + + + cnt/LTimer<13>.Q to cnt/LTimer<13>.D + 142.800 + 10.000 + 132.800 + + + + + cnt/LTimer<13>.Q to cnt/LTimer<1>.D + 142.800 + 10.000 + 132.800 + + + + + cnt/LTimer<13>.Q to cnt/LTimer<2>.D + 142.800 + 10.000 + 132.800 + + + + + cnt/LTimer<13>.Q to cnt/LTimer<3>.D + 142.800 + 10.000 + 132.800 + + + + + cnt/LTimer<13>.Q to cnt/LTimer<4>.D + 142.800 + 10.000 + 132.800 + + + + + cnt/LTimer<13>.Q to cnt/LTimer<5>.D + 142.800 + 10.000 + 132.800 + + + + + cnt/LTimer<13>.Q to cnt/LTimer<6>.D + 142.800 + 10.000 + 132.800 + + + + + cnt/LTimer<13>.Q to cnt/LTimer<7>.D + 142.800 + 10.000 + 132.800 + + + + + cnt/LTimer<13>.Q to cnt/LTimer<8>.D + 142.800 + 10.000 + 132.800 + + + + + cnt/LTimer<13>.Q to cnt/LTimer<9>.D + 142.800 + 10.000 + 132.800 + + + + + cnt/LTimer<13>.Q to cnt/PORS_FSM_FFd1.D + 142.800 + 10.000 + 132.800 + + + + + cnt/LTimer<13>.Q to cnt/PORS_FSM_FFd2.D + 142.800 + 10.000 + 132.800 + + + + + cnt/LTimer<13>.Q to cnt/nRESout.D + 142.800 + 10.000 + 132.800 + + + + + cnt/LTimer<1>.Q to cnt/LTimer<10>.D + 142.800 + 10.000 + 132.800 + + + + + cnt/LTimer<1>.Q to cnt/LTimer<11>.D + 142.800 + 10.000 + 132.800 + + + + + cnt/LTimer<1>.Q to cnt/LTimer<12>.D + 142.800 + 10.000 + 132.800 + + + + + cnt/LTimer<1>.Q to cnt/LTimer<13>.D + 142.800 + 10.000 + 132.800 + + + + + cnt/LTimer<1>.Q to cnt/LTimer<1>.D + 142.800 + 10.000 + 132.800 + + + + + cnt/LTimer<1>.Q to cnt/LTimer<2>.D + 142.800 + 10.000 + 132.800 + + + + + cnt/LTimer<1>.Q to cnt/LTimer<3>.D + 142.800 + 10.000 + 132.800 + + + + + cnt/LTimer<1>.Q to cnt/LTimer<4>.D + 142.800 + 10.000 + 132.800 + + + + + cnt/LTimer<1>.Q to cnt/LTimer<5>.D + 142.800 + 10.000 + 132.800 + + + + + cnt/LTimer<1>.Q to cnt/LTimer<6>.D + 142.800 + 10.000 + 132.800 + + + + + cnt/LTimer<1>.Q to cnt/LTimer<7>.D + 142.800 + 10.000 + 132.800 + + + + + cnt/LTimer<1>.Q to cnt/LTimer<8>.D + 142.800 + 10.000 + 132.800 + + + + + cnt/LTimer<1>.Q to cnt/LTimer<9>.D + 142.800 + 10.000 + 132.800 + + + + + cnt/LTimer<2>.Q to cnt/LTimer<10>.D + 142.800 + 10.000 + 132.800 + + + + + cnt/LTimer<2>.Q to cnt/LTimer<11>.D + 142.800 + 10.000 + 132.800 + + + + + cnt/LTimer<2>.Q to cnt/LTimer<12>.D + 142.800 + 10.000 + 132.800 + + + + + cnt/LTimer<2>.Q to cnt/LTimer<13>.D + 142.800 + 10.000 + 132.800 + + + + + cnt/LTimer<2>.Q to cnt/LTimer<2>.D + 142.800 + 10.000 + 132.800 + + + + + cnt/LTimer<2>.Q to cnt/LTimer<3>.D + 142.800 + 10.000 + 132.800 + + + + + cnt/LTimer<2>.Q to cnt/LTimer<4>.D + 142.800 + 10.000 + 132.800 + + + + + cnt/LTimer<2>.Q to cnt/LTimer<5>.D + 142.800 + 10.000 + 132.800 + + + + + cnt/LTimer<2>.Q to cnt/LTimer<6>.D + 142.800 + 10.000 + 132.800 + + + + + cnt/LTimer<2>.Q to cnt/LTimer<7>.D + 142.800 + 10.000 + 132.800 + + + + + cnt/LTimer<2>.Q to cnt/LTimer<8>.D + 142.800 + 10.000 + 132.800 + + + + + cnt/LTimer<2>.Q to cnt/LTimer<9>.D + 142.800 + 10.000 + 132.800 + + + + + cnt/LTimer<3>.Q to cnt/LTimer<10>.D + 142.800 + 10.000 + 132.800 + + + + + cnt/LTimer<3>.Q to cnt/LTimer<11>.D + 142.800 + 10.000 + 132.800 + + + + + cnt/LTimer<3>.Q to cnt/LTimer<12>.D + 142.800 + 10.000 + 132.800 + + + + + cnt/LTimer<3>.Q to cnt/LTimer<13>.D + 142.800 + 10.000 + 132.800 + + + + + cnt/LTimer<3>.Q to cnt/LTimer<3>.D + 142.800 + 10.000 + 132.800 + + + + + cnt/LTimer<3>.Q to cnt/LTimer<4>.D + 142.800 + 10.000 + 132.800 + + + + + cnt/LTimer<3>.Q to cnt/LTimer<5>.D + 142.800 + 10.000 + 132.800 + + + + + cnt/LTimer<3>.Q to cnt/LTimer<6>.D + 142.800 + 10.000 + 132.800 + + + + + cnt/LTimer<3>.Q to cnt/LTimer<7>.D + 142.800 + 10.000 + 132.800 + + + + + cnt/LTimer<3>.Q to cnt/LTimer<8>.D + 142.800 + 10.000 + 132.800 + + + + + cnt/LTimer<3>.Q to cnt/LTimer<9>.D + 142.800 + 10.000 + 132.800 + + + + + cnt/LTimer<4>.Q to cnt/LTimer<10>.D + 142.800 + 10.000 + 132.800 + + + + + cnt/LTimer<4>.Q to cnt/LTimer<11>.D + 142.800 + 10.000 + 132.800 + + + + + cnt/LTimer<4>.Q to cnt/LTimer<12>.D + 142.800 + 10.000 + 132.800 + + + + + cnt/LTimer<4>.Q to cnt/LTimer<13>.D + 142.800 + 10.000 + 132.800 + + + + + cnt/LTimer<4>.Q to cnt/LTimer<4>.D + 142.800 + 10.000 + 132.800 + + + + + cnt/LTimer<4>.Q to cnt/LTimer<5>.D + 142.800 + 10.000 + 132.800 + + + + + cnt/LTimer<4>.Q to cnt/LTimer<6>.D + 142.800 + 10.000 + 132.800 + + + + + cnt/LTimer<4>.Q to cnt/LTimer<7>.D + 142.800 + 10.000 + 132.800 + + + + + cnt/LTimer<4>.Q to cnt/LTimer<8>.D + 142.800 + 10.000 + 132.800 + + + + + cnt/LTimer<4>.Q to cnt/LTimer<9>.D + 142.800 + 10.000 + 132.800 + + + + + cnt/LTimer<5>.Q to cnt/LTimer<10>.D + 142.800 + 10.000 + 132.800 + + + + + cnt/LTimer<5>.Q to cnt/LTimer<11>.D + 142.800 + 10.000 + 132.800 + + + + + cnt/LTimer<5>.Q to cnt/LTimer<12>.D + 142.800 + 10.000 + 132.800 + + + + + cnt/LTimer<5>.Q to cnt/LTimer<13>.D + 142.800 + 10.000 + 132.800 + + + + + cnt/LTimer<5>.Q to cnt/LTimer<5>.D + 142.800 + 10.000 + 132.800 + + + + + cnt/LTimer<5>.Q to cnt/LTimer<6>.D + 142.800 + 10.000 + 132.800 + + + + + cnt/LTimer<5>.Q to cnt/LTimer<7>.D + 142.800 + 10.000 + 132.800 + + + + + cnt/LTimer<5>.Q to cnt/LTimer<8>.D + 142.800 + 10.000 + 132.800 + + + + + cnt/LTimer<5>.Q to cnt/LTimer<9>.D + 142.800 + 10.000 + 132.800 + + + + + cnt/LTimer<6>.Q to cnt/LTimer<10>.D + 142.800 + 10.000 + 132.800 + + + + + cnt/LTimer<6>.Q to cnt/LTimer<11>.D + 142.800 + 10.000 + 132.800 + + + + + cnt/LTimer<6>.Q to cnt/LTimer<12>.D + 142.800 + 10.000 + 132.800 + + + + + cnt/LTimer<6>.Q to cnt/LTimer<13>.D + 142.800 + 10.000 + 132.800 + + + + + cnt/LTimer<6>.Q to cnt/LTimer<6>.D + 142.800 + 10.000 + 132.800 + + + + + cnt/LTimer<6>.Q to cnt/LTimer<7>.D + 142.800 + 10.000 + 132.800 + + + + + cnt/LTimer<6>.Q to cnt/LTimer<8>.D + 142.800 + 10.000 + 132.800 + + + + + cnt/LTimer<6>.Q to cnt/LTimer<9>.D + 142.800 + 10.000 + 132.800 + + + + + cnt/LTimer<7>.Q to cnt/LTimer<10>.D + 142.800 + 10.000 + 132.800 + + + + + cnt/LTimer<7>.Q to cnt/LTimer<11>.D + 142.800 + 10.000 + 132.800 + + + + + cnt/LTimer<7>.Q to cnt/LTimer<12>.D + 142.800 + 10.000 + 132.800 + + + + + cnt/LTimer<7>.Q to cnt/LTimer<13>.D + 142.800 + 10.000 + 132.800 + + + + + cnt/LTimer<7>.Q to cnt/LTimer<7>.D + 142.800 + 10.000 + 132.800 + + + + + cnt/LTimer<7>.Q to cnt/LTimer<8>.D + 142.800 + 10.000 + 132.800 + + + + + cnt/LTimer<7>.Q to cnt/LTimer<9>.D + 142.800 + 10.000 + 132.800 + + + + + cnt/LTimer<8>.Q to cnt/LTimer<10>.D + 142.800 + 10.000 + 132.800 + + + + + cnt/LTimer<8>.Q to cnt/LTimer<11>.D + 142.800 + 10.000 + 132.800 + + + + + cnt/LTimer<8>.Q to cnt/LTimer<12>.D + 142.800 + 10.000 + 132.800 + + + + + cnt/LTimer<8>.Q to cnt/LTimer<13>.D + 142.800 + 10.000 + 132.800 + + + + + cnt/LTimer<8>.Q to cnt/LTimer<8>.D + 142.800 + 10.000 + 132.800 + + + + + cnt/LTimer<8>.Q to cnt/LTimer<9>.D + 142.800 + 10.000 + 132.800 + + + + + cnt/LTimer<9>.Q to cnt/LTimer<10>.D + 142.800 + 10.000 + 132.800 + + + + + cnt/LTimer<9>.Q to cnt/LTimer<11>.D + 142.800 + 10.000 + 132.800 + + + + + cnt/LTimer<9>.Q to cnt/LTimer<12>.D + 142.800 + 10.000 + 132.800 + + + + + cnt/LTimer<9>.Q to cnt/LTimer<13>.D + 142.800 + 10.000 + 132.800 + + + + + cnt/LTimer<9>.Q to cnt/LTimer<9>.D + 142.800 + 10.000 + 132.800 + + + + + cnt/PORS_FSM_FFd1.Q to cnt/PORS_FSM_FFd1.D + 142.800 + 10.000 + 132.800 + + + + + cnt/PORS_FSM_FFd1.Q to cnt/PORS_FSM_FFd2.D + 142.800 + 10.000 + 132.800 + + + + + cnt/PORS_FSM_FFd1.Q to cnt/nRESout.D + 142.800 + 10.000 + 132.800 + + + + + cnt/PORS_FSM_FFd1.Q to nAoutOE.D + 142.800 + 10.000 + 132.800 + + + + + cnt/PORS_FSM_FFd1.Q to nBR_IOB.D + 142.800 + 10.000 + 132.800 + + + + + cnt/PORS_FSM_FFd2.Q to cnt/PORS_FSM_FFd1.D + 142.800 + 10.000 + 132.800 + + + + + cnt/PORS_FSM_FFd2.Q to cnt/PORS_FSM_FFd2.D + 142.800 + 10.000 + 132.800 + + + + + cnt/PORS_FSM_FFd2.Q to cnt/nRESout.D + 142.800 + 10.000 + 132.800 + + + + + cnt/PORS_FSM_FFd2.Q to nAoutOE.D + 142.800 + 10.000 + 132.800 + + + + + cnt/PORS_FSM_FFd2.Q to nBR_IOB.D + 142.800 + 10.000 + 132.800 + + + + + cnt/nRESout.Q to cnt/nRESout.D + 142.800 + 10.000 + 132.800 + + + + + nBR_IOB.Q to nAoutOE.D + 142.800 + 10.000 + 132.800 + + + + + nBR_IOB.Q to nBR_IOB.D + 142.800 + 10.000 + 132.800 + +

@@ -131,8 +4755,8 @@ cs/nOverlay1.Q to fsb/VPA.D 40.000 - 12.200 - 27.800 + 11.400 + 28.600 - - - fsb/ASrf.Q to fsb/VPA.D - 20.000 - 12.200 - 7.800 - - - - - fsb/Ready2r.Q to fsb/VPA.D - 40.000 - 12.200 - 27.800 - - - - - fsb/VPA.Q to fsb/VPA.D - 40.000 - 12.200 - 27.800 - - + + + cs/nOverlay1.Q to ram/RAMReady.D + 40.000 + 11.400 + 28.600 + + + + + cs/nOverlay1.Q to ram/RASEL.D + 40.000 + 11.400 + 28.600 + + + + + fsb/ASrf.Q to fsb/VPA.D + 20.000 + 11.400 + 8.600 + + @@ -398,6 +5022,40 @@ function TS_CLK_FSB_fsb_ASrf_Q_to_nDTACK_FSB_D() { aWindow.document.close() } //--> + + + + fsb/ASrf.Q to ram/RASEL.D + 20.000 + 11.400 + 8.600 + + @@ -466,6 +5124,40 @@ function TS_CLK_FSB_fsb_Ready1r_Q_to_nDTACK_FSB_D() { aWindow.document.close() } //--> + + + + fsb/VPA.Q to fsb/VPA.D + 40.000 + 11.400 + 28.600 + + @@ -670,6 +5362,40 @@ function TS_CLK_FSB_iobs_PS_FSM_FFd1_Q_to_iobs_IORW0_D() { aWindow.document.close() } //--> + + + + iobs/PS_FSM_FFd2.Q to iobs/IOREQ.D + 40.000 + 11.400 + 28.600 + + @@ -738,6 +5464,40 @@ function TS_CLK_FSB_nADoutLE1_Q_to_fsb_VPA_D() { aWindow.document.close() } //--> + + + + nADoutLE1.Q to iobs/IOREQ.D + 40.000 + 11.400 + 28.600 + + @@ -806,6 +5566,278 @@ function TS_CLK_FSB_nDTACK_FSB_Q_to_nDTACK_FSB_D() { aWindow.document.close() } //--> + + + + ram/RAMEN.Q to ram/RAMReady.D + 40.000 + 11.400 + 28.600 + + + + + ram/RAMEN.Q to ram/RASEL.D + 40.000 + 11.400 + 28.600 + + + + + ram/RS_FSM_FFd2.Q to ram/RAMReady.D + 40.000 + 11.400 + 28.600 + + + + + ram/RS_FSM_FFd2.Q to ram/RASEL.D + 40.000 + 11.400 + 28.600 + + + + + ram/RS_FSM_FFd3.Q to ram/RAMReady.D + 40.000 + 11.400 + 28.600 + + + + + ram/RS_FSM_FFd3.Q to ram/RASEL.D + 40.000 + 11.400 + 28.600 + + + + + ram/RefReq.Q to ram/RAMReady.D + 40.000 + 11.400 + 28.600 + + + + + ram/RefUrgent.Q to ram/RAMReady.D + 40.000 + 11.400 + 28.600 + + @@ -840,40 +5872,6 @@ function TS_CLK_FSB_cs_nOverlay1_Q_to_fsb_Ready1r_D() { aWindow.document.close() } //--> - - - - cs/nOverlay1.Q to fsb/Ready2r.D - 40.000 - 11.000 - 29.000 - - @@ -1046,19 +6044,19 @@ function TS_CLK_FSB_cs_nOverlay1_Q_to_nDTACK_FSB_D() { //--> - - cs/nOverlay1.Q to ram/RAMReady.D + + cs/nOverlay1.Q to ram/RAMEN.D 40.000 11.000 29.000 - - cs/nOverlay1.Q to ram/RASEL.D + + cs/nOverlay1.Q to ram/RS_FSM_FFd1.D 40.000 11.000 29.000 - - fsb/ASrf.Q to fsb/Ready2r.D + + cs/nOverlay1.Q to ram/RS_FSM_FFd2.D + 40.000 + 11.000 + 29.000 + + + + + fsb/ASrf.Q to fsb/Ready0r.D 20.000 11.000 9.000 - - fsb/ASrf.Q to ram/RAMReady.D + + fsb/ASrf.Q to iobs/IOReady.D 20.000 11.000 9.000 - - fsb/ASrf.Q to ram/RASEL.D + + fsb/ASrf.Q to ram/RAMEN.D 20.000 11.000 9.000 + + + fsb/ASrf.Q to ram/RS_FSM_FFd1.D + 20.000 + 11.000 + 9.000 + + + + + fsb/ASrf.Q to ram/RS_FSM_FFd2.D + 20.000 + 11.000 + 9.000 + + + + + fsb/ASrf.Q to ram/RS_FSM_FFd3.D + 20.000 + 11.000 + 9.000 + + - - fsb/Ready2r.Q to fsb/Ready2r.D + + iobs/IOACTr.Q to iobs/IOReady.D 40.000 11.000 29.000 - - - fsb/Ready2r.Q to nDTACK_FSB.D - 40.000 - 11.000 - 29.000 - - - - iobs/PS_FSM_FFd1.Q to iobs/ALE0.D + + iobs/IOReady.Q to iobs/IOReady.D 40.000 11.000 29.000 + + + iobs/Once.Q to iobs/IOReady.D + 40.000 + 11.000 + 29.000 + + - - - iobs/PS_FSM_FFd2.Q to iobs/ALE0.D - 40.000 - 11.000 - 29.000 - - - - - iobs/PS_FSM_FFd2.Q to iobs/IOREQ.D - 40.000 - 11.000 - 29.000 - - @@ -1724,6 +6790,40 @@ function TS_CLK_FSB_iobs_PS_FSM_FFd2_Q_to_iobs_IORW1_D() { aWindow.document.close() } //--> + + + + iobs/PS_FSM_FFd2.Q to iobs/IOReady.D + 40.000 + 11.000 + 29.000 + + @@ -1828,19 +6928,19 @@ function TS_CLK_FSB_nADoutLE1_Q_to_fsb_Ready1r_D() { //--> - - nADoutLE1.Q to iobs/IOREQ.D + + nADoutLE1.Q to iobs/IOReady.D 40.000 11.000 29.000 - - nADoutLE1.Q to nDTACK_FSB.D + + ram/BACTr.Q to ram/RAMReady.D 40.000 11.000 29.000 - - - ram/RAMEN.Q to ram/RAMReady.D - 40.000 - 11.000 - 29.000 - - - - ram/RAMEN.Q to ram/RASEL.D + + ram/BACTr.Q to ram/RASEL.D 40.000 11.000 29.000 + + + ram/RAMEN.Q to ram/RAMEN.D + 40.000 + 11.000 + 29.000 + + + + + ram/RAMEN.Q to ram/RS_FSM_FFd1.D + 40.000 + 11.000 + 29.000 + + - - ram/RS_FSM_FFd1.Q to ram/RAMReady.D + + ram/RS_FSM_FFd1.Q to ram/RAMEN.D 40.000 11.000 29.000 - - ram/RS_FSM_FFd1.Q to ram/RASEL.D + + ram/RS_FSM_FFd1.Q to ram/RS_FSM_FFd1.D 40.000 11.000 29.000 - - ram/RS_FSM_FFd2.Q to ram/RASEL.D + + ram/RS_FSM_FFd1.Q to ram/RS_FSM_FFd3.D 40.000 11.000 29.000 + + + ram/RS_FSM_FFd2.Q to ram/RS_FSM_FFd1.D + 40.000 + 11.000 + 29.000 + + + + + ram/RS_FSM_FFd2.Q to ram/RS_FSM_FFd2.D + 40.000 + 11.000 + 29.000 + + + + + ram/RS_FSM_FFd2.Q to ram/RS_FSM_FFd3.D + 40.000 + 11.000 + 29.000 + + + + + ram/RS_FSM_FFd3.Q to ram/RS_FSM_FFd2.D + 40.000 + 11.000 + 29.000 + + + + + ram/RS_FSM_FFd3.Q to ram/RS_FSM_FFd3.D + 40.000 + 11.000 + 29.000 + + + + + ram/RefReq.Q to ram/RASEL.D + 40.000 + 11.000 + 29.000 + + + + + ram/RefUrgent.Q to ram/RASEL.D + 40.000 + 11.000 + 29.000 + + + + + ram/RefUrgent.Q to ram/RS_FSM_FFd1.D + 40.000 + 11.000 + 29.000 + + + + + ram/RefUrgent.Q to ram/RS_FSM_FFd2.D + 40.000 + 11.000 + 29.000 + + + + + ram/RefUrgent.Q to ram/RS_FSM_FFd3.D + 40.000 + 11.000 + 29.000 + + @@ -2404,40 +7878,6 @@ function TS_CLK_FSB_fsb_ASrf_Q_to_cs_nOverlay1_CE() { aWindow.document.close() } //--> - - - - fsb/ASrf.Q to fsb/Ready0r.D - 20.000 - 10.000 - 10.000 - - @@ -2472,40 +7912,6 @@ function TS_CLK_FSB_fsb_ASrf_Q_to_fsb_Ready1r_D() { aWindow.document.close() } //--> - - - - fsb/ASrf.Q to iobs/IOBS_BERR.D - 20.000 - 10.000 - 10.000 - - @@ -2540,40 +7946,6 @@ function TS_CLK_FSB_fsb_ASrf_Q_to_iobs_IOREQ_D() { aWindow.document.close() } //--> - - - - fsb/ASrf.Q to iobs/IOReady.D - 20.000 - 10.000 - 10.000 - - @@ -2678,19 +8050,19 @@ function TS_CLK_FSB_fsb_ASrf_Q_to_iobs_PS_FSM_FFd2_D() { //--> - - fsb/ASrf.Q to ram/RAMEN.D + + fsb/ASrf.Q to nBERR_FSB.D 20.000 10.000 10.000 - - fsb/ASrf.Q to ram/RS_FSM_FFd3.D + + fsb/ASrf.Q to ram/BACTr.D 20.000 10.000 10.000 + + + fsb/ASrf.Q to ram/RAMReady.D + 20.000 + 10.000 + 10.000 + + - - - iobs/IOACTr.Q to iobs/IOBS_BERR.D - 40.000 - 10.000 - 30.000 - - @@ -2880,40 +8252,6 @@ function TS_CLK_FSB_iobs_IOACTr_Q_to_iobs_IOREQ_D() { aWindow.document.close() } //--> - - - - iobs/IOACTr.Q to iobs/IOReady.D - 40.000 - 10.000 - 30.000 - - @@ -2984,19 +8322,19 @@ function TS_CLK_FSB_iobs_IOACTr_Q_to_iobs_PS_FSM_FFd2_D() { //--> - - iobs/IOBS_BERR.Q to iobs/IOBS_BERR.D + + iobs/IOACTr.Q to nBERR_FSB.D 40.000 10.000 30.000 - - - iobs/IOReady.Q to iobs/IOReady.D - 40.000 - 10.000 - 30.000 - - @@ -3254,40 +8558,6 @@ function TS_CLK_FSB_iobs_Load1_Q_to_nADoutLE1_D() { aWindow.document.close() } //--> - - - - iobs/Once.Q to iobs/IOBS_BERR.D - 40.000 - 10.000 - 30.000 - - @@ -3356,40 +8626,6 @@ function TS_CLK_FSB_iobs_Once_Q_to_iobs_IORW1_D() { aWindow.document.close() } //--> - - - - iobs/Once.Q to iobs/IOReady.D - 40.000 - 10.000 - 30.000 - - @@ -3458,6 +8694,74 @@ function TS_CLK_FSB_iobs_Once_Q_to_iobs_PS_FSM_FFd2_D() { aWindow.document.close() } //--> + + + + iobs/Once.Q to nBERR_FSB.D + 40.000 + 10.000 + 30.000 + + + + + iobs/PS_FSM_FFd1.Q to iobs/ALE0.D + 40.000 + 10.000 + 30.000 + + @@ -3662,6 +8966,40 @@ function TS_CLK_FSB_iobs_PS_FSM_FFd1_Q_to_iobs_PS_FSM_FFd1_D() { aWindow.document.close() } //--> + + + + iobs/PS_FSM_FFd2.Q to iobs/ALE0.D + 40.000 + 10.000 + 30.000 + + @@ -3696,40 +9034,6 @@ function TS_CLK_FSB_iobs_PS_FSM_FFd2_Q_to_iobs_Clear1_D() { aWindow.document.close() } //--> - - - - iobs/PS_FSM_FFd2.Q to iobs/IOBS_BERR.D - 40.000 - 10.000 - 30.000 - - @@ -3764,40 +9068,6 @@ function TS_CLK_FSB_iobs_PS_FSM_FFd2_Q_to_iobs_IOL0_CE() { aWindow.document.close() } //--> - - - - iobs/PS_FSM_FFd2.Q to iobs/IOReady.D - 40.000 - 10.000 - 30.000 - - @@ -3900,6 +9170,40 @@ function TS_CLK_FSB_iobs_PS_FSM_FFd2_Q_to_iobs_PS_FSM_FFd1_D() { aWindow.document.close() } //--> + + + + iobs/PS_FSM_FFd2.Q to nBERR_FSB.D + 40.000 + 10.000 + 30.000 + + @@ -3934,40 +9238,6 @@ function TS_CLK_FSB_nADoutLE1_Q_to_iobs_Clear1_D() { aWindow.document.close() } //--> - - - - nADoutLE1.Q to iobs/IOBS_BERR.D - 40.000 - 10.000 - 30.000 - - @@ -4036,40 +9306,6 @@ function TS_CLK_FSB_nADoutLE1_Q_to_iobs_IORW1_D() { aWindow.document.close() } //--> - - - - nADoutLE1.Q to iobs/IOReady.D - 40.000 - 10.000 - 30.000 - - @@ -4174,19 +9410,19 @@ function TS_CLK_FSB_nADoutLE1_Q_to_nADoutLE1_D() { //--> - - ram/RAMEN.Q to ram/RAMEN.D + + nADoutLE1.Q to nBERR_FSB.D 40.000 10.000 30.000 + + + nADoutLE1.Q to nDTACK_FSB.D + 40.000 + 10.000 + 30.000 + + + + + nBERR_FSB.Q to nBERR_FSB.D + 40.000 + 10.000 + 30.000 + + + + + ram/BACTr.Q to ram/RAMEN.D + 40.000 + 10.000 + 30.000 + + + + + ram/BACTr.Q to ram/RS_FSM_FFd2.D + 40.000 + 10.000 + 30.000 + + @@ -4310,19 +9682,19 @@ function TS_CLK_FSB_ram_RASEL_Q_to_nCAS_D() { //--> - - ram/RS_FSM_FFd1.Q to ram/RAMEN.D + + ram/RS_FSM_FFd1.Q to ram/RAMReady.D 40.000 10.000 30.000 - - ram/RS_FSM_FFd1.Q to ram/RS_FSM_FFd1.D + + ram/RS_FSM_FFd1.Q to ram/RASEL.D 40.000 10.000 30.000 - - ram/RS_FSM_FFd1.Q to ram/RS_FSM_FFd3.D + + ram/RS_FSM_FFd1.Q to ram/RefDone.D 40.000 10.000 30.000 - - ram/RS_FSM_FFd2.Q to ram/RAMReady.D + + ram/RS_FSM_FFd2.Q to ram/RefDone.D 40.000 10.000 30.000 - - - ram/RS_FSM_FFd2.Q to ram/RS_FSM_FFd1.D - 40.000 - 10.000 - 30.000 - - - - - ram/RS_FSM_FFd2.Q to ram/RS_FSM_FFd2.D - 40.000 - 10.000 - 30.000 - - - - - ram/RS_FSM_FFd2.Q to ram/RS_FSM_FFd3.D - 40.000 - 10.000 - 30.000 - - - - - ram/RS_FSM_FFd3.Q to ram/RAMReady.D - 40.000 - 10.000 - 30.000 - - - - - ram/RS_FSM_FFd3.Q to ram/RASEL.D - 40.000 - 10.000 - 30.000 - - @@ -4820,19 +10022,19 @@ function TS_CLK_FSB_ram_RS_FSM_FFd3_Q_to_ram_RS_FSM_FFd1_D() { //--> - - ram/RS_FSM_FFd3.Q to ram/RS_FSM_FFd2.D + + ram/RefDone.Q to ram/RefDone.D 40.000 10.000 30.000 + + + ram/RefDone.Q to ram/RefReq.D + 40.000 + 10.000 + 30.000 + + + + + ram/RefDone.Q to ram/RefUrgent.D + 40.000 + 10.000 + 30.000 + + + + + ram/RefReq.Q to ram/RAMEN.D + 40.000 + 10.000 + 30.000 + + + + + ram/RefReq.Q to ram/RS_FSM_FFd2.D + 40.000 + 10.000 + 30.000 + + - - ram/RS_FSM_FFd3.Q to ram/RS_FSM_FFd3.D + + ram/RefReqSync.Q to ram/RefDone.D 40.000 10.000 30.000 + + + ram/RefReqSync.Q to ram/RefReq.D + 40.000 + 10.000 + 30.000 + + + + + ram/RefUrgent.Q to ram/RAMEN.D + 40.000 + 10.000 + 30.000 + + + + + ram/RegUrgentSync.Q to ram/RefUrgent.D + 40.000 + 10.000 + 30.000 + + + + + iobm/IOS_FSM_FFd2.Q to iobm/DoutOE.D + 66.600 + 10.000 + 56.600 + + @@ -7653,40 +13127,6 @@ function TS_CLK2X_IOB_iobm_IOS_FSM_FFd2_Q_to_nDinLE_D() { aWindow.document.close() } //--> - - - - iobm/IOS_FSM_FFd2.Q to nDoutOE.D - 66.600 - 10.000 - 56.600 - - @@ -7789,6 +13229,40 @@ function TS_CLK2X_IOB_iobm_IOS_FSM_FFd3_Q_to_iobm_ALE0_D() { aWindow.document.close() } //--> + + + + iobm/IOS_FSM_FFd3.Q to iobm/DoutOE.D + 66.600 + 10.000 + 56.600 + + @@ -7925,40 +13399,6 @@ function TS_CLK2X_IOB_iobm_IOS_FSM_FFd3_Q_to_nAS_IOB_D() { aWindow.document.close() } //--> - - - - iobm/IOS_FSM_FFd3.Q to nDoutOE.D - 66.600 - 10.000 - 56.600 - - @@ -8061,40 +13501,6 @@ function TS_CLK2X_IOB_iobm_RESrf_Q_to_iobm_IOS_FSM_FFd2_D() { aWindow.document.close() } //--> - - - - iobm/RESrr.Q to iobm/BG.CE - 66.600 - 10.000 - 56.600 - - @@ -8197,6 +13603,74 @@ function TS_CLK2X_IOB_iobm_VPArr_Q_to_nVMA_IOB_D() { aWindow.document.close() } //--> + + + + iobm/nASr.Q to iobm/BG.CE + 66.600 + 10.000 + 56.600 + + + + + nAS_IOB.Q to iobm/nASr.D + 33.300 + 10.000 + 23.300 + + @@ -8282,39 +13756,31 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { Reason - CLK_IOB - 111.111 - Limited by Clock Pulse Width for CLK_IOB + E + 71.429 + Limited by Clock Pulse Width for E - CLK_FSB - 81.967 - Limited by Cycle Time for CLK_FSB + FCLK + 87.719 + Limited by Cycle Time for FCLK - CLK2X_IOB + C8M + 100.000 + Limited by Cycle Time for C8M + + + C16M 90.909 - Limited by Cycle Time for CLK2X_IOB + Limited by Cycle Time for C16M

Setup/Hold Times for Clocks

- - - - - - - - - - - -
Setup/Hold Times for Clock CLK_IOB
Source PadSetup to clk (edge) Hold to clk (edge)
E_IOB6.5000.000

- - + @@ -8322,87 +13788,87 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + @@ -8417,19 +13883,37 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { - +
Setup/Hold Times for Clock CLK_FSBSetup/Hold Times for Clock FCLK
Source Pad Setup to clk (edge)
A_FSB<10>8.7007.900 0.000
A_FSB<11>8.7007.900 0.000
A_FSB<12>8.7007.900 0.000
A_FSB<13>8.7007.900 0.000
A_FSB<14>8.7007.900 0.000
A_FSB<15>8.7007.900 0.000
A_FSB<16>8.7007.900 0.000
A_FSB<17>8.7007.900 0.000
A_FSB<18>8.7007.900 0.000
A_FSB<19>8.7007.900 0.000
A_FSB<20>8.7007.900 0.000
A_FSB<21>8.7007.900 0.000
A_FSB<22>8.7007.900 0.000
A_FSB<23>8.7007.900 0.000
A_FSB<8>8.7007.900 0.000
A_FSB<9>8.3007.900 0.000
nAS_FSB8.3007.900 0.000
nWE_FSB8.7007.900 0.000

- + - + + + + + + + + + +
Setup/Hold Times for Clock CLK2X_IOBSetup/Hold Times for Clock C8M
Source Pad Setup to clk (edge) Hold to clk (edge)
CLK_IOBE6.5000.000
nIPL26.5000.000

+ + + + + + + + + @@ -8448,6 +13932,11 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { + + + + + @@ -8458,19 +13947,11 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() {

Clock to Pad Timing

Setup/Hold Times for Clock C16M
Source PadSetup to clk (edge) Hold to clk (edge)
C8M 7.500 0.000
6.500 0.000
nRES6.5000.000
nVPA_IOB 6.500
- + - - - - - - - - @@ -8479,20 +13960,36 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { + + + + + + + + + + + + - + - + + + + + @@ -8507,14 +14004,6 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { - - - - - - - - @@ -8523,10 +14012,6 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { - - - - @@ -8535,6 +14020,10 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { + + + + @@ -8545,7 +14034,46 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() {
Clock CLK_FSB to PadClock FCLK to Pad
Destination Pad Clock (edge) to Pad
RA<1>14.500
RA<2>14.500
RA<4> 14.500RA<5> 14.500
RA<6>14.500
RA<8> 14.500
nRAMLWE14.500
nROMCS14.500
RA<0> 13.500
RA<3>RA<1> 13.500
RA<6>RA<2>13.500
RA<3> 13.500
nADoutLE0 13.500
nBERR_FSB13.500
nRAMLWE13.500
nRAMUWE 13.500nRAS 13.500
nROMCS13.500
nVPA_FSB 13.500nADoutLE1 5.800
nBERR_FSB5.800
nCAS 5.800

- + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Clock CLK2X_IOB to PadClock C8M to Pad
Destination PadClock (edge) to Pad
nAS_IOB14.500
nLDS_IOB14.500
nRES14.500
nUDS_IOB14.500
nVMA_IOB14.500
nDoutOE13.500
nAoutOE5.800
nBR_IOB5.800

+ + @@ -8554,6 +14082,10 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { + + + + @@ -8562,10 +14094,6 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { - - - - @@ -8583,7 +14111,145 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() {

Clock to Setup Times for Clocks

Clock C16M to Pad
Destination Pad Clock (edge) to Pad nADoutLE0 13.500
nDoutOE13.500
nAS_IOB 5.800nDinLE 5.800
nDoutOE5.800
nLDS_IOB 5.800
- + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Clock to Setup for clock CLK_FSBClock to Setup for clock E
SourceDestinationDelay
cnt/Timer<0>.Qcnt/RefReq.D10.000
cnt/Timer<0>.Qcnt/RefUrgent.D10.000
cnt/Timer<0>.Qcnt/Timer<0>.D10.000
cnt/Timer<0>.Qcnt/Timer<1>.D10.000
cnt/Timer<0>.Qcnt/Timer<2>.D10.000
cnt/Timer<0>.Qcnt/Timer<3>.D10.000
cnt/Timer<0>.Qcnt/TimerTC.D10.000
cnt/Timer<1>.Qcnt/RefReq.D10.000
cnt/Timer<1>.Qcnt/RefUrgent.D10.000
cnt/Timer<1>.Qcnt/Timer<1>.D10.000
cnt/Timer<1>.Qcnt/Timer<2>.D10.000
cnt/Timer<1>.Qcnt/Timer<3>.D10.000
cnt/Timer<1>.Qcnt/TimerTC.D10.000
cnt/Timer<2>.Qcnt/RefReq.D10.000
cnt/Timer<2>.Qcnt/RefUrgent.D10.000
cnt/Timer<2>.Qcnt/Timer<2>.D10.000
cnt/Timer<2>.Qcnt/Timer<3>.D10.000
cnt/Timer<2>.Qcnt/TimerTC.D10.000
cnt/Timer<3>.Qcnt/RefReq.D10.000
cnt/Timer<3>.Qcnt/RefUrgent.D10.000
cnt/Timer<3>.Qcnt/Timer<3>.D10.000
cnt/Timer<3>.Qcnt/TimerTC.D10.000
cnt/TimerTC.Qcnt/Timer<0>.D10.000
cnt/TimerTC.Qcnt/Timer<1>.D10.000
cnt/TimerTC.Qcnt/Timer<2>.D10.000
cnt/TimerTC.Qcnt/Timer<3>.D10.000

+ + @@ -8592,22 +14258,7 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { - - - - - - - - - - - - - - - - + @@ -8619,6 +14270,21 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { + + + + + + + + + + + + + + + @@ -8629,6 +14295,11 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { + + + + + @@ -8639,6 +14310,11 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { + + + + + @@ -8669,6 +14345,11 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { + + + + + @@ -8679,6 +14360,11 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { + + + + + @@ -8690,13 +14376,48 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { - - - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + - + @@ -8726,17 +14447,22 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { - + - + + + + + + - + @@ -8746,12 +14472,27 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { - + - + + + + + + + + + + + + + + + + @@ -8770,13 +14511,8 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { - - - - - - - + + @@ -8790,8 +14526,13 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { - - + + + + + + + @@ -8809,21 +14550,16 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { - - - - - - - - - - + + + + + @@ -8841,7 +14577,7 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { - + @@ -8855,20 +14591,25 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { - - - - - - + - + + + + + + + + + + + @@ -8881,19 +14622,69 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { - + - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + @@ -8924,31 +14715,16 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { - - - - - - - - - - - - - - - @@ -8966,12 +14742,17 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { - + - + + + + + + @@ -8984,21 +14765,11 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { - - - - - - - - - - @@ -9010,8 +14781,8 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { - - + + @@ -9024,11 +14795,6 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { - - - - - @@ -9049,11 +14815,6 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { - - - - - @@ -9064,11 +14825,6 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { - - - - - @@ -9079,6 +14835,16 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { + + + + + + + + + + @@ -9109,26 +14875,21 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { + + + + + - - - - - - - - - - @@ -9145,13 +14906,13 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { - - + + - + @@ -9164,11 +14925,6 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { - - - - - @@ -9185,10 +14941,30 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { - + + + + + + + + + + + + + + + + + + + + + @@ -9206,92 +14982,790 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + - + + + + + + + + + + + + + + + + + + + + + - - + + + + + + + + + + + + + + + + +
Clock to Setup for clock FCLK
Source Destination
cs/nOverlay1.Q fsb/VPA.D12.200
fsb/ASrf.Qfsb/VPA.D12.200
fsb/Ready2r.Qfsb/VPA.D12.200
fsb/VPA.Qfsb/VPA.D12.20011.400
cs/nOverlay1.Qiobs/Once.D 11.400
cs/nOverlay1.Qram/RAMReady.D11.400
cs/nOverlay1.Qram/RASEL.D11.400
fsb/ASrf.Qfsb/VPA.D11.400
fsb/ASrf.Q iobs/IORW0.DnDTACK_FSB.D 11.400
fsb/ASrf.Qram/RASEL.D11.400
fsb/Ready1r.Q fsb/VPA.DnDTACK_FSB.D 11.400
fsb/VPA.Qfsb/VPA.D11.400
iobs/IORW0.Q iobs/IORW0.Diobs/IORW0.D 11.400
iobs/PS_FSM_FFd2.Qiobs/IOREQ.D11.400
iobs/PS_FSM_FFd2.Q iobs/IORW0.Dfsb/VPA.D 11.400
nADoutLE1.Qiobs/IOREQ.D11.400
nADoutLE1.Q iobs/IORW0.D11.400
cs/nOverlay1.Qfsb/Ready1r.D11.000ram/RAMEN.Qram/RAMReady.D11.400
ram/RAMEN.Qram/RASEL.D11.400
ram/RS_FSM_FFd2.Qram/RAMReady.D11.400
ram/RS_FSM_FFd2.Qram/RASEL.D11.400
ram/RS_FSM_FFd3.Qram/RAMReady.D11.400
ram/RS_FSM_FFd3.Qram/RASEL.D11.400
ram/RefReq.Qram/RAMReady.D11.400
ram/RefUrgent.Qram/RAMReady.D11.400
cs/nOverlay1.Qfsb/Ready2r.Dfsb/Ready1r.D 11.000
cs/nOverlay1.Qram/RAMReady.Dram/RAMEN.D 11.000
cs/nOverlay1.Qram/RASEL.Dram/RS_FSM_FFd1.D11.000
cs/nOverlay1.Qram/RS_FSM_FFd2.D 11.000
fsb/ASrf.Qfsb/Ready2r.Dfsb/Ready0r.D 11.000
fsb/ASrf.Qram/RAMReady.Diobs/IOReady.D 11.000
fsb/ASrf.Qram/RASEL.Dram/RAMEN.D11.000
fsb/ASrf.Qram/RS_FSM_FFd1.D11.000
fsb/ASrf.Qram/RS_FSM_FFd2.D11.000
fsb/ASrf.Qram/RS_FSM_FFd3.D 11.000
11.000
fsb/Ready2r.Qfsb/Ready2r.D11.000
fsb/Ready2r.QnDTACK_FSB.Diobs/IOACTr.Qiobs/IOReady.D 11.000
11.000
iobs/PS_FSM_FFd1.Qiobs/ALE0.Diobs/IOReady.Qiobs/IOReady.D11.000
iobs/Once.Qiobs/IOReady.D 11.000
iobs/PS_FSM_FFd2.D 11.000
iobs/PS_FSM_FFd2.Qiobs/ALE0.D11.000
iobs/PS_FSM_FFd2.Qiobs/IOREQ.D11.000
iobs/PS_FSM_FFd2.Q iobs/IORW1.D 11.000
iobs/PS_FSM_FFd2.Qiobs/IOReady.D11.000
iobs/PS_FSM_FFd2.Q iobs/Once.D
nADoutLE1.Qiobs/IOREQ.Diobs/IOReady.D 11.000
11.000
nADoutLE1.QnDTACK_FSB.D11.000
ram/RAMEN.Qram/BACTr.Q ram/RAMReady.D 11.000
ram/RAMEN.Qram/BACTr.Q ram/RASEL.D 11.000
ram/RAMEN.Qram/RAMEN.D11.000
ram/RAMEN.Qram/RS_FSM_FFd1.D11.000
ram/RAMReady.Q fsb/VPA.D
ram/RS_FSM_FFd1.Qram/RAMReady.Dram/RAMEN.D 11.000
ram/RS_FSM_FFd1.Qram/RASEL.Dram/RS_FSM_FFd1.D11.000
ram/RS_FSM_FFd1.Qram/RS_FSM_FFd3.D 11.000
ram/RS_FSM_FFd2.Qram/RS_FSM_FFd1.D11.000
ram/RS_FSM_FFd2.Qram/RS_FSM_FFd2.D11.000
ram/RS_FSM_FFd2.Qram/RS_FSM_FFd3.D11.000
ram/RS_FSM_FFd3.Qram/RS_FSM_FFd2.D11.000
ram/RS_FSM_FFd3.Qram/RS_FSM_FFd3.D11.000
ram/RefReq.Q ram/RASEL.D 11.000
ram/RefUrgent.Qram/RASEL.D11.000
ram/RefUrgent.Qram/RS_FSM_FFd1.D11.000
ram/RefUrgent.Qram/RS_FSM_FFd2.D11.000
ram/RefUrgent.Qram/RS_FSM_FFd3.D11.000
cs/nOverlay0.Q cs/nOverlay0.Dcs/nOverlay1.CE 10.000
fsb/ASrf.Qfsb/Ready0r.D10.000
fsb/ASrf.Q fsb/Ready1r.D 10.000
fsb/ASrf.Qiobs/IOBS_BERR.D10.000
fsb/ASrf.Q iobs/IOREQ.D 10.000
fsb/ASrf.Qiobs/IOReady.D10.000
fsb/ASrf.Q iobs/Load1.D
fsb/ASrf.Qram/RAMEN.DnBERR_FSB.D 10.000
fsb/ASrf.Qram/RS_FSM_FFd3.Dram/BACTr.D10.000
fsb/ASrf.Qram/RAMReady.D 10.000
nADoutLE1.D 10.000
iobs/IOACTr.Qiobs/IOBS_BERR.D10.000
iobs/IOACTr.Q iobs/IOREQ.D 10.000
iobs/IOACTr.Qiobs/IOReady.D10.000
iobs/IOACTr.Q iobs/PS_FSM_FFd1.D10.000
iobs/IOBS_BERR.Qiobs/IOBS_BERR.Diobs/IOACTr.QnBERR_FSB.D 10.000
iobs/IORW0.D 10.000
iobs/IOReady.Qiobs/IOReady.D10.000
iobs/IOU1.Q iobs/IOU0.DnADoutLE1.D 10.000
iobs/Once.Qiobs/IOBS_BERR.D10.000
iobs/Once.Q iobs/IOREQ.Diobs/IORW1.D 10.000
iobs/Once.Qiobs/IOReady.D10.000
iobs/Once.Q iobs/Load1.Diobs/PS_FSM_FFd2.D 10.000
iobs/Once.QnBERR_FSB.D10.000
iobs/PS_FSM_FFd1.Qiobs/ALE0.D10.000
iobs/PS_FSM_FFd1.Q iobs/Clear1.Diobs/PS_FSM_FFd1.D 10.000
iobs/PS_FSM_FFd2.Qiobs/ALE0.D10.000
iobs/PS_FSM_FFd2.Q iobs/Clear1.D 10.000
iobs/PS_FSM_FFd2.Qiobs/IOBS_BERR.D10.000
iobs/PS_FSM_FFd2.Q iobs/IOL0.CE 10.000
iobs/PS_FSM_FFd2.Qiobs/IOReady.D10.000
iobs/PS_FSM_FFd2.Q iobs/IOU0.CE10.000
nADoutLE1.Qiobs/Clear1.Diobs/PS_FSM_FFd2.QnBERR_FSB.D 10.000
nADoutLE1.Qiobs/IOBS_BERR.Diobs/Clear1.D 10.000
iobs/IORW1.D 10.000
nADoutLE1.Qiobs/IOReady.D10.000
nADoutLE1.Q iobs/IOU0.D10.000
ram/RAMEN.QnADoutLE1.QnBERR_FSB.D10.000
nADoutLE1.QnDTACK_FSB.D10.000
nBERR_FSB.QnBERR_FSB.D10.000
ram/BACTr.Q ram/RAMEN.D 10.000
ram/BACTr.Qram/RS_FSM_FFd2.D10.000
ram/RAMEN.Q ram/RS_FSM_FFd3.D
ram/RS_FSM_FFd1.Qram/RAMEN.D10.000
ram/RS_FSM_FFd1.Qram/RS_FSM_FFd1.D10.000
ram/RS_FSM_FFd1.Qram/RS_FSM_FFd2.D10.000
ram/RS_FSM_FFd1.Qram/RS_FSM_FFd3.D10.000
ram/RS_FSM_FFd1.Qram/RefRAS.D10.000
ram/RS_FSM_FFd2.Qram/RAMEN.D10.000
ram/RS_FSM_FFd2.Q ram/RAMReady.D 10.000
ram/RS_FSM_FFd2.Qram/RS_FSM_FFd1.D10.000
ram/RS_FSM_FFd2.Qram/RS_FSM_FFd2.D10.000
ram/RS_FSM_FFd2.Qram/RS_FSM_FFd3.D10.000
ram/RS_FSM_FFd2.Qram/RefRAS.D10.000
ram/RS_FSM_FFd3.Qram/RAMEN.D10.000
ram/RS_FSM_FFd3.Qram/RAMReady.D10.000
ram/RS_FSM_FFd3.Qram/RS_FSM_FFd1.Q ram/RASEL.D 10.000
ram/RS_FSM_FFd1.Qram/RS_FSM_FFd2.D10.000
ram/RS_FSM_FFd1.Qram/RefDone.D10.000
ram/RS_FSM_FFd1.Qram/RefRAS.D10.000
ram/RS_FSM_FFd2.Qram/RAMEN.D10.000
ram/RS_FSM_FFd2.Qram/RefDone.D10.000
ram/RS_FSM_FFd2.Qram/RefRAS.D10.000
ram/RS_FSM_FFd3.Qram/RAMEN.D10.000
ram/RS_FSM_FFd3.Q ram/RS_FSM_FFd1.D 10.000
ram/RS_FSM_FFd3.Qram/RefDone.Qram/RefDone.D10.000
ram/RefDone.Qram/RefReq.D10.000
ram/RefDone.Qram/RefUrgent.D10.000
ram/RefReq.Qram/RAMEN.D10.000
ram/RefReq.Q ram/RS_FSM_FFd2.D 10.000
ram/RS_FSM_FFd3.Qram/RS_FSM_FFd3.Dram/RefReqSync.Qram/RefDone.D10.000
ram/RefReqSync.Qram/RefReq.D10.000
ram/RefUrgent.Qram/RAMEN.D10.000
ram/RegUrgentSync.Qram/RefUrgent.D 10.000

- + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Clock to Setup for clock CLK2X_IOBClock to Setup for clock C8M
SourceDestinationDelay
cnt/IPL2r.Qcnt/PORS_FSM_FFd1.D10.000
cnt/IPL2r.QnBR_IOB.D10.000
cnt/LTimer<0>.Qcnt/LTimer<0>.D10.000
cnt/LTimer<0>.Qcnt/LTimer<10>.D10.000
cnt/LTimer<0>.Qcnt/LTimer<11>.D10.000
cnt/LTimer<0>.Qcnt/LTimer<12>.D10.000
cnt/LTimer<0>.Qcnt/LTimer<13>.D10.000
cnt/LTimer<0>.Qcnt/LTimer<1>.D10.000
cnt/LTimer<0>.Qcnt/LTimer<2>.D10.000
cnt/LTimer<0>.Qcnt/LTimer<3>.D10.000
cnt/LTimer<0>.Qcnt/LTimer<4>.D10.000
cnt/LTimer<0>.Qcnt/LTimer<5>.D10.000
cnt/LTimer<0>.Qcnt/LTimer<6>.D10.000
cnt/LTimer<0>.Qcnt/LTimer<7>.D10.000
cnt/LTimer<0>.Qcnt/LTimer<8>.D10.000
cnt/LTimer<0>.Qcnt/LTimer<9>.D10.000
cnt/LTimer<10>.Qcnt/LTimer<10>.D10.000
cnt/LTimer<10>.Qcnt/LTimer<11>.D10.000
cnt/LTimer<10>.Qcnt/LTimer<12>.D10.000
cnt/LTimer<10>.Qcnt/LTimer<13>.D10.000
cnt/LTimer<11>.Qcnt/LTimer<11>.D10.000
cnt/LTimer<11>.Qcnt/LTimer<12>.D10.000
cnt/LTimer<11>.Qcnt/LTimer<13>.D10.000
cnt/LTimer<12>.Qcnt/LTimer<12>.D10.000
cnt/LTimer<12>.Qcnt/LTimer<13>.D10.000
cnt/LTimer<13>.Qcnt/LTimer<0>.D10.000
cnt/LTimer<13>.Qcnt/LTimer<10>.D10.000
cnt/LTimer<13>.Qcnt/LTimer<11>.D10.000
cnt/LTimer<13>.Qcnt/LTimer<12>.D10.000
cnt/LTimer<13>.Qcnt/LTimer<13>.D10.000
cnt/LTimer<13>.Qcnt/LTimer<1>.D10.000
cnt/LTimer<13>.Qcnt/LTimer<2>.D10.000
cnt/LTimer<13>.Qcnt/LTimer<3>.D10.000
cnt/LTimer<13>.Qcnt/LTimer<4>.D10.000
cnt/LTimer<13>.Qcnt/LTimer<5>.D10.000
cnt/LTimer<13>.Qcnt/LTimer<6>.D10.000
cnt/LTimer<13>.Qcnt/LTimer<7>.D10.000
cnt/LTimer<13>.Qcnt/LTimer<8>.D10.000
cnt/LTimer<13>.Qcnt/LTimer<9>.D10.000
cnt/LTimer<13>.Qcnt/PORS_FSM_FFd1.D10.000
cnt/LTimer<13>.Qcnt/PORS_FSM_FFd2.D10.000
cnt/LTimer<13>.Qcnt/nRESout.D10.000
cnt/LTimer<1>.Qcnt/LTimer<10>.D10.000
cnt/LTimer<1>.Qcnt/LTimer<11>.D10.000
cnt/LTimer<1>.Qcnt/LTimer<12>.D10.000
cnt/LTimer<1>.Qcnt/LTimer<13>.D10.000
cnt/LTimer<1>.Qcnt/LTimer<1>.D10.000
cnt/LTimer<1>.Qcnt/LTimer<2>.D10.000
cnt/LTimer<1>.Qcnt/LTimer<3>.D10.000
cnt/LTimer<1>.Qcnt/LTimer<4>.D10.000
cnt/LTimer<1>.Qcnt/LTimer<5>.D10.000
cnt/LTimer<1>.Qcnt/LTimer<6>.D10.000
cnt/LTimer<1>.Qcnt/LTimer<7>.D10.000
cnt/LTimer<1>.Qcnt/LTimer<8>.D10.000
cnt/LTimer<1>.Qcnt/LTimer<9>.D10.000
cnt/LTimer<2>.Qcnt/LTimer<10>.D10.000
cnt/LTimer<2>.Qcnt/LTimer<11>.D10.000
cnt/LTimer<2>.Qcnt/LTimer<12>.D10.000
cnt/LTimer<2>.Qcnt/LTimer<13>.D10.000
cnt/LTimer<2>.Qcnt/LTimer<2>.D10.000
cnt/LTimer<2>.Qcnt/LTimer<3>.D10.000
cnt/LTimer<2>.Qcnt/LTimer<4>.D10.000
cnt/LTimer<2>.Qcnt/LTimer<5>.D10.000
cnt/LTimer<2>.Qcnt/LTimer<6>.D10.000
cnt/LTimer<2>.Qcnt/LTimer<7>.D10.000
cnt/LTimer<2>.Qcnt/LTimer<8>.D10.000
cnt/LTimer<2>.Qcnt/LTimer<9>.D10.000
cnt/LTimer<3>.Qcnt/LTimer<10>.D10.000
cnt/LTimer<3>.Qcnt/LTimer<11>.D10.000
cnt/LTimer<3>.Qcnt/LTimer<12>.D10.000
cnt/LTimer<3>.Qcnt/LTimer<13>.D10.000
cnt/LTimer<3>.Qcnt/LTimer<3>.D10.000
cnt/LTimer<3>.Qcnt/LTimer<4>.D10.000
cnt/LTimer<3>.Qcnt/LTimer<5>.D10.000
cnt/LTimer<3>.Qcnt/LTimer<6>.D10.000
cnt/LTimer<3>.Qcnt/LTimer<7>.D10.000
cnt/LTimer<3>.Qcnt/LTimer<8>.D10.000
cnt/LTimer<3>.Qcnt/LTimer<9>.D10.000
cnt/LTimer<4>.Qcnt/LTimer<10>.D10.000
cnt/LTimer<4>.Qcnt/LTimer<11>.D10.000
cnt/LTimer<4>.Qcnt/LTimer<12>.D10.000
cnt/LTimer<4>.Qcnt/LTimer<13>.D10.000
cnt/LTimer<4>.Qcnt/LTimer<4>.D10.000
cnt/LTimer<4>.Qcnt/LTimer<5>.D10.000
cnt/LTimer<4>.Qcnt/LTimer<6>.D10.000
cnt/LTimer<4>.Qcnt/LTimer<7>.D10.000
cnt/LTimer<4>.Qcnt/LTimer<8>.D10.000
cnt/LTimer<4>.Qcnt/LTimer<9>.D10.000
cnt/LTimer<5>.Qcnt/LTimer<10>.D10.000
cnt/LTimer<5>.Qcnt/LTimer<11>.D10.000
cnt/LTimer<5>.Qcnt/LTimer<12>.D10.000
cnt/LTimer<5>.Qcnt/LTimer<13>.D10.000
cnt/LTimer<5>.Qcnt/LTimer<5>.D10.000
cnt/LTimer<5>.Qcnt/LTimer<6>.D10.000
cnt/LTimer<5>.Qcnt/LTimer<7>.D10.000
cnt/LTimer<5>.Qcnt/LTimer<8>.D10.000
cnt/LTimer<5>.Qcnt/LTimer<9>.D10.000
cnt/LTimer<6>.Qcnt/LTimer<10>.D10.000
cnt/LTimer<6>.Qcnt/LTimer<11>.D10.000
cnt/LTimer<6>.Qcnt/LTimer<12>.D10.000
cnt/LTimer<6>.Qcnt/LTimer<13>.D10.000
cnt/LTimer<6>.Qcnt/LTimer<6>.D10.000
cnt/LTimer<6>.Qcnt/LTimer<7>.D10.000
cnt/LTimer<6>.Qcnt/LTimer<8>.D10.000
cnt/LTimer<6>.Qcnt/LTimer<9>.D10.000
cnt/LTimer<7>.Qcnt/LTimer<10>.D10.000
cnt/LTimer<7>.Qcnt/LTimer<11>.D10.000
cnt/LTimer<7>.Qcnt/LTimer<12>.D10.000
cnt/LTimer<7>.Qcnt/LTimer<13>.D10.000
cnt/LTimer<7>.Qcnt/LTimer<7>.D10.000
cnt/LTimer<7>.Qcnt/LTimer<8>.D10.000
cnt/LTimer<7>.Qcnt/LTimer<9>.D10.000
cnt/LTimer<8>.Qcnt/LTimer<10>.D10.000
cnt/LTimer<8>.Qcnt/LTimer<11>.D10.000
cnt/LTimer<8>.Qcnt/LTimer<12>.D10.000
cnt/LTimer<8>.Qcnt/LTimer<13>.D10.000
cnt/LTimer<8>.Qcnt/LTimer<8>.D10.000
cnt/LTimer<8>.Qcnt/LTimer<9>.D10.000
cnt/LTimer<9>.Qcnt/LTimer<10>.D10.000
cnt/LTimer<9>.Qcnt/LTimer<11>.D10.000
cnt/LTimer<9>.Qcnt/LTimer<12>.D10.000
cnt/LTimer<9>.Qcnt/LTimer<13>.D10.000
cnt/LTimer<9>.Qcnt/LTimer<9>.D10.000
cnt/PORS_FSM_FFd1.Qcnt/PORS_FSM_FFd1.D10.000
cnt/PORS_FSM_FFd1.Qcnt/PORS_FSM_FFd2.D10.000
cnt/PORS_FSM_FFd1.Qcnt/nRESout.D10.000
cnt/PORS_FSM_FFd1.QnAoutOE.D10.000
cnt/PORS_FSM_FFd1.QnBR_IOB.D10.000
cnt/PORS_FSM_FFd2.Qcnt/PORS_FSM_FFd1.D10.000
cnt/PORS_FSM_FFd2.Qcnt/PORS_FSM_FFd2.D10.000
cnt/PORS_FSM_FFd2.Qcnt/nRESout.D10.000
cnt/PORS_FSM_FFd2.QnAoutOE.D10.000
cnt/PORS_FSM_FFd2.QnBR_IOB.D10.000
cnt/nRESout.Qcnt/nRESout.D10.000
nBR_IOB.QnAoutOE.D10.000
nBR_IOB.QnBR_IOB.D10.000

+ + @@ -9672,6 +16146,11 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { + + + + + @@ -9702,11 +16181,6 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { - - - - - @@ -9722,6 +16196,11 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { + + + + + @@ -9742,11 +16221,6 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { - - - - - @@ -9762,11 +16236,6 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { - - - - - @@ -9782,6 +16251,16 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { + + + + + + + + + + @@ -9802,44 +16281,44 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { - - - - - - - - - - + + + + + + + + + + + + + + + - - - - - - - + + @@ -9852,16 +16331,41 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { + + + + + + + + + + + + + + + + + + + + + + + + + @@ -9872,6 +16376,11 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { + + + + + @@ -9912,11 +16421,6 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { - - - - - @@ -9932,11 +16436,6 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { - - - - - @@ -9947,11 +16446,6 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { - - - - - @@ -9963,8 +16457,13 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { - - + + + + + + + @@ -9972,21 +16471,11 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { - - - - - - - - - - @@ -9997,11 +16486,6 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { - - - - - @@ -10022,11 +16506,6 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { - - - - - @@ -10042,11 +16521,6 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() { - - - - - @@ -10061,10 +16535,10 @@ function TS_CLK2X_IOB_nVMA_IOB_Q_to_nVMA_IOB_D() {


Number of paths analyzed: -239 +400
Number of Timing errors:0 -
Analysis Completed:Mon Mar 20 21:19:09 2023 +
Analysis Completed: Sat Mar 25 00:05:47 2023
diff --git a/cpld/XC95144XL/WarpSE_ngdbuild.xrpt b/cpld/XC95144XL/WarpSE_ngdbuild.xrpt index 6fe6300..e48de28 100644 --- a/cpld/XC95144XL/WarpSE_ngdbuild.xrpt +++ b/cpld/XC95144XL/WarpSE_ngdbuild.xrpt @@ -5,7 +5,7 @@ The structure and the elements are likely to change over the next few releases. This means code written to parse this file will need to be revisited each subsequent release.--> - +
Clock to Setup for clock C16M
Source Destinationiobm/ALE0.D 10.000
iobm/IOS_FSM_FFd2.Qiobm/DoutOE.D10.000
iobm/IOS_FSM_FFd2.Q iobm/IOACT.DnDinLE.D 10.000
iobm/IOS_FSM_FFd2.QnDoutOE.D10.000
iobm/IOS_FSM_FFd2.Q nLDS_IOB.Diobm/ALE0.D 10.000
iobm/IOS_FSM_FFd3.Qiobm/DoutOE.D10.000
iobm/IOS_FSM_FFd3.Q iobm/IOS_FSM_FFd1.DnAS_IOB.D 10.000
iobm/IOS_FSM_FFd3.QnDoutOE.D10.000
iobm/IOS_FSM_FFd3.Q nLDS_IOB.Diobm/IOS_FSM_FFd2.D 10.000
iobm/RESrr.Qiobm/BG.CE10.000
iobm/RESrr.Q iobm/IOS_FSM_FFd2.DnVMA_IOB.D 10.000
iobm/nASr.Qiobm/BG.CE10.000
nAS_IOB.Qiobm/nASr.D10.000
nVMA_IOB.Q iobm/ETACK.DDestination Pad Delay
A_FSB<11>RA<1>11.000
A_FSB<14>RA<4>11.000
A_FSB<15> RA<5> 11.000
A_FSB<20>nROMCS11.000
A_FSB<21> RA<8> 11.000
A_FSB<21>nROMCS11.000
A_FSB<22> RA<8> 11.000
A_FSB<22>nROMCS11.000
A_FSB<23> RA<8> 11.000
A_FSB<2>RA<1>11.000
A_FSB<3>RA<2>A_FSB<23>nROMCS 11.000
RA<5> 11.000
A_FSB<7>RA<6>11.000
A_FSB<9> RA<8> 11.000
nAS_FSBnRAMLWE11.000
nLDS_FSBnRAMLWE11.000
nWE_FSBnRAMLWE11.000
A_FSB<10> RA<0> 10.000
A_FSB<11>RA<1>10.000
A_FSB<12> RA<2>RA<3> 10.000
A_FSB<14>RA<4>10.000
A_FSB<16> RA<6>nDinOE 10.000
A_FSB<20>nROMCS10.000
A_FSB<21> RA<10>nRAS 10.000
A_FSB<21>nROMCS10.000
A_FSB<22> nDinOEnRAS 10.000
A_FSB<22>nROMCS10.000
A_FSB<23> nDinOE10.000
A_FSB<23>nROMCSA_FSB<2>RA<1>10.000
A_FSB<3>RA<2> 10.000
RA<3> 10.000
A_FSB<7>RA<6>10.000
A_FSB<8> RA<7> 10.000
nAS_FSBnBERR_FSB10.000
nAS_FSB nDinOEnOE 10.000
nAS_FSBnRAMLWE10.000
nAS_FSB nRAMUWEnVPA_FSB 10.000
nLDS_FSBnRAMLWE10.000
nUDS_FSB nRAMUWEnOE 10.000
nWE_FSBnRAMLWE10.000
nWE_FSB nRAMUWE
@@ -67,15 +67,14 @@
- + - - - + + - - + + @@ -84,13 +83,12 @@
- + - - + - + diff --git a/cpld/XC95144XL/WarpSE_pad.csv b/cpld/XC95144XL/WarpSE_pad.csv index 503fc99..d608956 100644 --- a/cpld/XC95144XL/WarpSE_pad.csv +++ b/cpld/XC95144XL/WarpSE_pad.csv @@ -1,7 +1,7 @@ Release 8.1i - Fit P.20131013 Copyright(c) 1995-2003 Xilinx Inc. All rights reserved - 3-20-2023 11:54PM + 3-25-2023 0:52AM NOTE: This file is designed to be imported into a spreadsheet program such as Microsoft Excel for viewing, printing and sorting. The comma ',' @@ -90,7 +90,7 @@ P69,GND,,GND,,,,,,,,,, P70,nBERR_FSB,O,I/O,OUTPUT,,,,,,,,, P71,TIE,,I/O,,,,,,,,,, P72,nBR_IOB,O,I/O,OUTPUT,,,,,,,,, -P73,nBG_IOB,I,I/O,INPUT,,,,,,,,, +P73,TIE,,I/O,,,,,,,,,, P74,nVMA_IOB,O,I/O,OUTPUT,,,,,,,,, P75,GND,,GND,,,,,,,,,, P76,nBERR_IOB,I,I/O,INPUT,,,,,,,,, diff --git a/cpld/XC95144XL/WarpSE_summary.html b/cpld/XC95144XL/WarpSE_summary.html index 8f716d5..61e962a 100644 --- a/cpld/XC95144XL/WarpSE_summary.html +++ b/cpld/XC95144XL/WarpSE_summary.html @@ -2,7 +2,7 @@
- + @@ -25,7 +25,7 @@ No Errors - + @@ -65,9 +65,9 @@ System Settings - - - + + +
WarpSE Project Status
WarpSE Project Status (03/25/2023 - 00:52:44)
Project File: WarpSE.xise
Product Version:ISE 14.7
  • Warnings:
4 Warnings (0 new)5 Warnings (0 new)
Design Goal:
Detailed Reports [-]
Report NameStatusGenerated ErrorsWarningsInfos
Synthesis ReportCurrentMon Mar 20 23:53:54 202304 Warnings (0 new)0
Translation ReportCurrentMon Mar 20 23:54:00 2023000
CPLD Fitter Report (Text)CurrentMon Mar 20 23:54:12 202304 Warnings (1 new)3 Infos (3 new)
Synthesis ReportCurrentSat Mar 25 00:52:16 202305 Warnings (0 new)0
Translation ReportCurrentSat Mar 25 00:52:22 2023000
CPLD Fitter Report (Text)CurrentSat Mar 25 00:52:35 202305 Warnings (1 new)3 Infos (3 new)
Power Report     
 
@@ -77,5 +77,5 @@ System Settings
-
Date Generated: 03/21/2023 - 00:22:40
+
Date Generated: 03/25/2023 - 00:52:44
\ No newline at end of file diff --git a/cpld/XC95144XL/WarpSE_xst.xrpt b/cpld/XC95144XL/WarpSE_xst.xrpt index 26370f2..16abc98 100644 --- a/cpld/XC95144XL/WarpSE_xst.xrpt +++ b/cpld/XC95144XL/WarpSE_xst.xrpt @@ -5,7 +5,7 @@ The structure and the elements are likely to change over the next few releases. This means code written to parse this file will need to be revisited each subsequent release.--> - +
@@ -78,8 +78,8 @@ - - + + @@ -90,8 +90,8 @@ - - + +
@@ -111,30 +111,29 @@
- + - + - + - - - + + + - - - + +
- +
diff --git a/cpld/XC95144XL/_impact.cmd b/cpld/XC95144XL/_impact.cmd new file mode 100644 index 0000000..e69de29 diff --git a/cpld/XC95144XL/_impact.log b/cpld/XC95144XL/_impact.log new file mode 100644 index 0000000..6dfc460 --- /dev/null +++ b/cpld/XC95144XL/_impact.log @@ -0,0 +1,121 @@ +iMPACT Version: 14.7 + +iMPACT log file Started on Sat Mar 25 00:06:03 2023 + +Welcome to iMPACT +iMPACT Version: 14.7 +// *** BATCH CMD : setMode -bs +// *** BATCH CMD : addDevice -p 1 -file"C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.jed" +'1': Loading file'C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.jed' ... +done. +INFO:iMPACT:1777 - + Reading C:/Xilinx/14.7/ISE_DS/ISE/xc9500xl/data/xc95144xl.bsd... +INFO:iMPACT:501 - '1': Added Device xc95144xl successfully. +---------------------------------------------------------------------- +GUI --- Auto connect to cable... +// *** BATCH CMD : setCable -port auto +INFO:iMPACT - Digilent Plugin: Plugin Version: 2.4.4 +INFO:iMPACT - Digilent Plugin: found 1 device(s). +INFO:iMPACT - Digilent Plugin: opening device: "JtagSmt2", SN:210251A08870 +INFO:iMPACT - Digilent Plugin: User Name: JtagSmt2 +INFO:iMPACT - Digilent Plugin: Product Name: Digilent JTAG-SMT2 +INFO:iMPACT - Digilent Plugin: Serial Number: 210251A08870 +INFO:iMPACT - Digilent Plugin: Product ID: 31000154 +INFO:iMPACT - Digilent Plugin: Firmware Version: 0108 +INFO:iMPACT - Digilent Plugin: JTAG Port Number: 0 +INFO:iMPACT - Digilent Plugin: JTAG Clock Frequency: 10000000 Hz +INFO:iMPACT - Current time: 3/25/2023 12:06:33 AM +// *** BATCH CMD : Program -p 1 -e +Maximum TCK operating frequency for this device chain: 10000000. +Validating chain... +Boundary-scan chain validated successfully. +'1': Erasing device... +PROGRESS_START - Starting Operation. +'1': Erasure completed successfully. +'1': Programming device... +done. +'1': Putting device in ISP mode...done. +'1': Putting device in ISP mode...done. +'1': Programming completed successfully. +PROGRESS_END - End Operation. +Elapsed time = 4 sec. +INFO:iMPACT - Current time: 3/25/2023 12:15:44 AM +Updating config fileC:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.jed... +// *** BATCH CMD : Program -p 1 -e +Maximum TCK operating frequency for this device chain: 10000000. +Validating chain... +Boundary-scan chain validated successfully. +'1': Erasing device... +PROGRESS_START - Starting Operation. +'1': Erasure completed successfully. +'1': Programming device... +done. +'1': Putting device in ISP mode...done. +'1': Putting device in ISP mode...done. +'1': Programming completed successfully. +PROGRESS_END - End Operation. +Elapsed time = 2 sec. +INFO:iMPACT - Current time: 3/25/2023 12:25:37 AM +Updating config fileC:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.jed... +// *** BATCH CMD : Program -p 1 -e +Maximum TCK operating frequency for this device chain: 10000000. +Validating chain... +Boundary-scan chain validated successfully. +'1': Erasing device... +PROGRESS_START - Starting Operation. +'1': Erasure completed successfully. +'1': Programming device... +done. +'1': Putting device in ISP mode...done. +'1': Putting device in ISP mode...done. +'1': Programming completed successfully. +PROGRESS_END - End Operation. +Elapsed time = 5 sec. +INFO:iMPACT - Current time: 3/25/2023 12:28:53 AM +Updating config fileC:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.jed... +// *** BATCH CMD : Program -p 1 -e +Maximum TCK operating frequency for this device chain: 10000000. +Validating chain... +Boundary-scan chain validated successfully. +'1': Erasing device... +PROGRESS_START - Starting Operation. +'1': Erasure completed successfully. +'1': Programming device... +done. +'1': Putting device in ISP mode...done. +'1': Putting device in ISP mode...done. +'1': Programming completed successfully. +PROGRESS_END - End Operation. +Elapsed time = 5 sec. +INFO:iMPACT - Current time: 3/25/2023 12:45:23 AM +Updating config fileC:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.jed... +// *** BATCH CMD : Program -p 1 -e +Maximum TCK operating frequency for this device chain: 10000000. +Validating chain... +Boundary-scan chain validated successfully. +'1': Erasing device... +PROGRESS_START - Starting Operation. +'1': Erasure completed successfully. +'1': Programming device... +done. +'1': Putting device in ISP mode...done. +'1': Putting device in ISP mode...done. +'1': Programming completed successfully. +PROGRESS_END - End Operation. +Elapsed time = 4 sec. +INFO:iMPACT - Current time: 3/25/2023 12:54:24 AM +Updating config fileC:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.jed... +// *** BATCH CMD : Program -p 1 -e +Maximum TCK operating frequency for this device chain: 10000000. +Validating chain... +Boundary-scan chain validated successfully. +'1': Erasing device... +PROGRESS_START - Starting Operation. +'1': Erasure completed successfully. +'1': Programming device... +done. +'1': Putting device in ISP mode...done. +'1': Putting device in ISP mode...done. +'1': Programming completed successfully. +PROGRESS_END - End Operation. +Elapsed time = 5 sec. diff --git a/cpld/XC95144XL/_ngo/netlist.lst b/cpld/XC95144XL/_ngo/netlist.lst index cc510e4..4b32a75 100644 --- a/cpld/XC95144XL/_ngo/netlist.lst +++ b/cpld/XC95144XL/_ngo/netlist.lst @@ -1,2 +1,2 @@ -C:\Users\Wolf\Documents\GitHub\Warp-SE\cpld\XC95144XL\WarpSE.ngc 1679370834 +C:\Users\Wolf\Documents\GitHub\Warp-SE\cpld\XC95144XL\WarpSE.ngc 1679719936 OK diff --git a/cpld/XC95144XL/_xmsgs/cpldfit.xmsgs b/cpld/XC95144XL/_xmsgs/cpldfit.xmsgs index 097ef6c..b14994b 100644 --- a/cpld/XC95144XL/_xmsgs/cpldfit.xmsgs +++ b/cpld/XC95144XL/_xmsgs/cpldfit.xmsgs @@ -26,5 +26,8 @@ Removing unused input(s) 'SW<3>'. The input(s) are unused after optimization. Please verify functionality via simulation. +Removing unused input(s) 'nBG_IOB'. The input(s) are unused after optimization. Please verify functionality via simulation. + + diff --git a/cpld/XC95144XL/_xmsgs/pn_parser.xmsgs b/cpld/XC95144XL/_xmsgs/pn_parser.xmsgs index fad331c..f90a5c8 100644 --- a/cpld/XC95144XL/_xmsgs/pn_parser.xmsgs +++ b/cpld/XC95144XL/_xmsgs/pn_parser.xmsgs @@ -8,26 +8,8 @@ -Analyzing Verilog file "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/CNT.v" into library work - - -Analyzing Verilog file "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/CS.v" into library work - - Analyzing Verilog file "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/FSB.v" into library work -Analyzing Verilog file "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/IOBM.v" into library work - - -Analyzing Verilog file "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/IOBS.v" into library work - - -Analyzing Verilog file "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/RAM.v" into library work - - -Analyzing Verilog file "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE.v" into library work - - diff --git a/cpld/XC95144XL/_xmsgs/xst.xmsgs b/cpld/XC95144XL/_xmsgs/xst.xmsgs index 02e54d3..e9ee543 100644 --- a/cpld/XC95144XL/_xmsgs/xst.xmsgs +++ b/cpld/XC95144XL/_xmsgs/xst.xmsgs @@ -11,6 +11,9 @@ Input <SW> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. +Input <nBG_IOB> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. + + Signal <SndRAMCSWR> is assigned but never used. This unconnected signal will be trimmed during the optimization process. diff --git a/cpld/XC95144XL/iseconfig/WarpSE.projectmgr b/cpld/XC95144XL/iseconfig/WarpSE.projectmgr index 3289b80..cc2d495 100644 --- a/cpld/XC95144XL/iseconfig/WarpSE.projectmgr +++ b/cpld/XC95144XL/iseconfig/WarpSE.projectmgr @@ -10,17 +10,20 @@ /MXSE Z:|Warp-SE|cpld|WarpSE.v - iobs - IOBS (C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/IOBS.v) + WarpSE (C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE.v) 0 0 000000ff000000000000000100000001000000000000000000000000000000000202000000010000000100000064000000d2000000020000000000000000000000000200000064ffffffff000000810000000300000002000000d20000000100000003000000000000000100000003 true - iobs - IOBS (C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/IOBS.v) + WarpSE (C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE.v) 1 + Design Utilities + Implement Design/Optional Implementation Tools + Implement Design/Synthesize - XST User Constraints diff --git a/cpld/XC95144XL/iseconfig/WarpSE.xreport b/cpld/XC95144XL/iseconfig/WarpSE.xreport index 065fa18..2c16786 100644 --- a/cpld/XC95144XL/iseconfig/WarpSE.xreport +++ b/cpld/XC95144XL/iseconfig/WarpSE.xreport @@ -1,7 +1,7 @@
- 2023-03-21T00:22:40 + 2023-03-25T00:05:10 WarpSE Unknown C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/iseconfig/WarpSE.xreport diff --git a/cpld/XC95144XL/webtalk_pn.xml b/cpld/XC95144XL/webtalk_pn.xml index 3cc7e70..79fb39d 100644 --- a/cpld/XC95144XL/webtalk_pn.xml +++ b/cpld/XC95144XL/webtalk_pn.xml @@ -3,7 +3,7 @@ - +
diff --git a/cpld/XC95144XL/xlnx_auto_0_xdb/cst.xbcd b/cpld/XC95144XL/xlnx_auto_0_xdb/cst.xbcd index 78dd83c..1c3bef3 100644 Binary files a/cpld/XC95144XL/xlnx_auto_0_xdb/cst.xbcd and b/cpld/XC95144XL/xlnx_auto_0_xdb/cst.xbcd differ diff --git a/cpld/XC95144XL/xst/work/hdllib.ref b/cpld/XC95144XL/xst/work/hdllib.ref index bdb6ede..321ecd1 100644 --- a/cpld/XC95144XL/xst/work/hdllib.ref +++ b/cpld/XC95144XL/xst/work/hdllib.ref @@ -1,8 +1,8 @@ -MO CNT NULL ../CNT.v vlg65/_c_n_t.bin 1679370820 -MO CS NULL ../CS.v vlg22/_c_s.bin 1679370820 -MO FSB NULL ../FSB.v vlg37/_f_s_b.bin 1679370820 -MO IOBM NULL ../IOBM.v vlg73/_i_o_b_m.bin 1679370820 -MO WarpSE NULL ../WarpSE.v vlg52/_warp_s_e.bin 1679370820 -MO IOBS NULL ../IOBS.v vlg79/_i_o_b_s.bin 1679370820 -MO RAM NULL ../RAM.v vlg14/_r_a_m.bin 1679370820 +MO CNT NULL ../CNT.v vlg65/_c_n_t.bin 1679719921 +MO CS NULL ../CS.v vlg22/_c_s.bin 1679719921 +MO FSB NULL ../FSB.v vlg37/_f_s_b.bin 1679719921 +MO IOBM NULL ../IOBM.v vlg73/_i_o_b_m.bin 1679719921 +MO WarpSE NULL ../WarpSE.v vlg52/_warp_s_e.bin 1679719921 +MO IOBS NULL ../IOBS.v vlg79/_i_o_b_s.bin 1679719921 +MO RAM NULL ../RAM.v vlg14/_r_a_m.bin 1679719921 MO MXSE NULL ../MXSE.v vlg15/_m_x_s_e.bin 1648473402 diff --git a/cpld/XC95144XL/xst/work/vlg22/_c_s.bin b/cpld/XC95144XL/xst/work/vlg22/_c_s.bin index de42e5e..4ff801d 100644 Binary files a/cpld/XC95144XL/xst/work/vlg22/_c_s.bin and b/cpld/XC95144XL/xst/work/vlg22/_c_s.bin differ diff --git a/cpld/XC95144XL/xst/work/vlg37/_f_s_b.bin b/cpld/XC95144XL/xst/work/vlg37/_f_s_b.bin index 83f7ace..527a31f 100644 Binary files a/cpld/XC95144XL/xst/work/vlg37/_f_s_b.bin and b/cpld/XC95144XL/xst/work/vlg37/_f_s_b.bin differ diff --git a/cpld/XC95144XL/xst/work/vlg52/_warp_s_e.bin b/cpld/XC95144XL/xst/work/vlg52/_warp_s_e.bin index ae608cc..fc01353 100644 Binary files a/cpld/XC95144XL/xst/work/vlg52/_warp_s_e.bin and b/cpld/XC95144XL/xst/work/vlg52/_warp_s_e.bin differ diff --git a/cpld/XC95144XL/xst/work/vlg65/_c_n_t.bin b/cpld/XC95144XL/xst/work/vlg65/_c_n_t.bin index ce0c732..32a5204 100644 Binary files a/cpld/XC95144XL/xst/work/vlg65/_c_n_t.bin and b/cpld/XC95144XL/xst/work/vlg65/_c_n_t.bin differ diff --git a/cpld/XC95144XL/xst/work/vlg73/_i_o_b_m.bin b/cpld/XC95144XL/xst/work/vlg73/_i_o_b_m.bin index d57b081..02fc395 100644 Binary files a/cpld/XC95144XL/xst/work/vlg73/_i_o_b_m.bin and b/cpld/XC95144XL/xst/work/vlg73/_i_o_b_m.bin differ diff --git a/cpld/XC95144XL/xst/work/vlg79/_i_o_b_s.bin b/cpld/XC95144XL/xst/work/vlg79/_i_o_b_s.bin index dba039e..d8df2ff 100644 Binary files a/cpld/XC95144XL/xst/work/vlg79/_i_o_b_s.bin and b/cpld/XC95144XL/xst/work/vlg79/_i_o_b_s.bin differ