From f26657823eded69fb1d1e8b64b9f9f5634a5771d Mon Sep 17 00:00:00 2001 From: Zane Kaminski Date: Sat, 15 Apr 2023 05:30:20 -0400 Subject: [PATCH] Compiled --- cpld/XC95144XL/WarpSE.bld | 2 +- cpld/XC95144XL/WarpSE.cmd_log | 11 + cpld/XC95144XL/WarpSE.data | 244 +- cpld/XC95144XL/WarpSE.gise | 16 +- cpld/XC95144XL/WarpSE.gyd | 67 +- cpld/XC95144XL/WarpSE.jed | 2722 +++--- cpld/XC95144XL/WarpSE.mfd | 2148 ++--- cpld/XC95144XL/WarpSE.mod | 35 +- cpld/XC95144XL/WarpSE.nga | 2 +- cpld/XC95144XL/WarpSE.ngc | 2 +- cpld/XC95144XL/WarpSE.ngd | 2 +- cpld/XC95144XL/WarpSE.ngr | 2 +- cpld/XC95144XL/WarpSE.pad | 2 +- cpld/XC95144XL/WarpSE.pnx | 2 +- cpld/XC95144XL/WarpSE.rpt | 1115 +-- cpld/XC95144XL/WarpSE.syr | 80 +- cpld/XC95144XL/WarpSE.tim | 398 +- cpld/XC95144XL/WarpSE.vm6 | 9494 ++++++++++--------- cpld/XC95144XL/WarpSE.xml | 2 +- cpld/XC95144XL/WarpSE_ngdbuild.xrpt | 22 +- cpld/XC95144XL/WarpSE_pad.csv | 2 +- cpld/XC95144XL/WarpSE_xst.xrpt | 20 +- cpld/XC95144XL/_ngo/netlist.lst | 2 +- cpld/XC95144XL/iseconfig/WarpSE.projectmgr | 8 +- cpld/XC95144XL/iseconfig/WarpSE.xreport | 4 +- cpld/XC95144XL/webtalk_pn.xml | 2 +- cpld/XC95144XL/xlnx_auto_0_xdb/cst.xbcd | Bin 5179 -> 5188 bytes cpld/XC95144XL/xst/work/hdllib.ref | 14 +- cpld/XC95144XL/xst/work/vlg14/_r_a_m.bin | Bin 21649 -> 21204 bytes cpld/XC95144XL/xst/work/vlg22/_c_s.bin | Bin 12861 -> 12861 bytes cpld/XC95144XL/xst/work/vlg37/_f_s_b.bin | Bin 5204 -> 5204 bytes cpld/XC95144XL/xst/work/vlg52/_warp_s_e.bin | Bin 20115 -> 20168 bytes cpld/XC95144XL/xst/work/vlg65/_c_n_t.bin | Bin 10731 -> 10731 bytes cpld/XC95144XL/xst/work/vlg73/_i_o_b_m.bin | Bin 17217 -> 17217 bytes cpld/XC95144XL/xst/work/vlg79/_i_o_b_s.bin | Bin 13862 -> 13862 bytes 35 files changed, 8235 insertions(+), 8185 deletions(-) diff --git a/cpld/XC95144XL/WarpSE.bld b/cpld/XC95144XL/WarpSE.bld index 1ba73c6..294b11d 100644 --- a/cpld/XC95144XL/WarpSE.bld +++ b/cpld/XC95144XL/WarpSE.bld @@ -30,7 +30,7 @@ NGDBUILD Design Results Summary: Number of errors: 0 Number of warnings: 0 -Total memory usage is 155620 kilobytes +Total memory usage is 155300 kilobytes Writing NGD file "WarpSE.ngd" ... Total REAL time to NGDBUILD completion: 3 sec diff --git a/cpld/XC95144XL/WarpSE.cmd_log b/cpld/XC95144XL/WarpSE.cmd_log index 666d8e3..7da0efd 100644 --- a/cpld/XC95144XL/WarpSE.cmd_log +++ b/cpld/XC95144XL/WarpSE.cmd_log @@ -907,3 +907,14 @@ cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -loc on - tsim -intstyle ise WarpSE WarpSE.nga hprep6 -s IEEE1149 -n WarpSE -i WarpSE taengine -intstyle ise -f WarpSE -l WarpSE.tim -e {C:\Users\Wolf\Documents\GitHub\Warp-SE\cpld\XC95144XL\taengine.err} +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +tsim -intstyle ise WarpSE WarpSE.nga +taengine -intstyle ise -f WarpSE -l WarpSE.tim -e {C:\Users\Wolf\Documents\GitHub\Warp-SE\cpld\XC95144XL\taengine.err} +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE diff --git a/cpld/XC95144XL/WarpSE.data b/cpld/XC95144XL/WarpSE.data index ab331ea..c8b4c4b 100644 --- a/cpld/XC95144XL/WarpSE.data +++ b/cpld/XC95144XL/WarpSE.data @@ -49,6 +49,7 @@ nVPA_FSB: MAXTRANS(0.0); nRAS: MAXTRANS(0.0); nBR_IOB: MAXTRANS(0.0); RA<3>: MAXTRANS(0.0); +nDTACK_FSB: MAXTRANS(0.0); RA<0>: MAXTRANS(0.0); RA<10>: MAXTRANS(0.0); RA<1>: MAXTRANS(0.0); @@ -59,12 +60,11 @@ RA<6>: MAXTRANS(0.0); RA<7>: MAXTRANS(0.0); RA<8>: MAXTRANS(0.0); RA<9>: MAXTRANS(0.0); -nOE: MAXTRANS(0.0); nROMWE: MAXTRANS(0.0); nADoutLE0: MAXTRANS(0.0); nCAS: MAXTRANS(0.0); -nDTACK_FSB: MAXTRANS(0.0); nDinLE: MAXTRANS(0.0); +nOE: MAXTRANS(0.0); RA<11>: MAXTRANS(0.0); nADoutLE1: MAXTRANS(0.0); nAoutOE: MAXTRANS(0.0); @@ -79,16 +79,6 @@ ENDPORTDATA /* timing arc data */ TIMINGDATA -ARCDATA -A_FSB<1>_RA<0>_delay: -CELL_RISE(scalar) { - VALUES("10"); -} -CELL_FALL(scalar) { - VALUES("10"); -} -ENDARCDATA - ARCDATA A_FSB<9>_RA<0>_delay: CELL_RISE(scalar) { @@ -100,7 +90,7 @@ CELL_FALL(scalar) { ENDARCDATA ARCDATA -A_FSB<7>_RA<10>_delay: +A_FSB<1>_RA<0>_delay: CELL_RISE(scalar) { VALUES("10"); } @@ -119,6 +109,16 @@ CELL_FALL(scalar) { } ENDARCDATA +ARCDATA +A_FSB<7>_RA<10>_delay: +CELL_RISE(scalar) { + VALUES("10"); +} +CELL_FALL(scalar) { + VALUES("10"); +} +ENDARCDATA + ARCDATA A_FSB<20>_RA<11>_delay: CELL_RISE(scalar) { @@ -160,7 +160,7 @@ CELL_FALL(scalar) { ENDARCDATA ARCDATA -A_FSB<7>_RA<2>_delay: +A_FSB<16>_RA<2>_delay: CELL_RISE(scalar) { VALUES("10"); } @@ -170,7 +170,7 @@ CELL_FALL(scalar) { ENDARCDATA ARCDATA -A_FSB<16>_RA<2>_delay: +A_FSB<7>_RA<2>_delay: CELL_RISE(scalar) { VALUES("10"); } @@ -199,6 +199,16 @@ CELL_FALL(scalar) { } ENDARCDATA +ARCDATA +A_FSB<3>_RA<4>_delay: +CELL_RISE(scalar) { + VALUES("10"); +} +CELL_FALL(scalar) { + VALUES("10"); +} +ENDARCDATA + ARCDATA A_FSB<11>_RA<4>_delay: CELL_RISE(scalar) { @@ -210,7 +220,7 @@ CELL_FALL(scalar) { ENDARCDATA ARCDATA -A_FSB<3>_RA<4>_delay: +A_FSB<4>_RA<5>_delay: CELL_RISE(scalar) { VALUES("10"); } @@ -222,20 +232,10 @@ ENDARCDATA ARCDATA A_FSB<12>_RA<5>_delay: CELL_RISE(scalar) { - VALUES("11"); + VALUES("10"); } CELL_FALL(scalar) { - VALUES("11"); -} -ENDARCDATA - -ARCDATA -A_FSB<4>_RA<5>_delay: -CELL_RISE(scalar) { - VALUES("11"); -} -CELL_FALL(scalar) { - VALUES("11"); + VALUES("10"); } ENDARCDATA @@ -259,16 +259,6 @@ CELL_FALL(scalar) { } ENDARCDATA -ARCDATA -A_FSB<14>_RA<7>_delay: -CELL_RISE(scalar) { - VALUES("10"); -} -CELL_FALL(scalar) { - VALUES("10"); -} -ENDARCDATA - ARCDATA A_FSB<6>_RA<7>_delay: CELL_RISE(scalar) { @@ -280,7 +270,7 @@ CELL_FALL(scalar) { ENDARCDATA ARCDATA -A_FSB<18>_RA<8>_delay: +A_FSB<14>_RA<7>_delay: CELL_RISE(scalar) { VALUES("10"); } @@ -300,7 +290,7 @@ CELL_FALL(scalar) { ENDARCDATA ARCDATA -A_FSB<15>_RA<9>_delay: +A_FSB<18>_RA<8>_delay: CELL_RISE(scalar) { VALUES("10"); } @@ -319,6 +309,16 @@ CELL_FALL(scalar) { } ENDARCDATA +ARCDATA +A_FSB<15>_RA<9>_delay: +CELL_RISE(scalar) { + VALUES("10"); +} +CELL_FALL(scalar) { + VALUES("10"); +} +ENDARCDATA + ARCDATA nAS_FSB_nDinOE_delay: CELL_RISE(scalar) { @@ -330,7 +330,7 @@ CELL_FALL(scalar) { ENDARCDATA ARCDATA -nWE_FSB_nDinOE_delay: +A_FSB<23>_nDinOE_delay: CELL_RISE(scalar) { VALUES("10"); } @@ -349,6 +349,16 @@ CELL_FALL(scalar) { } ENDARCDATA +ARCDATA +nWE_FSB_nDinOE_delay: +CELL_RISE(scalar) { + VALUES("10"); +} +CELL_FALL(scalar) { + VALUES("10"); +} +ENDARCDATA + ARCDATA A_FSB<21>_nDinOE_delay: CELL_RISE(scalar) { @@ -370,27 +380,7 @@ CELL_FALL(scalar) { ENDARCDATA ARCDATA -A_FSB<23>_nDinOE_delay: -CELL_RISE(scalar) { - VALUES("10"); -} -CELL_FALL(scalar) { - VALUES("10"); -} -ENDARCDATA - -ARCDATA -nAS_FSB_nOE_delay: -CELL_RISE(scalar) { - VALUES("10"); -} -CELL_FALL(scalar) { - VALUES("10"); -} -ENDARCDATA - -ARCDATA -nWE_FSB_nOE_delay: +nWE_FSB_nRAMLWE_delay: CELL_RISE(scalar) { VALUES("10"); } @@ -410,12 +400,12 @@ CELL_FALL(scalar) { ENDARCDATA ARCDATA -nWE_FSB_nRAMLWE_delay: +nWE_FSB_nRAMUWE_delay: CELL_RISE(scalar) { - VALUES("10"); + VALUES("11"); } CELL_FALL(scalar) { - VALUES("10"); + VALUES("11"); } ENDARCDATA @@ -430,7 +420,7 @@ CELL_FALL(scalar) { ENDARCDATA ARCDATA -nWE_FSB_nRAMUWE_delay: +nAS_FSB_nRAS_delay: CELL_RISE(scalar) { VALUES("11"); } @@ -449,16 +439,6 @@ CELL_FALL(scalar) { } ENDARCDATA -ARCDATA -nAS_FSB_nRAS_delay: -CELL_RISE(scalar) { - VALUES("11"); -} -CELL_FALL(scalar) { - VALUES("11"); -} -ENDARCDATA - ARCDATA A_FSB<23>_nRAS_delay: CELL_RISE(scalar) { @@ -472,60 +452,60 @@ ENDARCDATA ARCDATA A_FSB<22>_nROMCS_delay: CELL_RISE(scalar) { - VALUES("11"); + VALUES("10"); } CELL_FALL(scalar) { - VALUES("11"); + VALUES("10"); } ENDARCDATA ARCDATA A_FSB<20>_nROMCS_delay: CELL_RISE(scalar) { - VALUES("11"); + VALUES("10"); } CELL_FALL(scalar) { - VALUES("11"); + VALUES("10"); } ENDARCDATA ARCDATA A_FSB<23>_nROMCS_delay: CELL_RISE(scalar) { - VALUES("11"); + VALUES("10"); } CELL_FALL(scalar) { - VALUES("11"); + VALUES("10"); } ENDARCDATA ARCDATA A_FSB<21>_nROMCS_delay: CELL_RISE(scalar) { - VALUES("11"); + VALUES("10"); } CELL_FALL(scalar) { - VALUES("11"); + VALUES("10"); } ENDARCDATA ARCDATA nWE_FSB_nROMWE_delay: CELL_RISE(scalar) { - VALUES("11"); + VALUES("10"); } CELL_FALL(scalar) { - VALUES("11"); + VALUES("10"); } ENDARCDATA ARCDATA nAS_FSB_nROMWE_delay: CELL_RISE(scalar) { - VALUES("11"); + VALUES("10"); } CELL_FALL(scalar) { - VALUES("11"); + VALUES("10"); } ENDARCDATA @@ -629,6 +609,16 @@ CELL_FALL(scalar) { } ENDARCDATA +ARCDATA +FCLK_nDTACK_FSB_delay: +CELL_RISE(scalar) { + VALUES("5.8"); +} +CELL_FALL(scalar) { + VALUES("5.8"); +} +ENDARCDATA + ARCDATA FCLK_RA<0>_delay: CELL_RISE(scalar) { @@ -682,10 +672,10 @@ ENDARCDATA ARCDATA FCLK_RA<5>_delay: CELL_RISE(scalar) { - VALUES("14.5"); + VALUES("13.5"); } CELL_FALL(scalar) { - VALUES("14.5"); + VALUES("13.5"); } ENDARCDATA @@ -750,7 +740,7 @@ CELL_FALL(scalar) { ENDARCDATA ARCDATA -FCLK_nDTACK_FSB_delay: +FCLK_nOE_delay: CELL_RISE(scalar) { VALUES("5.8"); } @@ -822,10 +812,10 @@ ENDARCDATA ARCDATA FCLK_nROMCS_delay: CELL_RISE(scalar) { - VALUES("14.5"); + VALUES("13.5"); } CELL_FALL(scalar) { - VALUES("14.5"); + VALUES("13.5"); } ENDARCDATA @@ -902,112 +892,112 @@ ENDARCDATA ARCDATA A_FSB<10>_FCLK_setup: CONSTRAINT(scalar) { - VALUES("20"); + VALUES("19.6"); } ENDARCDATA ARCDATA A_FSB<11>_FCLK_setup: CONSTRAINT(scalar) { - VALUES("20"); + VALUES("19.6"); } ENDARCDATA ARCDATA A_FSB<12>_FCLK_setup: CONSTRAINT(scalar) { - VALUES("20"); + VALUES("19.6"); } ENDARCDATA ARCDATA A_FSB<13>_FCLK_setup: CONSTRAINT(scalar) { - VALUES("20"); + VALUES("19.6"); } ENDARCDATA ARCDATA A_FSB<14>_FCLK_setup: CONSTRAINT(scalar) { - VALUES("20"); + VALUES("19.6"); } ENDARCDATA ARCDATA A_FSB<15>_FCLK_setup: CONSTRAINT(scalar) { - VALUES("20"); + VALUES("19.6"); } ENDARCDATA ARCDATA A_FSB<16>_FCLK_setup: CONSTRAINT(scalar) { - VALUES("20"); + VALUES("19.6"); } ENDARCDATA ARCDATA A_FSB<17>_FCLK_setup: CONSTRAINT(scalar) { - VALUES("20"); + VALUES("19.6"); } ENDARCDATA ARCDATA A_FSB<18>_FCLK_setup: CONSTRAINT(scalar) { - VALUES("20"); + VALUES("19.6"); } ENDARCDATA ARCDATA A_FSB<19>_FCLK_setup: CONSTRAINT(scalar) { - VALUES("20"); + VALUES("19.6"); } ENDARCDATA ARCDATA A_FSB<20>_FCLK_setup: CONSTRAINT(scalar) { - VALUES("20"); + VALUES("19.6"); } ENDARCDATA ARCDATA A_FSB<21>_FCLK_setup: CONSTRAINT(scalar) { - VALUES("20"); + VALUES("19.6"); } ENDARCDATA ARCDATA A_FSB<22>_FCLK_setup: CONSTRAINT(scalar) { - VALUES("20"); + VALUES("19.6"); } ENDARCDATA ARCDATA A_FSB<23>_FCLK_setup: CONSTRAINT(scalar) { - VALUES("20"); + VALUES("19.6"); } ENDARCDATA ARCDATA A_FSB<8>_FCLK_setup: CONSTRAINT(scalar) { - VALUES("20"); + VALUES("19.6"); } ENDARCDATA ARCDATA A_FSB<9>_FCLK_setup: CONSTRAINT(scalar) { - VALUES("20"); + VALUES("19.6"); } ENDARCDATA @@ -1056,119 +1046,119 @@ ENDARCDATA ARCDATA nWE_FSB_FCLK_setup: CONSTRAINT(scalar) { - VALUES("20"); + VALUES("19.6"); } ENDARCDATA ARCDATA A_FSB<10>_FCLK_hold: CONSTRAINT(scalar) { - VALUES("-13.5"); + VALUES("-13.1"); } ENDARCDATA ARCDATA A_FSB<11>_FCLK_hold: CONSTRAINT(scalar) { - VALUES("-13.5"); + VALUES("-13.1"); } ENDARCDATA ARCDATA A_FSB<12>_FCLK_hold: CONSTRAINT(scalar) { - VALUES("-13.5"); + VALUES("-13.1"); } ENDARCDATA ARCDATA A_FSB<13>_FCLK_hold: CONSTRAINT(scalar) { - VALUES("-13.5"); + VALUES("-13.1"); } ENDARCDATA ARCDATA A_FSB<14>_FCLK_hold: CONSTRAINT(scalar) { - VALUES("-13.5"); + VALUES("-13.1"); } ENDARCDATA ARCDATA A_FSB<15>_FCLK_hold: CONSTRAINT(scalar) { - VALUES("-13.5"); + VALUES("-13.1"); } ENDARCDATA ARCDATA A_FSB<16>_FCLK_hold: CONSTRAINT(scalar) { - VALUES("-13.5"); + VALUES("-13.1"); } ENDARCDATA ARCDATA A_FSB<17>_FCLK_hold: CONSTRAINT(scalar) { - VALUES("-13.5"); + VALUES("-13.1"); } ENDARCDATA ARCDATA A_FSB<18>_FCLK_hold: CONSTRAINT(scalar) { - VALUES("-13.5"); + VALUES("-13.1"); } ENDARCDATA ARCDATA A_FSB<19>_FCLK_hold: CONSTRAINT(scalar) { - VALUES("-13.5"); + VALUES("-13.1"); } ENDARCDATA ARCDATA A_FSB<20>_FCLK_hold: CONSTRAINT(scalar) { - VALUES("-13.5"); + VALUES("-13.1"); } ENDARCDATA ARCDATA A_FSB<21>_FCLK_hold: CONSTRAINT(scalar) { - VALUES("-13.5"); + VALUES("-13.1"); } ENDARCDATA ARCDATA A_FSB<22>_FCLK_hold: CONSTRAINT(scalar) { - VALUES("-13.5"); + VALUES("-13.1"); } ENDARCDATA ARCDATA A_FSB<23>_FCLK_hold: CONSTRAINT(scalar) { - VALUES("-13.5"); + VALUES("-13.1"); } ENDARCDATA ARCDATA A_FSB<8>_FCLK_hold: CONSTRAINT(scalar) { - VALUES("-13.5"); + VALUES("-13.1"); } ENDARCDATA ARCDATA A_FSB<9>_FCLK_hold: CONSTRAINT(scalar) { - VALUES("-13.5"); + VALUES("-13.1"); } ENDARCDATA @@ -1217,7 +1207,7 @@ ENDARCDATA ARCDATA nWE_FSB_FCLK_hold: CONSTRAINT(scalar) { - VALUES("-13.5"); + VALUES("-13.1"); } ENDARCDATA diff --git a/cpld/XC95144XL/WarpSE.gise b/cpld/XC95144XL/WarpSE.gise index d172fd2..61a38b2 100644 --- a/cpld/XC95144XL/WarpSE.gise +++ b/cpld/XC95144XL/WarpSE.gise @@ -67,7 +67,7 @@ - + @@ -87,7 +87,7 @@ - + @@ -96,12 +96,10 @@ - + - - @@ -116,14 +114,16 @@ - + - - + + + + diff --git a/cpld/XC95144XL/WarpSE.gyd b/cpld/XC95144XL/WarpSE.gyd index 08976f3..f6057fd 100644 --- a/cpld/XC95144XL/WarpSE.gyd +++ b/cpld/XC95144XL/WarpSE.gyd @@ -76,44 +76,43 @@ nVPA_FSB S:PIN93 ;The remaining section of the .gyd file is for documentation purposes only. ;It shows where your internal equations were placed in the last successful fit. -PARTITION FB1_1 ram/BACTr nRESout iobs/Clear1 iobm/Er - fsb/ASrf cnt/nIPL2r cnt/Er<1> cnt/Er<0> - ALE0S $OpTx$$OpTx$FX_DC$348_INV$535 iobs/TS_FSM_FFd1 iobs/IOU1 - iobs/IOL1 cnt/Timer<0> RefUrg IOBERR - iobm/ES<2> cnt/Timer<1> -PARTITION FB2_3 ram/RS_FSM_FFd6 ram/RS_FSM_FFd4 ram/RS_FSM_FFd3 ram/RS_FSM_FFd2 - ram/RS_FSM_FFd1 ram/RASrf iobs/IODONEr iobs/IOACTr +PARTITION FB1_1 ram/RS_FSM_FFd3 ram/RS_FSM_FFd2 ram/RS_FSM_FFd1 cnt/Er<1> + cnt/Er<0> ram/RefDone iobs/IOU1 iobs/IOL1 + cnt/TimerTC cnt/Timer<0> cnt/IS_FSM_FFd2 RefUrg + RefReq iobm/ES<2> cnt/Timer<1> cnt/Timer<3> + cnt/Timer<2> ram/RS_FSM_FFd7 +PARTITION FB2_4 ram/RASrf ram/DTACKr iobs/IODONEr iobs/IOACTr iobm/VPAr iobm/IOWRREQr iobm/IOS_FSM_FFd5 iobm/IOS_FSM_FFd4 - iobm/IOS_FSM_FFd1 iobm/IORDREQr iobm/C8Mr iobm/IOS_FSM_FFd2 - -PARTITION FB3_1 EXP10_ cnt/WS<0> ram/RefDone ram/RS_FSM_FFd7 - ram/RS_FSM_FFd5 cnt/WS<2> cnt/WS<1> EXP11_ - nDTACK_FSB_OBUF ram/RS_FSM_FFd8 EXP12_ ram/RASEN - EXP13_ ram/CAS ram/RS_FSM_FFd9 EXP14_ - nROMWE_OBUF RAMReady -PARTITION FB4_1 EXP15_ nAoutOE_OBUF cnt/LTimer<9> cnt/LTimer<8> - nDoutOE_OBUF nDinOE_OBUF cnt/LTimer<7> N0 - cnt/LTimer<11> cnt/LTimer<10> nVPA_FSB_OBUF IONPReady - EXP16_ cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 EXP17_ cnt/LTimer<1> - EXP18_ cnt/LTimer<0> -PARTITION FB5_1 QoSReady nROMCS_OBUF ram/RASEL cs/nOverlay - nCAS_OBUF nOE_OBUF cnt/WS<3> ram/RASrr - RA_4_OBUF iobs/Load1 RA_11_OBUF RA_5_OBUF - iobs/Sent RA_2_OBUF RA_6_OBUF EXP19_ - IORDREQ EXP20_ + iobm/IOS_FSM_FFd1 iobm/IORDREQr iobm/Er iobm/C8Mr + cnt/nIPL2r iobm/IOS_FSM_FFd2 IOBERR +PARTITION FB3_1 EXP10_ QoSReady cnt/WS<2> ram/RS_FSM_FFd6 + ram/RS_FSM_FFd5 iobs/TS_FSM_FFd1 ram/RASEL cs/nOverlay + nDTACK_FSB_OBUF cnt/WS<3> cnt/WS<1> iobs/Load1 + iobs/Clear1 EXP11_ IORDREQ iobs/Sent + nROMWE_OBUF ram/RS_FSM_FFd4 +PARTITION FB4_1 EXP12_ nAoutOE_OBUF EXP13_ +PARTITION FB4_5 nDoutOE_OBUF nDinOE_OBUF ram/BACTr N0 + nRESout fsb/ASrf nVPA_FSB_OBUF cnt/LTimerTC + ALE0S $OpTx$$OpTx$FX_DC$350_INV$537 EXP14_ cnt/LTimer<1> + EXP15_ cnt/LTimer<0> +PARTITION FB5_1 ram/RS_FSM_FFd8 nROMCS_OBUF EXP16_ EXP17_ + nCAS_OBUF nOE_OBUF EXP18_ cnt/WS<0> + RA_4_OBUF ram/RASEN RA_11_OBUF RA_5_OBUF + RAMReady RA_2_OBUF RA_6_OBUF IONPReady + EXP19_ ram/RASrr PARTITION FB6_1 iobm/IOS_FSM_FFd6 nVMA_IOBout iobm/IOS_FSM_FFd7 iobm/IOS_FSM_FFd3 iobm/ES<0> iobm/ES<3> iobm/ES<1> iobm/DoutOE nLDS_IOBout IODONE nUDS_IOBout nAS_IOBout iobm/IOS0 nADoutLE1_OBUF nADoutLE0_OBUF ALE0M nDinLE_OBUF IOACT -PARTITION FB7_1 cnt/LTimerTC RA_1_OBUF cnt/TimerTC cnt/IS_FSM_FFd2 - RA_7_OBUF RA_0_OBUF RefReq RA_8_OBUF - RA_10_OBUF cnt/LTimer<6> RA_9_OBUF C25MEN_OBUF - cnt/LTimer<5> cnt/LTimer<4> cnt/LTimer<3> cnt/LTimer<2> - cnt/Timer<3> cnt/Timer<2> -PARTITION FB8_1 IOL0 RA_11_OBUF$BUF0 iobs/TS_FSM_FFd2 EXP21_ - nRAS_OBUF nRAMLWE_OBUF EXP22_ nRAMUWE_OBUF - IOWRREQ EXP23_ EXP24_ nBERR_FSB_OBUF - EXP25_ IOU0 nBR_IOB_OBUF cnt/IS_FSM_FFd1 - iobs/IORW1 EXP26_ +PARTITION FB7_1 cnt/LTimer<9> RA_1_OBUF cnt/LTimer<8> cnt/LTimer<7> + RA_7_OBUF RA_0_OBUF cnt/LTimer<6> RA_8_OBUF + RA_10_OBUF cnt/LTimer<5> RA_9_OBUF C25MEN_OBUF + cnt/LTimer<4> cnt/LTimer<3> cnt/LTimer<2> cnt/LTimer<11> + cnt/LTimer<10> cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 +PARTITION FB8_1 IOL0 RA_11_OBUF$BUF0 iobs/TS_FSM_FFd2 EXP20_ + nRAS_OBUF nRAMLWE_OBUF EXP21_ nRAMUWE_OBUF + IOWRREQ EXP22_ EXP23_ nBERR_FSB_OBUF + EXP24_ IOU0 nBR_IOB_OBUF cnt/IS_FSM_FFd1 + iobs/IORW1 EXP25_ diff --git a/cpld/XC95144XL/WarpSE.jed b/cpld/XC95144XL/WarpSE.jed index 64e1731..4c5d467 100644 --- a/cpld/XC95144XL/WarpSE.jed +++ b/cpld/XC95144XL/WarpSE.jed @@ -1,5 +1,5 @@ Programmer Jedec Bit Map -Date Extracted: Mon Apr 10 04:05:16 2023 +Date Extracted: Sat Apr 15 05:22:03 2023 QF93312* QP100* @@ -90,1268 +90,1268 @@ N PPMAP 153 95* N PPMAP 155 96* N PPMAP 157 97* N PPMAP 160 99* -L0000000 00001000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* +L0000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* L0000064 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* -L0000128 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* -L0000192 00000000 00000000 00000000 00000000 00000000 00000100 00000000 10000000* -L0000256 00000000 00000000 00001000 00000000 00000000 00001100 00000000 00000000* +L0000128 00000000 00000000 00000000 00000000 00000000 00000100 00000000 01000000* +L0000192 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00001000* +L0000256 00000000 00000000 00000000 00000000 00000000 00001100 00000000 00000000* L0000320 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0000384 00000000 00000000 10000000 00000000 00000000 00100000 00000000 00000000* -L0000448 00000000 00000000 10000000 00000000 00000000 00100000 00000000 00000000* -L0000512 00000000 00000000 10000000 00000000 00000000 00100000 00000000 00000000* -L0000576 000000 000000 100000 000000 000000 000000 000000 000000* -L0000624 000000 000000 000000 000000 000000 000100 000000 000001* -L0000672 000000 000000 001000 000000 000000 000000 000000 000000* -L0000720 000000 000000 001000 000000 000000 000100 000000 000000* -L0000768 000000 000000 001010 000000 000000 000100 000000 000000* -L0000816 000000 000000 001110 000000 000000 000000 000000 000000* -L0000864 00000000 00000000 00000000 00001000 00000000 00000000 01000000 00000000* -L0000928 00000000 00000000 00000000 00001000 00000000 00000000 01000000 10000000* -L0000992 00000000 00000000 00000000 00001000 00000000 00000000 00000000 10000000* -L0001056 00000000 00000000 00000000 00011100 10000000 00000000 00000000 00000000* -L0001120 00000000 00000000 00000000 10001100 00000000 00000000 00000000 00000000* -L0001184 00000000 00000000 00000000 10010000 00000000 00000000 00000000 00000000* -L0001248 00000000 00000000 00010100 10000000 00000000 00000000 00000000 00000000* -L0001312 00000000 00000000 00000100 10001000 00000000 00000000 00000000 00000000* -L0001376 00000000 00000000 00000000 10001000 00000000 00000000 00000000 00000000* -L0001440 000000 000000 000000 100010 000000 000100 000000 000000* -L0001488 000000 000000 000000 000110 000000 000000 000000 000000* -L0001536 000000 000000 000000 000110 000000 000000 000000 000000* -L0001584 000000 000000 000000 010110 000000 000001 000000 000000* -L0001632 000000 000000 000000 000110 000000 000000 000000 000000* -L0001680 000000 000000 000000 000110 000000 001000 000000 000000* -L0001728 00000000 00000000 00000000 00000000 00000000 10000000 00000000 00000000* -L0001792 00000010 00000000 00000000 00000000 00000000 10000000 00000000 00000000* +L0000384 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* +L0000448 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* +L0000512 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* +L0000576 000000 000000 000000 000000 000000 000000 000000 110000* +L0000624 000000 000000 000000 000000 000000 000100 000000 000000* +L0000672 000000 000000 000000 000000 000000 000000 000001 000000* +L0000720 000000 000000 000000 000000 000000 000100 000000 000000* +L0000768 000000 000000 000000 000000 000000 000100 000000 000001* +L0000816 000000 000000 000000 000000 000000 000000 000000 000100* +L0000864 00000000 00000000 01000000 00000000 00000000 00000000 10000000 00000000* +L0000928 10000000 00000000 01100000 00000000 00000000 00000000 00000100 00010100* +L0000992 10000000 00000000 00100000 10000000 00000000 00000000 00000100 00010100* +L0001056 10000000 00000000 00000000 00000000 00000000 00000000 00000000 00010100* +L0001120 10000000 00000000 00000000 00000000 00000000 00000000 00000000 00010100* +L0001184 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* +L0001248 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000100* +L0001312 00000000 00000000 00000000 00000000 01000000 00000000 00000100 00100100* +L0001376 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100100* +L0001440 000000 000000 000000 000000 000000 000100 000000 000000* +L0001488 000000 000000 000000 000100 000000 000000 000000 000000* +L0001536 000001 000000 000000 000000 000000 000000 000000 000000* +L0001584 000001 000000 000000 000000 000000 000001 000000 000000* +L0001632 000001 000000 000000 000000 000000 000000 000000 100000* +L0001680 000001 000000 000000 000000 000000 001000 000000 000000* +L0001728 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0001792 00000010 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0001856 00000010 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0001920 00000010 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0001984 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0002048 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0002112 00000000 00000000 00000000 00100000 00000000 10010100 00000000 00000000* +L0001920 00000010 00000000 00000000 00000000 00000000 00010000 10000000 00000000* +L0001984 00000000 00000000 00000000 00000000 00000000 00000000 10000000 00000000* +L0002048 00000000 00000000 00000000 01000000 00000000 10000000 00000000 00000000* +L0002112 00000000 00000000 00000000 01000000 00000000 00000000 00000000 00000000* L0002176 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0002240 00000000 00000000 00000000 00000000 00000000 00000000 00000000 01000000* -L0002304 000000 000000 000000 000000 000000 000000 000000 000001* -L0002352 000000 000000 000000 000000 000000 000000 000000 000000* -L0002400 000000 000000 000000 000000 000000 101100 000000 000000* -L0002448 000000 000000 000000 000000 000000 100000 000000 000000* -L0002496 000000 000000 000000 000000 000000 000000 000000 000100* -L0002544 000000 000000 000000 000000 000000 000001 000000 000000* -L0002592 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* -L0002656 00000000 00000000 00000000 00000000 00100000 00001000 00000000 00000000* -L0002720 00000000 00000000 00000000 00000000 00100000 00000000 00000000 00000000* -L0002784 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00010100* -L0002848 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00010100* +L0002240 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0002304 000000 000000 000000 000000 000000 000000 000001 000000* +L0002352 000000 000000 000000 100000 000000 000000 000000 000000* +L0002400 000000 000000 000000 000000 000000 000000 000000 000000* +L0002448 000000 000000 001000 000000 000000 000000 000000 000000* +L0002496 000000 000000 000000 000000 000000 000000 000000 000000* +L0002544 000000 000000 000000 000000 000000 000000 000001 000000* +L0002592 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0002656 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0002720 00000000 00000000 00000000 00000000 00000000 00000000 10000000 00000000* +L0002784 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0002848 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0002912 00000000 00000000 00000000 00000000 00000000 00000000 01000000 00000000* -L0002976 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0003040 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* -L0003104 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* -L0003168 000000 000000 000000 000000 000001 000000 000000 000000* +L0002976 00000000 00000000 00000000 00000000 01000000 00000000 00000000 00000000* +L0003040 00000000 00000000 00000000 00000000 00000000 10000000 00000000 00000000* +L0003104 00000000 00000000 10000000 00000000 00000000 00000000 00000100 00000000* +L0003168 000000 000000 000000 000000 000000 000000 000000 000000* L0003216 000000 000000 000000 000000 000000 000000 000000 000000* L0003264 000000 000000 000000 000000 000000 000000 000000 000000* -L0003312 000000 000000 000000 000000 000000 000000 000000 000000* -L0003360 000000 000000 000000 000000 000000 000000 000000 000000* +L0003312 000000 000000 000000 000000 000000 000000 000001 000000* +L0003360 000000 000000 000000 000000 000000 000000 000001 000000* L0003408 000000 000000 000000 000000 000000 000000 000000 000000* -L0003456 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0003520 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0003584 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0003648 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0003712 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0003776 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0003840 00000000 00000000 00000000 00001000 00000000 00001000 00000000 00000000* -L0003904 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0003968 00000000 00000000 00000000 00000000 00000100 00000000 00000000 00000000* -L0004032 000000 000000 000000 000000 000000 000000 000000 000000* -L0004080 000000 000000 000000 000000 000000 000000 000000 000000* -L0004128 000000 000000 000000 000000 000000 000000 000000 000000* -L0004176 000000 000000 000000 000000 000000 000000 000000 000000* -L0004224 000000 000000 000000 000000 000000 000000 000000 000000* -L0004272 000000 000000 000000 000000 000000 000000 000000 100000* -L0004320 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100000* -L0004384 00000000 00000000 00000000 00010000 00000000 00000000 00000000 00000000* -L0004448 00000000 00000000 00100000 10010000 00000000 00000000 00000000 00000000* -L0004512 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* -L0004576 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* -L0004640 00010000 00000000 00000000 00000000 00000000 00000000 00100000 00000000* -L0004704 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0003456 00000000 00000000 00000000 00000000 00000000 00000000 00000000 01010000* +L0003520 00000000 00000000 00000000 00000000 00000000 00000100 00000000 01011000* +L0003584 00000000 00000000 00000000 00000000 00000000 00000100 00000000 01011000* +L0003648 00000000 00000000 00000000 00000000 00000000 00000100 00000000 01011000* +L0003712 00000000 00000000 00000000 00000000 00000000 00001000 00000000 11011000* +L0003776 00000000 00000000 00000000 00000000 00000000 00000000 00000000 11100000* +L0003840 00000000 00000000 00000000 00000000 00000000 00000000 00000000 11100000* +L0003904 00000000 00000000 00000000 00000000 00000000 00100000 00000000 11100000* +L0003968 00000000 00000000 00000000 00000000 00000000 00000100 00000000 11100000* +L0004032 000000 000000 000000 000000 000000 000000 000000 111011* +L0004080 000000 000000 000000 000000 100000 100000 000000 100000* +L0004128 010000 000000 000000 000000 100000 000000 000000 000001* +L0004176 000000 000000 000000 000000 000000 000100 000000 101111* +L0004224 000000 000000 000000 000000 000000 000000 000000 001111* +L0004272 000000 000000 000000 000000 000000 000000 000000 001111* +L0004320 00000000 00000000 00000000 00000000 00000000 10000100 00000000 00000000* +L0004384 00000000 00000000 00010000 10000000 00000000 10001000 00000000 00000000* +L0004448 00000000 00000000 01010000 00000000 00000000 00001000 00000000 00000100* +L0004512 00000000 00000000 00000000 00000000 00000000 00001000 10000000 00000000* +L0004576 00000000 00000000 00000000 00000000 00000000 00010100 00000000 00000100* +L0004640 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* +L0004704 00000000 00000000 00000000 00000000 00000000 00000100 00010000 00000100* L0004768 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0004832 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* -L0004896 000000 000000 000000 000000 000000 000000 000000 000000* -L0004944 101000 000000 000000 100000 000000 000000 000000 010000* -L0004992 000000 000000 000000 001000 000000 000000 000000 000000* -L0005040 000000 000000 000000 001000 000000 000000 000000 000000* -L0005088 000000 000000 000000 000000 000000 000000 000000 100000* -L0005136 000000 000000 000000 000000 000000 000000 000000 000000* -L0005184 00000010 00000000 10000000 00000000 00000000 00000000 00011100 00010000* -L0005248 00000010 00000000 10000000 00000000 00000000 00000000 01000000 00000000* -L0005312 00000010 00000000 10000000 00000000 00000000 00000000 00000000 00000000* -L0005376 00000011 00000000 10000000 00000000 00000000 00000000 00000000 00000000* -L0005440 00000010 00000000 10000000 00000000 00000000 00000000 00000000 00000000* -L0005504 00000001 00000000 00000000 00000000 00000000 00000000 00001000 00000000* -L0005568 00000000 00000000 10000000 00001000 00000000 00000000 00000000 00000000* -L0005632 00000010 00000000 10000000 00000000 00000000 01000000 00000000 00000000* -L0005696 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* -L0005760 000000 000000 100000 000000 000000 000000 000000 001000* -L0005808 000000 000000 000001 000000 000000 000000 000010 000000* -L0005856 000000 000000 000001 000000 000000 000000 000000 000000* -L0005904 000000 000000 000001 000000 000000 010000 000000 000000* -L0005952 000000 000000 000101 000000 000000 000000 000000 000000* +L0004832 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000100* +L0004896 000000 000000 000000 000000 000000 001000 000000 000000* +L0004944 000000 000000 000000 000100 000000 000000 000000 001000* +L0004992 000000 000000 000000 000000 000000 000000 000000 001000* +L0005040 000000 000000 000000 000000 000000 000000 000001 000000* +L0005088 000000 000000 000000 000000 000010 000000 000000 000000* +L0005136 000000 000000 000001 000000 000010 000001 000001 000000* +L0005184 00000010 00000000 00000000 00000000 00000000 00000100 00000000 00000000* +L0005248 00000010 00000000 00000000 00000000 00000000 00000100 00000000 00000000* +L0005312 00000110 00000000 00000000 00000000 00000000 00000100 00000000 00000000* +L0005376 00000011 00000000 00000000 00000000 00000000 00000100 00000000 00000000* +L0005440 00000010 00000000 00000000 00000000 00000000 00001100 00000000 00000000* +L0005504 00000001 00000000 00000000 00000000 00000000 00000100 00000000 00000000* +L0005568 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* +L0005632 00000010 00000000 00000000 00000000 00000000 00100000 00000000 00000000* +L0005696 00000000 00000000 00000000 00000000 00000000 00100100 00000000 00000000* +L0005760 000000 000000 000000 000000 000000 000000 000000 000000* +L0005808 000000 000000 000000 000000 000000 000100 000000 000000* +L0005856 000000 000000 000000 000000 000000 000000 000000 000000* +L0005904 000000 000000 000000 000000 000000 000100 000000 000000* +L0005952 000000 000000 000000 000000 000000 000100 000000 000000* L0006000 000000 000000 000000 000000 000000 000000 000000 000000* -L0006048 00000010 00000000 00000000 01000000 00000000 00000000 01000000 00000000* -L0006112 00000011 00000000 00000000 10010000 00000000 00000000 00000000 00000000* -L0006176 00000000 00000000 00000000 00010000 00000000 00100000 00011100 00000000* -L0006240 00000001 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0006304 00000010 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0006368 00000011 01000000 00000000 00000000 00000000 01000000 00000000 00000000* -L0006432 00000000 00000000 00001000 00000000 00100000 00000000 00000000 00000000* -L0006496 00000000 00000000 00000000 00000000 00000000 00000000 00001000 00000000* -L0006560 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0006624 000000 000000 000000 000000 000000 000000 000000 110000* -L0006672 000000 000000 000000 000000 000000 010000 000000 000000* -L0006720 000000 000000 000000 101000 000000 000000 000000 000000* -L0006768 000000 000000 000000 101000 000000 000000 000010 000000* -L0006816 000000 000000 000000 000000 000000 000000 000000 010000* -L0006864 000000 000000 000000 000000 000000 000000 000000 000100* -L0006912 00000000 00000000 00000100 00001000 01101000 00000000 00000000 00000000* -L0006976 00000000 00000000 00000100 00001000 00000000 00100000 00000000 01000100* -L0007040 00000000 00000000 00001000 00001000 00000000 00000000 00000000 01001100* -L0007104 00000000 00000000 00011100 00001100 00000000 00010000 00000000 01001100* -L0007168 00000000 00000000 10000100 10001100 00000000 00000000 00000000 01001100* -L0007232 00000000 00000000 10000000 10000000 00000000 10000000 00000000 11000100* -L0007296 00000000 00000000 01100000 10000000 00000000 01000000 00000000 11000100* -L0007360 00000000 00000000 00010000 10001000 00000000 01000000 00000000 11000100* -L0007424 00000000 00000000 00000000 10001000 00000000 00000000 00000000 11000100* -L0007488 000000 000000 000100 100010 000000 000000 000000 000001* -L0007536 000000 000000 011000 000110 000000 000000 000000 000000* -L0007584 000000 000000 000000 000110 000000 000000 000000 000000* -L0007632 000000 000000 000100 000110 000010 000000 000000 000111* -L0007680 000000 000000 010001 000110 000000 000000 000000 010111* -L0007728 000000 000000 000000 000110 000000 000000 000000 000011* -L0007776 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00010000* -L0007840 00000000 00000000 01001000 00000000 00000000 00000000 00000000 00000000* -L0007904 00000000 00000000 00000000 00000000 01101000 00000000 00000000 00000000* -L0007968 00000000 00000000 00000000 00010000 00000000 00100000 00000000 00000000* -L0008032 00000000 00000000 00010000 00000000 00010000 00000000 00000000 00000000* -L0008096 00000000 00000000 00000000 00010000 00000000 01000000 00000000 00100000* -L0008160 00000000 00000000 00000000 00000000 00000000 00000000 10000000 00100000* -L0008224 00000000 00000000 10000000 00000000 00000000 10000000 00000000 00100000* -L0008288 00000000 00000000 00000000 00000000 00101000 00000000 00000000 00100000* -L0008352 000000 000000 100001 000000 000010 000000 000000 001000* -L0008400 000000 010000 000000 000000 000100 000000 000000 000000* -L0008448 000000 000000 000000 010000 000100 010000 000000 000000* -L0008496 000000 000000 011000 010000 101000 010000 000000 000000* -L0008544 000000 000000 000000 000000 001100 010000 000000 000000* -L0008592 000000 000000 001110 000000 001000 000000 000000 000000* -L0008640 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0008704 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0008768 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0008832 00000000 00000000 00000000 00000000 00000000 00000000 00000000 01000000* -L0008896 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0008960 00000000 00000000 00000000 00000000 00000000 10000000 00000000 00000000* -L0009024 00000000 00000000 00000000 00000000 00000000 01000000 00000000 00000000* -L0009088 00000000 00000000 00000000 00000000 00000000 11000000 00000000 00000000* -L0009152 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10000000* -L0009216 000000 000000 000000 000000 000000 000000 000000 000000* -L0009264 000000 000000 000000 000000 000000 000000 000000 000000* -L0009312 000000 000000 100000 000000 000000 000000 000000 000000* -L0009360 000000 000000 000000 000000 000000 000000 000000 000000* -L0009408 000000 000000 000100 000000 000000 000000 000000 000000* -L0009456 000000 000000 000000 000000 000000 000000 000000 000010* -L0009504 00000000 00000000 10000000 00001000 00000000 00000000 00000000 00000000* -L0009568 00000000 00000000 00000000 00001000 00100000 00000000 00000000 00010100* -L0009632 00000000 00000000 00000000 00001000 00100000 00000000 00000000 00010100* -L0009696 00000000 00000000 00000000 00011100 00000000 00110000 00000000 00010100* -L0009760 00000000 00000000 00000000 10001100 00000000 00000000 00000000 00010100* -L0009824 00000000 00000000 00000000 10010000 00000000 00000000 00000000 00000100* -L0009888 00000000 00000000 00000000 10000000 00000000 00000000 00000000 00000100* -L0009952 00000000 00000000 00000000 10001000 00000000 00000000 00000000 00000100* +L0006048 00010010 01000000 00100000 00000000 00000000 00000000 00000000 00000000* +L0006112 00000011 00000000 00000000 00000000 00000000 01000000 11111100 00000000* +L0006176 00000000 00000000 00000000 00000000 00000000 01000000 01111100 00000000* +L0006240 00011101 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0006304 00000110 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0006368 00010011 00000000 01000000 00000000 00000000 00010000 00000000 00000000* +L0006432 00010000 00000000 00000000 00000000 00000000 00000000 00001100 00000000* +L0006496 00000000 00000000 00000000 00000000 00000000 00000100 10001100 00000000* +L0006560 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0006624 000001 000000 000000 000001 000000 000000 000000 000000* +L0006672 000000 000000 000000 000100 000000 001000 000001 000000* +L0006720 000000 000000 000000 000000 000000 000000 100010 010000* +L0006768 000010 000000 000000 000000 000000 000000 100010 000000* +L0006816 001110 000000 000000 000000 000000 000001 000000 000000* +L0006864 000000 000000 000000 000000 000000 000000 000000 000000* +L0006912 00010000 00000000 00000000 00000000 00001000 00000000 00000000 00000000* +L0006976 00000000 00000000 00000000 00000000 00001000 00100000 11111100 01000100* +L0007040 00000000 00000000 00000000 00000000 00001000 00000000 01111100 01001100* +L0007104 00011100 00000000 00000000 00000000 00001000 00010000 00000000 01001100* +L0007168 00000100 00000000 00000000 00000000 00001000 00000000 00000000 01001100* +L0007232 00010000 00000000 00000000 00000000 00000000 10000000 00000000 11000100* +L0007296 00010000 00000000 01000000 00000000 00000000 01000000 00001100 11000100* +L0007360 00000000 00000000 00000000 00000000 00000000 01000000 10001100 11000100* +L0007424 00000100 00000000 00000000 00000000 00000000 00000000 00000000 11000100* +L0007488 000001 000000 000000 000000 000000 000000 000000 000001* +L0007536 000000 000000 000000 000000 000100 000000 000001 000000* +L0007584 000000 000000 000000 000000 000100 000000 100010 000000* +L0007632 000010 000000 000000 000000 000100 000000 100010 000111* +L0007680 001110 000000 000000 000000 000100 000000 000000 010111* +L0007728 000000 000000 000000 000000 000100 000000 000000 000011* +L0007776 01000000 00000000 00000000 00000000 00000000 00100000 00000000 00010000* +L0007840 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0007904 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0007968 00000000 00000000 00000000 00000100 00000000 00100000 00000000 00000000* +L0008032 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0008096 00000000 00000000 00000000 00000000 00000000 01000000 00000000 00100000* +L0008160 00000000 00000000 00000000 10001000 00000000 00000000 00000000 00100000* +L0008224 00000000 00000000 00000000 10000000 00000000 10000000 00000000 00100000* +L0008288 00000000 00000000 00000000 00001000 00000000 00000000 00000000 00100000* +L0008352 000000 000000 000000 100000 010000 000000 000000 001000* +L0008400 000000 000000 000001 000000 000000 000000 000000 000000* +L0008448 000000 000000 000000 000010 000000 010000 000000 000000* +L0008496 000000 000000 000000 000000 000000 010000 000000 000000* +L0008544 000000 000000 000000 000000 000000 010000 000000 000000* +L0008592 000000 000000 000000 000010 000000 000000 000000 000000* +L0008640 00000000 00000000 00001000 00000000 00000100 00000000 00000000 00000000* +L0008704 00000000 00000000 00000100 00000000 10011100 00000000 00000000 00000000* +L0008768 00000000 00000000 00000100 00000000 10011000 00000000 00000000 00000000* +L0008832 00000000 00000000 00000100 00000000 10011100 00000000 00000000 01000000* +L0008896 00000000 00000000 00000100 00000000 10011100 00000000 00000000 00000000* +L0008960 00000000 00000000 00000000 00000000 10000100 10000000 00000000 00000000* +L0009024 00000000 00000000 00000000 00000000 10000100 01000000 00000000 00000000* +L0009088 00000000 00000000 00000000 00000000 00000100 11000000 00000000 00000000* +L0009152 00000000 00000000 00000000 00000000 10000100 00000000 00000000 10000000* +L0009216 000000 000000 000000 000000 100000 000000 000000 000000* +L0009264 000000 000000 000000 000000 001100 000000 000000 000000* +L0009312 000000 000000 000010 000000 001101 000000 000000 000000* +L0009360 000000 000000 000010 000000 001101 000000 000000 000000* +L0009408 000000 000000 000010 000000 001101 000000 000000 000000* +L0009456 000000 000000 000010 000000 001100 000000 000000 000010* +L0009504 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0009568 00000000 00000000 00000000 00000000 01000000 00000000 00000000 00010100* +L0009632 00000000 00000000 00000000 00000000 01000000 00000000 00000000 00010100* +L0009696 00000000 00000000 00000000 00000100 01000000 00110000 00000000 00010100* +L0009760 00000000 00000000 00000000 10000100 00000000 00000000 00000000 00010100* +L0009824 00000000 00000000 00001000 10010000 00000000 00000000 00000000 00000100* +L0009888 00000000 00000000 00000000 10011000 00000000 00000000 00000000 00000100* +L0009952 00000000 00000000 00010000 10001000 00000000 00000000 00000000 00000100* L0010016 00000000 00000000 00000000 10001000 00000000 00000000 00000000 00000100* -L0010080 000000 000000 000000 100010 000001 000000 000000 000000* -L0010128 000000 000000 000000 000110 000000 010000 000000 000000* -L0010176 000000 000000 000000 000110 000000 000000 000000 000000* -L0010224 000000 000000 000000 000110 000000 000000 000000 000000* -L0010272 000000 000000 000000 000110 000000 010000 000000 000000* -L0010320 000000 000000 000000 000110 000000 000000 000000 000000* -L0010368 00000011 00000001 10000001 00000001 00000011 00000011 00000011 00000010* -L0010432 00000011 00000001 10000010 00000001 00000001 00100011 00000011 00000000* -L0010496 00000011 00000011 10000011 00000011 00000010 00000011 00000010 00000011* -L0010560 00000011 00000011 10000010 00000010 00000011 00010011 00000011 00000000* -L0010624 00000011 00000011 10000011 00000011 00000011 00000011 00000011 00000001* -L0010688 00000011 00000011 00000011 00000010 00000011 10000011 00000011 00000011* -L0010752 00000011 00000011 10000010 00000011 00000010 01000011 00000111 00000001* -L0010816 00000011 00000011 10000000 00000000 00000011 11000011 00000011 00000001* -L0010880 00000011 00000011 10000001 00000011 00000010 00000011 00000011 00000010* -L0010944 000000 000000 100000 000000 000000 000000 000000 000000* -L0010992 000000 000000 000001 000000 000000 000000 000000 000000* -L0011040 000000 000000 100001 000000 000000 000000 000000 000000* -L0011088 000000 000000 000001 000000 000000 000000 000000 000000* -L0011136 000000 000000 000001 000000 000000 010000 000000 000000* -L0011184 000000 000000 000000 000000 000000 000000 000000 000000* -L0011232 00000000 00000000 00000010 00000010 00000000 00100000 01000000 00000001* -L0011296 00000000 00000000 00000001 01110010 00100010 00000000 01011100 00000011* -L0011360 00000000 00000000 00000000 01110000 00100001 00000000 00011100 00000000* -L0011424 00000000 00000000 00000001 00000001 00000000 00000000 00100000 00000011* -L0011488 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000010* -L0011552 00000000 00000000 00000000 00001001 00000000 00000000 00000000 00000000* -L0011616 00000000 00000000 00000001 00000000 00000001 00000000 00001000 00000010* -L0011680 00000000 00000000 00000011 00000001 00000000 00000000 00001000 00000010* -L0011744 00000000 00000000 00000010 00000000 00000001 00000000 00000100 00000001* -L0011808 000000 000000 000000 000000 000001 000000 000001 000000* -L0011856 010000 000000 000110 000000 000000 000000 000000 000000* -L0011904 000000 000000 000000 101000 000000 010000 000010 000000* -L0011952 000000 000000 000000 101000 000000 010000 000010 000000* -L0012000 000000 000000 000000 000000 000000 000000 100001 000000* -L0012048 000000 000000 000000 000000 000000 000000 000001 000000* -L0012096 00000000 00000000 00000001 00000001 00000011 00000011 01000001 00000010* -L0012160 00000001 00000000 00000000 01110000 00000001 00010011 01011111 00000000* -L0012224 00000000 00000000 00000011 01110011 00000010 00000011 00011100 00000011* -L0012288 00000000 00000000 00000010 00000010 00000011 00000011 00100011 00000000* -L0012352 00000000 00000000 00000011 00000011 00000001 00000011 00000011 00000001* -L0012416 00000000 00000000 00000011 00001010 10000001 00000010 00000011 00000001* -L0012480 00000000 00000000 00000000 00000011 00000010 00000011 00001001 00000000* -L0012544 00000001 00000000 00000000 00000010 00000011 00000010 00001011 00000001* -L0012608 00000001 00000001 00000001 00000011 00000010 00000011 00000111 00000010* -L0012672 000000 000000 000000 000000 000000 000000 000001 000000* -L0012720 000000 000000 000000 000000 000000 000000 000000 000000* -L0012768 000000 000000 000000 101000 000000 000000 000010 000000* -L0012816 000000 000000 000000 101000 000000 000000 000010 000000* -L0012864 000000 000000 000000 000000 000000 000000 100001 000000* -L0012912 000000 000000 000000 000000 000000 000000 000001 000000* -L0012960 00000000 00000000 00000010 00000010 00010000 00000000 00000000 00000001* -L0013024 00000000 00000000 00000011 00000011 00010010 00000011 00000000 00000010* -L0013088 00000000 00000000 00100000 00000000 00010001 00000001 00000000 00000000* -L0013152 00000000 00000000 00100001 00000001 00010000 00000000 00000000 00000011* -L0013216 00000000 00000000 00100000 00000000 00010000 00000000 00000000 00000010* -L0013280 00000000 00000000 00000000 00000001 00000000 00000000 00000100 00000010* -L0013344 00000000 00000000 00000011 00000000 00000001 00000000 00000000 00000001* -L0013408 00000000 00000000 00000011 00000011 00001000 00000001 00000000 00000010* -L0013472 00000000 00000000 00000010 00000000 00001001 00000010 00000000 00000001* -L0013536 000000 000000 000000 000000 000010 000000 000000 000000* -L0013584 000000 000000 000000 000000 000000 000000 000000 000000* -L0013632 000000 000000 000000 000000 000000 000000 000000 010000* -L0013680 000000 000000 000000 000000 001000 000000 000000 000000* -L0013728 000000 000000 000000 000000 001000 000000 000000 000000* -L0013776 000000 000000 000000 000000 001100 000000 000000 000000* -L0013824 00000000 00000000 00000001 00000001 00000011 00000001 00000001 00000010* -L0013888 00000000 00000000 00000000 00000000 00000000 00000011 00000000 00010000* -L0013952 00000000 00000000 00000001 00000010 00000010 00000011 00000000 00000010* -L0014016 00000000 00000000 00000000 00000010 00000011 00000011 00000001 00010000* -L0014080 00000000 00000000 00000001 00000000 00000000 00000010 00000001 00000001* -L0014144 01000000 00000000 00000011 00000010 00000000 00000010 00000001 00100100* -L0014208 00000001 00000000 00000000 00000011 00000010 00000011 00000001 00000100* -L0014272 00000001 00000000 00000000 00000000 00000010 00000010 00000001 00100101* -L0014336 00000001 00000000 00000001 00000011 00000000 00000011 00000001 00000110* -L0014400 000000 000000 000000 000100 000000 000000 000000 001000* -L0014448 000000 000000 000000 000000 000000 000010 000000 000000* -L0014496 000000 000000 000000 000000 000000 000000 000000 000000* -L0014544 000000 000000 000000 000000 000000 000000 000000 000000* -L0014592 000000 000000 000000 000000 000000 000000 000000 011000* -L0014640 000000 000000 000000 000000 000000 000000 000000 000000* -L0014688 10010000 00000000 00000010 00000010 00000000 00000001 10000000 01000001* -L0014752 00000000 00000000 00000011 00000011 00000011 00000000 00000000 00001010* -L0014816 00000000 00000000 00000000 00000001 00000001 00000000 00000000 00000001* -L0014880 00000000 00000000 00000001 00000001 00000000 00000000 00000000 00000011* -L0014944 00000000 00000000 00000000 00000001 00000001 00000000 00000000 00000010* -L0015008 00000000 00000000 00000000 00000001 00000001 00000000 00000000 00000011* -L0015072 00000001 00000000 00000011 00000000 00000001 00000000 00000000 00000001* -L0015136 00000000 00000000 00000011 00000001 00000001 00000001 00000000 00000010* -L0015200 00000000 00000000 00000010 00000000 00000011 00000000 00000000 00000001* +L0010080 000000 000000 000100 100000 000000 000000 000000 000000* +L0010128 000000 000000 000110 000010 000000 010000 000000 000000* +L0010176 000000 000000 010000 000010 000000 000000 000000 000000* +L0010224 000000 000000 000100 000010 000000 000000 000000 000000* +L0010272 000000 000000 000000 000010 000000 010000 000000 000000* +L0010320 000000 000000 000000 000010 000000 000000 000000 000000* +L0010368 00000011 00000001 00000001 00000001 00000111 00000011 00000011 00001010* +L0010432 00000011 00000001 00000011 00000001 00000101 00100011 00000011 00000000* +L0010496 00000011 00000001 00000011 00000001 00000000 00000011 00000010 00000011* +L0010560 00000011 00000011 00000011 00000001 00000101 00010011 00000011 00000000* +L0010624 00000011 00000011 00000010 00000011 00000111 00000011 00000011 00000001* +L0010688 00000011 00000011 00000011 00000010 10000000 10000011 11010011 00000011* +L0010752 00000011 00000011 00000011 00000011 10000000 01000011 00100011 00000001* +L0010816 00000011 00000011 00000011 00000000 00000010 11000011 00000011 00000001* +L0010880 00000011 00000011 00000011 00000011 10000001 00000011 00000011 00000010* +L0010944 000000 000000 000000 000000 100000 000000 000000 000000* +L0010992 000000 000000 000000 000000 000000 000000 011000 000000* +L0011040 000000 000000 000000 000000 001100 000000 000000 000000* +L0011088 000000 000000 000000 000000 001100 000000 000000 000000* +L0011136 000000 000000 000000 000000 001100 010000 000000 000000* +L0011184 000000 000000 000000 000000 001100 000000 000000 000000* +L0011232 00000000 00000100 00000010 00000010 00000000 00100000 00000000 00000001* +L0011296 00000000 00000000 00000000 00000010 00000010 00000000 00000000 00000011* +L0011360 00000000 00000000 00000000 00000010 00100011 00000000 00000000 00000000* +L0011424 00000000 00000000 00000000 00000000 00000010 00000000 00000000 00000011* +L0011488 00000000 00000000 00000001 00000000 00000000 00000000 00000000 00000010* +L0011552 00000000 00000000 00001000 00000001 00000011 00000000 00100000 00000000* +L0011616 00000000 00000000 00000000 00000000 00000011 00000000 11010000 00000010* +L0011680 00000000 00000000 00000000 00000001 00000001 00000000 00000000 00001010* +L0011744 00000000 00000000 00000000 00000100 00000010 00000000 00000000 00000001* +L0011808 000000 000000 000000 000000 000000 000000 000000 000000* +L0011856 000000 000000 000000 000100 010000 000000 000000 000000* +L0011904 000000 000000 010000 000000 000000 010000 011000 000000* +L0011952 000000 000000 000000 000000 000000 010000 000000 000000* +L0012000 000000 000000 000000 000000 000000 000000 000000 000000* +L0012048 000000 000000 000000 000000 000000 000000 000000 000000* +L0012096 00000000 00000000 00000001 00000000 00000011 00000011 00000011 00000010* +L0012160 00000001 00000000 00000011 00000001 00000001 00010011 00000011 00000000* +L0012224 00000000 00000000 00000001 00000000 00000000 00000011 00000010 00000011* +L0012288 00000000 00000000 00100010 00000000 00000001 00000011 00000011 00000000* +L0012352 00000001 00000000 00100010 00000010 00000011 00000011 00000011 00000001* +L0012416 00000011 00000000 00000010 00000010 00000000 00000010 00000011 00000001* +L0012480 00000001 00000000 00000011 00000001 00000000 00000011 00000011 00000000* +L0012544 00000001 00000001 00000000 00000010 00000000 00000010 00000011 00000001* +L0012608 00000001 00000000 00000010 00000001 00000001 00000011 00000011 00000010* +L0012672 000000 000000 000000 000000 000000 000000 000000 000000* +L0012720 000000 000000 000000 000000 000000 000000 000000 000001* +L0012768 000000 000000 000000 000000 100000 000000 000000 000000* +L0012816 000000 000000 000000 000000 000000 000000 000000 000000* +L0012864 000000 000000 000010 000000 000000 000000 000000 000000* +L0012912 000000 000000 000010 000000 000000 000000 000000 000000* +L0012960 00000010 00000000 01000010 00000010 00000000 00000000 00000000 00000001* +L0013024 00000000 00000000 01100000 00000010 00000010 00000011 00000000 00000010* +L0013088 00000000 00000000 00100010 00000010 00000111 00000001 10000000 10000000* +L0013152 00000000 00000000 00001001 00000000 10000010 00000000 10000000 00000011* +L0013216 00000000 00000000 00000001 00000001 00000000 00000000 10000000 00000010* +L0013280 00000000 00000000 00100001 01000001 00000011 00000000 00000000 00000010* +L0013344 00000000 00000000 00000000 00000000 00000011 00000000 00000000 00000001* +L0013408 00000000 00000000 00000011 00000011 10000011 00000001 00000000 00000010* +L0013472 00000000 00000000 00000001 00000000 00000010 00000010 00000100 00000001* +L0013536 000000 000000 000000 000000 000001 000000 000001 000000* +L0013584 000000 000000 000000 000000 000000 000000 001000 000000* +L0013632 000000 000000 000000 000000 000000 000000 000000 000000* +L0013680 000000 000000 000000 000000 000000 000000 000001 000000* +L0013728 000000 000000 000000 000000 000000 000000 000001 000000* +L0013776 000000 000000 000000 000000 000001 000000 000001 000000* +L0013824 00000000 00000000 01000001 00000000 00000111 00000001 00000001 00000010* +L0013888 10000000 00000000 00110110 00000000 00000000 00000011 00000000 00010000* +L0013952 00000000 00000000 00000001 00000000 00000000 00000011 00000010 00000010* +L0014016 10000000 00000000 00100100 00000000 00000101 00000011 00000011 00010000* +L0014080 00000001 00000000 00000100 00000000 00000010 00000010 00000001 00000001* +L0014144 00000001 01000000 00000100 00000010 10000000 00000010 00000001 00100100* +L0014208 00000001 00000000 00000111 01000001 00000000 00000011 00000011 00000100* +L0014272 00000001 00000000 00000000 00000000 00000000 00000010 00000001 00100101* +L0014336 00000001 00000001 00000110 00000001 10000000 00000011 00000001 00000110* +L0014400 000000 000000 000000 000000 000001 000000 000000 001000* +L0014448 000000 000000 100100 000000 000000 000000 000000 000000* +L0014496 000000 000000 000100 000000 001100 000000 000000 000000* +L0014544 000000 000000 000100 000000 000000 000000 000000 000000* +L0014592 000000 000000 000110 000000 011100 000000 000000 011000* +L0014640 000000 000000 000000 000000 000001 001000 000000 000000* +L0014688 00000010 00000000 00010110 00000010 00000000 00000001 00000010 01000001* +L0014752 00000000 00000000 00000001 00000010 00100011 00000000 11111110 00001010* +L0014816 00000000 00000000 01001010 00000000 00000001 00000000 01111100 00000001* +L0014880 00000000 00000000 00010011 00000000 00000010 00000000 00000000 00000011* +L0014944 00000000 00000000 00000001 00000001 00000001 00000000 00000000 00000010* +L0015008 00000000 00000000 00100001 00000001 00100011 00000000 00000000 00000011* +L0015072 00000000 00000000 00000000 00000000 00000011 00000000 00001100 00000001* +L0015136 00000000 00000000 00000011 00000001 00000011 00000001 10001100 00000010* +L0015200 00000000 00000001 00000001 00000000 00000111 00000000 00000000 00000001* L0015264 000000 000000 000000 000000 000000 000000 000000 000000* -L0015312 000000 000100 000000 000000 000000 000000 000000 000100* -L0015360 000000 000000 000000 000000 001000 000000 000000 000001* -L0015408 000000 000000 000000 000000 000000 000000 000000 000000* -L0015456 000000 000000 000000 000000 000000 000000 000000 000000* -L0015504 000000 000000 000000 000000 000000 000000 000000 000000* -L0015552 00000000 00000000 00000001 00000000 00000011 00000001 00000000 00000010* -L0015616 00000000 00000000 00000000 00000001 00000000 00000001 00000000 00000000* -L0015680 00000001 00000000 00000001 00000000 00000000 00000001 00000010 00000000* +L0015312 000000 000000 000000 000000 001110 000000 000001 000100* +L0015360 000000 000000 001000 000000 000000 000000 100010 000001* +L0015408 000000 000000 000000 000000 010000 000000 100010 000000* +L0015456 000000 000000 000000 000000 000001 000000 000000 000000* +L0015504 000001 000000 000000 000000 000000 000000 000000 000000* +L0015552 00011001 00000000 00000000 00100000 00000011 00000001 00000000 01000010* +L0015616 00000000 00000000 00000010 00000001 00000000 00000001 00000000 00001000* +L0015680 00000001 00000000 00000001 00000000 00000000 01000001 00000000 00000000* L0015744 00000001 00000000 00000000 00000000 00000001 00000001 00000000 00000000* -L0015808 00000001 00000000 00000001 00000000 00000000 00000000 00000000 00000001* -L0015872 00000001 00000000 00000000 00000000 00000000 00000010 00000000 00000000* -L0015936 00000000 00000000 00000000 00001000 00000000 00000011 00000010 00000000* -L0016000 00000000 00000000 00000000 00000000 00000010 00000010 00000001 00000001* -L0016064 00000001 00000000 00000001 00000001 00000000 00000011 00000001 00000010* +L0015808 00000000 00000000 00000000 00000000 10011010 00000000 00000000 00000001* +L0015872 00000101 00000000 00000000 00001000 00000000 00000010 00000000 00000000* +L0015936 00000011 00000000 00000001 00000000 00000000 00000011 00000000 00000000* +L0016000 00000011 00000000 00000000 00000000 00000100 00000110 00000000 00000001* +L0016064 00000011 00000000 00000010 00000001 00000000 00000011 00000001 00000010* L0016128 000000 000000 000000 000000 000000 000000 000000 000000* -L0016176 000000 000000 000000 000000 000000 000000 000000 000000* -L0016224 000000 000000 100000 000000 000000 000000 000000 001000* +L0016176 000000 000000 000000 000000 000000 000000 000000 000100* +L0016224 000010 000000 000000 000000 000000 000000 000000 000001* L0016272 000000 000000 000000 000000 000000 000000 000000 000000* -L0016320 000000 000000 000100 000000 000000 000000 000000 000000* +L0016320 000000 000000 000000 000000 000000 000000 000000 000000* L0016368 000000 000000 000000 000000 000000 000000 000000 000000* -L0016416 00000000 00000000 00000010 10010011 00010000 00000000 10010000 00000001* -L0016480 00000000 00000000 00000011 00000011 00010011 00000000 00000000 00000010* -L0016544 00000001 00000000 00000000 00000011 00010011 00000000 00000010 00000011* -L0016608 00000001 00000000 00000001 00000001 00010000 00000000 00000000 00000011* -L0016672 00000001 00000000 00000000 00000001 00010001 00000000 00000000 00000010* -L0016736 00000001 00000000 00001011 00000001 00000001 00001000 00000000 00000011* -L0016800 00010000 00000000 00000011 00000001 00000001 00000000 00000010 00000001* -L0016864 00000000 00000000 00000011 00000001 00001001 00000001 00000001 00000010* -L0016928 00000001 00000000 00000010 00000000 00001011 00000000 00000001 00000001* -L0016992 000000 000000 000000 000000 000010 000000 000000 000000* -L0017040 000000 001000 000001 000000 000000 000000 000000 000000* -L0017088 000000 000001 000000 001000 000000 000000 000000 100000* -L0017136 000000 000000 000000 001000 001001 000000 000000 000000* -L0017184 000000 000000 000000 000000 001000 000000 000000 000000* -L0017232 000000 000000 000000 000000 001100 000000 000000 000000* -L0017280 00000000 00000000 00000000 00000000 00000011 00000000 00000000 00000010* -L0017344 00000000 00000000 00000000 00000000 00000000 00000001 00000000 00000000* -L0017408 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0017472 00000000 00000000 00000000 00001000 00000001 00000001 00000000 00000000* -L0017536 00000000 00000000 00000001 00001100 00000000 00000000 00000000 00000001* -L0017600 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0017664 00000000 00000000 00000000 00000000 00000000 00000001 00000000 00000000* -L0017728 00000000 00000000 00000000 10000000 00000100 00000000 00000001 00000000* -L0017792 00000000 00000000 00000000 10001001 00000000 00000011 00000001 00000010* -L0017856 000000 000000 000000 100010 000000 000000 000000 000000* -L0017904 000000 000000 000000 000000 000000 000000 000000 000000* -L0017952 000000 000000 000000 000000 000000 000000 000000 000000* -L0018000 000000 000000 000000 000000 000000 000000 000000 000000* -L0018048 000000 000000 000000 000110 000000 000000 000000 000000* -L0018096 000000 000000 000000 000110 000000 000000 000000 000000* -L0018144 00000000 00000000 00000011 00001010 00000000 00000001 00000000 00000001* -L0018208 00000000 00000000 00000011 00001011 00000010 00000000 00000000 00000010* -L0018272 00000000 00000000 00000001 00001011 00000011 00000000 00000000 00000011* -L0018336 00000000 00000000 00000001 00000101 00000000 00000000 00000000 00000011* -L0018400 00000000 00000000 00000000 10000001 00000001 00000000 00000000 00000010* -L0018464 00000000 00000000 00000011 10000001 00000000 00000000 00000000 00000001* -L0018528 00000000 00000000 00000011 10000001 00000001 00000000 01000000 00000001* -L0018592 00000000 00000000 00000011 00001001 00000001 10000010 00000000 00000011* -L0018656 00000000 00000000 00000011 00000000 00000011 00000000 00000000 00000001* +L0016416 00000111 00000000 00010011 00000010 00000000 00000000 00000010 00010001* +L0016480 00000100 00000000 00000001 00000011 00000011 00000000 00000000 00000110* +L0016544 00000101 00000000 00000010 00000000 00000101 00000000 10000000 00010111* +L0016608 00000001 00000000 00000011 00000000 00000010 00000000 10000000 00000111* +L0016672 00000000 00000000 00000001 00000001 00000001 00000000 10000000 00010110* +L0016736 00000001 00010000 00000001 10000001 00000011 00000000 00000000 00000011* +L0016800 00000011 00000000 00000010 10011001 00000001 00000000 00100000 00100001* +L0016864 00000111 00000000 00000011 00001001 10000001 00000001 00000001 00000010* +L0016928 00000010 00000000 00000001 10001000 00000011 00000000 00000100 00100001* +L0016992 000000 000000 000000 100000 000001 000000 000001 000000* +L0017040 001010 000000 000000 000000 000000 000000 000000 000000* +L0017088 000000 000000 000000 000000 000000 000000 000000 000000* +L0017136 000000 000000 000000 000010 000000 000000 000001 000000* +L0017184 000000 000000 000000 000000 010000 000000 000001 000000* +L0017232 000000 000000 000001 000000 010001 000000 000001 001000* +L0017280 00000000 00000000 00000000 00000000 00000011 00000100 00000000 00000010* +L0017344 00000000 00000000 00000010 00000000 00000000 00000101 00000000 00000000* +L0017408 00000000 00000000 00000001 00000000 00000000 00000100 00000000 00000000* +L0017472 00000000 00000000 00000000 00000100 00000001 00000101 00000000 00000000* +L0017536 00000000 00000000 00000000 10000100 00000010 00001100 00000000 00000001* +L0017600 00000000 00000000 00000000 10000000 00000000 00000100 00000000 00000000* +L0017664 00000001 00000000 00010001 10001000 00000000 00100001 00000000 00000000* +L0017728 00000001 00000000 00000000 10001000 00000000 00100000 00000000 00000000* +L0017792 00000001 00000000 00000010 10001001 00000000 00100011 00000001 00000010* +L0017856 000000 000000 000000 100000 000000 000000 000000 000000* +L0017904 000000 000000 000000 101010 000000 000100 000000 000010* +L0017952 000000 000000 000000 000010 000000 000000 000000 000000* +L0018000 000000 000000 000000 000010 000000 000100 000000 000000* +L0018048 000000 000000 000000 000010 000000 000100 000000 000000* +L0018096 000000 000000 000000 000010 000000 000000 000000 000000* +L0018144 00000010 00000000 00000010 00000010 00000000 01000001 00000010 00000101* +L0018208 00000000 00000000 00010001 00000010 00000010 00000000 00000000 00000010* +L0018272 00000000 00000000 00010010 00000000 00000001 00000000 00000000 00000011* +L0018336 00000000 00000000 01000000 00000000 00000010 00000000 00000000 00000011* +L0018400 00000000 00000000 00000001 00000000 00000000 00000000 00000000 00000010* +L0018464 00000000 00000000 00010001 00000001 00000011 00000000 00000000 00000001* +L0018528 00000000 00000000 00000010 00000001 00100001 00000000 01000000 00000001* +L0018592 00000000 00000000 00000011 00000001 00000001 00010010 00000001 00000011* +L0018656 00000000 00000000 00000001 00000000 00000011 00000000 00000000 00000001* L0018720 000000 000000 000000 000000 000000 000000 000000 000000* -L0018768 000000 000000 000000 000010 001000 000000 000000 000000* -L0018816 000000 000000 000000 000010 000000 000000 000000 000000* -L0018864 000000 000000 000000 000110 000000 000000 000000 000000* +L0018768 000000 000000 100000 000000 000000 000001 000000 000000* +L0018816 000000 000000 000000 100000 000000 000000 000000 000010* +L0018864 000000 000000 000000 000001 000000 001000 000000 000000* L0018912 000000 000000 000000 000000 000000 000000 000000 000000* -L0018960 000000 000000 000000 000000 000000 000000 000000 000000* -L0019008 00000010 00000000 00010000 00000000 00000000 00000000 00000000 00001010* -L0019072 00000000 00000000 00000010 00000011 00000010 00000001 00000000 00000000* -L0019136 00000000 00000000 00000010 00000001 00000000 00000010 00000001 00000010* -L0019200 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0019264 00000001 00000000 00000010 00000010 00000000 00000011 00000000 00000011* -L0019328 00000000 00010000 00000000 00000010 00000010 00000000 00000000 00000010* -L0019392 00000000 00000000 00000010 01000001 00000000 00000011 00000010 00000000* -L0019456 00000000 00000000 00001001 00000000 00000001 00000001 00000000 00000010* -L0019520 00000001 00000000 00000001 00000000 00000000 00000010 00000001 00000000* +L0018960 000000 000000 000001 000000 000000 000000 000000 000000* +L0019008 00000001 00000000 00000000 00000000 01000011 00000000 00000000 00000010* +L0019072 00000000 00000000 00000001 00000011 00000010 00000001 00000000 00000000* +L0019136 00000000 00000000 00000000 00000000 00000000 00000010 00000001 00000010* +L0019200 00000001 00000000 00000000 00000000 00000001 00000000 00000000 00000000* +L0019264 00000000 00000000 00000000 00000010 01000000 00000011 00000000 00000011* +L0019328 00000011 00000000 00000001 00000010 00000000 00000000 00000000 00000010* +L0019392 00000000 00000000 00000000 00000011 00000001 00000011 00000000 00000000* +L0019456 00000001 00000000 00000001 00000000 00000010 00000001 00000000 00000010* +L0019520 00000000 00000000 00000000 00000000 01000000 00000110 00000000 00000000* L0019584 000000 000000 000000 000000 000000 000000 000000 000000* -L0019632 000000 000000 000000 000000 000000 000000 000000 000000* -L0019680 000000 000000 000000 000000 000000 000000 000000 000000* -L0019728 000000 000000 000001 000000 000000 000000 000000 000000* +L0019632 000000 000000 000000 000000 010000 100000 000000 000000* +L0019680 000000 000000 000000 000000 010000 100000 000000 000000* +L0019728 000000 000000 000000 000000 010000 100000 000000 000000* L0019776 000000 000000 000000 000000 000000 000000 000000 000000* L0019824 000000 000000 000000 000000 000000 000000 000000 000000* -L0019872 00000000 00000000 01000001 00000000 00000011 00000000 00000000 00000010* -L0019936 00000000 00000000 01000000 00000001 00000000 00000001 00000000 00000000* -L0020000 00000000 00000000 00000101 00000001 00000001 00010000 00000000 00000001* -L0020064 00000000 00000000 00000100 00000000 00000001 00000000 00000000 00000000* -L0020128 00000000 00000000 00010101 00000001 00000000 00000000 00000000 00000001* -L0020192 00000000 00000000 00010100 00000000 00000000 00000000 00000000 00000000* -L0020256 00000000 00000000 00010110 00000001 00000000 00000000 00000000 00000000* -L0020320 00000000 00000000 00000100 00000000 00100001 00000000 00000000 00001011* -L0020384 00000000 00000000 00010110 00000001 00100000 00000011 00000000 00000010* -L0020448 000000 000000 000101 000000 000000 000000 000000 000000* -L0020496 000000 000000 000000 000000 000000 000000 000000 000000* -L0020544 000000 000000 000110 000000 100000 000000 000000 000000* -L0020592 000000 000000 000010 000000 100000 000000 000000 000000* -L0020640 000000 000000 000000 000000 000000 000000 000000 000000* +L0019872 00000000 00000000 00000000 00000000 00000011 00001000 00000000 00000010* +L0019936 00000000 00000000 00000011 00000000 10011000 00000101 00000000 00000000* +L0020000 00000000 00000000 00000001 00000000 10011001 00000000 00000000 00000001* +L0020064 00100000 00000000 00000000 00000000 10011001 00000000 00000000 00000000* +L0020128 00000000 00000000 00000000 00000000 10011010 00000000 00000000 00000001* +L0020192 00000000 00000000 00000001 00000000 00000101 00000000 00000000 00010000* +L0020256 00000000 00000000 00000001 00000001 00000101 00000000 00000000 00000000* +L0020320 00000000 00000000 00000010 00000000 00000100 00000000 00000000 00000011* +L0020384 00100000 00000000 00000010 00000001 00000111 00000011 00000001 00000010* +L0020448 000000 000000 000000 000000 000000 000000 000000 000000* +L0020496 000000 000000 000000 000000 001100 000000 000000 000000* +L0020544 000000 000000 000000 000000 000001 000000 000000 000100* +L0020592 000000 000001 000000 000000 000001 000000 000000 000000* +L0020640 000000 000000 000000 000000 000001 000000 000000 000000* L0020688 000000 000000 000000 000000 000000 000000 000000 000000* -L0020736 00000000 00000000 00000000 00000000 00000010 00000100 00000000 00000010* -L0020800 00000000 00000000 00000000 00000010 00000010 00000100 00000000 00000010* -L0020864 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000010* -L0020928 00000000 00000000 00000000 00000000 00000001 00000100 00000000 00000000* -L0020992 00000000 00000000 00000001 00000000 00000000 00001100 00000000 00000011* -L0021056 00000000 00000000 00000001 00000000 00000000 00000000 00000000 00000000* -L0021120 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* -L0021184 00000000 00000000 00000001 00010000 00000000 00100000 00000000 00000000* -L0021248 00000000 00000000 00000001 00000001 00000000 00100000 00000000 00000010* +L0020736 00000000 00000000 00000000 00000000 00000010 00000000 00000010 00100010* +L0020800 00000000 00000000 00000010 00000010 00000010 00000000 00000000 00000010* +L0020864 00000000 00000000 00000010 00000000 00000000 00000000 00000000 00000010* +L0020928 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0020992 00000000 00000000 00000000 00000000 01000010 00000000 00000000 00000011* +L0021056 00000000 00000000 00000000 00000000 00000010 00000000 00000000 00000000* +L0021120 00000000 00000000 00100001 01000000 00000000 00001000 00000000 00001000* +L0021184 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0021248 00000001 00000000 00000000 00000001 00000000 00000000 00000001 00000010* L0021312 000000 000000 000000 000000 000000 000000 000000 000000* -L0021360 000100 000000 000000 000000 000000 000100 000000 000001* -L0021408 000000 000000 000000 000000 000000 000000 000000 000000* -L0021456 000000 000000 000000 000000 000000 000100 000000 000000* -L0021504 000000 000000 000000 000000 000000 000100 000000 000000* +L0021360 000000 000000 000000 000000 000000 000000 000000 000000* +L0021408 000000 000000 000000 000000 000000 000000 000001 000000* +L0021456 000000 000000 000000 000000 000000 000000 000000 000000* +L0021504 000000 000000 000000 000000 000000 000000 000000 000000* L0021552 000000 000000 000000 000000 000000 000000 000000 000000* -L0021600 00000000 00000000 00000010 00000010 00000000 00000000 10001000 00000001* -L0021664 00000000 00000000 00000010 00000010 00000010 00000000 00010000 00000010* -L0021728 00000000 00000000 00000000 00000010 00000010 00000000 00010000 10000010* -L0021792 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000010* -L0021856 00000000 00000000 00000000 00000000 00000001 00000000 00000000 00000010* -L0021920 00000000 00000100 00000001 00000000 00000000 00000000 00000000 00000011* -L0021984 00000000 00000000 00000001 00000000 00000000 00000000 00000000 00000000* -L0022048 00000000 00000000 00000001 00000000 00000000 00000000 00000000 00000000* -L0022112 00000000 00000000 00000001 00000000 00010000 00010000 00000000 00000000* -L0022176 000000 000000 000000 000000 000000 000000 000000 000000* -L0022224 000000 000000 000000 000000 000000 000000 000000 000000* -L0022272 000000 000000 000000 000000 000000 000001 000000 000000* -L0022320 000000 000000 000000 000000 000000 000000 000000 000000* -L0022368 000000 000000 000000 000000 000000 001000 000000 000000* -L0022416 000000 000000 000000 000000 000000 000000 000000 000000* -L0022464 00000011 00000001 00000001 00000001 00000011 00000111 00100011 00001010* -L0022528 00000011 00000001 00000010 00000111 00000011 00000111 00000011 00000010* -L0022592 00000011 00000011 00000011 00000011 00000011 00000111 00000011 10000011* -L0022656 00000011 00000011 00100010 00000010 00000011 00000111 00000011 00000000* -L0022720 00000011 00000011 00100011 00000011 00000011 00001111 00000011 00000011* -L0022784 00000011 00000011 00000011 00000010 01000011 00000111 00000011 00000011* -L0022848 00000011 00000011 00000010 00000011 00000010 00100011 00000011 00000001* -L0022912 00000011 00000011 00000001 00000010 00000011 00100011 00000011 00001011* -L0022976 00000011 00000011 00000011 00000011 00000010 00100011 00000011 00000010* -L0023040 000000 000000 000000 000000 000000 000000 000000 000000* -L0023088 000000 000000 000000 000001 000000 000100 000000 000001* -L0023136 000000 000000 000000 000000 000000 000000 000001 000000* -L0023184 000000 000000 000000 000000 000000 000100 000000 001000* -L0023232 000000 000000 000000 000000 000000 000100 000000 000000* -L0023280 000000 000000 000000 000000 000000 000000 000000 000000* -L0023328 00000000 00000000 00000000 10000000 00000000 01000000 00000000 01010000* -L0023392 00000000 00000000 00000000 10000000 00000000 00000000 00000000 11011100* -L0023456 00000000 00000000 00000000 10000100 00000000 00000000 00000000 01011100* -L0023520 00000000 00000000 00000000 10000100 00000000 00000000 00000000 11011100* -L0023584 00000000 00000000 00000000 10000100 00000000 00000000 00000000 11011100* -L0023648 00000000 00000000 00000000 10000100 00000000 00000000 00000100 11100100* -L0023712 00000000 00000000 00000000 10000100 00000000 00000000 00000100 11101100* -L0023776 00000000 00000000 00000000 10001100 00000000 00010000 00000100 11100100* -L0023840 00000000 00000000 00000000 10001100 00000000 00000000 00000000 11101100* -L0023904 000000 000000 000000 100011 000000 000000 000000 111011* -L0023952 000000 000000 000000 000010 000000 000001 100000 000000* -L0024000 000000 000000 000000 000011 000000 000000 000000 000001* -L0024048 000000 000000 000000 000011 000000 001000 000001 100111* -L0024096 000000 000000 000000 000011 000000 000000 000000 001111* -L0024144 000000 000000 000000 000011 000000 000000 000000 001111* -L0024192 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* -L0024256 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0024320 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* -L0024384 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* -L0024448 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0024512 00000000 00000000 00010100 00000000 00000000 00000000 00000000 00000000* -L0024576 00000000 00000000 00010100 00001000 00000000 00000000 00000000 00000000* -L0024640 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* -L0024704 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* -L0024768 000000 000000 000100 000000 000000 000000 000000 000000* -L0024816 000000 000000 100000 000000 000000 100000 000000 000000* -L0024864 000000 000000 000000 000000 000000 100000 000000 000010* -L0024912 000000 000000 000100 000000 000000 100000 000000 000000* -L0024960 000000 000000 000000 000000 000000 000000 000000 000000* -L0025008 000000 000000 000000 000000 000000 000000 000000 000000* -L0025056 00000000 00000000 00000000 00000000 00000000 00001000 00000000 00000000* -L0025120 00000000 00000000 00010000 01110000 00000000 00000100 00000000 00000000* -L0025184 00000000 00000000 10011000 01110000 00000000 00000000 00000000 00000000* -L0025248 00000000 00000000 10011000 00000000 00000000 00000000 00000000 00000000* -L0025312 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* -L0025376 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00000000* -L0025440 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0025504 00000000 00000000 00000000 00000000 00100000 00000000 00000000 00000000* -L0025568 00000000 00000000 00001000 00000000 00100000 00000000 00000000 00000000* -L0025632 000000 000000 000010 000000 000000 000000 000000 000000* -L0025680 000000 000000 001000 000000 000000 000000 000000 000010* -L0025728 000000 000000 000000 101000 100000 000000 001000 000000* -L0025776 000000 000000 000000 101000 100000 000000 000000 000000* -L0025824 000000 000000 000001 000000 000000 000000 000000 000000* -L0025872 000000 000000 000000 000000 000000 000000 000000 000000* -L0025920 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0025984 00000000 00000000 00000000 00000011 00000011 00000000 00000011 00000010* -L0026048 00000000 00000000 00000000 00000000 10000001 00000000 00000001 00000001* -L0026112 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* -L0026176 00000000 00000000 00000000 00000010 00000011 00000001 00000010 00000010* -L0026240 00000000 00000000 00000000 00000010 00000011 00000001 00000010 00010011* -L0026304 00000000 00000000 00000000 01000000 00000000 00000000 00000000 00000000* -L0026368 00000000 00000000 00000001 00000000 00000000 00000001 00000010 00000010* -L0026432 00000000 00000000 00000010 00000000 00000010 00000000 00000010 00000000* -L0026496 000000 000000 000000 000000 000000 000000 000000 000000* -L0026544 000000 000000 000000 000000 000000 000010 000000 000000* -L0026592 000000 000000 000000 000000 000000 000000 000000 000000* -L0026640 000000 000000 000000 000000 000000 000000 000000 000000* -L0026688 000000 000000 000000 000000 000000 000000 000000 000000* -L0026736 000000 000000 000000 000000 000000 000000 000000 000000* -L0026784 00000000 00000000 00000000 00000000 00000000 00000000 10000100 00000000* -L0026848 00000000 00000000 00000000 00000000 00000000 00000000 00011000 00000000* -L0026912 00000000 00000000 00000000 00000000 00000000 00000000 00011000 00000000* +L0021600 00000010 00000000 00000000 00000010 00000100 00000000 00001010 00000001* +L0021664 00000000 00000000 00000000 00000010 00000110 00000000 11110110 00000010* +L0021728 00000000 00000000 00000010 00000010 00000010 00000000 01110100 00000010* +L0021792 00000000 00000000 00000010 00000000 00000100 00000000 00000000 00000010* +L0021856 00000000 00000000 00000000 00000000 00000100 00000000 00000000 00000010* +L0021920 00000000 00000000 00000000 00000000 10000010 00000000 00000000 00000011* +L0021984 00000000 00000000 00000000 00000000 10000010 00000000 00000100 00000000* +L0022048 00000000 00000000 00000001 00000000 00000000 00000000 10000100 00000000* +L0022112 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00001000* +L0022176 000000 000000 000000 000000 100000 000000 000000 000000* +L0022224 000000 000010 000000 000000 000000 000000 000000 000000* +L0022272 000000 000000 000000 000000 001100 000000 100000 000000* +L0022320 000000 000000 000000 000000 001100 000000 100000 000000* +L0022368 000000 000000 000000 000000 001100 000000 000000 000000* +L0022416 000000 000000 000000 000000 001100 000000 000000 000000* +L0022464 00000011 00000001 10000001 00000001 00000011 00000011 00000011 00001010* +L0022528 00000011 00000001 10000011 00000011 00000011 00000011 00000011 00000010* +L0022592 00000011 00000001 10000011 00000001 00000001 00000011 00000011 10000011* +L0022656 00000011 00000011 10000011 00000001 00000001 00110011 00000011 00000000* +L0022720 00000011 00000011 10000010 00000011 00000011 00000011 00000011 00000011* +L0022784 00000011 00000011 10000011 00000010 00000011 10000011 00000011 00000011* +L0022848 00001011 00000011 10000011 00000011 00000001 01000011 00000011 00000001* +L0022912 00000011 00000011 00000011 00000010 00000010 01000011 00000011 00001011* +L0022976 00000011 00000011 10000011 00000011 00000011 00000011 00000011 00000010* +L0023040 000000 000000 100000 000000 000000 000000 000000 000000* +L0023088 000000 000000 000000 000000 000000 000000 000000 000001* +L0023136 000000 000000 100001 000000 000000 000000 000000 000000* +L0023184 000000 000000 100001 000000 000000 000000 000000 001000* +L0023232 000000 000000 100001 000000 000000 010000 000000 000000* +L0023280 000000 000000 100001 000000 000000 000000 000000 000000* +L0023328 00000000 00000000 00000000 00000000 00000000 00000000 00000000 01010000* +L0023392 00000000 00000000 00000000 00000000 00000000 00000000 00000000 11011100* +L0023456 00000000 00000000 00000000 00000000 00000000 00000000 10000000 01011100* +L0023520 00000000 00000000 00000000 00000000 00000000 00000000 10000000 11011100* +L0023584 00000000 00000000 00000000 00000000 00000000 00000000 10000000 11011100* +L0023648 00000000 00000000 00000000 00000100 00000000 00000000 00000000 11100100* +L0023712 00000000 00000000 00000000 00000000 00010000 00000000 00000000 11101100* +L0023776 00001000 00000000 00000000 00000000 00000000 10000000 00000000 11100100* +L0023840 00000000 00000000 00000000 00000000 00000000 00000000 00000100 11101100* +L0023904 000000 000000 000000 000000 000000 000000 000001 111011* +L0023952 000000 000000 000000 000100 000000 000000 000000 000000* +L0024000 000000 000000 000000 000000 000000 010000 000000 000001* +L0024048 000000 000000 000000 000000 000000 010000 000001 100111* +L0024096 000000 000000 000000 000000 000010 000000 000001 001111* +L0024144 000000 000000 000000 000000 000010 000000 000001 001111* +L0024192 00000000 00000000 00000000 00000000 00000000 10000000 00000000 00000000* +L0024256 00000000 00000000 00000000 00000000 00000000 10000000 00000000 01000100* +L0024320 00000000 00000000 00000000 00000000 00000000 00000000 00000000 01001100* +L0024384 00000000 00000000 00000000 00000000 00000000 00000000 00000000 01001100* +L0024448 00000000 00000000 00000000 00000000 00000000 00010000 00000000 01001100* +L0024512 00000000 00000000 00000000 00000000 00000000 00100000 00000000 11000100* +L0024576 00000100 00000000 00001000 00000000 00000000 00000000 00000000 11000100* +L0024640 00000000 00000000 00000000 00000000 00000000 00000000 00000000 11000100* +L0024704 00000000 00000000 00000000 00000000 00000000 00000000 00000000 11000100* +L0024768 000000 000000 000000 000000 000000 001000 000000 110001* +L0024816 001100 000000 000000 000000 000000 000000 000000 000000* +L0024864 000010 000000 000000 000000 000000 100000 000001 000000* +L0024912 000000 000000 000000 000000 000000 100000 000000 000111* +L0024960 000000 000000 000000 000000 000000 000000 000000 010111* +L0025008 000000 000000 000000 000000 000000 000000 000000 000111* +L0025056 00001100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0025120 00000100 00000000 00000000 00000000 00000000 01000000 11111100 00000000* +L0025184 00000100 00000000 00000000 00000000 00000000 00001000 01111100 00000000* +L0025248 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0025312 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0025376 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0025440 00000000 00000000 00000000 00000000 00001000 00100000 00001100 00000000* +L0025504 00000100 00000000 00000000 00000000 00000000 00000000 10001100 00000000* +L0025568 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0025632 000000 000000 000000 000000 000000 000000 000000 000000* +L0025680 000010 000000 000000 000100 000000 000100 000010 000000* +L0025728 000000 000000 000000 000000 000000 000000 100000 000000* +L0025776 000000 000000 000000 000000 000000 000000 100000 000000* +L0025824 000000 000000 000000 000000 000000 000001 000000 001000* +L0025872 000000 000000 000000 000001 000000 000000 000000 001000* +L0025920 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00000000* +L0025984 00000000 00000000 00000100 00000111 00000011 00000000 00000011 00000010* +L0026048 00000000 00000000 00000100 00000000 00000001 00000000 00000001 00000001* +L0026112 00000000 00000000 00000100 00000000 00000000 00000100 00000000 00000000* +L0026176 00000000 00000000 00000100 00000010 00000011 00000001 00000010 00000010* +L0026240 00000000 00000000 00000000 00000010 00000011 00000001 00000010 00000011* +L0026304 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* +L0026368 00000000 00000000 00010101 00000000 00000000 00000001 00000010 00000010* +L0026432 00000000 00000000 00010110 00000000 00000010 00000000 00000010 00000000* +L0026496 000000 000000 000101 000000 000000 000000 000000 000000* +L0026544 000000 000001 000100 000000 000000 000010 000000 000000* +L0026592 000000 000000 000110 000000 000010 000000 000001 000010* +L0026640 000000 000000 000110 000000 000000 000000 000000 000000* +L0026688 000000 000000 000110 000000 000000 000000 000000 000000* +L0026736 000000 000000 000110 000000 000000 000000 000000 000000* +L0026784 00001000 00000000 00000100 00000000 00000000 00000000 00000100 00000100* +L0026848 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0026912 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00000000* L0026976 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0027040 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0027104 10000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0027168 00000000 00000000 00000000 00000000 00000000 00000000 00001000 00000000* -L0027232 00000000 00000000 00000000 00000000 00000000 00000000 00001000 00000000* -L0027296 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0027040 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0027104 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0027168 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0027232 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0027296 00000000 00000000 00001000 00000000 00000000 00000000 00000000 00000000* L0027360 000000 000000 000000 000000 000000 000000 000000 000000* -L0027408 000000 000001 000000 000000 000000 000000 000000 000000* -L0027456 000000 000000 000000 000000 000000 000000 000010 000000* -L0027504 000000 000000 000000 000000 000000 000000 000010 000000* -L0027552 000000 000000 000000 000000 000000 000000 000000 000000* +L0027408 001100 000000 000000 000100 000000 000000 000000 000000* +L0027456 000000 000000 000000 000000 000000 000000 000000 000000* +L0027504 000000 000000 000000 000000 000000 000000 000000 000000* +L0027552 000000 000000 000000 000001 000000 000000 000000 000000* L0027600 000000 000000 000000 000000 000000 000000 000000 000000* -L0027648 00000001 00000000 00000000 00000000 00000100 00000000 00000000 00000000* +L0027648 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0027712 00000000 00000000 00000000 00000000 00000011 00000000 00000011 00000010* -L0027776 00000000 00000000 00000000 00000000 00000001 00100000 00000001 00000000* +L0027776 00000000 00000000 00000000 00000000 00000001 00000000 00000001 00000000* L0027840 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0027904 00000000 00000000 00000000 00000011 00000001 00000000 00000010 00000010* -L0027968 00000000 00000000 00000000 00000010 00000011 01000001 00000010 00000010* -L0028032 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0027904 00000000 00000000 00000000 00000011 00000001 00001000 00000010 00000010* +L0027968 00000100 00000000 00000000 00000010 00000001 00000001 00000010 00000010* +L0028032 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0028096 00000000 00000000 00000001 00000010 00000000 00000000 00000010 00000010* -L0028160 00000000 00000000 00000000 00000000 00000010 00000000 00000010 01000000* -L0028224 000000 000000 000000 000000 000000 000000 000000 000001* -L0028272 000000 000001 000000 000000 000000 010000 000000 000000* -L0028320 000000 000001 000000 000000 000000 000000 000000 000000* -L0028368 000000 000000 000000 000000 000000 000000 000000 000000* -L0028416 000000 000000 000000 000000 000000 000000 000000 000100* +L0028160 00000000 00000000 00000000 00000000 00000010 00000100 00000011 00000000* +L0028224 000000 000000 000010 000000 000000 000000 000000 000000* +L0028272 001100 000000 000000 000000 000000 000000 000000 000000* +L0028320 000000 000000 000000 000000 000000 000000 000000 000000* +L0028368 000000 000000 000000 000000 000000 000000 000000 001000* +L0028416 000000 000000 000000 000000 000000 000000 000000 000000* L0028464 000000 000000 000000 000000 000000 000000 000000 000000* -L0028512 00000010 00000001 00000001 00001001 00000011 00000000 00100011 00000010* -L0028576 00000011 00000000 00000010 00001011 00000000 00000000 00000000 00010100* -L0028640 00000011 00000010 00000011 00001011 00000010 00000000 00000010 00010111* -L0028704 00000001 00000010 00000010 00001110 00000011 00000000 00000011 00000000* -L0028768 00000011 00000010 00000011 10001100 00000010 00000001 00000001 00000001* -L0028832 00000011 00000010 00000011 10000000 00000000 00000000 00000001 00000101* -L0028896 00000010 00000010 00000010 10000011 00000010 01000000 00000011 00000101* -L0028960 00000110 00000010 00000000 10001000 00000011 00000000 00000001 00000001* -L0029024 00000011 00000010 00000011 10001011 00000000 00000000 00000001 00000010* -L0029088 000000 000000 000000 100010 000000 000000 000001 000000* -L0029136 000000 000000 000000 000110 000000 000000 100000 000000* -L0029184 000000 000000 000000 000010 000000 010000 000000 000000* -L0029232 000000 000000 000000 000110 000000 000000 000000 000000* -L0029280 000000 000000 000000 000110 000000 000000 000000 000000* -L0029328 000000 000000 000000 000110 000000 000000 000000 000000* -L0029376 00000000 00000000 00000000 10000100 00000000 00000010 00000000 00000000* -L0029440 00000000 00000000 00100000 10000000 00000000 00000001 00000000 00000000* -L0029504 00000000 00000001 00000000 10000000 10000000 00000011 00000000 00000000* -L0029568 00000000 00000001 00000000 10000000 00000000 00000011 00000000 00000000* -L0029632 00000000 00000001 00000000 00000000 00000000 00001000 00000000 00000000* -L0029696 00000000 00000001 00000000 00000100 00000000 00000000 00000000 00000000* -L0029760 00000000 00000001 00000000 00001100 00000000 00000001 00000000 00000000* -L0029824 00000000 00000001 00000000 00000100 00000000 00000011 00000000 00000000* -L0029888 00000000 00000001 00000000 00000100 00000000 00000111 00000000 00000000* -L0029952 000000 000000 000000 000001 000000 000000 000000 000000* -L0030000 000010 000000 000000 000000 000000 000000 100001 000000* -L0030048 000000 000000 000000 000001 000000 000000 000000 000000* -L0030096 000000 000000 000000 000001 000000 000000 000000 000000* -L0030144 000000 000000 000000 000001 000000 000000 000000 000000* -L0030192 000000 000000 000000 000001 000000 000000 000000 000000* -L0030240 00000000 00010000 00000000 00000000 00000000 00000001 00100000 00000000* -L0030304 00000000 00000001 00000000 01110000 00000000 00000001 00000000 00000000* -L0030368 00000000 00000000 00000000 01110100 00000000 00000101 00000000 00000000* -L0030432 00000010 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0030496 00000010 00000000 00000000 00000000 00000010 00000010 00000000 00000000* -L0030560 00000000 00000000 00000000 00000000 00000000 00000010 00000100 00000000* -L0030624 00000001 00000000 00000000 00000000 00000000 00000010 00000100 00000000* -L0030688 00000001 00000010 00000000 00000000 00000000 00000001 00000100 00000000* -L0030752 00000000 00000000 00000000 00000000 00000000 00000010 00000000 00000000* -L0030816 000000 000000 000000 000000 000000 000000 000000 000000* -L0030864 000000 000000 000000 000001 000000 000000 000000 000000* -L0030912 000000 000000 000000 101000 000000 000000 000000 000000* -L0030960 000000 000000 000000 101000 000000 000000 000001 000000* -L0031008 000000 000000 000000 000000 000000 000000 000000 000000* -L0031056 000000 000000 000000 000000 000000 000000 000000 000000* -L0031104 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* -L0031168 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* -L0031232 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* -L0031296 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* -L0031360 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* -L0031424 00000000 00000000 01000000 00000000 00000000 00000000 00000000 00000000* -L0031488 00000000 00000000 11000000 00000000 00000000 00000000 00000000 00000000* -L0031552 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* -L0031616 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* -L0031680 000000 000000 100000 000000 000000 000000 000000 000000* -L0031728 000000 000000 000001 000000 000000 000000 000000 000000* -L0031776 000000 000000 000001 000000 000000 000000 000000 000000* -L0031824 000000 000000 000001 000000 000000 000000 000000 000000* -L0031872 000000 000000 000101 000000 000000 000000 000000 000000* +L0028512 00000111 00000000 00000001 10000001 00000011 00000000 00000011 00000010* +L0028576 00000111 00000000 00000011 00000011 00000000 00000000 00000000 00000100* +L0028640 00000111 00000000 00000011 00000001 00000000 00000100 10000010 00000111* +L0028704 00000011 00000010 00000011 00000001 00000001 00000000 10000011 00000100* +L0028768 00000010 00000010 00000010 00000000 00000010 00000001 10000001 00000101* +L0028832 00000011 00001010 00000011 00000000 00000010 00000000 00000001 00000101* +L0028896 00000011 00000011 00000011 00000011 00000001 00000000 00000011 00000101* +L0028960 00000111 00000000 00000010 00000000 00001010 00000000 00000001 00000101* +L0029024 00000011 00000000 00000011 00000011 00000001 00000000 00000100 00000110* +L0029088 000000 000000 000000 000000 000000 000000 000001 000000* +L0029136 000000 000000 000000 000100 000000 000000 000000 000000* +L0029184 000000 000000 000000 000000 000000 000000 000000 000000* +L0029232 000000 000000 000000 000000 000000 000000 000001 000000* +L0029280 000000 000000 000000 000000 000010 000000 000001 000000* +L0029328 000000 000000 000000 000000 000000 000000 000001 000000* +L0029376 00000000 00000001 00000000 00000000 00000000 00000010 00000000 00000000* +L0029440 00000000 00000001 00000000 00000100 00000000 00000001 00000000 00000000* +L0029504 00000000 00000001 00000000 00000000 00000000 00000011 10000000 00000000* +L0029568 00000000 00000001 00000000 00000000 00000000 00000011 10000000 00000000* +L0029632 00000000 00000000 00000000 00000000 00000000 00000000 10000000 00000000* +L0029696 00000000 00000001 00000000 00000000 00000000 00000000 00000000 00010000* +L0029760 00000000 00000000 00000000 00000000 00000000 00000001 00000000 00000000* +L0029824 00000000 00000001 10000000 00000000 00000000 00000011 00000000 00000000* +L0029888 00000000 00000010 00000000 00000000 00000000 00000011 00000100 00000000* +L0029952 000000 000000 000000 000000 000000 000000 000001 000000* +L0030000 000000 000000 000000 000001 000000 000000 000000 000000* +L0030048 000000 000000 000000 000000 000000 000000 000000 000000* +L0030096 000000 000000 000000 000000 000000 000000 000001 000000* +L0030144 000000 000000 000000 000000 000000 000000 000001 000000* +L0030192 000000 000000 000000 000000 000000 000000 000001 000000* +L0030240 00000000 00000000 00000000 10000001 00000000 00000001 00000000 00000000* +L0030304 00000000 00000000 00000000 10000000 01000000 00000001 00000000 00000000* +L0030368 00000000 00000000 00000000 10000100 00000000 00000001 00000000 00000000* +L0030432 00000000 00000010 00000000 10000100 00000000 00000000 00000000 00000000* +L0030496 00000001 00000001 00000000 10000100 00000010 00000010 00000000 00000000* +L0030560 00000000 00000100 00000000 10000100 00000000 00000010 00000000 00000000* +L0030624 00000000 00000000 00000000 10001100 00000000 00000010 00000000 00000000* +L0030688 00000000 00000010 00000000 10001100 00000000 10000001 00000000 00000000* +L0030752 00000000 00000001 00000000 10001100 01000000 00000110 00000000 00000000* +L0030816 000000 000000 000000 100001 000000 000000 000000 000000* +L0030864 000001 000000 000000 000010 000001 000000 000000 000000* +L0030912 000000 000000 000000 000011 000000 000000 000000 000000* +L0030960 000000 000000 000000 000011 000000 000000 000000 000000* +L0031008 000000 000000 000000 000011 000000 000000 000000 000000* +L0031056 000000 000000 000000 000011 000000 000000 000000 000000* +L0031104 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0031168 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0031232 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0031296 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0031360 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0031424 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0031488 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0031552 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0031616 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0031680 000000 000000 000000 000000 000000 000000 000000 000000* +L0031728 000000 000000 000000 000000 000000 000000 000000 000000* +L0031776 000000 000000 000000 000000 000000 000000 000000 000000* +L0031824 000000 000000 000000 000000 000000 000000 000000 000000* +L0031872 000000 000000 000000 000000 000000 000000 000000 000000* L0031920 000000 000000 000000 000000 000000 000000 000000 000000* -L0031968 00000000 01000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0031968 00000001 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0032032 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0032096 00000001 00000000 00000000 00000000 00000000 00000000 00000010 00000000* +L0032096 00000001 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0032160 00000001 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0032224 00000001 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0032288 00000001 00000000 00000000 00000000 00100000 00000000 00000000 00000000* -L0032352 00000000 00000000 00000000 00000000 00000000 00000000 00000010 00000000* -L0032416 00000000 00000000 00000000 00000000 00000000 00000000 00000001 00000000* -L0032480 00000001 00000000 00000000 00000000 00000000 00000000 00000001 00000000* +L0032224 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0032288 00000001 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0032352 00000011 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0032416 00000011 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0032480 00000010 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0032544 000000 000000 000000 000000 000000 000000 000000 000000* L0032592 000000 000000 000000 000000 000000 000000 000000 000000* L0032640 000000 000000 000000 000000 000000 000000 000000 000000* L0032688 000000 000000 000000 000000 000000 000000 000000 000000* L0032736 000000 000000 000000 000000 000000 000000 000000 000000* L0032784 000000 000000 000000 000000 000000 000000 000000 000000* -L0032832 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0032896 00000000 00000000 00000000 00000000 00001000 00000000 00000000 00000000* -L0032960 00000000 00000000 00000000 00000000 00001000 00000000 00000000 01000000* -L0033024 00000000 00000000 00000000 00000000 00001000 00000000 00000000 00001000* -L0033088 00000000 00000000 00000000 00000000 00001000 00000000 00000000 00000000* +L0032832 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* +L0032896 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0032960 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0033024 00000000 00000000 00101000 00000000 00000000 00000000 00000000 00000000* +L0033088 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* L0033152 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0033216 00000000 00000000 00000000 00001000 00000000 00000000 00000000 00000000* +L0033216 00010000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0033280 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0033344 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0033408 000000 000000 000000 000000 000000 000000 000000 110000* -L0033456 100000 000000 000000 000000 000100 000000 000000 000000* -L0033504 000000 000000 000000 000000 000100 000000 000000 000000* -L0033552 000000 000000 000000 000000 000100 000000 000000 000000* -L0033600 000000 000000 000000 000000 000100 000000 000000 000001* -L0033648 000000 000000 000000 000000 000000 000000 000000 000100* -L0033696 00000000 00000000 00000000 00000001 00010000 00000000 00000001 00000010* -L0033760 00000000 00000000 00000010 01110000 00000000 00000010 00000000 00010100* -L0033824 00000000 00000000 00100000 01110010 00010000 00000000 00000000 00010100* -L0033888 00000000 00000000 00100000 00000010 00000011 00000000 00000011 00010100* -L0033952 00000001 00000000 00100000 00000000 00000000 00000010 00000001 00010101* -L0034016 00000000 00000000 00000010 00000000 00000100 00000010 00000001 00000101* -L0034080 00010000 00000000 00000000 00000010 00000110 00000000 00000001 00000101* -L0034144 00000001 00000000 00000000 00000000 00000000 00000010 00000001 00100101* -L0034208 00000000 00000000 00000000 00000010 00000000 00000000 00000000 00100100* -L0034272 000000 000000 001000 000000 000000 000000 000000 000000* -L0034320 000000 000000 000000 000000 000000 000000 000000 000000* -L0034368 000000 000000 000000 101000 000000 000000 000000 000000* -L0034416 000000 000000 000000 101000 001000 000000 000000 000000* -L0034464 000000 000000 000000 000000 000000 000000 000000 100000* -L0034512 000000 000000 000000 000001 001000 000000 000000 000000* -L0034560 10010000 00000000 00000000 00000000 00000000 00000000 00000000 01000000* -L0034624 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00001000* +L0033408 000000 000000 000000 000000 000000 000000 000000 000000* +L0033456 000000 000000 000000 000000 100000 000000 000000 000010* +L0033504 000000 000000 000000 000000 100000 000000 000000 000010* +L0033552 000000 000000 000000 000000 000000 000000 000000 000000* +L0033600 000000 000000 000000 000000 000000 000000 000000 000000* +L0033648 000000 000000 000000 000000 000000 000000 000000 000000* +L0033696 00000001 00000000 00000001 00000000 00000000 00000000 00000011 00000010* +L0033760 00000001 00000000 00000000 00000000 00000000 00000010 00000000 00000000* +L0033824 00000000 00000000 00000010 00000000 00000000 00000000 10000010 00000000* +L0033888 00000000 00000000 00000000 00000100 00000000 00000000 10000011 00000000* +L0033952 00000001 00000000 00000100 10000100 00000000 00000010 10000001 00000001* +L0034016 00010000 00000000 00000000 10010000 00010000 00000010 00000001 00000001* +L0034080 00000001 00000000 00000101 10011000 00000000 00000000 00000011 00000001* +L0034144 00000000 00000000 00110110 10001000 00000010 00000010 00000001 00000001* +L0034208 00000000 00000000 00110100 10001000 00000000 00000000 00000100 00000000* +L0034272 000000 000000 101101 100000 000000 000000 000001 000000* +L0034320 000000 000000 000100 000010 000000 000000 000000 000000* +L0034368 000000 000000 000100 000010 000000 000000 000000 000000* +L0034416 000000 000000 000110 010010 000000 000000 000001 000000* +L0034464 000000 000000 000100 000010 000010 000000 000001 000000* +L0034512 000000 000000 001100 000010 000010 000000 000001 000000* +L0034560 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0034624 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0034688 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0034752 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0034816 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0034880 00000000 00000000 00000000 00000000 00001000 00000000 00000000 00000000* -L0034944 00000000 00000000 00000000 00010000 00010000 00000000 00000000 00000000* -L0035008 00000000 00000000 00000000 00000000 00010000 00000000 00000000 00000000* +L0034880 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0034944 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0035008 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0035072 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0035136 000000 000000 000000 000000 000000 000000 000000 000000* -L0035184 000000 000000 000000 000000 001010 000000 000000 000100* -L0035232 000000 000000 000000 000000 000000 000000 000000 000001* -L0035280 000000 000000 000000 000000 000000 000000 000000 000000* +L0035184 000000 000000 000000 000000 000000 000000 000000 000000* +L0035232 000000 000000 000000 000000 000000 000000 000000 000000* +L0035280 000000 000000 100000 000000 000000 000000 000000 000000* L0035328 000000 000000 000000 000000 000000 000000 000000 000000* L0035376 000000 000000 000000 000000 000000 000000 000000 000000* -L0035424 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00010000* -L0035488 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* -L0035552 00000000 00000000 00100000 00001000 00000000 00000000 00000000 00010100* -L0035616 00000000 00000000 00100000 00010000 00000000 00000000 00000000 00000100* -L0035680 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00010100* -L0035744 00000000 00000000 00000000 10000000 00010000 00000000 00000000 00000000* -L0035808 00000000 00000000 00000000 10000000 00001000 00000000 00000000 00100000* -L0035872 00000000 00000000 00000000 00001000 00000000 00000000 00000000 00000000* -L0035936 00000000 00000000 00000000 10001000 00010000 00000000 00000000 00100000* -L0036000 000000 000000 000000 100010 000000 001000 000000 000000* -L0036048 000000 000000 000000 000000 000000 001000 000000 000000* -L0036096 000000 000000 000000 000000 001010 000000 000000 000000* -L0036144 000000 000000 000000 000110 000000 001000 000000 000000* -L0036192 000000 000000 000000 000100 000000 001000 000000 000000* -L0036240 000000 000000 000000 000100 000000 001000 000000 001000* -L0036288 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0035424 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0035488 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0035552 00000000 00000000 00000000 00000000 00000000 00000000 10000000 00000000* +L0035616 00000000 00000000 00000000 00000000 00000000 00000000 10000000 00000000* +L0035680 00000000 00000000 00000000 00000000 00000000 00000000 10000000 00000000* +L0035744 00000000 00000000 00000000 00000000 00001000 00000000 00000000 00000000* +L0035808 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* +L0035872 00000000 00000000 00110100 00000000 00000000 00000000 00000000 00000000* +L0035936 00000000 00000000 00110100 00000000 00000000 00000000 00000100 00000000* +L0036000 000000 000000 001101 000000 000000 001000 000001 000000* +L0036048 000000 000000 000100 000000 000000 001000 000000 000000* +L0036096 000000 000000 000100 000000 000000 000000 000000 000000* +L0036144 000000 000000 000100 000000 000000 001000 000001 000000* +L0036192 000000 000000 000100 000000 000010 001000 000001 000000* +L0036240 000000 000000 000100 000000 000010 001000 000001 000000* +L0036288 00000000 00000000 00000000 00000000 00000010 00000000 00000000 00000000* L0036352 00000000 00000000 00000000 00000010 00000000 00000000 00000000 00000000* L0036416 00000000 00000000 00000000 00000000 00000000 00000010 00000000 00000000* -L0036480 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0036544 00000000 00000000 00000000 00000000 00000000 00000001 00000000 00000000* -L0036608 00000000 00000000 00000001 00000000 00000000 00000000 00000000 11000000* +L0036480 00000000 00000000 00000000 00000000 00000000 00001000 00000000 00000000* +L0036544 00000000 00000000 00000000 00000000 00000000 00000101 00000000 00000000* +L0036608 00000000 00000000 00000000 00000000 00000000 00000000 00000000 11000000* L0036672 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0036736 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0036800 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0036800 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* L0036864 000000 000000 000000 000000 000000 000000 000000 000000* L0036912 000000 000000 000000 000000 000000 000000 000000 000000* L0036960 000000 000000 000000 000000 000000 000000 000000 000000* L0037008 000000 000000 000000 000000 000000 000000 000000 000100* -L0037056 000000 000000 000000 000000 000000 000000 000000 000000* +L0037056 000000 000000 000000 000000 000000 000100 000000 000000* L0037104 000000 000000 000000 000000 000000 000000 000000 000000* -L0037152 00000000 00000000 00000000 00001000 00010000 00000000 00000000 00000000* -L0037216 00000000 00000000 00000000 00001000 00010000 00000000 00000000 00010100* -L0037280 00000000 00000000 00100000 00001000 00010000 00000000 00000000 00010100* -L0037344 00000000 00000000 00100000 00011100 00010000 00000000 00000000 00010100* -L0037408 00000000 00000000 00100000 10001100 00010000 00000000 00000000 00010100* -L0037472 00000000 00000000 00000000 10010000 00000000 00000000 00000000 00000100* -L0037536 00000000 00000000 00000000 10000000 00010000 00000000 00000000 00000100* -L0037600 00000000 00000000 00000000 10001000 00001000 00000000 00000000 00000100* -L0037664 00000000 00000000 00000000 10001000 00001000 00000000 00000000 00000100* -L0037728 000000 000000 000000 100010 000010 000000 000000 000000* -L0037776 000000 000000 000000 000110 000000 000000 000000 000000* -L0037824 000000 000000 000000 000110 000001 000000 000000 000000* -L0037872 000000 000000 000000 000110 001000 000000 000000 000000* -L0037920 000000 000000 000000 000110 001000 000000 000000 000000* -L0037968 000000 000000 000000 000110 001100 000000 000000 100000* +L0037152 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0037216 00000000 00000000 10000000 00000000 00000000 10000000 00000000 00010100* +L0037280 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00010100* +L0037344 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00010100* +L0037408 00000000 00000000 00000000 10000100 00000000 00000000 00000000 00010100* +L0037472 00000000 00000000 00000000 10010000 00000000 00100000 00000000 00000100* +L0037536 00000000 00000000 00000100 10011000 00000000 00000100 00000000 00000100* +L0037600 00000000 00000000 00110100 10001000 00000000 00000000 00000000 00000100* +L0037664 00000000 00000000 00110100 10001000 00000000 00000000 00000000 00000100* +L0037728 000000 000000 001101 100000 000000 000000 000000 000000* +L0037776 000000 000000 000100 000010 000000 000000 000000 000000* +L0037824 000000 000000 000100 000010 000000 000000 000000 000000* +L0037872 000000 000000 000100 000010 000000 100000 000000 000000* +L0037920 000000 000000 000100 000010 000000 000000 000000 000000* +L0037968 000000 000000 000100 000010 000000 000000 000000 100000* L0038016 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0038080 00000000 00000000 00000000 00000011 00000011 00000011 00000011 00000010* L0038144 00000000 00000000 00000000 00000000 00000001 00000001 00000001 00000001* -L0038208 00000000 00000000 00000000 00001000 00000000 00000000 00000000 00000000* -L0038272 00000000 00000000 00000000 00001110 00000011 00000001 00000010 00000010* +L0038208 00000000 00000000 00000000 00000000 00000000 00000000 10000000 00000000* +L0038272 00000000 00000000 00000000 00000110 00000011 00000001 10000010 00000010* L0038336 00000000 00000000 00000000 00000010 00000011 00000001 00000010 00000011* L0038400 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0038464 00000000 00000000 00000001 10000010 00000000 00000001 00000010 00000010* +L0038464 00000000 00000000 00000001 10001010 00000000 00000001 00000010 00000010* L0038528 00000000 00000000 00000010 10001000 00000010 00000010 00000010 00000000* -L0038592 000000 000000 000000 100010 000000 000000 000000 000000* +L0038592 000000 000000 000000 100000 000000 000000 000001 000000* L0038640 000000 000000 000000 000000 000000 000000 000000 000000* -L0038688 000000 000000 000000 000000 000000 000000 000000 000000* +L0038688 010000 000000 000000 000000 000000 000000 000000 000000* L0038736 000000 000000 000000 000000 000000 000000 000000 000000* -L0038784 000000 000000 000000 000110 000000 000000 000000 000000* -L0038832 000000 000000 000000 000110 000000 000000 000000 000000* -L0038880 00000011 00000001 00000011 00001011 00000011 00000011 00000011 00000011* -L0038944 00000011 00000001 00000011 00001011 00000011 00000011 00000011 00000011* -L0039008 00000011 00000011 00000011 00001011 00000011 00000011 00000010 00000011* -L0039072 00000011 00000011 00000011 00000111 00000011 00000011 00000011 00000011* +L0038784 000000 000000 000000 000010 000000 000000 000000 000000* +L0038832 000000 000000 000000 000010 000000 000000 000001 000000* +L0038880 00000011 00000001 00000011 00000011 00000011 00000011 00000011 00000011* +L0038944 00000011 00000001 00000011 00000011 00000011 00000011 00000011 00000011* +L0039008 00000011 00000001 00000011 00000011 00000011 00000011 10000010 00000011* +L0039072 00000011 00000011 00000011 00000101 00000011 00000011 00000011 00000011* L0039136 00000011 00000011 00000011 10000011 00000011 00000011 00000011 00000011* -L0039200 00000011 00100011 00000011 10000011 00000011 00000011 00000011 00000011* -L0039264 00000011 00000011 00000011 10000011 00000011 00000011 00000011 00000011* -L0039328 00000011 00000011 00000011 00001001 00000011 00000011 00000011 00000011* -L0039392 00000011 00000011 00000011 00000011 00000011 00000011 00000011 00000011* +L0039200 00000011 00000011 00000011 10000011 00000011 00000011 00000011 00000011* +L0039264 00000011 00000011 00000011 10001011 00000011 00000011 00000011 00000011* +L0039328 00000011 00000011 00000011 00000001 00010011 00000011 00000011 00000011* +L0039392 00000011 00000011 00000011 00000011 00000011 00000011 00000111 00000011* L0039456 000000 000000 000000 000000 000000 000000 000000 000000* -L0039504 000000 100000 000000 000010 100000 000000 000000 000000* +L0039504 100000 000000 000000 000010 000000 000000 000000 000000* L0039552 000000 000000 000000 000010 000000 000000 000000 000000* -L0039600 000000 000000 000000 000110 000000 000000 000000 000000* -L0039648 000000 000000 000000 000000 000000 000000 000000 000000* +L0039600 000000 000000 000000 000010 000000 000000 000001 000000* +L0039648 000000 000000 000000 000000 000000 000000 000001 000000* L0039696 000000 000000 000000 000000 000000 000000 000000 000000* -L0039744 00000000 00000000 01001011 00001011 00010011 00000011 00000001 00000011* -L0039808 00000001 00000000 01001011 00001011 01010011 00000011 00000011 01000110* -L0039872 00000000 00000000 00000011 00001011 01010011 00000011 00000000 01001111* -L0039936 00000000 00000000 00000011 00001111 00010011 00000011 00000011 01001111* -L0040000 00000000 00000000 00010011 10001111 00010101 00000011 00000011 01001111* -L0040064 00000000 00000000 00000011 10000011 00000001 00000010 00000011 11000111* -L0040128 00000000 00000000 00000011 10000011 00000011 00000011 00000001 11000101* -L0040192 00000001 00000000 00000011 10001011 00101011 00000011 00000011 11000111* -L0040256 00000001 00000001 00010111 10001011 00101011 00000011 00000011 11000111* -L0040320 000000 000000 000001 100010 000010 000000 000000 110001* -L0040368 000000 000000 000000 000110 000000 000000 000000 000000* -L0040416 000000 000000 000000 000110 100000 000000 000000 000000* -L0040464 000000 000000 000000 000110 101000 000000 000000 000111* -L0040512 000000 000000 000000 000110 101010 000000 000000 010111* -L0040560 000000 000000 000000 000110 101100 000000 000000 000111* -L0040608 00000000 00000000 00000011 00000011 10000011 00000001 00000001 00000011* -L0040672 00000000 00000000 00010011 00000011 00001011 00000011 00000000 00000010* -L0040736 00000000 00000000 10100001 00000011 00001011 00000011 00000000 00000011* -L0040800 00000000 00000000 00000001 00010011 00000011 00000011 00000001 00000011* -L0040864 00000000 00000000 00000001 00000001 00000001 00000010 00000001 00000011* -L0040928 00000000 00000000 00100111 00010011 00000001 00000010 00000001 00000011* -L0040992 00000001 00000000 10000111 00000011 00000011 00000011 00000001 00000001* -L0041056 00000001 00000000 10000011 00000001 00000011 00000011 00000001 00000011* -L0041120 00000001 00000000 00001011 00000011 00000011 00000011 00000001 00000011* +L0039744 00000010 00000000 01000011 00000010 00000011 00000011 00000011 00000011* +L0039808 00000001 00000000 01101011 00000011 00000011 00000011 00000011 00000010* +L0039872 00000000 00000000 00100011 00000010 00000111 00000011 10000010 00000011* +L0039936 00000000 00000000 00101011 00000100 00000011 00000011 10000011 00000011* +L0040000 00000001 00000000 00100011 10000111 00000011 00000011 10000011 00000011* +L0040064 00000011 00000000 00000011 10000011 00000011 00000010 00000011 00000011* +L0040128 00000001 00000000 00000111 10001001 00000011 00000011 00000011 00000001* +L0040192 00000001 00000001 00010111 10001011 10000011 00000011 00000011 00000011* +L0040256 00000001 00000000 00010111 10001001 00000011 00000011 00000111 00000011* +L0040320 000000 000000 000101 100000 000001 000000 000001 000000* +L0040368 000000 000000 000100 000010 100000 000000 000000 000000* +L0040416 000000 000000 000100 000010 110000 000000 000000 000000* +L0040464 000000 000000 000100 000010 000000 000000 000001 000000* +L0040512 000000 000000 000100 000010 010010 000000 000001 000000* +L0040560 000000 000000 000100 000010 010011 000000 000001 100000* +L0040608 00000010 00000000 00000011 00000010 00000111 00000001 00000011 00100011* +L0040672 10000000 00000000 00000111 00000010 10011111 00000011 00000010 00000010* +L0040736 10000000 00000000 00000111 00000000 00000001 00000011 00000010 00000011* +L0040800 00000000 00000000 00000011 00000000 00000011 00000011 00000011 00000011* +L0040864 00000001 00000000 00000001 00000001 00000011 00000010 00000001 00000011* +L0040928 00000001 00000000 10000001 00010011 10000111 00000010 00000001 00000011* +L0040992 00000001 00000000 00000011 00010001 10000011 00000011 00000011 00000001* +L0041056 00000001 00000000 00100011 00000001 00000011 00000011 00000001 00000011* +L0041120 00000001 00000001 00000011 00000001 00000011 00000011 00000001 00000011* L0041184 000000 000000 000000 000000 000000 000000 000000 000000* -L0041232 000000 000000 000000 010000 000000 000000 000000 000000* -L0041280 000000 000000 001010 000000 000000 000000 000000 000000* -L0041328 000000 000000 001000 000000 000000 000000 000000 000000* -L0041376 000000 000000 000010 000000 000000 000000 000000 001000* -L0041424 000000 000000 000100 000000 000000 000000 000000 001000* -L0041472 00000000 00000000 01001011 00000011 00000011 00000001 00000000 00000011* -L0041536 00000000 00000000 01101011 00000011 00000011 00000001 00000000 00000010* -L0041600 00000001 00000000 00000001 00000011 00000011 00000001 00000010 00000011* -L0041664 00000001 00000000 00000001 00000001 00000001 00000001 00000000 00000011* -L0041728 00000001 00000000 00010001 00000001 00000001 00000000 00000000 00000011* -L0041792 00000001 00000000 00000011 00000001 00000001 00000010 00000000 00000011* -L0041856 00000000 00000000 00000011 00000001 00000001 00000011 00000010 00000001* -L0041920 00000000 00000000 00000011 00000001 00000011 00000011 00000001 00000011* -L0041984 00000001 00000000 00010111 00000001 00000011 00000011 00000001 00000011* -L0042048 000000 000000 000001 000000 000000 000000 000000 000000* +L0041232 000000 000000 001000 010000 000000 000000 000000 010000* +L0041280 000001 000000 000000 000000 001100 000000 000000 000000* +L0041328 000000 000000 000000 000000 001100 000000 000000 000000* +L0041376 000000 000000 000000 000000 000000 000000 000000 100000* +L0041424 000000 000000 000000 000000 000000 000000 000000 000000* +L0041472 00000011 00000000 00000011 00000010 00000011 00000001 00000010 00000011* +L0041536 00000000 00000000 00000011 00000011 00000011 00000001 00000000 00000010* +L0041600 00000001 00000000 00000011 00000000 00000101 00000001 00000000 00000011* +L0041664 00000001 00000000 00000011 00000000 00000011 00000001 00000000 00000011* +L0041728 00000000 00000000 00000001 00000001 00000011 00000000 00000000 00000011* +L0041792 00000001 00000000 00000001 00000001 00000011 00000010 00000000 00000011* +L0041856 00000011 00000000 00000011 00000001 00000001 00000011 00000000 00000001* +L0041920 00000011 00000000 00000011 00000001 10000001 00000011 00000001 00000011* +L0041984 00000011 00000000 00000011 00000001 00000011 00000011 00000001 00000011* +L0042048 000000 000000 000000 000000 000001 000000 000000 000000* L0042096 000000 000000 000000 000000 000000 000000 000000 000000* -L0042144 000000 000000 000000 000000 000000 000000 000000 000000* +L0042144 000000 000000 000000 000000 010000 000000 000000 000000* L0042192 000000 000000 000000 000000 000000 000000 000000 000000* -L0042240 000000 000000 000000 000000 000000 000000 000000 000000* -L0042288 000000 000000 000000 000000 000000 000000 000000 000000* -L0042336 00000000 00001000 00000011 00001010 00000011 00000001 00000000 00000011* -L0042400 00000000 00000000 00000011 00001011 00000010 00000001 00000000 00000010* -L0042464 00000000 00000000 00110101 00001011 00000011 00000000 00000000 00000011* -L0042528 00000000 00000000 10000001 00001101 00000001 00000001 00000000 00000011* -L0042592 00000000 00000000 00001001 10001101 00000001 00000000 00000000 00000011* -L0042656 00000000 00000000 00000011 10000001 00000000 00000000 00010000 00000001* -L0042720 00000000 00000000 00000011 10000001 00000001 00000001 00000000 00000001* -L0042784 00000000 00000000 00000111 10001001 00000001 00000010 00000001 00000011* -L0042848 00000000 00000000 10100011 10001001 00000011 00000011 00000001 00000011* -L0042912 000000 000000 101010 100010 000000 000000 000000 000000* -L0042960 000000 000000 000000 000010 000000 000000 000000 000000* -L0043008 000000 000000 000000 000010 000000 000000 000000 000000* -L0043056 000000 000000 000010 000110 000000 000000 000000 000000* -L0043104 000000 000000 001000 000110 000001 000000 000000 000000* -L0043152 000000 000000 001010 000110 000000 000000 000000 000000* -L0043200 00000001 00000000 00000001 00000001 00000000 00000000 00000010 00001011* -L0043264 00000001 00000000 00000000 00000011 00000010 00000011 00000010 00000011* -L0043328 00000000 00000000 00000000 00000010 00000000 00000011 00000000 00000010* -L0043392 00000010 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0043456 00000001 00000000 00000011 00000001 00000010 00000011 00000010 00000011* +L0042240 000000 000000 000000 000000 010010 000000 000000 000000* +L0042288 000000 000000 000000 000000 010011 000000 000000 000000* +L0042336 00000010 00010000 00000010 00000010 00000011 00000001 00000010 00000011* +L0042400 00000000 00000000 00000011 00000010 00000010 00000001 00000000 00000010* +L0042464 00000000 00000000 00000011 00000000 10011001 00000000 10000000 00000011* +L0042528 10000000 00000000 00000000 00000000 00000111 00000001 10000000 00000011* +L0042592 10000000 00000000 00000001 00000000 00000110 00000000 10000000 00000011* +L0042656 00000000 00000000 00000001 00000001 00000011 00000000 00010000 00000001* +L0042720 00000001 00000000 00000011 00000001 00000101 00000001 00000000 00000001* +L0042784 00000001 00000000 00000011 00000001 00000001 00000010 00000001 00000011* +L0042848 00000001 00000000 00000011 00000001 10000011 00000011 00000101 00000011* +L0042912 000000 000000 000000 000000 100000 000000 000001 000000* +L0042960 000000 000000 000000 000000 100000 000000 000000 000000* +L0043008 000000 000000 000000 000000 000000 000000 000000 000000* +L0043056 000001 000000 000000 000000 000000 000000 000001 000000* +L0043104 000000 000000 000000 000000 001100 000000 000001 000000* +L0043152 000000 000000 000000 000000 001100 000000 000001 000000* +L0043200 00000000 00000000 00000000 00000010 00000000 00000000 00000010 00000001* +L0043264 00000010 00000000 00000010 00000010 00000000 00000011 00000010 00000011* +L0043328 00000000 00000000 00000000 00000000 00000000 00000011 00000000 00000011* +L0043392 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0043456 00000010 00000000 00000010 00000010 00000011 00000011 00000010 00000001* L0043520 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0043584 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0043648 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0043712 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00001000* +L0043712 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0043776 000000 000000 000000 000000 000000 000000 000000 000000* L0043824 000000 000000 000000 000000 000000 000000 000000 000000* -L0043872 000000 000000 100000 000000 000000 000000 000000 000000* +L0043872 000000 000000 000000 000000 000000 000000 000000 000000* L0043920 000000 000000 000000 000000 000000 000000 000000 000000* -L0043968 000000 000000 000100 000000 000000 000000 000000 000000* +L0043968 000000 000000 000000 000000 000000 000000 000000 000000* L0044016 000000 000000 000000 000000 000000 000000 000000 000000* -L0044064 00000000 00000000 00000001 00000001 00000011 00000010 10000010 00000011* -L0044128 00000001 00000000 10000001 00000001 00000001 00000000 00011000 00000001* -L0044192 00000001 00000000 00000001 00000001 00000001 00000010 00011010 00000011* +L0044064 00000000 00000000 00000011 00000011 00000001 00000000 00000011 00000001* +L0044128 00000001 00000000 00000001 00000001 00000001 00000010 00000001 00000001* +L0044192 00000001 00000000 00000001 00000011 00100001 00000010 00000011 00000001* L0044256 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0044320 00000001 00000000 00000000 00000010 00000010 00010010 00000000 00000000* -L0044384 00000000 00000000 00000000 00000000 00000000 00010000 00000000 00000000* -L0044448 00000000 00000000 00000000 00000000 00000000 00000000 00001000 00001000* -L0044512 00000000 00000000 00000000 00000000 00000000 00010000 00001000 00001000* -L0044576 00000000 00000000 00000000 00000000 00000000 00010000 00000000 00000000* -L0044640 000000 000000 000000 000000 000000 000100 000000 000000* -L0044688 000000 000000 000000 000000 000000 000000 000010 000000* +L0044320 00000001 00000000 00000010 00000010 00000010 00000010 00000000 00000000* +L0044384 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0044448 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0044512 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0044576 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0044640 000000 000000 000000 000000 010000 000000 000000 000000* +L0044688 000000 000000 000000 000000 000000 000000 000000 000000* L0044736 000000 000000 000000 000000 000000 000000 000000 000000* L0044784 000000 000000 000000 000000 000000 000000 000000 000000* L0044832 000000 000000 000000 000000 000000 000000 000000 000000* L0044880 000000 000000 000000 000000 000000 000000 000000 000000* -L0044928 00000011 00000000 00000000 00000010 00000000 00000000 00000000 00000000* -L0044992 00000011 00000000 00000010 00000000 00000000 00000001 00000010 00000010* -L0045056 00000010 00000000 00000010 00000010 00000010 00000001 00000010 00000000* -L0045120 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000001* -L0045184 00000011 00000000 00000000 00000010 00000000 00000011 00000000 00000010* +L0044928 00000000 00000000 00000010 00000010 00000000 00000000 00000001 00000010* +L0044992 00000000 00000000 00000000 00000011 00000010 00000011 00000001 00000010* +L0045056 00000000 00000000 00000000 00000001 00000010 00000011 00000010 00000010* +L0045120 00000001 00000000 00000000 00000000 00000000 00000000 00000000 00000001* +L0045184 00000010 00000000 00000010 00000011 00000000 00000011 00000001 00000010* L0045248 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0045312 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0045376 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0045440 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0045504 000000 000000 000000 000000 000000 000000 000000 000000* L0045552 000000 000000 000000 000000 000000 000000 000000 000000* -L0045600 000000 000000 000000 000000 000000 000000 000000 000000* +L0045600 000000 000000 000000 000000 000000 000000 000001 000000* L0045648 000000 000000 000000 000000 000000 000000 000000 000000* L0045696 000000 000000 000000 000000 000000 000000 000000 000000* L0045744 000000 000000 000000 000000 000000 000000 000000 000000* -L0045792 00000000 00000000 00000010 00000011 00000000 00000000 00000011 00000001* -L0045856 00000001 00000000 00000000 00001001 00000001 00000001 00000011 00000011* -L0045920 00000000 00000010 00000000 00000011 00000011 00000001 00000001 00000001* -L0045984 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00000000* -L0046048 00000001 00000010 00000010 00001011 00000010 00000011 00000011 00000011* +L0045792 00000000 00000010 00000010 00000011 00000000 00000000 00000001 00000000* +L0045856 00000001 00000000 00000001 00000011 00000001 00000010 11111101 00000001* +L0045920 00000000 00000000 00000000 00000001 00000000 00000010 01111101 00000010* +L0045984 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0046048 00000011 00000010 00000011 00000011 00000000 00000010 00000011 00000011* L0046112 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0046176 00000000 00000000 00000000 10000000 00000000 00000000 00000000 00000000* -L0046240 00000000 00000000 00000000 10001000 00000000 00000000 00000000 00000000* -L0046304 00000000 00000000 00000000 00000000 00000100 00000000 00000000 00000000* -L0046368 000000 000000 000000 100010 000000 000000 000000 000000* -L0046416 000000 000000 000000 000000 000000 000000 010000 000000* -L0046464 000000 000000 000000 000010 000000 000000 000000 000000* -L0046512 000000 000000 000000 000100 000000 000000 000000 000000* +L0046176 00000000 00000000 00000000 00000000 00000000 00000000 00001100 00000000* +L0046240 00000000 00000000 00000000 00000000 00000000 00000000 10001100 00000000* +L0046304 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0046368 000000 000000 000000 000000 000000 000000 000000 000000* +L0046416 000000 000000 000000 000000 000000 000000 000000 000000* +L0046464 000000 000000 000000 000000 000000 000000 100010 000000* +L0046512 000000 000000 000000 000000 000000 000000 100010 000000* L0046560 000000 000000 000000 000000 000000 000000 000000 000000* -L0046608 000000 000000 000000 000110 000000 000000 000000 000000* -L0046656 00000000 00000000 00000000 01111000 00000000 00000000 00000000 00000000* -L0046720 00000000 00000000 00000000 00001010 00000000 00000010 00000010 00000010* -L0046784 00000000 00000010 00000000 00001011 00000001 00000010 00000001 00000010* -L0046848 00000000 00000000 00000000 00001100 00000000 00000000 00000000 00000000* -L0046912 00000000 00000010 00000010 10001100 00000010 00000010 00000000 00000000* -L0046976 10000000 00000000 10000000 10000000 00000000 00000000 00000000 00000000* -L0047040 00000000 00000000 00000000 10001000 00000000 00000000 00000000 00000000* -L0047104 00000000 00000000 00000000 10001000 00000000 00000000 00000000 00000000* -L0047168 00000000 00000000 00000000 10001000 00000000 00000000 00000000 00000000* -L0047232 000000 000000 000000 100010 000000 000100 000000 000000* -L0047280 000000 000000 000000 101010 000000 000000 000000 000010* -L0047328 000000 000000 000000 000010 000000 000000 000000 000000* -L0047376 000000 000000 000000 000110 000000 000000 000000 000000* -L0047424 000000 000000 000000 100110 000000 000000 000000 000000* -L0047472 000000 000000 010000 000110 000000 000000 000000 000000* -L0047520 00000000 00000000 00100001 00000010 00000010 00000000 00000000 00000110* -L0047584 00000001 00000000 00000000 00000000 00000000 00000010 00000000 00000000* -L0047648 00000001 00000000 00000010 01110010 00000000 00000010 00000000 00000010* +L0046608 000000 000000 000000 000000 000000 000000 000000 000000* +L0046656 00000000 00000000 00000000 00000000 10011010 00000000 00000000 00000000* +L0046720 00000000 00000000 00000000 00000000 10011000 00000010 00000000 00000010* +L0046784 00000000 00000000 00000011 00000011 10011000 00000010 00000001 00000010* +L0046848 00000000 00000000 00000000 00000000 10011000 00000000 00000000 10000000* +L0046912 00000011 00000000 00000010 00000000 10011010 00000010 00000010 00000000* +L0046976 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* +L0047040 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* +L0047104 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* +L0047168 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* +L0047232 000000 000000 000000 000000 100000 000100 000000 000000* +L0047280 000000 000000 000000 000000 001100 000000 000000 000001* +L0047328 000000 000000 000000 000000 001100 000000 000000 000000* +L0047376 000000 000000 000000 000000 001101 000000 000000 000000* +L0047424 000000 000000 000000 000000 001101 000000 000000 000000* +L0047472 000000 000000 000000 000000 001101 000000 000000 000000* +L0047520 00000000 00000000 00000010 00000010 00000000 00000000 00000000 00000010* +L0047584 00000001 00000000 00000000 00000000 00000000 00000010 00000000 10000000* +L0047648 00000001 00000000 00000000 00000010 00000000 00000010 00000000 10000010* L0047712 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0047776 00000001 00000000 00000011 00000000 00000010 00000010 00000000 00000000* -L0047840 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0047776 00000001 00000000 00000010 00000000 00000010 00000010 00000000 00000000* +L0047840 00000000 00000000 00000000 00000000 01000000 00000000 00000000 00000000* L0047904 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0047968 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0048032 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0048096 000000 000000 000000 000000 000000 000000 000000 000000* L0048144 000000 000000 000000 000000 000000 000000 000000 000000* -L0048192 000000 000000 010000 000000 000000 000000 000000 000010* -L0048240 000000 000000 010000 101001 000000 000000 000000 000000* +L0048192 000000 000000 000000 000000 000000 000000 000000 000000* +L0048240 000000 000000 000000 000000 000000 000000 000000 000000* L0048288 000000 000000 000000 000000 000000 000000 000000 000000* -L0048336 000000 000000 000000 100000 000000 000000 000000 000000* -L0048384 00000010 00000000 00000001 00001000 00010011 00000000 00000001 00110001* -L0048448 00000001 00000001 00000000 00001000 10010101 00000011 00000000 00010101* -L0048512 00000001 00000001 00000010 00001000 00010001 00000011 00000001 00010101* -L0048576 00000000 00000000 00100000 00001101 00010000 00000000 00000000 00010100* -L0048640 00000000 00000001 00100011 10001110 00010011 00000011 00000011 00010101* -L0048704 00000000 00000000 00000000 10000000 00000000 00000000 00000000 00100100* -L0048768 00000000 00000000 00000000 10000000 00000000 00000000 00000000 00100100* -L0048832 00000000 00000000 00000000 10001000 00001000 00000000 00000000 00100100* -L0048896 00000000 00000000 00000000 10001000 00001000 00000000 00000000 00100100* -L0048960 000000 000000 000000 100010 000010 000000 000000 001000* -L0049008 000000 000000 000000 000110 000000 000000 000000 010000* -L0049056 000000 000000 000000 000110 000000 000000 000000 000000* -L0049104 000000 000000 000000 000110 001000 000000 000000 000000* -L0049152 000000 000000 000000 000110 001000 000000 000000 001000* -L0049200 000000 000000 000001 000110 001100 000000 000000 001000* -L0049248 00000000 00000000 00000010 00000001 00000011 00000000 00000001 00000001* -L0049312 00000000 00000000 00000000 00000000 00000001 00000010 00000000 00000000* -L0049376 00000000 00000000 00000010 00000001 00000010 00000000 00000001 00000011* +L0048336 000000 000000 000000 000000 000000 000000 000000 000000* +L0048384 00000000 00000010 00000000 00000011 00100011 00000000 00000010 00110000* +L0048448 00000001 00000001 00000000 00000011 00000000 00000011 00000000 00010100* +L0048512 00000001 00000001 00000010 00000000 00000010 00000011 10000000 00010100* +L0048576 00000000 00000000 00000000 00000100 00000000 00000000 10000001 00010100* +L0048640 00000000 00000001 00000010 10000111 00000011 00000010 10000010 00010111* +L0048704 00000000 00000000 00000100 10000000 00000000 00000000 00000000 00100100* +L0048768 00000000 00000000 10000100 10001000 00000000 00000000 00000000 00100100* +L0048832 00000000 00000000 00011100 10001000 00000000 00000000 00000000 00100100* +L0048896 00000000 00000000 00110100 10001000 00000000 00000000 00000100 00100100* +L0048960 000000 000000 001101 100000 000000 000000 000001 001000* +L0049008 000000 000000 000100 000010 000000 000000 000000 010000* +L0049056 000000 000000 000100 000010 000000 000000 000000 000000* +L0049104 000000 000000 000100 000010 000000 000000 000001 000000* +L0049152 000000 000000 000100 000010 000010 000000 000001 001000* +L0049200 000000 000000 000100 000010 000010 000000 000001 001000* +L0049248 00000000 00000000 00000011 00000001 00000011 00000000 00000010 00000001* +L0049312 00000000 00000000 00000000 00000010 00000000 00000010 00000000 00000000* +L0049376 00000000 00000000 00000001 00000011 00000001 00000001 00000010 00000001* L0049440 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0049504 00000001 00000000 00000000 00000010 00000000 00000000 00000010 01001010* -L0049568 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0049632 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0049504 00000001 00000000 00000010 00000010 00000010 00000001 00000000 01001010* +L0049568 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0049632 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0049696 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0049760 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0049824 000000 000000 000000 000000 000000 000000 000000 000000* -L0049872 000000 000000 000000 010000 010000 000000 000000 000000* +L0049872 000000 000000 000000 010000 000000 000000 000000 000000* L0049920 000000 000000 000000 010000 000000 000000 000000 000000* L0049968 000000 000000 000000 010000 000000 000000 000000 000000* L0050016 000000 000000 000000 000000 000000 000000 000000 000000* L0050064 000000 000000 000000 000000 000000 000000 000000 000001* -L0050112 00000000 00000010 00000001 00000001 00000001 00000000 00000011 00000000* -L0050176 00000000 00000010 00000000 00000000 00000010 00000000 00000000 10000000* -L0050240 00000000 00000010 00000001 00000011 00000001 00000000 00000011 00000000* -L0050304 00000010 00000000 00000000 00000000 00000000 00000000 00000000 00000010* -L0050368 00000000 00000010 00000000 00000011 00000000 00000010 00000011 00000001* -L0050432 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0050112 00000001 00000010 00000001 00000001 00000001 00000000 00000000 00000001* +L0050176 00000000 00000000 00000000 00000000 00000000 00000010 00000000 10000000* +L0050240 00000001 00000000 00000001 00000011 00000001 00000010 00000000 00000001* +L0050304 00000010 00000000 00000010 00000000 00000010 00000000 00000000 00000010* +L0050368 00000001 00000010 00000000 00000010 00000000 00000010 00000010 00000000* +L0050432 00100000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0050496 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0050560 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0050624 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0050688 000000 000000 000000 000000 000000 000000 000000 000000* L0050736 000000 000000 000000 000000 000000 000000 000000 010000* -L0050784 000000 000000 000000 000000 000000 000000 000000 000000* +L0050784 000000 000000 000000 000000 000000 000000 000001 000000* L0050832 000000 000000 000000 000000 000000 000000 000000 000000* L0050880 000000 000000 000000 000000 000000 000000 000000 000000* L0050928 000000 000000 000000 000000 000000 000000 000000 000000* -L0050976 00000001 00000000 00000010 00000011 00000010 00000000 00000011 00000001* -L0051040 00000001 00000010 00000000 00000001 00000000 00000010 00000010 00000001* -L0051104 00000010 00000010 00000000 00000010 00000010 00000000 00000001 00000010* -L0051168 00000000 00000000 00000001 00000000 00000001 00000000 00000000 10000000* -L0051232 00000011 00000010 00000010 00000011 00000000 00001010 00000011 00000011* -L0051296 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0051360 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0050976 00000001 00000000 00000011 00000010 00000001 00000000 00000011 00000010* +L0051040 00000000 00000000 00000000 00000000 00000010 00000010 00000100 00000000* +L0051104 00000001 00000010 00000001 00000010 00000001 00000010 00000111 00000010* +L0051168 00000000 00000000 00000000 00000001 00000000 00000000 00000000 10000000* +L0051232 00000011 00000010 00000011 00000010 00000011 00001010 00000001 00000011* +L0051296 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* +L0051360 00000000 00000000 00000000 01000000 00000000 00000000 00000000 00000000* L0051424 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0051488 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0051552 000000 000000 000000 000000 000000 000000 000000 000000* -L0051600 000010 000000 000000 000000 000000 000000 000000 000000* +L0051600 000000 000000 000000 000000 000000 000000 000000 000000* L0051648 000000 000000 000000 000000 000000 000000 000000 000000* L0051696 000000 000000 000000 000000 000000 000000 000000 000000* L0051744 000000 000000 000000 000000 000000 000000 000000 000000* L0051792 000000 000000 000000 000000 000000 000000 000000 000000* -L0051840 00000000 00000000 00000001 00000000 00000000 00000000 00000010 00000100* -L0051904 00000000 00000000 00000000 01110000 01000001 00000100 01011110 00000001* -L0051968 00000000 00000000 00000000 00000010 01000010 00000110 00000000 00000000* -L0052032 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* -L0052096 00000000 00000000 00000001 00000000 00000001 00001010 00000000 00000001* +L0051840 00000000 00000000 00000011 00000001 00000000 00000000 00000010 00000001* +L0051904 00000000 00000000 00000001 00000001 00000000 00000000 11111110 00000000* +L0051968 00000000 00000001 00000001 00000001 00000010 00000010 00000000 00000000* +L0052032 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0052096 00000000 00000001 00000011 00000011 00000000 00000010 00000000 00000011* L0052160 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0052224 00000000 00000000 00000000 00000000 00000000 00000000 00001000 00000000* -L0052288 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* -L0052352 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* +L0052224 00000000 00000000 00000000 00000000 00000000 00000000 00001100 00000000* +L0052288 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0052352 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0052416 000000 000000 000000 000000 000000 000000 000000 000000* -L0052464 000000 000000 000000 000000 000000 100000 000000 000010* -L0052512 000000 000000 000000 101001 000000 000000 000010 000010* -L0052560 000000 000000 000000 000000 000000 000100 000000 000000* -L0052608 000000 000000 000000 000000 100010 000000 000000 000000* -L0052656 000000 000000 000000 000000 100000 000000 000000 000000* -L0052704 00000000 00000000 00000010 01111001 00010010 10000100 01011100 00000000* -L0052768 00000000 00000010 00000000 00001000 00010000 10001010 00000000 00000000* -L0052832 00000000 00000000 00000000 00001011 00010001 00001010 00000000 00000000* -L0052896 00001000 00000000 00000000 00001100 00010000 00001000 00000000 00000000* -L0052960 00000001 00000000 00000010 10001111 10011011 00010110 00000000 00000011* -L0053024 00000000 00000000 00000000 10000000 00010000 00100000 00001000 00000000* -L0053088 00000000 00000000 00000000 10001000 00000000 00000100 00000000 00000000* -L0053152 00000000 00000000 00000000 10001000 00001000 00000000 00000000 00000000* -L0053216 00000000 00000000 00000000 10001000 00001000 00000000 00000000 00000000* -L0053280 000000 000000 000000 100010 000010 001000 000000 000000* -L0053328 000000 000000 000000 101010 000000 000000 000010 000000* -L0053376 000000 000000 000000 000010 000100 000000 000000 000000* -L0053424 000000 000000 000000 000110 001000 000000 000000 000000* -L0053472 000100 000000 000000 100110 001000 000000 000000 000000* -L0053520 000000 000000 000000 100110 001100 000001 000000 000000* -L0053568 00000000 00000000 00000000 00000001 00000000 00000000 00000010 00000000* -L0053632 00000000 00000010 00000000 00000011 00000011 00000000 00000011 00000000* -L0053696 00000000 00000010 00000001 00000001 00000001 00000010 00000000 00000000* -L0053760 00000010 00000000 00000000 00001000 00000000 00000000 00000000 00000000* -L0053824 00000000 00000000 00000001 00001111 00000000 00000010 00000011 00000011* +L0052464 000000 000000 000000 000000 000000 000000 000000 000000* +L0052512 000000 000000 000000 000001 000000 000000 100010 000000* +L0052560 000000 000000 000000 000000 000000 000000 000000 000000* +L0052608 000001 000000 000000 000000 000000 000000 000000 000000* +L0052656 000000 000000 000000 000000 000000 000000 000000 000000* +L0052704 00000010 00000000 01000000 00000001 00000001 00000000 11111100 00000010* +L0052768 00000000 00000010 01100000 00000000 00000000 00000000 00000000 00000010* +L0052832 00000000 00000010 00100000 00000001 00000000 00000011 10000001 00000010* +L0052896 00000000 00000000 00000000 00000100 00000000 00000000 10000000 00000000* +L0052960 00000010 00000010 00000000 10000101 00000011 00000011 10000000 00000010* +L0053024 00000000 00000000 00000000 10000000 00000000 00000000 00001100 00000000* +L0053088 00000000 00000000 00000000 10001000 00000000 00000000 00000000 00000000* +L0053152 00000000 00000000 00000000 10001000 00000000 00000000 00000000 00000000* +L0053216 00000000 00000000 00000000 10001000 00010000 00000000 00000100 00000000* +L0053280 000000 000000 000000 100000 000000 000000 000001 000000* +L0053328 000000 000000 000000 101010 000000 000000 100010 000000* +L0053376 000000 000000 000000 100010 000000 000000 000001 000000* +L0053424 000000 000000 000000 000010 000000 000000 000001 000000* +L0053472 000000 000000 000000 000010 000000 000000 000001 010000* +L0053520 000000 000000 000000 000010 000000 000000 000001 000000* +L0053568 00000100 00000000 00000000 00000000 00000001 00000010 00000010 00000001* +L0053632 00000000 00000010 00000011 00000001 00000001 00000000 00000010 00000001* +L0053696 00000000 00000010 00000001 00000011 00000000 00000000 00000000 00000001* +L0053760 00000000 00000000 00000000 00000000 00000000 00000000 10000000 00000010* +L0053824 00000001 00000000 00000010 00000110 00000010 00000010 10000011 00000001* L0053888 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0053952 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0054016 00000000 00000000 00000000 10000000 00000000 00000000 00000000 00000000* +L0054016 00000000 00000000 00000000 10001000 00000000 00000000 00000000 00000000* L0054080 00000000 00000000 00000000 10001000 00000000 00000000 00000000 00000000* -L0054144 000000 000000 000000 100010 100000 000000 000000 000000* +L0054144 000000 000000 000000 100000 000000 000000 000001 000000* L0054192 000000 000000 000000 000000 000000 000000 000000 000000* L0054240 000000 000000 000000 000000 000000 000000 000000 000000* -L0054288 000000 000000 000000 000000 000000 000000 000000 000000* -L0054336 000000 000000 000000 000110 000000 000000 000000 000000* -L0054384 000000 000000 000000 000110 000000 000000 000000 000000* -L0054432 00000000 00000000 00000000 00001010 00000011 00000000 00000001 00000000* -L0054496 00000000 00000000 00000010 00001000 00000001 00000000 00000001 00000010* -L0054560 00000000 00000001 00000010 00001011 00000000 00000010 00000000 00000010* +L0054288 000000 000000 000001 000000 000000 000000 000000 000000* +L0054336 000000 000000 000000 000010 000000 000000 000000 000000* +L0054384 000000 000000 000000 000010 000000 000000 000001 000000* +L0054432 00010000 00000000 00000010 00000010 00000010 00000000 00000011 00000000* +L0054496 00000000 00000000 00000000 00000010 00000000 00000000 00000001 00000010* +L0054560 00000010 00000000 00000011 00000001 00000010 00000001 10000010 00000010* L0054624 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00000000* -L0054688 00000000 00000001 00000010 10000010 00000010 00000010 00000011 00000010* -L0054752 00000000 00000000 00000000 10000000 00000000 00000000 10000000 00000000* -L0054816 00000000 00000000 00000000 10000000 00000000 00000000 00000000 00000000* -L0054880 00000000 00000000 00000000 00001000 00000000 00000000 00000000 00000000* -L0054944 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0055008 000000 000000 000000 000000 000000 000000 000000 000000* +L0054688 00000110 00000000 00000010 10000010 00000000 00000011 00000000 00000010* +L0054752 00010000 00000000 00000000 10000000 00000000 00000000 10000000 00000000* +L0054816 00010000 00000000 00000000 10001000 00000000 00000000 00000000 00000000* +L0054880 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0054944 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* +L0055008 000001 000000 000000 000000 000000 000000 000000 000000* L0055056 000000 000000 000000 000010 000000 000000 000000 000000* L0055104 000000 000000 000000 000010 000000 000000 000000 000000* -L0055152 000000 000000 000000 000110 000000 000000 000000 000000* -L0055200 000000 000000 000000 000000 000000 000000 000000 000000* +L0055152 000010 000000 000000 000010 000000 000000 000001 000000* +L0055200 000000 000000 000000 000000 000000 000000 000001 000000* L0055248 000000 000000 000000 000000 000000 000000 000000 000000* -L0055296 00000000 00000000 00000001 00000010 00000011 00000000 00000010 00000010* -L0055360 00000000 00000000 00010001 00000010 00000000 00000001 00000010 00000011* -L0055424 00000000 00000000 10011000 00000000 00000000 00000011 00000000 00000010* -L0055488 00000000 00000000 10011000 00000000 00000000 00000000 00000000 00000000* -L0055552 00000000 00000000 10001011 00000010 00000010 00000011 00000000 00000011* +L0055296 00000000 00000001 00000011 00000010 00000010 00000001 00000010 00000001* +L0055360 00000000 00000001 00000000 00000010 00000011 00000000 00000010 00000011* +L0055424 00000000 00000001 00000000 00000000 00000000 00000010 00000000 00000011* +L0055488 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0055552 00000011 00000001 00000010 00000010 00000001 00000010 00000010 00000001* L0055616 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0055680 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* -L0055744 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* -L0055808 00000000 00000000 10001000 00000000 00000000 00000000 00000000 00000000* -L0055872 000000 000000 100010 000000 000000 000000 000000 000000* -L0055920 000000 000000 001000 000000 000000 000000 000000 000000* -L0055968 000000 000000 101000 000000 000000 000000 000000 000000* -L0056016 000000 000000 001000 000000 000000 000000 000000 000000* -L0056064 000000 000000 001011 000000 000000 000000 000000 000000* -L0056112 000000 000000 001110 000000 000000 000000 000000 000000* -L0056160 00000010 00000000 00000001 00000000 00000010 00000000 00000011 00000000* -L0056224 00000010 00000000 00000100 00000001 00000000 00000011 00000010 00000000* -L0056288 00000010 00000000 00000000 00000011 00000011 00000011 00000001 00000000* +L0055680 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0055744 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0055808 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0055872 000000 000000 000000 000000 000000 000000 000000 000000* +L0055920 000000 000000 000000 000000 000000 000000 000000 000000* +L0055968 000000 000000 000000 000000 000000 000000 000000 000000* +L0056016 000000 000000 000000 000000 000000 000000 000000 000000* +L0056064 000000 000000 000000 000000 000000 000000 000000 000000* +L0056112 000000 000000 000000 000000 000000 000000 000000 000000* +L0056160 00000000 00000001 00000010 00000011 00000010 00000000 00000010 00000000* +L0056224 00000000 00000010 00000000 00000001 00000001 00000011 00000010 00000000* +L0056288 00000000 00000000 00000001 00000011 00000000 00000011 00000000 00000011* L0056352 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0056416 00000010 00000010 00000101 00000011 00000010 00000011 00000011 00000010* -L0056480 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0056544 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0056608 00000000 00000000 00010000 00000000 00000000 00000000 00000000 00000000* +L0056416 00000011 00000001 00000010 00000011 00000010 00000011 00000010 00000011* +L0056480 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0056544 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0056608 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0056672 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0056736 000000 000000 000000 000000 000000 000000 000000 000000* L0056784 000000 000000 000000 000000 000000 000000 000000 000000* -L0056832 000000 000000 000110 000000 000000 000000 000000 000000* -L0056880 000000 000000 000010 000000 000000 000000 000000 000000* +L0056832 000000 000000 000000 000000 000000 000000 000000 000000* +L0056880 000000 000000 000000 000000 000000 000000 000000 000000* L0056928 000000 000000 000000 000000 000000 000000 000000 000000* L0056976 000000 000000 000000 000000 000000 000000 000000 000000* -L0057024 00000000 00000000 00000000 00001000 00000000 00000100 00000011 01010000* -L0057088 00000000 00000000 00000000 00001001 00001110 00000110 00000010 01011000* -L0057152 00000000 00000010 00000000 00000011 00001100 00000111 00000001 01011010* -L0057216 00000000 00000000 00000000 00001100 00001100 00000100 00000000 01011000* -L0057280 00000011 00000010 00000000 10001101 00001110 00001101 00000011 11011001* -L0057344 00000000 00000000 00000000 00010000 00000100 00000100 00000000 11100000* -L0057408 00000000 00000000 00000000 00000000 00000000 00100000 00000000 11100000* -L0057472 00000100 00000000 00000000 10000000 00000000 00100000 00000000 11100000* -L0057536 00000000 00000000 00000000 00000000 00000000 00100100 00000000 11100000* -L0057600 000000 000000 000000 000000 000000 000000 000000 111011* -L0057648 000000 000000 000000 010010 000100 000100 000000 100000* -L0057696 000000 000000 000000 010010 000100 000000 000000 000001* -L0057744 000000 000000 000000 010000 000110 000100 000000 101111* -L0057792 000000 000000 000000 000010 000110 000100 000000 001111* -L0057840 000000 000000 010000 000010 000000 000000 000000 001111* -L0057888 01000010 00000000 00100010 00000011 00000110 00000000 10000001 00000001* -L0057952 00000010 00000010 00000000 00000000 00010000 01000001 00000001 00000001* -L0058016 00000000 00000010 00000001 00000011 00000011 01000011 00000000 00000101* -L0058080 00000000 00000000 00000000 00000000 00010000 00000000 00000000 00000000* -L0058144 00000010 00000010 00000010 00000010 00010010 00000011 00000011 00000101* -L0058208 00100100 00000000 00000000 00000000 00000000 00010000 00000000 00000000* -L0058272 00000000 00000000 00000000 00010000 00000000 00000000 00000000 00000100* -L0058336 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* -L0058400 00000000 00000000 00000000 00010000 00000000 00000000 00000000 00000100* +L0057024 00000000 00000000 00000010 00000010 00000000 00000001 00000000 00000011* +L0057088 00000000 00000010 00000011 00000011 00000001 00000001 00000000 00000011* +L0057152 00000000 00000010 00000010 00000000 00000010 00100011 00000010 00000011* +L0057216 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0057280 00000010 00000000 00000011 00000011 00000001 00000011 00000000 00000011* +L0057344 00000000 00000000 00000000 00000000 00001000 01000000 00000000 00000000* +L0057408 00000000 00000000 00000000 00000000 00110000 00000000 00000000 00000000* +L0057472 00001000 00000000 00000000 00000000 00011000 00000000 00000000 00000000* +L0057536 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0057600 000000 000000 000000 000000 000000 000000 000001 000000* +L0057648 000000 000000 000000 000000 000000 010000 000000 000000* +L0057696 000000 000000 000000 000000 000000 000000 000000 000000* +L0057744 000000 000000 000000 000000 000000 000000 000001 000000* +L0057792 000000 000000 000000 000000 000000 000000 000001 000000* +L0057840 000000 000000 000000 000000 000000 000000 000001 000000* +L0057888 00000010 00000000 00000010 00000010 00000000 00000000 00000001 00000100* +L0057952 00000000 00000000 00000000 00000010 00000000 00000010 00000011 00000000* +L0058016 00000000 00000010 00000011 00000001 00000000 00000010 00000010 00000011* +L0058080 00000000 00000000 00000000 10000000 00000000 00000000 00000000 00000000* +L0058144 00000011 00000010 00000010 00000010 00000010 00000010 00000001 00000010* +L0058208 01001000 00000100 00000000 00000000 00010000 00000000 00000000 00000000* +L0058272 00000000 00000100 00000000 00000000 00001000 01000000 00000000 00000000* +L0058336 00000000 00000000 00000000 00000000 00100000 00000000 00000000 00000000* +L0058400 00000000 00000000 00000000 00000000 00011000 00000000 00000000 00000000* L0058464 000000 000000 000000 000000 000000 000000 000000 000000* -L0058512 000000 000001 000000 000000 000000 001000 000000 001000* -L0058560 000000 000001 010000 000000 000000 000000 000000 001000* -L0058608 000000 000000 010000 000000 000000 000000 000000 000000* -L0058656 000000 000000 000000 000000 001000 000001 000000 000000* +L0058512 000000 000000 000000 000100 000000 000000 000000 000000* +L0058560 000000 000000 000000 000000 000000 010000 000000 000000* +L0058608 000000 000000 000000 000000 000000 000000 000000 000000* +L0058656 000000 000000 000000 000000 000000 000000 000000 000000* L0058704 000000 000000 000000 000000 000000 000000 000000 000000* -L0058752 00000000 00000010 00000001 00000001 00000010 00000000 00000001 00000001* -L0058816 00000000 00000000 00000000 00000000 00000001 00000000 00000000 00000000* -L0058880 00000000 00000000 00000011 00000001 00000001 00000000 00000000 00000001* +L0058752 00000000 00000000 00000001 00000000 00000001 00000000 00000001 00000000* +L0058816 00000000 00000001 00000000 00000001 00000000 00000000 00000000 00000001* +L0058880 00000000 00000000 00000001 00000001 00000001 00000000 00000000 00000001* L0058944 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0059008 00000000 00000010 00000010 00000000 00000010 00000001 00000000 00000000* +L0059008 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0059072 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0059136 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0059200 00000000 00000000 00000000 00000000 00000000 00000000 00000000 11000000* L0059264 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0059328 000000 000000 000000 000000 000000 000000 000000 000000* -L0059376 000010 000000 000000 000000 000000 000000 000000 000000* -L0059424 000001 000000 000000 000000 000000 000000 000000 000000* +L0059376 000000 000000 000000 000000 000000 000000 000000 000000* +L0059424 010000 000000 100000 000000 000000 000000 000000 000000* L0059472 000000 000000 000000 000000 000000 000000 000000 000000* L0059520 000000 000000 000000 000000 000000 000000 000000 000010* L0059568 000000 000000 000000 000000 000000 000000 000000 000000* -L0059616 00000000 00000000 00000000 00001011 00000000 00000000 00000001 00000000* -L0059680 00000001 00000000 00000010 00001011 00000000 00000000 00000001 00010110* -L0059744 00000000 00000000 00000010 00001000 00000000 00000001 00000000 00010110* -L0059808 00000000 00000000 00000000 00011100 00000000 00000000 00000000 00010100* -L0059872 00000010 00000000 00000000 10001111 00000010 00000001 00000001 00010100* +L0059616 10000010 00000000 00000001 00000001 00000000 00000000 00000001 00000010* +L0059680 00000010 00000000 00000010 00000010 00000010 00000001 00000011 00010111* +L0059744 00000010 00000001 00000011 00000011 00000010 00000001 00000010 00010110* +L0059808 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00010100* +L0059872 00000010 00000001 00000000 10000100 00000000 00000001 00000001 00010111* L0059936 00000000 00000000 00000000 10010000 00000000 00000000 00000000 00000100* -L0060000 00000000 00000000 00000000 10000000 00000000 00000000 00000000 00000100* -L0060064 00000000 00000000 00000000 10001000 00000000 00000000 00000000 00000100* -L0060128 00000000 00000000 00000000 10001000 00000000 00000000 00000000 00000100* -L0060192 000000 000000 000000 100010 000000 000000 000000 000000* -L0060240 000001 000000 000000 000110 000000 000000 001000 000000* -L0060288 000000 000000 000000 000110 000010 000000 000000 000000* -L0060336 000000 000000 000000 000110 000000 000000 000000 000000* -L0060384 000000 000000 000000 000110 000000 000000 000000 000000* -L0060432 000000 000000 000000 000110 000000 000000 000000 000000* -L0060480 00000000 00000000 00000010 00000000 00000001 00000010 00000001 00000000* -L0060544 00000000 00000000 00000001 00000001 00000011 00000011 00000000 00000001* -L0060608 00000000 00000001 00000010 00000001 00000001 00000011 00000001 00000001* -L0060672 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0060736 00000011 00000001 00000001 00000010 00000011 00000011 00000001 00000010* +L0060000 00000000 00000000 00000100 10011000 00000000 00000000 00000000 00000100* +L0060064 00000000 00000000 00110100 10001000 00000000 10000000 00000000 00000100* +L0060128 00000000 00000000 00110100 10001000 00000000 00000000 00000000 00000100* +L0060192 000000 000000 001101 100000 000000 000000 000000 000000* +L0060240 000000 000000 000100 000010 000000 000000 000000 000000* +L0060288 000000 000000 000100 000010 000000 000000 000000 000000* +L0060336 000000 000000 000100 000010 000000 000000 000000 000000* +L0060384 000000 000000 000100 000010 000010 000000 000000 000000* +L0060432 000000 000000 000100 000010 000010 000000 000000 000000* +L0060480 00000000 00000000 00000010 00000001 00000010 00000010 00000010 00000000* +L0060544 10000000 00000001 00000001 00000000 00000001 00000010 00000001 00000000* +L0060608 10000000 00000001 00000011 00000001 00000010 00000011 00000011 00000000* +L0060672 10000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0060736 10000000 00000001 00000000 00000101 00000001 00000011 00000000 00000001* L0060800 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0060864 00000000 00000000 00000000 00001000 00000000 00000000 00000000 00000000* -L0060928 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0060992 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* -L0061056 000000 000000 000000 000000 000000 000000 000000 000000* +L0060864 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0060928 00000000 00000000 00000000 10001000 00000000 00000000 00000000 00000000* +L0060992 00000000 00000000 00000000 10001000 00000000 00000000 00000000 01000000* +L0061056 000000 000000 000000 100000 000000 000000 000000 000001* L0061104 000000 000000 000000 000000 000000 000000 000000 000000* -L0061152 000000 000000 000000 000000 000000 000000 000000 000010* +L0061152 000000 000000 000000 000000 000000 000000 000000 000000* L0061200 000000 000000 000000 000000 000000 000000 000000 000000* -L0061248 000000 000000 000000 000000 000000 000000 000000 000000* -L0061296 000000 000000 000000 000000 000000 000000 000000 000000* -L0061344 00000000 00000000 00000010 00100011 00000010 00000000 10000000 00000111* -L0061408 00000000 00000000 00000000 01010001 00000000 00000000 00000000 00000000* -L0061472 00000000 00000001 00000010 01010010 00010010 00000000 00000000 00000010* -L0061536 00000000 00000000 00000000 00000000 00010000 00000000 00000000 00000000* -L0061600 00000001 00000001 00100000 00000001 00010000 00000000 00000000 00000001* -L0061664 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0061728 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0061792 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0061856 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0061920 000000 000000 000000 000001 000010 000000 000000 000000* -L0061968 000000 000000 000000 000000 000000 000000 000000 000000* -L0062016 000000 000000 000000 101000 000000 000000 000000 000000* -L0062064 000000 000000 000000 101000 000000 000000 000000 000000* -L0062112 000000 000000 000000 000000 000000 000000 000000 000000* -L0062160 000000 000000 000000 000000 001100 000000 000000 000000* -L0062208 00000000 00000010 01001000 00000001 00010010 00000000 00000000 00000000* -L0062272 00000000 00000001 00000000 00000001 01111001 00000001 00000000 00000000* -L0062336 00000000 00000000 00000000 00000010 00010001 00000001 00000001 00000000* -L0062400 00000000 00000000 00000000 00000000 00011000 00000000 00000000 00000000* -L0062464 00000000 00000011 00001000 00000001 00001011 00000001 00000000 00000000* -L0062528 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0062592 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* -L0062656 00000000 00000000 00000000 00000000 00101000 00000000 00000000 00000000* -L0062720 00000000 00000000 10010100 00000000 00000000 00000000 00000000 00000000* +L0061248 000000 000000 000000 000010 000000 000000 000000 000100* +L0061296 000000 000000 100000 000010 000000 000000 000000 000000* +L0061344 00000000 00000000 00000010 00000011 00000000 00000001 00000000 00000010* +L0061408 00000000 00000000 00000001 00000001 00000000 00000000 00000001 00000000* +L0061472 00000000 00000000 00000010 00000010 00000001 00000010 00000000 00000010* +L0061536 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00010100* +L0061600 00000001 00000000 00000001 10000001 00000001 00000011 00000000 00010100* +L0061664 00000000 00000000 00000000 10000000 00000000 00000000 00000000 00000000* +L0061728 00000000 00000000 00000000 10001000 00000000 00000000 00000000 00000000* +L0061792 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* +L0061856 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000100* +L0061920 000000 000000 001101 000000 000000 000000 000000 000000* +L0061968 000000 000000 000000 000010 000000 000000 000000 000000* +L0062016 000000 000000 000000 000010 000000 000000 000000 000000* +L0062064 000000 000000 000100 000010 000000 000000 000000 000000* +L0062112 000000 000000 000100 000000 000000 000000 000000 000000* +L0062160 000000 000000 000100 000000 000010 000000 000000 000000* +L0062208 00000000 00000000 00010100 00010001 00000000 00000001 00000001 00000101* +L0062272 00000000 00000000 00000001 00000001 00100000 00000000 00000001 00000000* +L0062336 00000000 00000000 01001001 00000010 00000010 00000001 00000010 00000000* +L0062400 00000000 00000000 00010000 00000100 00000000 00000000 00000000 00000000* +L0062464 00000010 00000000 00000001 10000101 00000000 00000001 00000001 00000001* +L0062528 00000000 00000000 00100000 00010000 00100000 00000000 00000000 00000000* +L0062592 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0062656 00000000 00000000 00000000 10000000 00000000 00000000 00000000 00000000* +L0062720 00000000 00000000 00000000 00000000 00000100 00000000 00000000 00000000* L0062784 000000 000000 000000 000000 000000 000000 000000 000000* -L0062832 000000 000000 000000 000000 010000 000000 000000 000000* -L0062880 000000 000000 011000 000000 100000 000000 000000 000000* -L0062928 000000 000000 000000 000000 000100 000000 000000 000000* -L0062976 000000 000000 001010 000000 000000 000000 000000 000000* -L0063024 000000 000000 000001 000000 000100 000000 000000 000000* -L0063072 00000000 00000000 00000100 00000001 01101000 00000000 10000001 00000101* -L0063136 00000000 00000000 00000110 01110010 00000011 00000000 00000000 00000011* -L0063200 00000000 00000000 00001010 01110011 00000010 00000000 00000001 00000010* -L0063264 00000000 00000000 00011100 00000000 00000000 00000000 00000000 00000000* -L0063328 00000000 00000000 10000100 00000001 00000001 00000001 00000000 00000001* -L0063392 00001000 00000000 10000000 00001000 00000000 00000000 00000000 00000000* -L0063456 00000000 00000000 01100000 00000000 00000000 00000000 00000000 00000000* -L0063520 00000000 00000000 00010000 00000000 00000000 00000000 00000000 00000000* -L0063584 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0063648 000000 000000 000100 000000 000000 000000 000000 000000* -L0063696 000000 000000 011000 000000 000000 000000 000000 000000* -L0063744 000000 000000 000000 101000 000000 000000 000000 000000* -L0063792 000001 000000 000100 101000 000010 000000 000000 000000* -L0063840 000000 000000 010001 000000 000000 000000 000000 000000* -L0063888 000000 000000 000000 000000 000000 000000 000000 000000* -L0063936 00000000 00000000 00000001 00000011 00010010 00000001 00000010 00010000* -L0064000 00000000 00000010 00000010 00000000 00011000 00000000 00000000 00010100* -L0064064 00000000 00000010 00000010 00000011 00011010 00000000 00000010 00010100* -L0064128 00000000 00000000 00000000 00000000 00011000 00000000 00000000 00010101* -L0064192 00000000 00000010 00000001 00000001 00011001 00000000 00000000 00010100* -L0064256 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100100* -L0064320 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100100* -L0064384 00000000 00000000 00000000 00000000 00001000 00000000 00000000 00100100* -L0064448 00000000 00000000 00000000 00000000 00001000 00000000 00000000 00100100* -L0064512 000000 000000 000000 000000 000010 000000 000000 001000* -L0064560 000000 000000 000000 000000 000100 000000 000000 000000* -L0064608 000000 000000 000000 000000 000100 000000 000000 000100* -L0064656 000000 000000 000000 000000 001100 000000 000000 000000* -L0064704 000000 000000 000000 000000 001100 000000 000000 001000* -L0064752 000000 000000 000000 000000 001100 000000 000000 001000* -L0064800 00000000 00000000 00000000 00000000 00001000 00000010 00000010 00000011* -L0064864 00000001 00000000 00000001 00000000 00000001 00000010 00000010 00000011* -L0064928 00000001 00000000 00000010 00000000 00000100 00000011 00000000 00000011* -L0064992 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0065056 00000001 00000000 00000011 00000000 00000001 00000011 00000010 10000011* -L0065120 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0065184 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0065248 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0065312 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0065376 000000 000000 000000 000000 000000 000000 000000 000010* -L0065424 000000 000000 010000 000000 000000 000000 000000 000000* -L0065472 000000 000000 000000 000000 000000 000000 000000 000000* -L0065520 000000 000000 000000 000000 000000 000000 000000 100000* -L0065568 000000 000000 000000 000000 000000 000000 000000 000000* -L0065616 000000 000000 000000 000000 000000 000000 000000 000000* -L0065664 00000000 00000000 00000001 00000001 00000001 00000000 00000000 00000101* -L0065728 00000000 00000000 00000000 00000000 00000000 00000001 00000000 00000001* -L0065792 00000000 00000000 00000001 00000011 00000011 00000001 00000010 00000001* -L0065856 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0065920 00000000 00000000 00000001 00000001 00000000 00000001 00000000 00000001* -L0065984 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0066048 00000000 00000000 00000000 00001000 00000000 00000000 00000000 00000000* -L0066112 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0066176 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0066240 000000 000000 000000 000000 000000 000000 000000 000000* -L0066288 000000 000000 000000 000000 000000 000000 000000 000000* -L0066336 000000 000000 000000 000000 000000 000000 000000 000000* -L0066384 000001 000000 000000 000000 000000 000000 000000 000000* -L0066432 000011 000000 000000 000000 000000 000000 000000 000000* -L0066480 000000 000000 000000 000000 000000 000000 000000 000000* -L0066528 00100000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0062832 000000 000000 000000 010010 001110 000000 000000 000000* +L0062880 000000 000000 001000 010010 000000 000000 000000 000000* +L0062928 000000 000000 000000 010000 010000 000000 000000 000000* +L0062976 000000 000000 000000 000010 000001 000000 000000 000000* +L0063024 000001 000000 000000 000010 000000 000000 000000 000000* +L0063072 00000000 00000000 00000001 00100001 00000001 00000000 00000001 00000011* +L0063136 00000010 00000000 01000010 00000010 00000110 00000000 00000010 00000000* +L0063200 10000010 00000000 00110111 00000011 00000110 00000001 10000011 00000001* +L0063264 00000000 00000000 01000000 00000000 00000000 00000000 10000000 00000000* +L0063328 10000000 00000000 00100001 00000000 00000101 00000001 10000001 00000010* +L0063392 00000000 00000000 00000000 00001000 00000000 00000000 00000000 00000000* +L0063456 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* +L0063520 00000000 00000000 00010100 00000000 10000000 00000000 00000000 00000000* +L0063584 00000000 00000000 00010000 00010000 00000000 00000000 00000100 00000000* +L0063648 000000 000000 000101 000000 100000 000000 000000 000000* +L0063696 000000 000000 000000 000000 000000 000000 000000 000000* +L0063744 000000 000000 000010 000000 000000 000000 000000 000000* +L0063792 000000 000000 000010 000000 001100 000000 000000 000000* +L0063840 000000 000000 000000 000000 000000 000000 000000 000000* +L0063888 000000 000000 000110 000000 011100 000000 000000 000000* +L0063936 00000000 00000000 00000001 00000000 00000000 00000001 00000011 00010000* +L0064000 00000010 00000010 00001100 00000000 00000010 00000000 00000001 00010000* +L0064064 00000010 00000010 00001100 00000000 00000010 00000001 00000010 00010000* +L0064128 00000001 00000000 00001100 00000000 00000000 00000000 00000000 00010000* +L0064192 00000000 00000010 00000101 00000000 00000000 00000001 00000001 00010000* +L0064256 00000000 00000100 00000000 00000000 00000000 00000000 00000000 00100000* +L0064320 00000000 00000100 00000000 00000000 00000000 00000000 00000000 00100000* +L0064384 00000000 00000000 00001000 00000000 00000000 00000000 00000000 00100000* +L0064448 00000000 00000000 00001000 00000000 00000000 00000000 00000000 00100000* +L0064512 000000 000000 000010 000000 000000 000000 000000 001000* +L0064560 000000 000000 000010 000000 000000 000000 000000 001000* +L0064608 000000 000000 000010 000000 000000 000000 000000 000000* +L0064656 000000 000000 000010 000000 000000 000000 000000 001000* +L0064704 000000 000000 000010 000000 000000 000000 000000 001000* +L0064752 000000 000000 000010 000000 000000 000000 000000 001000* +L0064800 00000000 00000000 00001000 00001000 00000000 00000000 00000001 10000001* +L0064864 00000000 00000000 00000000 00000000 00000000 00000001 00000001 00000100* +L0064928 00000000 00000000 00000001 00000001 00000010 00000001 00000000 00000000* +L0064992 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* +L0065056 00000001 00000000 00000000 00000000 00000010 00000001 00000001 00000001* +L0065120 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00001100* +L0065184 00000000 00000000 00001000 00000000 00000000 00000000 00000000 00000000* +L0065248 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* +L0065312 00000000 00000000 00010000 00000000 00000000 00000000 00000000 00000000* +L0065376 000000 000000 000000 000000 000000 000000 000000 000000* +L0065424 000000 000000 010000 000000 000000 000000 000000 100000* +L0065472 000000 000000 000100 000000 000000 000000 000000 100000* +L0065520 000000 000000 000000 000000 000000 000000 000000 000000* +L0065568 000000 000000 000100 000000 000000 000000 000000 000000* +L0065616 000000 000000 000100 000000 000000 000000 000000 000000* +L0065664 00000001 00000000 00000000 00000000 00001000 00000100 00000010 00000001* +L0065728 00000000 00000001 00000000 00000000 00001000 00000100 00000000 00000000* +L0065792 00000001 00000001 00000001 00000000 00001000 00000100 00000011 00000001* +L0065856 00000000 00000000 00000000 00000000 00001000 00000100 10000000 00000000* +L0065920 00000001 00000001 00000000 00000000 00001000 00001100 10000010 00000001* +L0065984 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0066048 00000000 00000000 00000000 00100000 00000000 00100000 00000000 00000000* +L0066112 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* +L0066176 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* +L0066240 000000 000000 000000 000000 000000 000000 000001 000000* +L0066288 000000 000000 000000 000000 000100 000100 000000 000000* +L0066336 000000 000000 000000 000000 000100 000000 000000 000000* +L0066384 000000 000000 000000 000000 000100 000100 000000 000000* +L0066432 000000 000000 000000 000000 000100 000100 000000 000000* +L0066480 000000 000000 000000 000000 000100 000000 000001 000000* +L0066528 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0066592 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0066656 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0066720 00000000 00000000 00000000 10000000 00000000 00000000 00000000 00000000* +L0066656 00000000 00000000 00000000 00000000 00000000 00000000 10000000 00000000* +L0066720 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0066784 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0066848 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0066912 00000000 00000000 00000000 00000000 00000100 00000000 00000000 00000000* +L0066912 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0066976 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0067040 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0067040 00000000 00000000 00000000 00000000 00000000 00010000 00000100 00000000* L0067104 000000 000000 000000 000000 000000 000000 000000 000000* -L0067152 000000 000000 000000 001000 000000 000000 000000 000000* -L0067200 000000 000000 000000 000000 000000 000000 000000 000000* -L0067248 000000 000000 000000 000000 000000 000000 000000 000000* -L0067296 000000 000000 000000 000000 000000 000000 000000 000000* +L0067152 000000 000000 000000 000000 000000 000000 000000 000000* +L0067200 000000 000000 000000 000000 000000 000001 000000 000000* +L0067248 000000 000000 000000 000000 000000 000000 000001 000000* +L0067296 000000 000000 000000 000000 000000 001000 000001 000000* L0067344 000000 000000 000000 000000 000000 000000 000000 000000* -L0067392 00000010 00000010 00000010 00000010 00010010 00000010 00000010 00010010* -L0067456 00000000 00000000 00000010 00000010 00010010 00000010 00000000 00010010* -L0067520 00000000 00000000 00000000 00000000 00010000 00000000 00000000 00010000* -L0067584 00000000 00000000 00000000 00000000 00010000 00000000 00000000 00010000* -L0067648 00000000 00000000 00000000 00000000 00010100 00000000 00000000 00010000* -L0067712 00000000 00000000 00000000 00000000 00000000 00000000 11010000 00100000* -L0067776 00000010 00000010 00000010 00000010 00000010 00000010 00100010 00100010* -L0067840 00000000 00000000 00000000 00000000 00101000 00000000 00000000 00100000* -L0067904 00000000 00000000 00000000 00000000 00101000 00000000 00000000 00100000* -L0067968 000000 000000 000000 000000 000010 000000 000000 001000* -L0068016 000000 000000 000000 000000 000000 000000 011000 001000* -L0068064 000000 000000 100000 000000 100000 000000 000000 000000* -L0068112 000000 000000 000000 000000 101000 000000 000000 001000* -L0068160 000000 000000 000100 000000 001000 000000 000000 001000* -L0068208 000000 000000 000000 100000 001100 000000 000000 001000* -L0068256 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10000000* -L0068320 00000000 00000000 00000000 00000000 01000000 00000000 00000000 00000100* -L0068384 00000000 00000000 00000000 00000000 01000000 00000000 00000000 00000000* -L0068448 00000000 00000000 00000000 00000000 00001000 00000000 00000000 00000100* -L0068512 00000000 00000000 00000000 00000000 10001000 00000000 00000000 00000000* -L0068576 00000000 00000000 00000000 00000000 10000000 00000000 00100000 00001100* -L0068640 00000000 00000000 00000000 00000000 10000000 00000000 11010000 00000000* -L0068704 00000000 00000000 00000000 00000000 10000100 00000000 00000000 00000100* -L0068768 00000000 00000000 00000000 00000000 10000100 00000000 00000000 00000000* -L0068832 000000 000000 000000 000000 100000 000000 000000 000000* -L0068880 000000 000000 000000 000000 000101 000000 000000 100000* -L0068928 000000 000000 000001 000000 000101 000000 011000 100000* -L0068976 000000 000000 000000 000000 000101 000000 000000 000000* -L0069024 000000 000000 000000 000000 100101 000000 000000 000000* -L0069072 000000 000000 000000 000000 000001 000000 000000 000000* -L0069120 00000000 00000000 00000000 00000000 00000000 00000000 00100000 00000000* +L0067392 00000010 00000010 01000010 00000010 00000010 00000010 11111110 00000010* +L0067456 00000010 00000000 01101010 00000010 00000010 00000010 00000010 00000010* +L0067520 00000000 00000000 00100000 00000000 00000000 00000000 10000000 00000000* +L0067584 00000000 00000000 00000000 00000100 00000000 00000000 10000000 00000000* +L0067648 00000000 00000000 00000000 10000100 00000000 00000000 10000000 00000000* +L0067712 00000000 00000000 00000000 10000000 00000000 00000000 00001100 00000000* +L0067776 00010010 00000010 00100110 10001010 00000010 00000010 00000010 00000010* +L0067840 00000000 00000000 00010100 10001000 00000000 00000000 00000000 00000000* +L0067904 00000000 00000000 00010100 10001000 00000000 00000000 00000100 00000000* +L0067968 000000 000000 000101 100000 000000 000000 000001 000000* +L0068016 000000 000000 000100 000010 000000 000000 100010 000000* +L0068064 000000 000000 000100 000010 000000 000000 000001 000010* +L0068112 000000 000000 000100 000010 000000 000000 000001 000000* +L0068160 000000 000000 000100 000010 000000 000000 000001 000000* +L0068208 000000 000000 000100 000010 000000 000000 000001 000000* +L0068256 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* +L0068320 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* +L0068384 00000000 00000000 10000000 00000000 00000000 00000000 01111100 00000000* +L0068448 00000000 00000000 10100100 00000000 00000000 00000000 00000000 00000000* +L0068512 00000000 00000000 10100100 00000000 00000000 00000000 00000000 00000000* +L0068576 00010000 00000000 00000000 00010000 00000000 00000000 00000000 00000000* +L0068640 00000000 00000000 00000000 00010000 00000000 00000000 00000000 00000000* +L0068704 00000000 00000000 00100000 00000000 00000000 00000000 10001100 00000000* +L0068768 00000000 00000000 00000000 00000000 00001000 00000000 00000000 00000000* +L0068832 000000 000000 100000 000000 000000 000000 000000 000000* +L0068880 000000 000000 000000 000000 000000 000000 000000 000010* +L0068928 000000 000000 100011 010000 000000 000000 000000 000000* +L0068976 000000 000000 100011 010000 000000 000000 100010 000000* +L0069024 000000 000000 101011 000000 000000 000000 000000 000000* +L0069072 000000 000000 101010 000000 000000 000000 000000 000000* +L0069120 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0069184 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0069248 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0069248 00000000 00000000 00000000 00000000 00000000 01000000 00000000 00000000* L0069312 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0069376 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0069440 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0069504 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0069568 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0069568 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* L0069632 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0069696 000000 000000 000000 000000 000000 000000 000000 000000* -L0069744 000000 000000 000000 000000 000000 000000 100001 000000* -L0069792 000000 000000 000000 000000 000000 000000 000001 000000* +L0069744 000000 000000 000000 000000 000000 000000 000000 000000* +L0069792 000000 000000 000000 000000 000000 000000 000000 000000* L0069840 000000 000000 000000 000000 000000 000000 000000 000000* -L0069888 000000 000000 000000 000000 000000 000000 000000 000000* +L0069888 000000 000000 000001 000000 000000 000000 000000 000000* L0069936 000000 000000 000000 000000 000000 000000 000000 000000* -L0069984 00000000 00000000 00000000 00001000 00000000 00000000 00000000 00000000* +L0069984 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0070048 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0070112 00000000 00000000 00000000 00001000 00000000 00000000 00000000 00000000* -L0070176 00000000 00000000 00000000 00001000 00000000 00000000 00000000 00000000* +L0070112 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0070176 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0070240 00000000 00000000 00000000 10000100 00000000 00000000 00000000 00000000* -L0070304 00000000 00000000 00000000 10000000 00000000 00000000 00000100 00000000* -L0070368 00000000 00000000 00000000 00000000 00001000 00000000 00000100 00000000* -L0070432 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* -L0070496 00000000 00000000 00000000 10001000 00000000 00000000 00000000 00000000* +L0070304 00000000 00000000 00000000 10000000 00000000 00000000 00000000 00000000* +L0070368 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0070432 00000000 00000000 00000000 00001000 00000000 00000000 00000000 00000000* +L0070496 00000000 00000000 00000000 10000000 00000000 00000000 00000000 00000000* L0070560 000000 000000 000000 000000 000000 000000 000000 000000* -L0070608 000000 000010 000000 000010 000000 000000 000000 000000* -L0070656 000000 000000 000000 000000 000000 000000 000000 000000* -L0070704 000000 000000 000000 000010 000000 000000 000001 000000* -L0070752 000000 000000 000000 000110 000000 000000 000000 000000* +L0070608 000000 000000 000000 000010 000000 000000 000000 000000* +L0070656 000000 000000 000000 000000 000000 000000 001000 000000* +L0070704 000000 000000 000000 000010 000000 000000 000000 000000* +L0070752 000000 000000 000000 000010 000000 000000 000000 000000* L0070800 000000 000000 000000 000000 000000 000000 000000 000000* -L0070848 00000000 00000000 00000000 00000000 01000000 00000000 00000000 00000000* +L0070848 00000000 00000000 00000000 00000000 00000000 00010000 00000000 00000000* L0070912 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0070976 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* +L0070976 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0071040 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0071104 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0071168 00000000 00000000 00010100 00000000 00000000 00000000 00000000 00000000* +L0071168 00000000 00000000 00100000 00000000 00100000 00000000 00000000 00000000* L0071232 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0071296 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0071360 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0071424 000000 000000 000000 000000 000000 000000 000000 000000* -L0071472 000000 000000 100000 000000 000000 000000 000000 000000* +L0071472 010000 000000 000000 000000 000000 000000 000000 000000* L0071520 000000 000000 000000 000000 000000 000000 000000 000000* L0071568 000000 000000 000000 000000 000000 000000 000000 000000* L0071616 000000 000000 000000 000000 000000 000000 000000 000000* -L0071664 000000 000000 000000 000000 000000 001000 000000 000000* +L0071664 000000 000000 000000 000000 000000 000000 000000 000000* L0071712 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0071776 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0071840 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0071904 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0071968 00000000 00000000 00001000 00000000 00000000 00000000 00000000 00000000* +L0071968 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0072032 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0072096 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* -L0072160 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* -L0072224 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* -L0072288 000000 000000 100000 000000 000000 000000 000000 000000* -L0072336 000000 000000 000000 000000 000000 000000 000000 000000* -L0072384 000000 000000 001000 000000 000000 000000 000000 000000* -L0072432 000000 000000 001000 000000 000000 000000 000000 010000* -L0072480 000000 000000 001010 000000 000000 000000 000000 000000* -L0072528 000000 000000 001110 000000 000000 000000 000000 000000* -L0072576 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* -L0072640 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* +L0072096 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0072160 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0072224 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0072288 000000 000000 000000 000000 000000 000000 000000 000000* +L0072336 000001 001000 000000 000000 000000 000000 000000 001000* +L0072384 000001 000000 000000 000000 000000 000000 000000 001000* +L0072432 000001 000000 000000 000000 000000 000000 000000 000000* +L0072480 000001 000000 000000 000000 000000 000000 000000 000000* +L0072528 000001 000000 000000 000000 000000 000000 000000 000000* +L0072576 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0072640 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0072704 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0072768 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0072832 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* @@ -1360,355 +1360,355 @@ L0072960 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 L0073024 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0073088 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0073152 000000 000000 000000 000000 000000 000000 000000 000000* -L0073200 000000 000000 000001 000000 000000 000000 000000 000000* -L0073248 000000 000000 000001 000000 000000 000000 000000 000000* +L0073200 000000 000000 000000 000000 000000 000000 000000 000000* +L0073248 010000 000000 000000 100000 000000 000000 000000 001000* L0073296 000000 000000 000000 000000 000000 000000 000000 000000* -L0073344 000000 000000 000100 000000 000000 000000 000000 000000* +L0073344 000000 000000 000000 000000 000000 000000 000000 000000* L0073392 000000 000000 000000 000000 000000 000000 000000 000000* -L0073440 00000000 00000000 01011000 00000000 00000000 00000000 10000000 00000000* -L0073504 00000000 00000000 01011000 00000000 00000000 00000000 00000000 00000000* -L0073568 00000000 00000000 10011100 00000000 00000000 00000000 00000000 00000000* -L0073632 00000000 00000000 10010100 00000000 00000000 00000000 00000000 00000000* -L0073696 00000000 00000000 00011100 00000000 00000000 00000000 00000000 00000000* -L0073760 00000000 00000000 00010100 01000000 00000000 00000000 00000000 00000000* -L0073824 00000000 00000000 10010100 00000000 00000000 00100000 00000000 00000000* -L0073888 00000000 00000000 10001100 00000000 00010000 00100000 00000000 00000000* -L0073952 00000000 00000000 10011100 00000000 00000000 00100000 00000000 00000000* -L0074016 000000 000000 100111 000000 000000 000000 000000 000000* +L0073440 00000000 00001000 00000000 00000000 00000000 00000000 00000000 00000000* +L0073504 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0073568 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0073632 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0073696 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* +L0073760 10000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0073824 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* +L0073888 00000000 00000000 00000000 00000000 00100000 00100000 00000000 00000000* +L0073952 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* +L0074016 000000 000000 000000 000000 000000 000000 000000 000000* L0074064 000000 000000 000000 000000 000000 000000 000000 000000* -L0074112 000000 000000 001110 000000 000000 000000 000000 000000* -L0074160 000000 000000 001011 000000 000000 000000 000000 000000* -L0074208 000000 000000 001011 000000 000000 000000 000000 000000* -L0074256 000000 000000 001110 000000 000001 000000 000000 000000* -L0074304 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0074112 000000 000000 000000 000000 000000 000000 000000 100000* +L0074160 000000 000000 000000 000000 000000 000000 000000 000000* +L0074208 000000 000000 000000 000000 000000 000000 000000 000000* +L0074256 000000 000000 000000 000000 000000 000000 000000 000000* +L0074304 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00001000* L0074368 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0074432 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0074496 00000000 00000000 00000000 00000000 00000000 00001000 00000000 00000000* -L0074560 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* +L0074496 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0074560 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0074624 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0074688 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0074688 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* L0074752 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0074816 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* -L0074880 000000 000000 000000 000000 000000 000000 000000 000000* -L0074928 000000 000000 000000 000000 000000 000000 000000 000000* -L0074976 000000 000000 000000 000000 000000 000000 000000 000000* -L0075024 000000 000000 000000 000000 000000 000000 000000 000000* -L0075072 000000 000000 000000 000000 000000 000100 000000 000000* -L0075120 000000 000000 000000 000000 000000 000000 000000 000000* -L0075168 00000000 00000000 00000000 00000000 00000000 00000000 10000000 00000100* -L0075232 00000000 00000000 00000000 00000000 00000000 10000000 00011100 00000000* -L0075296 00000000 00000000 00000000 00000000 00000000 00000000 00011100 00000000* +L0074816 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00001000* +L0074880 000000 000000 001000 000000 000000 000000 000000 000000* +L0074928 000000 000000 001000 000000 000000 000000 000000 000000* +L0074976 000000 000000 000000 000000 000010 000000 000000 000000* +L0075024 000000 000000 001000 000000 000010 000000 000000 000000* +L0075072 000000 000000 001000 000000 000010 000000 000000 000000* +L0075120 000000 000000 001000 000000 000010 000000 000000 000000* +L0075168 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0075232 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0075296 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0075360 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0075424 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0075488 00001000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* -L0075552 00000000 00000000 00000000 01000000 00000000 00000100 00001000 00000000* -L0075616 00000000 00000000 00000000 00000000 00000000 00000000 00001000 00000000* -L0075680 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0075744 000000 000000 000000 000000 000000 000000 000000 000000* +L0075424 00000000 00000000 00000000 00000000 00000000 00010000 00000000 00000000* +L0075488 00000000 00000000 00000000 00010000 00000000 00010000 00000000 00000000* +L0075552 00000000 00000000 00000000 00010000 00000000 00000000 00000000 00001000* +L0075616 00000000 00000000 00000000 00000000 00000000 00010000 00000000 00001000* +L0075680 00000000 00000000 00000000 00000000 00000000 00010000 00000000 00000000* +L0075744 000000 000000 000000 000000 000000 000100 000000 000000* L0075792 000000 000000 000000 000000 000000 000000 000000 000000* -L0075840 000000 000000 000000 000000 000000 000000 000010 000000* -L0075888 000001 000000 000000 000000 000000 100000 000010 000000* -L0075936 000011 000000 000000 000000 000000 000000 000000 000000* +L0075840 000000 000000 000000 000000 000000 000000 000000 000000* +L0075888 000000 000000 000000 000000 000000 000000 000000 000000* +L0075936 000000 000000 000000 000000 000000 000000 000000 000000* L0075984 000000 000000 000000 000000 000000 000000 000000 000000* L0076032 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0076096 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0076160 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0076224 00000000 00000000 00000000 00001000 00000000 00010000 00000000 00000000* -L0076288 00000000 00000000 00000000 00001100 00000000 00000000 00000000 00000000* -L0076352 00000000 00000000 00000000 00000000 00000000 10000000 00000000 00000000* +L0076096 10000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0076160 10000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0076224 10000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0076288 10000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0076352 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0076416 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0076480 00000000 00000000 00000000 10000000 10000000 00000000 00000100 00000000* -L0076544 00000000 00000000 00000000 10001000 00000000 00000000 00000000 00000000* -L0076608 000000 000000 000000 100010 000000 000000 000000 000000* -L0076656 000000 000000 000000 000000 000000 000000 000000 000000* -L0076704 000000 000000 000000 000000 000000 000000 000000 000000* -L0076752 000000 000000 000000 000000 000000 000000 000000 000000* -L0076800 000000 000000 000000 000110 000000 000000 000000 000000* -L0076848 000000 000000 000000 000110 000000 000000 000000 000000* -L0076896 00000000 00000000 00000000 00001000 00010000 00000000 01000000 00000000* -L0076960 00000000 00000000 00000000 00001000 00010000 00000000 01011100 00000000* -L0077024 00000000 00000000 00000000 00001000 00000000 00000000 00011100 00000000* -L0077088 00000000 00000000 00100000 00000100 00000000 00000000 00000000 00000000* -L0077152 00000000 00000000 00000000 10000000 00000000 00000000 00000000 00000000* -L0077216 00000000 00000000 00000000 10000000 00000000 00000000 00000000 00010000* -L0077280 00000000 00000000 00000000 10000000 00000000 00000000 00001000 00000000* -L0077344 00000000 00000000 00000000 00001000 00001000 10000000 00001000 00000000* -L0077408 00000000 00000000 00000000 00000000 00001000 00000000 00000000 00000000* -L0077472 000000 000000 000000 000000 000000 000000 000001 000000* -L0077520 000000 000000 000000 000010 000010 000000 000000 000000* -L0077568 000000 000000 000000 000110 000000 000000 000010 000100* -L0077616 000000 000000 000000 000110 001000 000000 000010 000000* -L0077664 000000 000000 000000 000000 001000 000000 000000 000000* -L0077712 000000 000000 000000 000000 000000 000000 000001 000000* +L0076480 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0076544 00000000 00000000 00000000 00000000 00000000 00000000 00000000 01000000* +L0076608 000000 000000 000000 000000 000000 000000 000000 000001* +L0076656 000001 000000 000000 000000 000000 000000 000000 000000* +L0076704 010001 000000 000000 000000 000000 000000 000001 000000* +L0076752 000001 000000 000000 000000 000000 000000 000000 000000* +L0076800 000001 000000 100000 000000 000000 000000 000000 000100* +L0076848 000001 000000 000000 000000 000000 000000 000000 000000* +L0076896 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0076960 00000000 00000000 00000000 00000000 00000000 00000000 10000100 00010100* +L0077024 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00010100* +L0077088 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00000000* +L0077152 00000000 00000000 00000000 10000100 00000000 00000000 00000000 00000000* +L0077216 00000000 00000000 00000000 10000000 00000000 00000000 00000000 00000100* +L0077280 00000000 00000000 00000100 10001000 00000000 00000000 00000100 00000100* +L0077344 00000000 00000000 00010100 10001000 00000000 00000000 10000100 00000000* +L0077408 00000000 00000000 00110000 10001000 00000000 00000000 00000000 00000000* +L0077472 000000 000000 000000 100000 000000 000000 000000 000000* +L0077520 000000 000000 000100 000010 000000 000000 100000 000000* +L0077568 000000 000000 000100 000010 000000 000000 000000 000000* +L0077616 000000 000000 000000 000010 000000 000000 000000 000000* +L0077664 000000 000000 000000 000010 000000 000000 000000 000000* +L0077712 000000 000000 000000 000010 000000 000000 000000 000000* L0077760 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0077824 00000000 00000000 00000000 00000000 01000000 00000000 00000000 00000000* -L0077888 00000000 00000000 00000000 00000000 01000000 00000000 00000000 00000000* -L0077952 00000000 00000000 00000000 00000000 00000000 00110000 00000000 00000000* +L0077824 00000000 00000000 00000000 00000000 00000000 00000000 00000000 01000000* +L0077888 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00001000* +L0077952 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0078016 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0078080 00000000 00000000 00000000 00000000 00000000 10000000 00000000 00000000* -L0078144 00000000 00000000 00000000 00000000 00000000 01000000 00000000 11000000* -L0078208 00000000 00000000 00000000 00000000 00000000 01000000 00000000 00000000* +L0078080 00000000 00100000 00000000 00000000 00000000 00000000 00000000 00000000* +L0078144 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0078208 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0078272 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0078336 000000 000000 000000 000000 000000 000000 000000 000000* +L0078336 000000 000000 000000 000000 000000 000000 000000 110000* L0078384 000000 000000 000000 000000 000000 000000 000000 000000* L0078432 000000 000000 000000 000000 000000 000000 000000 000000* -L0078480 000000 000000 000000 000000 000000 000000 000000 000010* -L0078528 000000 000000 000000 000000 100010 010000 000000 000000* -L0078576 000000 000000 000000 000000 100000 000000 000000 000000* -L0078624 00000000 00000000 00000000 00001000 00010000 00000000 00000000 00000000* -L0078688 00000000 00000000 00000000 00001000 00010000 00000000 00000000 00010100* -L0078752 00000000 00000000 00100000 00001000 00010000 00000000 00000000 00010100* -L0078816 00000000 00000000 00100000 00011100 00011000 00000000 00000000 00010100* -L0078880 00000000 00000000 00100000 10001100 00010000 00000000 00000000 00010100* -L0078944 00000000 00000000 00000000 10010000 00000000 00000000 00000000 00000100* -L0079008 00000000 00000000 00000000 10000000 00000000 00000000 00000000 00000100* -L0079072 00000000 00000000 00000000 10001000 00001000 10000000 00000000 00000100* -L0079136 00000000 00000000 00000000 10001000 00001000 00000000 00000000 00000100* -L0079200 000000 000000 000000 100010 000010 000000 000000 000000* -L0079248 000000 000000 000000 000110 000101 000000 000000 000000* -L0079296 000000 000000 000000 000110 000000 010000 010000 000000* -L0079344 000000 000000 000000 000110 001000 010000 000000 000000* -L0079392 000000 000000 000000 000110 001000 000000 000000 000000* -L0079440 000000 000000 000000 000110 001100 000000 000000 000000* -L0079488 00000100 00000000 00000000 00000000 00000000 10000000 00000000 00000000* -L0079552 00000000 00000000 00000000 00000000 00000000 10000000 00000000 00000000* +L0078480 000000 000000 000000 000000 000000 000000 000000 000001* +L0078528 000000 000000 000000 000000 000000 000000 000000 000000* +L0078576 000000 000000 000000 000000 000000 000000 000000 000100* +L0078624 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0078688 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00010100* +L0078752 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00010100* +L0078816 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00010100* +L0078880 00000000 00000000 00000000 10000100 00000000 00000000 00000000 00010100* +L0078944 00000000 00000000 00000000 10010000 00000000 00000000 00000000 00100100* +L0079008 00000000 00000000 00000100 10011000 00000000 00000000 00000000 00100100* +L0079072 00000000 00000000 00110100 10001000 00000000 00000000 00000000 00000100* +L0079136 00000000 00000000 00110100 10001000 00000000 00000000 00000000 00000100* +L0079200 000000 000000 001101 100000 000000 000000 000000 000000* +L0079248 000000 000000 000100 000010 000000 000000 000000 000000* +L0079296 000000 000000 000100 010010 000000 000000 010000 000000* +L0079344 000000 000000 000100 000010 000000 000000 000000 000000* +L0079392 000000 000000 000100 000010 000010 000000 000000 000000* +L0079440 000000 000000 000100 000010 000010 000000 000000 000000* +L0079488 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0079552 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0079616 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0079680 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0079744 00000000 00000000 00000000 00000000 00000000 00010000 00000000 00000000* -L0079808 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* -L0079872 00000000 00000000 00000000 00001000 00000000 00000000 00000000 00000000* +L0079744 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0079808 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0079872 00000000 00000000 00000000 00000000 00000000 00001000 00000000 00000000* L0079936 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0080000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0080064 000000 000000 000000 000000 000000 001000 000000 000000* +L0080064 000000 000000 000000 000000 000000 000000 000000 000000* L0080112 000000 000000 000000 000000 000000 000000 000000 000000* -L0080160 000000 000000 000000 000000 000000 100000 000000 000000* -L0080208 000000 000000 000000 000000 000000 100000 000000 000000* +L0080160 000000 000000 000000 000000 000000 000000 000001 000000* +L0080208 000000 000000 000000 000000 000000 000000 000000 000000* L0080256 000000 000000 000000 000000 000000 000000 000000 000000* L0080304 000000 000000 000000 000000 000000 000000 000000 000000* -L0080352 00000000 00000000 00000000 00000000 00000000 00000000 10000000 00000000* -L0080416 00000000 00000000 00000000 01110000 00000000 01000000 00011000 00000000* -L0080480 00000000 00000000 00000000 01110000 00000000 00001000 00011000 00000000* -L0080544 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0080608 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0080672 00000000 00000000 00000000 00000000 00000000 00000000 00001000 00000000* -L0080736 00000000 00000000 00000000 00000100 00000000 00100000 00000000 00000000* -L0080800 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0080864 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0080928 000000 000000 000000 000000 000000 000000 000000 000000* -L0080976 000000 000000 000000 000000 000000 000100 000000 000000* -L0081024 000000 000000 000000 101000 000000 000000 000000 000000* -L0081072 000000 000000 000000 101000 000000 000000 000000 000000* -L0081120 000000 000000 000000 000000 000000 000001 000000 000000* -L0081168 000000 000000 000000 000000 000000 000000 000000 000000* -L0081216 00000000 00000000 00000000 00000000 00000000 00000000 10000000 00000000* -L0081280 00000000 00000000 00000000 00000000 00000000 00000000 00000000 01000000* -L0081344 00000000 00000000 00000000 00000000 00000000 01000000 00000000 00001000* -L0081408 00000000 00000000 00000000 00000000 00000100 00000000 00000000 00000000* -L0081472 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0081536 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0081600 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0081664 00000000 00000000 00100000 00000000 00000000 00000100 00000000 00000000* -L0081728 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0081792 000000 000000 000000 000000 000000 000000 000000 110000* -L0081840 000000 000000 000000 000000 000000 000000 000000 000000* -L0081888 000000 000000 000000 000000 000000 000000 000000 000000* -L0081936 000000 000000 000000 000000 000000 000000 000000 000001* -L0081984 000000 000000 000000 000000 000000 000000 000000 000000* -L0082032 000000 000000 000000 000000 000000 000000 000000 000100* -L0082080 00000000 00000000 00000000 00001000 00000000 00000000 00000000 00000000* -L0082144 00000000 00000000 00000000 00001000 00000000 00000000 00011100 00010100* -L0082208 00000000 00000000 00000000 00001000 00000000 00000000 00011100 00010100* -L0082272 00000000 00000000 00000000 00011100 00000000 00000000 00000000 00010100* -L0082336 00000000 00000000 00000000 10001100 00000000 00000000 00000000 00010100* -L0082400 00000000 00001000 00000000 10010000 00000000 00000000 00000000 00100100* -L0082464 00000000 00000000 00000000 10000000 00000000 00000000 00001000 00100100* -L0082528 00000100 00000000 00000000 10001000 00000000 00000000 00001000 00000100* -L0082592 00000000 00000000 00000000 10001000 00000000 00000000 00000000 00000100* -L0082656 000000 000000 000000 100010 000000 000000 000000 000000* -L0082704 000000 000000 000000 000110 000000 000000 000000 000000* -L0082752 000000 000000 000000 010110 000000 000000 000010 000000* -L0082800 000000 000000 000000 000110 000000 000000 000010 000000* -L0082848 000000 000000 000000 000110 000000 000000 000000 000000* -L0082896 000000 000000 000000 000110 000000 000000 000000 000000* +L0080352 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0080416 00000000 00000000 00000000 00000000 00000000 00000000 11111100 00000000* +L0080480 00000000 00000000 00000000 00000000 00000000 00000000 01111100 00000000* +L0080544 00000000 00000000 10000000 00000100 00000000 00000000 00000000 00000000* +L0080608 00000000 00000000 00000000 10000100 00000000 00000000 00000000 00000000* +L0080672 00000000 00000000 00000000 10000000 00000000 00000000 00001000 00000000* +L0080736 00000000 00000000 00000000 10001000 00000000 00000000 00000100 00000000* +L0080800 00000000 00000000 00000000 10001000 00000000 00000000 10000100 00000000* +L0080864 00000000 00000000 00000000 10001000 00000000 00000000 00000000 00000000* +L0080928 000000 000000 000000 100000 000000 000000 000000 000000* +L0080976 000000 000100 000000 000010 000000 000000 000000 000000* +L0081024 000000 000000 000000 000010 000000 000000 100000 000000* +L0081072 000000 000000 000000 000010 000000 000000 100000 010000* +L0081120 000000 000000 000000 000010 000000 000000 000000 000000* +L0081168 000000 000000 000000 000010 000000 000000 000000 000000* +L0081216 00000000 00000000 00000000 10000100 10011000 00000000 00000000 00000000* +L0081280 00000000 00000000 00000000 10000000 00000000 00000000 00000000 00000000* +L0081344 00000000 00000000 00000000 10000000 00000000 00000000 00000000 00000000* +L0081408 00000000 00000000 00101000 10000000 00011000 00001000 00000000 00000000* +L0081472 00000000 00000000 00100000 00000000 00000000 00000100 00000000 00000000* +L0081536 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00000000* +L0081600 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00000000* +L0081664 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00000000* +L0081728 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00000000* +L0081792 000000 000000 000000 000001 000000 000000 000000 000000* +L0081840 000000 000000 000000 000100 000000 000000 000000 000000* +L0081888 000000 000000 000000 000001 000000 000000 000000 000000* +L0081936 000000 000000 000000 000001 000001 000000 000000 000000* +L0081984 000000 000000 000000 000001 000000 000100 000000 000000* +L0082032 000000 000000 000000 000001 000000 000000 000000 000000* +L0082080 00000000 00000000 10000000 00000000 00000100 10000000 00000000 00000100* +L0082144 00000000 00000000 00000000 00000000 00000100 00000000 00000000 00000000* +L0082208 00000000 00000000 00000000 00000100 01000100 00000000 10000000 00000000* +L0082272 00000000 00000000 00000100 00000000 00000100 00000000 10000000 00000000* +L0082336 00000000 00000000 00000000 00000000 01000100 00010000 10000000 00000000* +L0082400 00000000 00000000 00000000 00000000 00000100 00000000 00100000 00000000* +L0082464 00000000 00000100 00000100 00000000 00000100 00000000 00000000 00000000* +L0082528 00000000 00000000 00110100 00000000 00000100 00000000 00000000 00000000* +L0082592 00000000 00000000 00110100 00000000 00000100 00000000 00000100 00000000* +L0082656 000000 000000 001101 000000 000001 001000 000001 000000* +L0082704 000000 010000 000100 000001 010000 000000 000000 000000* +L0082752 000000 000000 000110 000000 010000 100000 000000 000000* +L0082800 000000 000000 000100 000000 010000 000000 000001 000000* +L0082848 000000 000000 001100 000000 010000 000000 000001 000000* +L0082896 000000 000000 000100 000000 010000 000001 000001 000000* L0082944 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0083008 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0083072 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0083136 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0083200 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0083264 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0083328 00000000 00000000 00000000 00001000 00000000 00000000 00000000 00000000* +L0083264 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0083328 00000000 00000000 00010000 00000000 00000000 00000000 00000000 00000000* L0083392 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0083456 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0083520 000000 000000 000000 000000 000000 000000 000000 000000* L0083568 000000 000000 000000 000000 000000 000000 000000 000000* -L0083616 000001 000000 000000 000000 000000 000000 000000 000000* -L0083664 000000 000000 000000 000000 000000 000000 000000 000000* +L0083616 000000 000000 000000 000000 000000 000000 000000 000000* +L0083664 000000 000000 000000 000000 000010 000000 000000 000000* L0083712 000000 000000 000000 000000 000000 000000 000000 000000* L0083760 000000 000000 000000 000000 000000 000000 000000 000000* -L0083808 00000000 00100000 00000000 00000000 00000000 00000000 00000000 00000000* -L0083872 00000000 00000000 00000000 01110000 00000000 00000000 00000000 00000000* -L0083936 00000000 00000000 00000000 01110000 00000000 00000000 00000000 00000000* -L0084000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0083808 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0083872 00000000 00000000 00010000 00000000 00000000 00000000 00000000 00000000* +L0083936 00000000 00000000 00010000 00000000 00000000 00000000 00000000 00000000* +L0084000 00000000 00000000 01000000 00000000 00000000 00000000 00000000 00000000* L0084064 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0084128 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0084192 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0084256 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00000000* +L0084128 00000000 00000000 00010000 00000000 00000000 00001000 00000000 00000000* +L0084192 00000000 00000000 00000000 00000100 00000000 00000000 10000000 00000000* +L0084256 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0084320 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0084384 000000 000000 000000 000000 000000 000000 000000 000000* -L0084432 000001 000000 000000 000000 000000 000000 000000 000000* -L0084480 000000 000000 000000 101000 000000 000000 000000 000000* -L0084528 000000 000000 000000 101000 000000 000000 000000 000000* -L0084576 000000 000000 000000 000000 000000 000000 000000 010000* -L0084624 000000 000000 000000 000000 000000 000000 000000 000000* -L0084672 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0084432 000000 000000 100000 000100 000000 000000 000000 000000* +L0084480 000000 000000 000000 000000 000000 000000 000000 000000* +L0084528 000000 000000 000000 000000 000000 000000 000000 000000* +L0084576 000000 000000 000000 000000 000000 000000 000000 000000* +L0084624 000000 000000 000001 000000 000000 000000 000000 000000* +L0084672 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00010000* L0084736 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0084800 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0084864 00000000 00000000 00000000 00000000 00000100 00000000 00000000 00000000* +L0084864 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0084928 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0084992 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0085056 00000000 00000000 00000000 00001000 00000000 00001000 00000000 00000000* +L0085056 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0085120 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0085184 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0085248 000000 000000 000000 000000 000000 000000 000000 000000* -L0085296 000000 000000 000000 000000 000000 000000 000000 000000* -L0085344 000000 000000 000000 000000 000000 000000 000000 000000* +L0085184 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* +L0085248 000000 000000 001010 000000 000000 000000 000000 001000* +L0085296 000000 000000 000000 000000 000000 000010 000000 000000* +L0085344 000000 000000 000000 000000 000000 000000 000001 000000* L0085392 000000 000000 000000 000000 000000 000000 000000 000000* L0085440 000000 000000 000000 000000 000000 000000 000000 000000* L0085488 000000 000000 000000 000000 000000 000000 000000 000000* -L0085536 00000000 00000100 00000000 00000000 00010000 00000000 00000000 00000000* -L0085600 00000000 00000000 00000000 01110000 00011100 00000000 00000000 00000000* -L0085664 00000000 00000000 00000000 01110000 00011100 00000000 00000000 00000000* -L0085728 00000000 00000000 00000000 00000000 00011000 00000000 00000000 00000000* -L0085792 00000000 00000000 00000000 00000000 00011100 00000000 00000000 00000000* +L0085536 00000000 00000000 00000000 00000000 00000000 00000000 00010000 00000000* +L0085600 00000000 00000000 00001100 00000000 00000000 00000000 11100100 00000000* +L0085664 00000000 00000000 00001100 00000000 00000000 00000000 01100100 00000000* +L0085728 00000000 00000000 00001100 00000000 00000000 00000000 00000000 00000000* +L0085792 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* L0085856 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0085920 00000000 00000000 00000000 00000000 00000000 00000000 00010000 00000000* -L0085984 00000000 00000000 00000000 00000000 00001000 00000000 00000000 00000000* -L0086048 00000000 00000000 00000000 00000100 00001000 00000000 00000000 00000000* -L0086112 000000 000000 000000 000000 000010 000000 000000 000000* -L0086160 000000 000000 000000 000000 000100 000000 000000 000000* -L0086208 000000 000000 000000 101000 000100 000000 000000 000000* -L0086256 000000 000000 000000 101000 001110 000000 000000 000000* -L0086304 000000 000000 000000 000000 001110 000000 000000 000000* -L0086352 000000 000000 000000 000000 001100 000000 000000 000000* -L0086400 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00000000* -L0086464 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00000000* -L0086528 00000000 00000000 00000000 00000000 00000000 01000000 00000000 00000000* +L0085920 00000000 00000000 00000100 00000000 00000000 00000000 00000100 00000000* +L0085984 00000000 00000000 00011100 00000100 00000000 00000000 10000100 00000000* +L0086048 00000000 00000000 00011100 00000000 00000000 00000000 00000000 00000000* +L0086112 000000 000000 000101 000000 000000 000000 000000 110000* +L0086160 000000 000000 000100 000100 000000 000000 000000 000000* +L0086208 000000 000000 000110 000000 000000 000000 100000 000000* +L0086256 000000 000000 000110 000000 000000 000000 100000 000000* +L0086304 000000 000000 000110 000000 000000 000000 000000 010000* +L0086352 000000 000000 000110 000000 000000 000000 000000 000100* +L0086400 00000000 00000000 00000000 00000000 10011000 00000000 00000000 00000000* +L0086464 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0086528 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0086592 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0086656 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0086720 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0086784 00000000 00000000 00000000 00001000 00000000 00000000 00000000 00000000* -L0086848 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* -L0086912 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0086976 000000 000000 000000 000000 000000 000000 000000 000000* +L0086720 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* +L0086784 00000000 00000000 00000000 00000000 10000000 00000000 00000000 11000000* +L0086848 00000000 00000000 10000000 00000000 10000000 01000000 00000000 00000000* +L0086912 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* +L0086976 000000 000000 000000 000000 100000 000000 000000 000000* L0087024 000000 000000 000000 000000 000000 000000 000000 000000* -L0087072 000000 000000 000000 000000 000000 000000 000000 000000* -L0087120 000000 000000 000000 000000 000000 000000 000000 000000* -L0087168 000000 000000 000000 000000 000000 000000 000000 000000* -L0087216 000000 000000 000000 000000 000000 000000 000000 000000* -L0087264 00000000 00000000 00000000 00000000 00100000 00000000 00000000 00000100* -L0087328 00000000 00000000 00000000 01110000 00000000 00000000 00000000 00000000* -L0087392 00000000 00000000 00000000 01110100 00000000 00000000 00000000 00000000* -L0087456 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0087520 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0087584 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0087648 00000000 00000000 00000000 00000000 00000000 00000000 00100000 00000000* -L0087712 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0087776 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0087840 000000 000000 000000 000000 000001 000000 000000 000000* -L0087888 000000 000000 000000 000000 000000 000000 000000 000000* -L0087936 000000 000000 000000 101000 000000 000000 000000 000000* -L0087984 000000 000000 000000 101000 000000 000000 000000 000000* -L0088032 000000 000000 000000 000001 000000 000000 000000 000000* -L0088080 000000 000000 000000 000000 000000 000000 000000 000000* -L0088128 00000000 00000000 10000000 00000000 10000000 00010000 00000000 00100000* -L0088192 00000000 00000000 10000000 00000000 10000000 00000000 00000000 00000000* -L0088256 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* -L0088320 00000000 00000000 10000000 00000000 10000000 00000000 00000000 00000000* -L0088384 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* -L0088448 00000000 00000000 01000000 01000000 10000000 00000000 00000000 00000000* -L0088512 00000000 00000000 10000000 01000000 10000000 00000000 00000000 00001000* -L0088576 00000000 00000000 10000000 00000000 10000100 00000000 00000000 00000000* -L0088640 00000000 00000000 10000000 00000000 10000100 00000000 00000000 00000000* -L0088704 000000 000000 100000 000000 100001 000000 000000 000000* -L0088752 000000 000000 000001 000000 000001 000000 000000 000000* -L0088800 000000 000000 000001 000000 000001 000000 000000 000000* -L0088848 000000 000000 000001 000000 000001 000000 000000 000000* -L0088896 000000 000000 000100 100000 000001 000000 000000 000000* -L0088944 000000 000000 000000 000000 000001 000000 000000 000000* -L0088992 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* -L0089056 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* -L0089120 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0089184 00000000 00000000 00001000 00000000 00000000 00000000 00000000 00000000* -L0089248 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* +L0087072 000000 000000 000000 000000 001100 000000 000001 000000* +L0087120 000000 000000 000000 000000 001100 010000 000000 000010* +L0087168 000000 000000 000000 000000 001100 000000 000000 000000* +L0087216 000000 000000 000000 000000 001101 000000 000000 000000* +L0087264 00000000 00000000 00000000 00000000 01000000 00000000 00000000 00000000* +L0087328 00000000 00000000 00000000 00000000 00000000 00000000 11111100 00010100* +L0087392 00000000 00000000 00000000 00000000 00000000 00100000 01111100 00010100* +L0087456 00000000 00000000 00000000 00000100 01000000 00000000 00000000 00010100* +L0087520 00000000 00000000 00000000 10000100 00000000 00000000 00000000 00010100* +L0087584 00000000 00000000 00000000 10010000 00000000 01000000 00000000 00000100* +L0087648 00000000 00000000 00000000 10011000 00000000 00000000 00001100 00000100* +L0087712 00000000 00000000 00000000 10001000 00000000 00000000 10001100 00000100* +L0087776 00000000 00000000 00000000 10001000 00000000 00000000 00000000 00000100* +L0087840 000000 000000 000000 100000 000000 000000 000000 000000* +L0087888 000000 000000 000000 000010 000000 010000 000000 000000* +L0087936 000000 000000 000000 000010 000001 000000 100010 000000* +L0087984 000000 000000 000000 000010 000000 000000 100010 000000* +L0088032 000000 000000 000000 000010 000000 000000 000000 000000* +L0088080 000000 000000 000000 000010 000000 000000 000000 000000* +L0088128 00100000 00000000 00000000 00000000 00000000 10000000 00000000 00000000* +L0088192 00000000 00000000 00000000 00000000 00000000 10000000 00000000 00000000* +L0088256 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0088320 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0088384 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0088448 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0088512 00000000 00000000 00000000 00000000 00000000 10010100 00000000 00000000* +L0088576 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0088640 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0088704 000000 000000 000000 000000 000000 000000 000000 000000* +L0088752 000000 000000 000000 000000 000000 000000 000000 000000* +L0088800 000000 000000 000000 000000 000000 101100 000001 000000* +L0088848 000000 000000 000000 000000 000000 100000 000000 000000* +L0088896 000000 000000 000000 000000 000000 000000 000000 000000* +L0088944 000000 000000 000000 000000 000000 000001 000000 000000* +L0088992 00000000 00000000 00000000 00000000 00000000 00000100 01000000 00000000* +L0089056 00000000 00000000 00000000 00000000 00000000 00001000 10000100 00000000* +L0089120 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* +L0089184 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0089248 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0089312 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0089376 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0089440 00000000 00000000 00010000 00000000 00000000 00000000 00000000 00000000* -L0089504 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00001000* +L0089376 00000000 00000000 01000000 00000000 00000000 00000000 00000100 00000000* +L0089440 00000000 00000000 00000000 00000000 00000000 00000000 10000100 00000000* +L0089504 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0089568 000000 000000 000000 000000 000000 000000 000000 000000* -L0089616 000000 000000 001000 000000 000000 000000 000000 000000* -L0089664 000000 000000 000000 000000 000000 000000 000000 000000* -L0089712 000000 000000 000100 000000 000000 000000 000000 000000* +L0089616 000000 000000 000001 000000 000000 000000 000000 000000* +L0089664 000000 000000 000000 000000 000000 000000 100000 000000* +L0089712 000000 000000 000000 000000 000000 000000 100000 000000* L0089760 000000 000000 000000 000000 000000 000000 000000 000000* L0089808 000000 000000 000000 000000 000000 000000 000000 000000* -L0089856 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0089920 00000000 00000000 00000000 00000000 01000000 00000000 00000000 00000000* -L0089984 00000000 00000000 00000000 00000000 01000000 00000000 00000000 00000000* -L0090048 00000000 00000000 00000000 00000000 00000000 00001000 00000000 00000000* -L0090112 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* -L0090176 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0090240 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0090304 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0090368 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* -L0090432 000000 000000 000001 000000 000000 000000 000000 000000* -L0090480 000000 000000 000000 000000 000000 000000 000000 000000* -L0090528 000000 000000 000000 000000 000000 000000 000000 000000* -L0090576 000000 000000 000000 000000 000100 000000 000000 001000* -L0090624 000000 000000 000000 000000 000100 000100 000000 000000* -L0090672 000000 000000 000000 000000 100000 000000 000000 000000* -L0090720 00000000 00000000 01001000 00000000 01000000 10000000 00000000 00000000* -L0090784 00000000 00000000 01001000 00000000 00000000 00000000 00000000 00000100* -L0090848 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000100* -L0090912 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* -L0090976 00000000 00000000 00000000 00000000 00000000 00010000 00000000 00000100* -L0091040 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* -L0091104 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* -L0091168 00000000 00000000 00000000 00000000 00100000 00000000 00000000 00000100* -L0091232 00000000 00000000 00000000 00000000 00100000 00000000 00000000 00000100* -L0091296 000000 000000 000000 000000 000000 001000 000000 000000* -L0091344 000000 000000 000000 000000 000000 000000 000000 000000* -L0091392 000000 000000 000000 000000 100000 100000 000000 000000* -L0091440 000000 000000 000000 000000 100000 000000 000000 000000* -L0091488 000000 000000 000000 000000 000010 000000 000000 000000* -L0091536 000000 000000 000000 000000 000000 000001 000000 000000* +L0089856 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00010000* +L0089920 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00010100* +L0089984 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00010100* +L0090048 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00010100* +L0090112 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00010100* +L0090176 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100100* +L0090240 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100100* +L0090304 00000000 00000000 00000000 10001000 00000000 00000000 00000000 00100100* +L0090368 00000000 00000000 00000000 10001000 00000000 00000000 00000000 00100100* +L0090432 000000 000000 000000 100000 000000 000000 000000 001000* +L0090480 010000 000000 000000 000000 000000 000000 000000 000000* +L0090528 000000 000000 000001 000000 000000 000000 000001 000100* +L0090576 000000 000000 000000 000000 000000 000000 000000 000000* +L0090624 000000 000000 000000 000010 000000 000000 000000 001000* +L0090672 000000 000000 000000 000010 000000 000000 000000 001000* +L0090720 00000000 00000000 00000000 00000000 00000000 00000000 00100000 00000000* +L0090784 10000000 00000000 00000000 00000000 00000000 00000000 11000100 00000000* +L0090848 10000000 00000000 00000000 00000000 00000000 00010000 01000100 00000000* +L0090912 10000000 00000000 00000000 00000100 00000000 00000000 00000000 00000000* +L0090976 10000000 00000000 00000000 10000000 00000000 00000000 00000000 10000000* +L0091040 00000000 00000000 00000000 10000000 00000000 00000000 00000000 00000000* +L0091104 00000000 00000000 00000000 10001000 00000000 00000000 00000100 00000000* +L0091168 00000000 00000000 00000000 00000000 00000000 00000000 10000100 00000000* +L0091232 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0091296 000000 000000 000000 000000 000000 000000 000000 000010* +L0091344 000000 000000 000000 000010 000000 000000 000000 000000* +L0091392 000000 000000 000000 000010 000000 000000 100000 000000* +L0091440 000000 000000 000000 000010 000000 000000 100000 100000* +L0091488 000000 000000 000000 000000 000000 000000 000000 000000* +L0091536 000000 000000 000000 000000 000000 000000 000000 000000* L0091584 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0091648 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* +L0091648 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0091712 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0091776 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* -L0091840 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* -L0091904 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* -L0091968 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* -L0092032 00000000 00000000 00100000 00010000 00000000 00000000 00000000 00000000* -L0092096 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* -L0092160 000000 000000 001000 000100 000000 000000 000000 000000* -L0092208 000000 000000 000000 000100 000000 000000 000000 000000* -L0092256 000000 000000 000000 000100 000000 000000 000000 000000* +L0091776 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0091840 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0091904 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0091968 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0092032 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0092096 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0092160 000000 000000 000000 000000 000000 000000 000000 000000* +L0092208 000000 000000 000000 000000 000000 000000 000000 000000* +L0092256 000000 000000 000000 000000 000000 000000 000000 000000* L0092304 000000 000000 000000 000000 000000 000000 000000 000000* L0092352 000000 000000 000000 000000 000000 000000 000000 000000* L0092400 000000 000000 000000 000000 000000 000000 000000 000000* -L0092448 00000000 00000000 00000000 00000000 00010000 00000000 00000000 00000000* -L0092512 00000000 00000000 00000000 00000000 00010000 00000000 00000000 00000000* -L0092576 00000000 00000000 00000000 00000000 00010000 00000000 00000000 00000000* -L0092640 00000000 00000000 00000000 00010000 00010000 00000000 00000000 00000000* -L0092704 00000000 00000000 00000000 00000000 00010000 00000000 00000000 00000000* -L0092768 00000000 00000000 00000000 00010000 00001000 00000000 00000000 00000000* -L0092832 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0092896 00000000 00000000 00000000 00000000 00001000 10000000 00000000 00000000* -L0092960 00000000 00000000 00000000 00000000 00001000 00000100 00000000 00000000* -L0093024 000000 000000 000000 000000 000010 000000 000000 000000* -L0093072 000000 000000 000000 000000 000000 000000 000000 001000* -L0093120 000000 000000 000000 000000 000000 000000 000000 001000* -L0093168 000000 000000 000000 000000 001000 000000 000000 000000* -L0093216 000000 000000 000000 000000 001000 000000 000000 000000* -L0093264 000000 000000 000000 000000 001100 000000 000000 000000* -CD777* -2E46 +L0092448 00000000 00100000 00000000 00000000 00000000 00000000 00000000 00000100* +L0092512 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0092576 00000000 00000000 00000000 00000000 00000000 00000000 10000000 00000000* +L0092640 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0092704 00000000 00000000 00000000 00000000 00000000 00000000 10000000 00000000* +L0092768 00010000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0092832 00010000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0092896 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0092960 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0093024 000000 000000 000000 000000 000000 000000 000001 000000* +L0093072 000000 000000 000000 000000 000000 000000 010000 000000* +L0093120 000000 000000 000000 000000 000000 000000 000000 000000* +L0093168 000000 000000 000000 000000 000000 000000 000000 000000* +L0093216 000000 000000 000001 000000 000000 000000 000001 000000* +L0093264 000000 000000 000000 000000 000000 000000 000000 000000* +CF3BF* +2E93 diff --git a/cpld/XC95144XL/WarpSE.mfd b/cpld/XC95144XL/WarpSE.mfd index d83c68a..ff3370a 100644 --- a/cpld/XC95144XL/WarpSE.mfd +++ b/cpld/XC95144XL/WarpSE.mfd @@ -4,7 +4,7 @@ MACROCELL | 5 | 1 | nVMA_IOBout ATTRIBUTES | 4622082 | 0 OUTPUTMC | 2 | 5 | 1 | 5 | 9 INPUTS | 8 | nVMA_IOB | iobm/ES<0> | iobm/ES<2> | iobm/ES<1> | iobm/ES<3> | IOACT | iobm/VPAr | nAoutOE -INPUTMC | 8 | 5 | 1 | 5 | 4 | 0 | 16 | 5 | 6 | 5 | 5 | 5 | 17 | 1 | 10 | 3 | 1 +INPUTMC | 8 | 5 | 1 | 5 | 4 | 0 | 13 | 5 | 6 | 5 | 5 | 5 | 17 | 1 | 7 | 3 | 1 EQ | 6 | nVMA_IOB.T = !nVMA_IOB & !iobm/ES<0> & !iobm/ES<2> & !iobm/ES<1> & !iobm/ES<3> @@ -16,9 +16,9 @@ GLOBALS | 1 | 2 | C8M MACROCELL | 5 | 11 | nAS_IOBout ATTRIBUTES | 8816386 | 0 -OUTPUTMC | 2 | 0 | 15 | 5 | 9 +OUTPUTMC | 2 | 1 | 17 | 5 | 9 INPUTS | 9 | iobm/IOS_FSM_FFd3 | iobm/C8Mr | iobm/IOS_FSM_FFd4 | iobm/IOS_FSM_FFd5 | iobm/IOS_FSM_FFd6 | iobm/IOS_FSM_FFd7 | iobm/IORDREQr | iobm/IOWRREQr | nAoutOE -INPUTMC | 9 | 5 | 3 | 1 | 16 | 1 | 13 | 1 | 12 | 5 | 0 | 5 | 2 | 1 | 15 | 1 | 11 | 3 | 1 +INPUTMC | 9 | 5 | 3 | 1 | 14 | 1 | 10 | 1 | 9 | 5 | 0 | 5 | 2 | 1 | 12 | 1 | 8 | 3 | 1 EQ | 9 | nAS_IOB.D = !iobm/IOS_FSM_FFd7 & !iobm/IOS_FSM_FFd3 & !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd5 & !iobm/IOS_FSM_FFd6 @@ -34,8 +34,8 @@ GLOBALS | 1 | 2 | C16M MACROCELL | 5 | 8 | nLDS_IOBout ATTRIBUTES | 8816386 | 0 OUTPUTMC | 1 | 5 | 8 -INPUTS | 8 | iobm/IOS_FSM_FFd3 | IOL0 | iobm/IOS_FSM_FFd5 | iobm/IOS_FSM_FFd4 | nLDS_IOB | iobm/IOS_FSM_FFd6 | iobm/DoutOE.EXP | nAoutOE -INPUTMC | 8 | 5 | 3 | 7 | 0 | 1 | 12 | 1 | 13 | 5 | 8 | 5 | 0 | 5 | 7 | 3 | 1 +INPUTS | 8 | iobm/IOS_FSM_FFd5 | IOL0 | iobm/IOS_FSM_FFd4 | iobm/IOS_FSM_FFd3 | nLDS_IOB | iobm/IOS_FSM_FFd6 | iobm/DoutOE.EXP | nAoutOE +INPUTMC | 8 | 1 | 9 | 7 | 0 | 1 | 10 | 5 | 3 | 5 | 8 | 5 | 0 | 5 | 7 | 3 | 1 IMPORTS | 1 | 5 | 7 EQ | 9 | !nLDS_IOB.D = iobm/IOS_FSM_FFd3 & IOL0 @@ -53,7 +53,7 @@ MACROCELL | 5 | 10 | nUDS_IOBout ATTRIBUTES | 8816386 | 0 OUTPUTMC | 1 | 5 | 10 INPUTS | 8 | iobm/IOS_FSM_FFd3 | IOU0 | iobm/IOS_FSM_FFd5 | iobm/IOS_FSM_FFd4 | nUDS_IOB | iobm/IOS_FSM_FFd6 | IODONE.EXP | nAoutOE -INPUTMC | 8 | 5 | 3 | 7 | 13 | 1 | 12 | 1 | 13 | 5 | 10 | 5 | 0 | 5 | 9 | 3 | 1 +INPUTMC | 8 | 5 | 3 | 7 | 13 | 1 | 9 | 1 | 10 | 5 | 10 | 5 | 0 | 5 | 9 | 3 | 1 IMPORTS | 1 | 5 | 9 EQ | 9 | !nUDS_IOB.D = iobm/IOS_FSM_FFd3 & IOU0 @@ -67,22 +67,11 @@ EQ | 9 | nUDS_IOB.OE = !nAoutOE; GLOBALS | 1 | 2 | C16M -MACROCELL | 0 | 14 | RefUrg -ATTRIBUTES | 8553280 | 0 -OUTPUTMC | 11 | 2 | 2 | 2 | 12 | 2 | 11 | 2 | 9 | 2 | 17 | 2 | 13 | 2 | 0 | 2 | 8 | 2 | 10 | 2 | 15 | 2 | 16 -INPUTS | 5 | cnt/Timer<1> | cnt/Timer<2> | cnt/Timer<3> | cnt/Er<0> | cnt/Er<1> -INPUTMC | 5 | 0 | 17 | 6 | 17 | 6 | 16 | 0 | 7 | 0 | 6 -EQ | 3 | - RefUrg.D = !cnt/Timer<1> & !cnt/Timer<2> & cnt/Timer<3>; - RefUrg.CLK = FCLK; // GCK - RefUrg.CE = !cnt/Er<0> & cnt/Er<1>; -GLOBALS | 1 | 2 | FCLK - MACROCELL | 3 | 17 | cnt/LTimer<0> ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 17 | 3 | 17 | 3 | 15 | 3 | 9 | 6 | 15 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 3 | 6 | 3 | 3 | 3 | 2 | 3 | 8 | 4 | 0 | 6 | 0 | 3 | 13 | 3 | 0 | 3 | 16 -INPUTS | 8 | cnt/LTimer<0> | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | cnt/IS_FSM_FFd1 | cnt/IS_FSM_FFd2 | cnt/LTimer<1> | cnt/LTimer<2> | EXP15_.EXP | EXP18_.EXP -INPUTMC | 8 | 3 | 17 | 3 | 13 | 7 | 15 | 6 | 3 | 3 | 15 | 6 | 15 | 3 | 0 | 3 | 16 +OUTPUTMC | 18 | 3 | 17 | 3 | 15 | 6 | 16 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 0 | 6 | 15 | 2 | 1 | 3 | 11 | 6 | 17 | 3 | 0 | 3 | 16 | 6 | 1 +INPUTS | 8 | cnt/LTimer<0> | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | cnt/IS_FSM_FFd1 | cnt/IS_FSM_FFd2 | cnt/LTimer<1> | cnt/LTimer<2> | EXP12_.EXP | EXP15_.EXP +INPUTMC | 8 | 3 | 17 | 6 | 17 | 7 | 15 | 0 | 10 | 3 | 15 | 6 | 14 | 3 | 0 | 3 | 16 IMPORTS | 2 | 3 | 0 | 3 | 16 EQ | 73 | cnt/LTimer<0>.D = cnt/LTimer<0> & @@ -160,23 +149,11 @@ EQ | 73 | cnt/LTimer<0>.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 6 | 6 | RefReq -ATTRIBUTES | 8553280 | 0 -OUTPUTMC | 9 | 2 | 2 | 2 | 11 | 2 | 10 | 2 | 8 | 2 | 16 | 2 | 12 | 2 | 1 | 2 | 14 | 2 | 15 -INPUTS | 6 | cnt/Timer<0> | cnt/Timer<1> | cnt/Timer<2> | cnt/Timer<3> | cnt/Er<0> | cnt/Er<1> -INPUTMC | 6 | 0 | 13 | 0 | 17 | 6 | 17 | 6 | 16 | 0 | 7 | 0 | 6 -EQ | 4 | - !RefReq.D = !cnt/Timer<0> & cnt/Timer<1> & !cnt/Timer<2> & - cnt/Timer<3>; - RefReq.CLK = FCLK; // GCK - RefReq.CE = !cnt/Er<0> & cnt/Er<1>; -GLOBALS | 1 | 2 | FCLK - MACROCELL | 3 | 15 | cnt/LTimer<1> ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 16 | 3 | 17 | 3 | 15 | 3 | 9 | 6 | 15 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 3 | 6 | 3 | 3 | 3 | 2 | 3 | 8 | 4 | 0 | 6 | 0 | 3 | 13 | 3 | 16 -INPUTS | 24 | cnt/LTimer<1> | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | cnt/LTimer<0> | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<15> | A_FSB<13> | A_FSB<12> | A_FSB<14> | A_FSB<11> | A_FSB<10> | nWE_FSB | nAS_FSB | cnt/IS_FSM_FFd1 | cnt/IS_FSM_FFd2 | A_FSB<9> | A_FSB<8> | EXP17_.EXP -INPUTMC | 6 | 3 | 15 | 3 | 13 | 3 | 17 | 7 | 15 | 6 | 3 | 3 | 14 +OUTPUTMC | 17 | 3 | 17 | 3 | 15 | 6 | 16 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 0 | 6 | 15 | 2 | 1 | 3 | 11 | 6 | 17 | 6 | 1 | 3 | 16 +INPUTS | 24 | cnt/LTimer<1> | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | cnt/LTimer<0> | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<15> | A_FSB<13> | A_FSB<12> | A_FSB<14> | A_FSB<11> | A_FSB<10> | nWE_FSB | nAS_FSB | cnt/IS_FSM_FFd1 | cnt/IS_FSM_FFd2 | A_FSB<9> | A_FSB<8> | EXP14_.EXP +INPUTMC | 6 | 3 | 15 | 6 | 17 | 3 | 17 | 7 | 15 | 0 | 10 | 3 | 14 INPUTP | 18 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 21 | 18 | 17 | 19 | 15 | 13 | 47 | 54 | 12 | 11 EXPORTS | 1 | 3 | 16 IMPORTS | 1 | 3 | 14 @@ -241,11 +218,11 @@ EQ | 58 | A_FSB<8> & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 GLOBALS | 1 | 2 | FCLK -MACROCELL | 0 | 13 | cnt/Timer<0> +MACROCELL | 0 | 9 | cnt/Timer<0> ATTRIBUTES | 4358976 | 0 -OUTPUTMC | 6 | 6 | 6 | 0 | 13 | 0 | 17 | 6 | 17 | 6 | 16 | 6 | 2 +OUTPUTMC | 6 | 0 | 9 | 0 | 14 | 0 | 16 | 0 | 15 | 0 | 12 | 0 | 8 INPUTS | 4 | cnt/Timer<0> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> -INPUTMC | 4 | 0 | 13 | 6 | 2 | 0 | 7 | 0 | 6 +INPUTMC | 4 | 0 | 9 | 0 | 8 | 0 | 4 | 0 | 3 EQ | 4 | !cnt/Timer<0>.T = !cnt/Timer<0> & cnt/TimerTC & !cnt/Er<0> & cnt/Er<1>; @@ -253,11 +230,11 @@ EQ | 4 | cnt/Timer<0>.CE = !cnt/Er<0> & cnt/Er<1>; GLOBALS | 1 | 2 | FCLK -MACROCELL | 0 | 17 | cnt/Timer<1> +MACROCELL | 0 | 14 | cnt/Timer<1> ATTRIBUTES | 8553280 | 0 -OUTPUTMC | 6 | 0 | 14 | 6 | 6 | 0 | 17 | 6 | 17 | 6 | 16 | 6 | 2 +OUTPUTMC | 6 | 0 | 14 | 0 | 16 | 0 | 11 | 0 | 15 | 0 | 12 | 0 | 8 INPUTS | 5 | cnt/Timer<0> | cnt/Timer<1> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> -INPUTMC | 5 | 0 | 13 | 0 | 17 | 6 | 2 | 0 | 7 | 0 | 6 +INPUTMC | 5 | 0 | 9 | 0 | 14 | 0 | 8 | 0 | 4 | 0 | 3 EQ | 5 | !cnt/Timer<1>.D = cnt/Timer<0> & cnt/Timer<1> # !cnt/Timer<0> & !cnt/Timer<1> @@ -266,11 +243,11 @@ EQ | 5 | cnt/Timer<1>.CE = !cnt/Er<0> & cnt/Er<1>; GLOBALS | 1 | 2 | FCLK -MACROCELL | 6 | 17 | cnt/Timer<2> +MACROCELL | 0 | 16 | cnt/Timer<2> ATTRIBUTES | 8553280 | 0 -OUTPUTMC | 5 | 0 | 14 | 6 | 6 | 6 | 17 | 6 | 16 | 6 | 2 +OUTPUTMC | 5 | 0 | 16 | 0 | 11 | 0 | 15 | 0 | 12 | 0 | 8 INPUTS | 6 | cnt/Timer<0> | cnt/Timer<2> | cnt/Timer<1> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> -INPUTMC | 6 | 0 | 13 | 6 | 17 | 0 | 17 | 6 | 2 | 0 | 7 | 0 | 6 +INPUTMC | 6 | 0 | 9 | 0 | 16 | 0 | 14 | 0 | 8 | 0 | 4 | 0 | 3 EQ | 6 | !cnt/Timer<2>.D = !cnt/Timer<0> & !cnt/Timer<2> # !cnt/Timer<1> & !cnt/Timer<2> @@ -280,13 +257,13 @@ EQ | 6 | cnt/Timer<2>.CE = !cnt/Er<0> & cnt/Er<1>; GLOBALS | 1 | 2 | FCLK -MACROCELL | 4 | 12 | iobs/Sent +MACROCELL | 2 | 15 | iobs/Sent ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 16 | 4 | 12 | 7 | 16 | 3 | 10 | 7 | 11 | 7 | 2 | 4 | 9 | 7 | 0 | 7 | 13 | 4 | 15 | 7 | 8 | 4 | 8 | 4 | 11 | 4 | 13 | 7 | 7 | 7 | 9 | 7 | 15 -INPUTS | 12 | iobs/Sent | nAS_FSB | fsb/ASrf | A_FSB<23> | iobs/TS_FSM_FFd1 | iobs/TS_FSM_FFd2 | nADoutLE1 | A_FSB<22> | A_FSB<20> | A_FSB<21> | RA_5_OBUF.EXP | RA_2_OBUF.EXP -INPUTMC | 7 | 4 | 12 | 0 | 4 | 0 | 10 | 7 | 2 | 5 | 13 | 4 | 11 | 4 | 13 +OUTPUTMC | 16 | 2 | 15 | 7 | 16 | 4 | 14 | 7 | 11 | 7 | 2 | 2 | 11 | 7 | 0 | 7 | 13 | 2 | 13 | 7 | 8 | 2 | 10 | 2 | 14 | 2 | 16 | 7 | 7 | 7 | 9 | 7 | 15 +INPUTS | 12 | iobs/Sent | nAS_FSB | fsb/ASrf | A_FSB<23> | iobs/TS_FSM_FFd1 | iobs/TS_FSM_FFd2 | nADoutLE1 | A_FSB<22> | A_FSB<20> | A_FSB<21> | IORDREQ.EXP | nROMWE_OBUF.EXP +INPUTMC | 7 | 2 | 15 | 3 | 9 | 2 | 5 | 7 | 2 | 5 | 13 | 2 | 14 | 2 | 16 INPUTP | 5 | 54 | 36 | 30 | 28 | 29 -IMPORTS | 2 | 4 | 11 | 4 | 13 +IMPORTS | 2 | 2 | 14 | 2 | 16 EQ | 32 | iobs/Sent.T = iobs/Sent & nAS_FSB & !fsb/ASrf # A_FSB<23> & !iobs/Sent & !nAS_FSB & @@ -297,7 +274,7 @@ EQ | 32 | !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & nADoutLE1 # A_FSB<22> & A_FSB<20> & !iobs/Sent & !nAS_FSB & !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & nADoutLE1 -;Imported pterms FB5_12 +;Imported pterms FB3_15 # A_FSB<22> & A_FSB<21> & !iobs/Sent & !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & fsb/ASrf & nADoutLE1 # A_FSB<22> & A_FSB<20> & !iobs/Sent & @@ -306,10 +283,7 @@ EQ | 32 | !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & nADoutLE1 # A_FSB<22> & !iobs/Sent & !cs/nOverlay & !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & fsb/ASrf & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<14> & - !iobs/Sent & !nWE_FSB & !nAS_FSB & nADoutLE1 -;Imported pterms FB5_14 +;Imported pterms FB3_17 # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<13> & !iobs/Sent & !nWE_FSB & !nAS_FSB & nADoutLE1 @@ -318,13 +292,16 @@ EQ | 32 | !iobs/Sent & !nWE_FSB & fsb/ASrf & nADoutLE1 # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<14> & + !iobs/Sent & !nWE_FSB & !nAS_FSB & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<14> & !iobs/Sent & !nWE_FSB & fsb/ASrf & nADoutLE1; iobs/Sent.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 0 | 15 | IOBERR +MACROCELL | 1 | 17 | IOBERR ATTRIBUTES | 8553232 | 0 -OUTPUTMC | 4 | 7 | 10 | 5 | 3 | 5 | 16 | 1 | 17 +OUTPUTMC | 4 | 7 | 10 | 5 | 3 | 5 | 16 | 1 | 16 INPUTS | 2 | nBERR_IOB | nAS_IOB INPUTMC | 1 | 5 | 11 INPUTP | 1 | 123 @@ -336,9 +313,9 @@ GLOBALS | 1 | 2 | C8M MACROCELL | 5 | 9 | IODONE ATTRIBUTES | 8553232 | 0 -OUTPUTMC | 5 | 5 | 3 | 5 | 16 | 1 | 17 | 1 | 8 | 5 | 10 +OUTPUTMC | 5 | 5 | 3 | 5 | 16 | 1 | 16 | 1 | 5 | 5 | 10 INPUTS | 12 | nRES.PIN | nDTACK_IOB | nVMA_IOB | iobm/ES<0> | iobm/ES<2> | iobm/ES<1> | iobm/ES<3> | nAS_IOB | iobm/IOS_FSM_FFd7 | iobm/C8Mr | IOU0 | iobm/IORDREQr -INPUTMC | 10 | 5 | 1 | 5 | 4 | 0 | 16 | 5 | 6 | 5 | 5 | 5 | 11 | 5 | 2 | 1 | 16 | 7 | 13 | 1 | 15 +INPUTMC | 10 | 5 | 1 | 5 | 4 | 0 | 13 | 5 | 6 | 5 | 5 | 5 | 11 | 5 | 2 | 1 | 14 | 7 | 13 | 1 | 12 INPUTP | 2 | 145 | 127 EXPORTS | 1 | 5 | 10 EQ | 8 | @@ -352,14 +329,25 @@ EQ | 8 | iobm/IORDREQr GLOBALS | 1 | 2 | C8M -MACROCELL | 3 | 9 | cnt/LTimer<10> +MACROCELL | 0 | 11 | RefUrg +ATTRIBUTES | 8553280 | 0 +OUTPUTMC | 12 | 0 | 5 | 4 | 0 | 4 | 9 | 0 | 17 | 4 | 12 | 4 | 17 | 4 | 4 | 4 | 3 | 4 | 5 | 4 | 8 | 4 | 11 | 4 | 16 +INPUTS | 5 | cnt/Timer<1> | cnt/Timer<2> | cnt/Timer<3> | cnt/Er<0> | cnt/Er<1> +INPUTMC | 5 | 0 | 14 | 0 | 16 | 0 | 15 | 0 | 4 | 0 | 3 +EQ | 3 | + RefUrg.D = !cnt/Timer<1> & !cnt/Timer<2> & cnt/Timer<3>; + RefUrg.CLK = FCLK; // GCK + RefUrg.CE = !cnt/Er<0> & cnt/Er<1>; +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 6 | 16 | cnt/LTimer<10> ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 6 | 3 | 0 | 3 | 9 | 3 | 8 | 6 | 0 | 3 | 13 | 3 | 10 -INPUTS | 26 | cnt/LTimer<10> | cnt/IS_FSM_FFd1 | cnt/IS_FSM_FFd2 | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/LTimer<4> | cnt/LTimer<5> | cnt/LTimer<6> | cnt/LTimer<7> | cnt/LTimer<8> | cnt/LTimer<9> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | IONPReady | fsb/ASrf -INPUTMC | 18 | 3 | 9 | 7 | 15 | 6 | 3 | 3 | 17 | 3 | 15 | 6 | 15 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 3 | 6 | 3 | 3 | 3 | 2 | 6 | 2 | 0 | 7 | 0 | 6 | 3 | 11 | 0 | 4 -INPUTP | 8 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 -EXPORTS | 1 | 3 | 10 -EQ | 14 | +OUTPUTMC | 5 | 3 | 0 | 6 | 16 | 6 | 15 | 3 | 11 | 6 | 17 +INPUTS | 35 | cnt/LTimer<10> | cnt/IS_FSM_FFd1 | cnt/IS_FSM_FFd2 | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/LTimer<4> | cnt/LTimer<5> | cnt/LTimer<6> | cnt/LTimer<7> | cnt/LTimer<8> | cnt/LTimer<9> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<15> | A_FSB<13> | A_FSB<12> | A_FSB<14> | A_FSB<11> | A_FSB<10> | nWE_FSB | A_FSB<8> | fsb/ASrf | nAS_FSB | A_FSB<9> +INPUTMC | 17 | 6 | 16 | 7 | 15 | 0 | 10 | 3 | 17 | 3 | 15 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 0 | 0 | 8 | 0 | 4 | 0 | 3 | 3 | 9 +INPUTP | 18 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 21 | 18 | 17 | 19 | 15 | 13 | 47 | 11 | 54 | 12 +EXPORTS | 1 | 6 | 17 +EQ | 21 | cnt/LTimer<10>.T = cnt/LTimer<10> & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 # cnt/LTimer<0> & cnt/LTimer<1> & cnt/LTimer<2> & @@ -371,16 +359,23 @@ EQ | 14 | cnt/LTimer<7> & cnt/LTimer<8> & cnt/LTimer<9> & cnt/TimerTC & cnt/IS_FSM_FFd2 & !cnt/Er<0> & cnt/Er<1>; cnt/LTimer<10>.CLK = FCLK; // GCK - cnt/LTimer<10>.EXP = A_FSB<23> & A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & IONPReady & + cnt/LTimer<10>.EXP = !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & + A_FSB<13> & A_FSB<12> & A_FSB<14> & A_FSB<11> & A_FSB<10> & + !nWE_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & A_FSB<8> & fsb/ASrf + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & + A_FSB<13> & !A_FSB<12> & !A_FSB<14> & !A_FSB<11> & !A_FSB<10> & + !nWE_FSB & !nAS_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & + A_FSB<9> GLOBALS | 1 | 2 | FCLK -MACROCELL | 6 | 15 | cnt/LTimer<2> +MACROCELL | 6 | 14 | cnt/LTimer<2> ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 13 | 3 | 17 | 3 | 9 | 6 | 15 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 3 | 6 | 3 | 3 | 3 | 2 | 3 | 8 | 6 | 0 | 3 | 13 +OUTPUTMC | 14 | 3 | 17 | 6 | 16 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 0 | 6 | 15 | 3 | 11 | 6 | 17 | 6 | 1 INPUTS | 8 | cnt/LTimer<2> | cnt/IS_FSM_FFd1 | cnt/IS_FSM_FFd2 | cnt/LTimer<0> | cnt/LTimer<1> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> -INPUTMC | 8 | 6 | 15 | 7 | 15 | 6 | 3 | 3 | 17 | 3 | 15 | 6 | 2 | 0 | 7 | 0 | 6 +INPUTMC | 8 | 6 | 14 | 7 | 15 | 0 | 10 | 3 | 17 | 3 | 15 | 0 | 8 | 0 | 4 | 0 | 3 EQ | 7 | cnt/LTimer<2>.T = cnt/LTimer<2> & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 @@ -391,11 +386,11 @@ EQ | 7 | cnt/LTimer<2>.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 6 | 14 | cnt/LTimer<3> +MACROCELL | 6 | 13 | cnt/LTimer<3> ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 12 | 3 | 16 | 3 | 9 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 3 | 6 | 3 | 3 | 3 | 2 | 3 | 8 | 6 | 0 | 3 | 13 +OUTPUTMC | 13 | 3 | 16 | 6 | 16 | 6 | 13 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 0 | 6 | 15 | 3 | 11 | 6 | 17 | 6 | 1 INPUTS | 9 | cnt/LTimer<3> | cnt/IS_FSM_FFd1 | cnt/IS_FSM_FFd2 | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> -INPUTMC | 9 | 6 | 14 | 7 | 15 | 6 | 3 | 3 | 17 | 3 | 15 | 6 | 15 | 6 | 2 | 0 | 7 | 0 | 6 +INPUTMC | 9 | 6 | 13 | 7 | 15 | 0 | 10 | 3 | 17 | 3 | 15 | 6 | 14 | 0 | 8 | 0 | 4 | 0 | 3 EQ | 7 | cnt/LTimer<3>.T = cnt/LTimer<3> & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 @@ -406,11 +401,11 @@ EQ | 7 | cnt/LTimer<3>.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 6 | 13 | cnt/LTimer<4> +MACROCELL | 6 | 12 | cnt/LTimer<4> ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 11 | 3 | 16 | 3 | 9 | 6 | 13 | 6 | 12 | 6 | 9 | 3 | 6 | 3 | 3 | 3 | 2 | 3 | 8 | 6 | 0 | 3 | 13 +OUTPUTMC | 12 | 3 | 16 | 6 | 16 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 0 | 6 | 15 | 3 | 11 | 6 | 17 | 6 | 1 INPUTS | 10 | cnt/LTimer<4> | cnt/IS_FSM_FFd1 | cnt/IS_FSM_FFd2 | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> -INPUTMC | 10 | 6 | 13 | 7 | 15 | 6 | 3 | 3 | 17 | 3 | 15 | 6 | 15 | 6 | 14 | 6 | 2 | 0 | 7 | 0 | 6 +INPUTMC | 10 | 6 | 12 | 7 | 15 | 0 | 10 | 3 | 17 | 3 | 15 | 6 | 14 | 6 | 13 | 0 | 8 | 0 | 4 | 0 | 3 EQ | 9 | cnt/LTimer<4>.T = cnt/LTimer<4> & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 @@ -423,11 +418,11 @@ EQ | 9 | cnt/LTimer<4>.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 6 | 12 | cnt/LTimer<5> +MACROCELL | 6 | 9 | cnt/LTimer<5> ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 10 | 3 | 16 | 3 | 9 | 6 | 12 | 6 | 9 | 3 | 6 | 3 | 3 | 3 | 2 | 3 | 8 | 6 | 0 | 3 | 13 +OUTPUTMC | 11 | 3 | 16 | 6 | 16 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 0 | 6 | 15 | 3 | 11 | 6 | 17 | 6 | 1 INPUTS | 11 | cnt/LTimer<5> | cnt/IS_FSM_FFd1 | cnt/IS_FSM_FFd2 | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/LTimer<4> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> -INPUTMC | 11 | 6 | 12 | 7 | 15 | 6 | 3 | 3 | 17 | 3 | 15 | 6 | 15 | 6 | 14 | 6 | 13 | 6 | 2 | 0 | 7 | 0 | 6 +INPUTMC | 11 | 6 | 9 | 7 | 15 | 0 | 10 | 3 | 17 | 3 | 15 | 6 | 14 | 6 | 13 | 6 | 12 | 0 | 8 | 0 | 4 | 0 | 3 EQ | 9 | cnt/LTimer<5>.T = cnt/LTimer<5> & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 @@ -440,11 +435,11 @@ EQ | 9 | cnt/LTimer<5>.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 6 | 9 | cnt/LTimer<6> +MACROCELL | 6 | 6 | cnt/LTimer<6> ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 9 | 3 | 16 | 3 | 9 | 6 | 9 | 3 | 6 | 3 | 3 | 3 | 2 | 3 | 8 | 6 | 0 | 3 | 13 +OUTPUTMC | 10 | 3 | 16 | 6 | 16 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 0 | 6 | 15 | 3 | 11 | 6 | 17 | 6 | 1 INPUTS | 12 | cnt/LTimer<6> | cnt/IS_FSM_FFd1 | cnt/IS_FSM_FFd2 | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/LTimer<4> | cnt/LTimer<5> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> -INPUTMC | 12 | 6 | 9 | 7 | 15 | 6 | 3 | 3 | 17 | 3 | 15 | 6 | 15 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 2 | 0 | 7 | 0 | 6 +INPUTMC | 12 | 6 | 6 | 7 | 15 | 0 | 10 | 3 | 17 | 3 | 15 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 0 | 8 | 0 | 4 | 0 | 3 EQ | 9 | cnt/LTimer<6>.T = cnt/LTimer<6> & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 @@ -457,11 +452,11 @@ EQ | 9 | cnt/LTimer<6>.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 3 | 6 | cnt/LTimer<7> +MACROCELL | 6 | 3 | cnt/LTimer<7> ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 8 | 3 | 16 | 3 | 9 | 3 | 6 | 3 | 3 | 3 | 2 | 3 | 8 | 6 | 0 | 3 | 13 +OUTPUTMC | 9 | 3 | 16 | 6 | 16 | 6 | 3 | 6 | 2 | 6 | 0 | 6 | 15 | 3 | 11 | 6 | 17 | 6 | 1 INPUTS | 13 | cnt/LTimer<7> | cnt/IS_FSM_FFd1 | cnt/IS_FSM_FFd2 | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/LTimer<4> | cnt/LTimer<5> | cnt/LTimer<6> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> -INPUTMC | 13 | 3 | 6 | 7 | 15 | 6 | 3 | 3 | 17 | 3 | 15 | 6 | 15 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 6 | 2 | 0 | 7 | 0 | 6 +INPUTMC | 13 | 6 | 3 | 7 | 15 | 0 | 10 | 3 | 17 | 3 | 15 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 6 | 6 | 0 | 8 | 0 | 4 | 0 | 3 EQ | 9 | cnt/LTimer<7>.T = cnt/LTimer<7> & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 @@ -474,11 +469,11 @@ EQ | 9 | cnt/LTimer<7>.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 3 | 3 | cnt/LTimer<8> +MACROCELL | 6 | 2 | cnt/LTimer<8> ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 7 | 3 | 0 | 3 | 9 | 3 | 3 | 3 | 2 | 3 | 8 | 6 | 0 | 3 | 13 +OUTPUTMC | 8 | 3 | 0 | 6 | 16 | 6 | 2 | 6 | 0 | 6 | 15 | 3 | 11 | 6 | 17 | 6 | 1 INPUTS | 14 | cnt/LTimer<8> | cnt/IS_FSM_FFd1 | cnt/IS_FSM_FFd2 | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/LTimer<4> | cnt/LTimer<5> | cnt/LTimer<6> | cnt/LTimer<7> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> -INPUTMC | 14 | 3 | 3 | 7 | 15 | 6 | 3 | 3 | 17 | 3 | 15 | 6 | 15 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 3 | 6 | 6 | 2 | 0 | 7 | 0 | 6 +INPUTMC | 14 | 6 | 2 | 7 | 15 | 0 | 10 | 3 | 17 | 3 | 15 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 0 | 8 | 0 | 4 | 0 | 3 EQ | 11 | cnt/LTimer<8>.T = cnt/LTimer<8> & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 @@ -493,33 +488,49 @@ EQ | 11 | cnt/LTimer<8>.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 3 | 2 | cnt/LTimer<9> +MACROCELL | 6 | 0 | cnt/LTimer<9> ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 7 | 3 | 0 | 3 | 9 | 3 | 2 | 3 | 8 | 6 | 0 | 3 | 13 | 3 | 1 -INPUTS | 17 | cnt/LTimer<9> | cnt/IS_FSM_FFd1 | cnt/IS_FSM_FFd2 | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/LTimer<4> | cnt/LTimer<5> | cnt/LTimer<6> | cnt/LTimer<7> | cnt/LTimer<8> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> | nBR_IOB | nAoutOE -INPUTMC | 17 | 3 | 2 | 7 | 15 | 6 | 3 | 3 | 17 | 3 | 15 | 6 | 15 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 3 | 6 | 3 | 3 | 6 | 2 | 0 | 7 | 0 | 6 | 7 | 14 | 3 | 1 -EXPORTS | 1 | 3 | 1 -EQ | 13 | +OUTPUTMC | 6 | 3 | 0 | 6 | 16 | 6 | 0 | 6 | 15 | 3 | 11 | 6 | 17 +INPUTS | 34 | cnt/LTimer<9> | cnt/IS_FSM_FFd1 | cnt/IS_FSM_FFd2 | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/LTimer<4> | cnt/LTimer<5> | cnt/LTimer<6> | cnt/LTimer<7> | cnt/LTimer<8> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<15> | A_FSB<13> | A_FSB<12> | A_FSB<14> | A_FSB<11> | A_FSB<10> | nWE_FSB | A_FSB<9> | fsb/ASrf | A_FSB<8> | RA_1_OBUF.EXP +INPUTMC | 17 | 6 | 0 | 7 | 15 | 0 | 10 | 3 | 17 | 3 | 15 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 0 | 8 | 0 | 4 | 0 | 3 | 3 | 9 | 6 | 1 +INPUTP | 17 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 21 | 18 | 17 | 19 | 15 | 13 | 47 | 12 | 11 +EXPORTS | 1 | 6 | 17 +IMPORTS | 1 | 6 | 1 +EQ | 27 | cnt/LTimer<9>.T = cnt/LTimer<9> & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 # cnt/LTimer<0> & cnt/LTimer<1> & cnt/LTimer<2> & cnt/LTimer<3> & cnt/LTimer<4> & cnt/LTimer<5> & cnt/LTimer<6> & cnt/LTimer<7> & cnt/LTimer<8> & cnt/TimerTC & !cnt/IS_FSM_FFd1 & !cnt/Er<0> & cnt/Er<1> +;Imported pterms FB7_2 # cnt/LTimer<0> & cnt/LTimer<1> & cnt/LTimer<2> & cnt/LTimer<3> & cnt/LTimer<4> & cnt/LTimer<5> & cnt/LTimer<6> & cnt/LTimer<7> & cnt/LTimer<8> & cnt/TimerTC & cnt/IS_FSM_FFd2 & !cnt/Er<0> & cnt/Er<1>; cnt/LTimer<9>.CLK = FCLK; // GCK - cnt/LTimer<9>.EXP = !nBR_IOB & cnt/IS_FSM_FFd1 & cnt/IS_FSM_FFd2 - # cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & !nAoutOE + cnt/LTimer<9>.EXP = !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & + A_FSB<13> & A_FSB<12> & A_FSB<14> & A_FSB<11> & A_FSB<10> & + !nWE_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & A_FSB<9> & + fsb/ASrf + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & + A_FSB<13> & !A_FSB<12> & !A_FSB<14> & !A_FSB<11> & !A_FSB<10> & + !nWE_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & A_FSB<9> & + fsb/ASrf + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & + A_FSB<13> & !A_FSB<12> & !A_FSB<14> & !A_FSB<11> & !A_FSB<10> & + !nWE_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & A_FSB<8> & + fsb/ASrf GLOBALS | 1 | 2 | FCLK -MACROCELL | 6 | 16 | cnt/Timer<3> +MACROCELL | 0 | 15 | cnt/Timer<3> ATTRIBUTES | 4358976 | 0 -OUTPUTMC | 4 | 0 | 14 | 6 | 6 | 6 | 16 | 6 | 2 +OUTPUTMC | 4 | 0 | 11 | 0 | 15 | 0 | 12 | 0 | 8 INPUTS | 7 | cnt/Timer<0> | cnt/Timer<1> | cnt/Timer<2> | cnt/Er<0> | cnt/Er<1> | cnt/Timer<3> | cnt/TimerTC -INPUTMC | 7 | 0 | 13 | 0 | 17 | 6 | 17 | 0 | 7 | 0 | 6 | 6 | 16 | 6 | 2 +INPUTMC | 7 | 0 | 9 | 0 | 14 | 0 | 16 | 0 | 4 | 0 | 3 | 0 | 15 | 0 | 8 EQ | 10 | cnt/Timer<3>.T = cnt/Timer<0> & cnt/Timer<1> & cnt/Timer<2> & !cnt/TimerTC @@ -533,23 +544,23 @@ EQ | 10 | cnt/Timer<3>.CE = !cnt/Er<0> & cnt/Er<1>; GLOBALS | 1 | 2 | FCLK -MACROCELL | 2 | 2 | ram/RefDone -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 12 | 2 | 2 | 2 | 14 | 2 | 11 | 2 | 9 | 2 | 17 | 2 | 13 | 2 | 0 | 2 | 1 | 2 | 8 | 2 | 10 | 2 | 12 | 2 | 15 -INPUTS | 8 | ram/RefDone | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd8 | ram/RS_FSM_FFd4 | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd3 | RefUrg | RefReq -INPUTMC | 8 | 2 | 2 | 1 | 6 | 2 | 9 | 1 | 3 | 1 | 5 | 1 | 4 | 0 | 14 | 6 | 6 +MACROCELL | 0 | 12 | RefReq +ATTRIBUTES | 8553280 | 0 +OUTPUTMC | 7 | 0 | 5 | 4 | 3 | 4 | 8 | 0 | 0 | 4 | 11 | 4 | 15 | 4 | 1 +INPUTS | 6 | cnt/Timer<0> | cnt/Timer<1> | cnt/Timer<2> | cnt/Timer<3> | cnt/Er<0> | cnt/Er<1> +INPUTMC | 6 | 0 | 9 | 0 | 14 | 0 | 16 | 0 | 15 | 0 | 4 | 0 | 3 EQ | 4 | - !ram/RefDone.D = !RefUrg & !RefReq - # !ram/RefDone & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd8 & - !ram/RS_FSM_FFd4 & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3; - ram/RefDone.CLK = FCLK; // GCK + !RefReq.D = !cnt/Timer<0> & cnt/Timer<1> & !cnt/Timer<2> & + cnt/Timer<3>; + RefReq.CLK = FCLK; // GCK + RefReq.CE = !cnt/Er<0> & cnt/Er<1>; GLOBALS | 1 | 2 | FCLK -MACROCELL | 3 | 8 | cnt/LTimer<11> +MACROCELL | 6 | 15 | cnt/LTimer<11> ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 4 | 3 | 0 | 3 | 8 | 6 | 0 | 3 | 13 +OUTPUTMC | 4 | 3 | 0 | 6 | 15 | 3 | 11 | 6 | 17 INPUTS | 17 | cnt/LTimer<11> | cnt/IS_FSM_FFd1 | cnt/IS_FSM_FFd2 | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<10> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/LTimer<4> | cnt/LTimer<5> | cnt/LTimer<6> | cnt/LTimer<7> | cnt/LTimer<8> | cnt/LTimer<9> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> -INPUTMC | 17 | 3 | 8 | 7 | 15 | 6 | 3 | 3 | 17 | 3 | 15 | 3 | 9 | 6 | 15 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 3 | 6 | 3 | 3 | 3 | 2 | 6 | 2 | 0 | 7 | 0 | 6 +INPUTMC | 17 | 6 | 15 | 7 | 15 | 0 | 10 | 3 | 17 | 3 | 15 | 6 | 16 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 0 | 0 | 8 | 0 | 4 | 0 | 3 EQ | 11 | cnt/LTimer<11>.T = cnt/LTimer<11> & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 @@ -564,11 +575,11 @@ EQ | 11 | cnt/LTimer<11>.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 6 | 2 | cnt/TimerTC +MACROCELL | 0 | 8 | cnt/TimerTC ATTRIBUTES | 8553280 | 0 -OUTPUTMC | 17 | 0 | 13 | 0 | 17 | 6 | 17 | 3 | 9 | 6 | 15 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 3 | 6 | 3 | 3 | 3 | 2 | 6 | 16 | 3 | 8 | 7 | 15 | 6 | 3 | 3 | 13 +OUTPUTMC | 18 | 0 | 9 | 0 | 14 | 0 | 16 | 6 | 16 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 0 | 0 | 15 | 6 | 15 | 7 | 15 | 0 | 10 | 6 | 17 | 6 | 1 INPUTS | 6 | cnt/Timer<0> | cnt/Timer<1> | cnt/Timer<2> | cnt/Timer<3> | cnt/Er<0> | cnt/Er<1> -INPUTMC | 6 | 0 | 13 | 0 | 17 | 6 | 17 | 6 | 16 | 0 | 7 | 0 | 6 +INPUTMC | 6 | 0 | 9 | 0 | 14 | 0 | 16 | 0 | 15 | 0 | 4 | 0 | 3 EQ | 4 | cnt/TimerTC.D = cnt/Timer<0> & !cnt/Timer<1> & !cnt/Timer<2> & cnt/Timer<3>; @@ -576,26 +587,38 @@ EQ | 4 | cnt/TimerTC.CE = !cnt/Er<0> & cnt/Er<1>; GLOBALS | 1 | 2 | FCLK -MACROCELL | 4 | 3 | cs/nOverlay +MACROCELL | 2 | 7 | cs/nOverlay ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 14 | 4 | 11 | 4 | 3 | 2 | 12 | 4 | 2 | 7 | 1 | 2 | 16 | 2 | 3 | 4 | 7 | 7 | 11 | 4 | 14 | 7 | 7 | 7 | 4 | 7 | 5 | 7 | 9 -INPUTS | 8 | nRES.PIN | cs/nOverlay | nAS_FSB | fsb/ASrf | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> -INPUTMC | 2 | 4 | 3 | 0 | 4 -INPUTP | 6 | 145 | 54 | 36 | 30 | 29 | 28 -EQ | 6 | +OUTPUTMC | 18 | 2 | 14 | 2 | 7 | 4 | 15 | 2 | 6 | 7 | 1 | 4 | 0 | 2 | 3 | 4 | 17 | 7 | 11 | 2 | 12 | 7 | 7 | 4 | 16 | 4 | 1 | 4 | 2 | 7 | 4 | 7 | 5 | 7 | 9 | 2 | 8 +INPUTS | 19 | nRES.PIN | cs/nOverlay | nAS_FSB | fsb/ASrf | A_FSB<22> | IONPReady | RAMReady | A_FSB<23> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<13> | nWE_FSB | nADoutLE1 | A_FSB<14> | ram/RASEL.EXP +INPUTMC | 6 | 2 | 7 | 3 | 9 | 4 | 15 | 4 | 12 | 5 | 13 | 2 | 6 +INPUTP | 13 | 145 | 54 | 30 | 36 | 29 | 28 | 26 | 24 | 23 | 22 | 18 | 47 | 19 +EXPORTS | 1 | 2 | 8 +IMPORTS | 1 | 2 | 6 +EQ | 16 | cs/nOverlay.T = !nRES.PIN & cs/nOverlay & nAS_FSB & !fsb/ASrf +;Imported pterms FB3_7 # !A_FSB<23> & A_FSB<22> & !A_FSB<21> & !A_FSB<20> & !cs/nOverlay & !nAS_FSB # !A_FSB<23> & A_FSB<22> & !A_FSB<21> & !A_FSB<20> & !cs/nOverlay & fsb/ASrf; cs/nOverlay.CLK = FCLK; // GCK + cs/nOverlay.EXP = !A_FSB<22> & !IONPReady & !RAMReady + # A_FSB<23> & A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> + # A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & A_FSB<13> & !nWE_FSB & !IONPReady & + !nADoutLE1 + # A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & A_FSB<14> & !nWE_FSB & !IONPReady & + !nADoutLE1 GLOBALS | 1 | 2 | FCLK MACROCELL | 7 | 16 | iobs/IORW1 ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 5 | 7 | 16 | 4 | 15 | 7 | 8 | 7 | 15 | 7 | 17 +OUTPUTMC | 5 | 7 | 16 | 2 | 13 | 7 | 8 | 7 | 15 | 7 | 17 INPUTS | 18 | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<14> | iobs/Sent | nWE_FSB | iobs/IORW1 | nAS_FSB | iobs/TS_FSM_FFd2 | nADoutLE1 | A_FSB<13> | iobs/TS_FSM_FFd1 | cnt/IS_FSM_FFd1.EXP -INPUTMC | 6 | 4 | 12 | 7 | 16 | 7 | 2 | 5 | 13 | 0 | 10 | 7 | 15 +INPUTMC | 6 | 2 | 15 | 7 | 16 | 7 | 2 | 5 | 13 | 2 | 5 | 7 | 15 INPUTP | 12 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 19 | 47 | 54 | 18 EXPORTS | 1 | 7 | 17 IMPORTS | 1 | 7 | 15 @@ -638,48 +661,55 @@ EQ | 36 | !iobs/TS_FSM_FFd2 & nADoutLE1 GLOBALS | 1 | 2 | FCLK -MACROCELL | 3 | 11 | IONPReady +MACROCELL | 0 | 5 | ram/RefDone ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 6 | 3 | 11 | 3 | 10 | 2 | 7 | 2 | 6 | 3 | 9 | 3 | 12 -INPUTS | 22 | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<14> | nWE_FSB | IONPReady | A_FSB<13> | A_FSB<15> | A_FSB<12> | A_FSB<11> | A_FSB<10> | cnt/IS_FSM_FFd1 | cnt/IS_FSM_FFd2 | A_FSB<9> | fsb/ASrf | A_FSB<8> | nVPA_FSB_OBUF.EXP -INPUTMC | 5 | 3 | 11 | 7 | 15 | 6 | 3 | 0 | 4 | 3 | 10 -INPUTP | 17 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 19 | 47 | 18 | 21 | 17 | 15 | 13 | 12 | 11 -EXPORTS | 1 | 3 | 12 -IMPORTS | 1 | 3 | 10 -EQ | 26 | - !IONPReady.D = !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & +OUTPUTMC | 13 | 0 | 5 | 4 | 0 | 4 | 9 | 0 | 17 | 4 | 12 | 4 | 17 | 4 | 3 | 0 | 0 | 4 | 1 | 4 | 8 | 4 | 11 | 4 | 15 | 4 | 16 +INPUTS | 7 | ram/RefDone | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd7 | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd3 | RefUrg | RefReq +INPUTMC | 7 | 0 | 5 | 0 | 2 | 0 | 17 | 0 | 1 | 0 | 0 | 0 | 11 | 0 | 12 +EQ | 4 | + !ram/RefDone.D = !RefUrg & !RefReq + # !ram/RefDone & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd7 & + !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3; + ram/RefDone.CLK = FCLK; // GCK +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 4 | 15 | IONPReady +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 5 | 4 | 14 | 3 | 10 | 2 | 8 | 2 | 7 | 4 | 16 +INPUTS | 11 | A_FSB<23> | RefReq | ram/RefDone | nAS_FSB | ram/RS_FSM_FFd8 | ram/BACTr | fsb/ASrf | A_FSB<22> | cs/nOverlay | ram/RASEN | RA_6_OBUF.EXP +INPUTMC | 8 | 0 | 12 | 0 | 5 | 4 | 0 | 3 | 6 | 3 | 9 | 2 | 7 | 4 | 9 | 4 | 14 +INPUTP | 3 | 36 | 54 | 30 +EXPORTS | 1 | 4 | 16 +IMPORTS | 1 | 4 | 14 +EQ | 21 | + !IONPReady.D = ;Imported pterms FB5_15 + !iobs/Sent & !IONPReady + # !IONPReady & !iobs/IODONEr + # nAS_FSB & !fsb/ASrf + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<13> & !nWE_FSB & !IONPReady # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<14> & - !nWE_FSB & !IONPReady -;Imported pterms FB4_11 - # !iobs/Sent & !IONPReady - # !IONPReady & !iobs/IODONEr - # nAS_FSB & !fsb/ASrf; + !nWE_FSB & !IONPReady; IONPReady.CLK = FCLK; // GCK - IONPReady.EXP = !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & - A_FSB<13> & A_FSB<12> & A_FSB<14> & A_FSB<11> & A_FSB<10> & - !nWE_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & A_FSB<9> & - fsb/ASrf - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & - A_FSB<13> & !A_FSB<12> & !A_FSB<14> & !A_FSB<11> & !A_FSB<10> & - !nWE_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & A_FSB<9> & - fsb/ASrf - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & - A_FSB<13> & !A_FSB<12> & !A_FSB<14> & !A_FSB<11> & !A_FSB<10> & - !nWE_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & A_FSB<8> & - fsb/ASrf + IONPReady.EXP = A_FSB<23> & RefReq & !ram/RefDone & !nAS_FSB & + ram/RS_FSM_FFd8 & !ram/BACTr + # A_FSB<23> & RefReq & !ram/RefDone & + ram/RS_FSM_FFd8 & !ram/BACTr & fsb/ASrf + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay & + ram/RS_FSM_FFd8 & ram/RASEN & fsb/ASrf + # A_FSB<22> & RefReq & !ram/RefDone & !nAS_FSB & + ram/RS_FSM_FFd8 & !ram/BACTr + # A_FSB<22> & RefReq & !ram/RefDone & + ram/RS_FSM_FFd8 & !ram/BACTr & fsb/ASrf GLOBALS | 1 | 2 | FCLK -MACROCELL | 0 | 12 | iobs/IOL1 +MACROCELL | 0 | 7 | iobs/IOL1 ATTRIBUTES | 8553280 | 0 OUTPUTMC | 2 | 7 | 0 | 7 | 17 INPUTS | 2 | nLDS_FSB | iobs/Load1 -INPUTMC | 1 | 4 | 9 +INPUTMC | 1 | 2 | 11 INPUTP | 1 | 49 EQ | 3 | iobs/IOL1.D = !nLDS_FSB; @@ -687,11 +717,11 @@ EQ | 3 | iobs/IOL1.CE = iobs/Load1; GLOBALS | 1 | 2 | FCLK -MACROCELL | 0 | 11 | iobs/IOU1 +MACROCELL | 0 | 6 | iobs/IOU1 ATTRIBUTES | 8553280 | 0 OUTPUTMC | 2 | 7 | 13 | 7 | 12 INPUTS | 2 | nUDS_FSB | iobs/Load1 -INPUTMC | 1 | 4 | 9 +INPUTMC | 1 | 2 | 11 INPUTP | 1 | 56 EQ | 3 | iobs/IOU1.D = !nUDS_FSB; @@ -702,8 +732,8 @@ GLOBALS | 1 | 2 | FCLK MACROCELL | 7 | 11 | nBERR_FSB_OBUF ATTRIBUTES | 8815362 | 0 OUTPUTMC | 3 | 7 | 11 | 7 | 10 | 7 | 12 -INPUTS | 15 | nAS_FSB | fsb/ASrf | iobs/Sent | nBERR_FSB | A_FSB<23> | A_FSB<22> | A_FSB<19> | iobs/TS_FSM_FFd2 | nADoutLE1 | A_FSB<13> | A_FSB<14> | A_FSB<21> | A_FSB<20> | cs/nOverlay | EXP24_.EXP -INPUTMC | 7 | 0 | 4 | 4 | 12 | 7 | 11 | 7 | 2 | 5 | 13 | 4 | 3 | 7 | 10 +INPUTS | 15 | nAS_FSB | fsb/ASrf | iobs/Sent | nBERR_FSB | A_FSB<23> | A_FSB<22> | A_FSB<19> | iobs/TS_FSM_FFd2 | nADoutLE1 | A_FSB<13> | A_FSB<14> | A_FSB<21> | A_FSB<20> | cs/nOverlay | EXP23_.EXP +INPUTMC | 7 | 3 | 9 | 2 | 15 | 7 | 11 | 7 | 2 | 5 | 13 | 2 | 7 | 7 | 10 INPUTP | 8 | 54 | 36 | 30 | 26 | 18 | 19 | 29 | 28 EXPORTS | 1 | 7 | 12 IMPORTS | 1 | 7 | 10 @@ -723,32 +753,25 @@ GLOBALS | 1 | 2 | FCLK MACROCELL | 3 | 10 | nVPA_FSB_OBUF ATTRIBUTES | 8684418 | 0 -OUTPUTMC | 1 | 3 | 11 -INPUTS | 14 | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | IONPReady | nAS_FSB | iobs/Sent | iobs/IODONEr | fsb/ASrf | cnt/LTimer<10>.EXP -INPUTMC | 5 | 3 | 11 | 4 | 12 | 1 | 8 | 0 | 4 | 3 | 9 +INPUTS | 11 | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | IONPReady | nAS_FSB | fsb/ASrf +INPUTMC | 2 | 4 | 15 | 3 | 9 INPUTP | 9 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 54 -EXPORTS | 1 | 3 | 11 -IMPORTS | 1 | 3 | 9 -EQ | 12 | +EQ | 8 | !nVPA_FSB.D = A_FSB<23> & A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & IONPReady & !nAS_FSB -;Imported pterms FB4_10 # A_FSB<23> & A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & IONPReady & fsb/ASrf; nVPA_FSB.CLK = FCLK; // GCK nVPA_FSB.AP = nAS_FSB; - nVPA_FSB_OBUF.EXP = !iobs/Sent & !IONPReady - # !IONPReady & !iobs/IODONEr - # nAS_FSB & !fsb/ASrf GLOBALS | 1 | 2 | FCLK MACROCELL | 7 | 4 | nRAS_OBUF ATTRIBUTES | 264962 | 0 OUTPUTMC | 1 | 7 | 3 INPUTS | 13 | A_FSB<23> | A_FSB<22> | A_FSB<19> | iobs/TS_FSM_FFd2 | nADoutLE1 | A_FSB<18> | A_FSB<17> | A_FSB<13> | A_FSB<14> | A_FSB<21> | A_FSB<20> | cs/nOverlay | nRAMLWE_OBUF.EXP -INPUTMC | 4 | 7 | 2 | 5 | 13 | 4 | 3 | 7 | 5 +INPUTMC | 4 | 7 | 2 | 5 | 13 | 2 | 7 | 7 | 5 INPUTP | 9 | 36 | 30 | 26 | 24 | 23 | 18 | 19 | 29 | 28 EXPORTS | 1 | 7 | 3 IMPORTS | 1 | 7 | 5 @@ -773,7 +796,7 @@ MACROCELL | 7 | 14 | nBR_IOB_OBUF ATTRIBUTES | 4621058 | 0 OUTPUTMC | 3 | 7 | 14 | 3 | 2 | 7 | 13 INPUTS | 11 | nBR_IOB | cnt/IS_FSM_FFd1 | cnt/IS_FSM_FFd2 | cnt/nIPL2r | A_FSB<23> | A_FSB<22> | A_FSB<18> | iobs/TS_FSM_FFd2 | nADoutLE1 | A_FSB<17> | A_FSB<16> -INPUTMC | 6 | 7 | 14 | 7 | 15 | 6 | 3 | 0 | 5 | 7 | 2 | 5 | 13 +INPUTMC | 6 | 7 | 14 | 7 | 15 | 0 | 10 | 1 | 15 | 7 | 2 | 5 | 13 INPUTP | 5 | 36 | 30 | 24 | 23 | 22 EXPORTS | 1 | 7 | 13 EQ | 10 | @@ -793,7 +816,7 @@ MACROCELL | 4 | 10 | RA_11_OBUF ATTRIBUTES | 264962 | 0 OUTPUTMC | 1 | 4 | 11 INPUTS | 5 | A_FSB<19> | ram/RASEL | A_FSB<20> | A_FSB<12> | A_FSB<4> -INPUTMC | 1 | 4 | 2 +INPUTMC | 1 | 2 | 6 INPUTP | 4 | 26 | 28 | 17 | 157 EXPORTS | 1 | 4 | 11 EQ | 4 | @@ -802,29 +825,57 @@ EQ | 4 | RA_11_OBUF.EXP = A_FSB<12> & !ram/RASEL # ram/RASEL & A_FSB<4> -MACROCELL | 4 | 2 | ram/RASEL -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 14 | 4 | 10 | 6 | 5 | 6 | 8 | 6 | 1 | 4 | 13 | 4 | 8 | 7 | 6 | 4 | 14 | 6 | 4 | 6 | 7 | 6 | 10 | 7 | 5 | 7 | 2 | 4 | 1 -INPUTS | 10 | ram/RS_FSM_FFd7 | A_FSB<23> | A_FSB<22> | cs/nOverlay | nAS_FSB | ram/RS_FSM_FFd9 | ram/RASEN | fsb/ASrf | A_FSB<21> | A_FSB<20> -INPUTMC | 5 | 2 | 3 | 4 | 3 | 2 | 14 | 2 | 11 | 0 | 4 -INPUTP | 5 | 36 | 30 | 54 | 29 | 28 -EXPORTS | 1 | 4 | 1 -EQ | 8 | - ram/RASEL.D = ram/RS_FSM_FFd7 - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay & !nAS_FSB & - ram/RS_FSM_FFd9 & ram/RASEN - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay & - ram/RS_FSM_FFd9 & ram/RASEN & fsb/ASrf; - ram/RASEL.CLK = FCLK; // GCK - ram/RASEL.EXP = !A_FSB<23> & A_FSB<22> & !A_FSB<21> & !A_FSB<20> - # !A_FSB<23> & !A_FSB<21> & !A_FSB<20> & !cs/nOverlay +MACROCELL | 2 | 8 | nDTACK_FSB_OBUF +ATTRIBUTES | 8815362 | 0 +OUTPUTMC | 1 | 1 | 4 +INPUTS | 9 | A_FSB<23> | IONPReady | QoSReady | nAS_FSB | fsb/ASrf | A_FSB<22> | A_FSB<20> | A_FSB<21> | cs/nOverlay.EXP +INPUTMC | 4 | 4 | 15 | 2 | 1 | 3 | 9 | 2 | 7 +INPUTP | 5 | 36 | 54 | 30 | 28 | 29 +IMPORTS | 1 | 2 | 7 +EQ | 16 | + nDTACK_FSB.D = A_FSB<23> & !IONPReady + # !IONPReady & !QoSReady + # nAS_FSB & !fsb/ASrf + # A_FSB<22> & A_FSB<21> & !IONPReady + # A_FSB<22> & A_FSB<20> & !IONPReady +;Imported pterms FB3_8 + # !A_FSB<22> & !IONPReady & !RAMReady + # A_FSB<23> & A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> + # A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & A_FSB<13> & !nWE_FSB & !IONPReady & + !nADoutLE1 + # A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & A_FSB<14> & !nWE_FSB & !IONPReady & + !nADoutLE1; + nDTACK_FSB.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 0 | 10 | iobs/TS_FSM_FFd1 +MACROCELL | 2 | 6 | ram/RASEL ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 15 | 4 | 12 | 7 | 16 | 0 | 10 | 7 | 2 | 4 | 9 | 7 | 0 | 7 | 13 | 4 | 16 | 7 | 8 | 0 | 2 | 4 | 8 | 4 | 11 | 7 | 7 | 7 | 9 | 7 | 15 +OUTPUTMC | 13 | 4 | 10 | 6 | 5 | 6 | 8 | 6 | 1 | 4 | 13 | 4 | 7 | 7 | 6 | 7 | 5 | 6 | 4 | 6 | 7 | 6 | 10 | 7 | 2 | 2 | 7 +INPUTS | 10 | ram/RS_FSM_FFd6 | A_FSB<23> | A_FSB<22> | cs/nOverlay | nAS_FSB | ram/RS_FSM_FFd8 | ram/RASEN | fsb/ASrf | A_FSB<21> | A_FSB<20> +INPUTMC | 5 | 2 | 3 | 2 | 7 | 4 | 0 | 4 | 9 | 3 | 9 +INPUTP | 5 | 36 | 30 | 54 | 29 | 28 +EXPORTS | 1 | 2 | 7 +EQ | 10 | + ram/RASEL.D = ram/RS_FSM_FFd6 + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay & !nAS_FSB & + ram/RS_FSM_FFd8 & ram/RASEN + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay & + ram/RS_FSM_FFd8 & ram/RASEN & fsb/ASrf; + ram/RASEL.CLK = FCLK; // GCK + ram/RASEL.EXP = !A_FSB<23> & A_FSB<22> & !A_FSB<21> & !A_FSB<20> & + !cs/nOverlay & !nAS_FSB + # !A_FSB<23> & A_FSB<22> & !A_FSB<21> & !A_FSB<20> & + !cs/nOverlay & fsb/ASrf +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 2 | 5 | iobs/TS_FSM_FFd1 +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 15 | 2 | 15 | 7 | 16 | 2 | 5 | 7 | 2 | 2 | 11 | 7 | 0 | 7 | 13 | 2 | 14 | 7 | 8 | 2 | 12 | 2 | 10 | 2 | 13 | 7 | 7 | 7 | 9 | 7 | 15 INPUTS | 3 | iobs/TS_FSM_FFd2 | iobs/TS_FSM_FFd1 | iobs/IOACTr -INPUTMC | 3 | 7 | 2 | 0 | 10 | 1 | 9 +INPUTMC | 3 | 7 | 2 | 2 | 5 | 1 | 6 EQ | 3 | iobs/TS_FSM_FFd1.D = iobs/TS_FSM_FFd2 # iobs/TS_FSM_FFd1 & iobs/IOACTr; @@ -833,9 +884,9 @@ GLOBALS | 1 | 2 | FCLK MACROCELL | 7 | 2 | iobs/TS_FSM_FFd2 ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 25 | 4 | 12 | 7 | 16 | 0 | 10 | 7 | 2 | 4 | 9 | 7 | 0 | 7 | 13 | 4 | 16 | 7 | 8 | 0 | 8 | 0 | 2 | 4 | 8 | 4 | 11 | 4 | 14 | 4 | 15 | 7 | 1 | 7 | 3 | 7 | 4 | 7 | 7 | 7 | 9 | 7 | 11 | 7 | 12 | 7 | 14 | 7 | 15 | 7 | 17 -INPUTS | 9 | iobs/TS_FSM_FFd1 | iobs/TS_FSM_FFd2 | iobs/IOACTr | iobs/Sent | nADoutLE1 | A_FSB<20> | ram/RASEL | A_FSB<19> | EXP21_.EXP -INPUTMC | 7 | 0 | 10 | 7 | 2 | 1 | 9 | 4 | 12 | 5 | 13 | 4 | 2 | 7 | 3 +OUTPUTMC | 23 | 2 | 15 | 7 | 16 | 2 | 5 | 7 | 2 | 2 | 11 | 7 | 0 | 7 | 13 | 2 | 14 | 7 | 8 | 3 | 12 | 2 | 12 | 2 | 10 | 2 | 13 | 7 | 1 | 7 | 3 | 7 | 4 | 7 | 7 | 7 | 9 | 7 | 11 | 7 | 12 | 7 | 14 | 7 | 15 | 7 | 17 +INPUTS | 9 | iobs/TS_FSM_FFd1 | iobs/TS_FSM_FFd2 | iobs/IOACTr | iobs/Sent | nADoutLE1 | A_FSB<20> | ram/RASEL | A_FSB<19> | EXP20_.EXP +INPUTMC | 7 | 2 | 5 | 7 | 2 | 1 | 6 | 2 | 15 | 5 | 13 | 2 | 6 | 7 | 3 INPUTP | 2 | 28 | 26 EXPORTS | 1 | 7 | 1 IMPORTS | 1 | 7 | 3 @@ -870,53 +921,11 @@ EQ | 28 | # A_FSB<19> & !ram/RASEL GLOBALS | 1 | 2 | FCLK -MACROCELL | 2 | 14 | ram/RS_FSM_FFd9 -ATTRIBUTES | 8553220 | 0 -OUTPUTMC | 15 | 4 | 2 | 2 | 14 | 2 | 11 | 2 | 3 | 2 | 9 | 2 | 17 | 2 | 13 | 4 | 7 | 2 | 0 | 2 | 1 | 2 | 8 | 2 | 10 | 2 | 12 | 2 | 15 | 2 | 16 -INPUTS | 11 | ram/RS_FSM_FFd1 | A_FSB<22> | ram/RefDone | ram/RS_FSM_FFd9 | ram/RASEN | A_FSB<23> | RefReq | nAS_FSB | ram/BACTr | fsb/ASrf | EXP14_.EXP -INPUTMC | 8 | 1 | 6 | 2 | 2 | 2 | 14 | 2 | 11 | 6 | 6 | 0 | 0 | 0 | 4 | 2 | 15 -INPUTP | 3 | 30 | 36 | 54 -EXPORTS | 1 | 2 | 13 -IMPORTS | 1 | 2 | 15 -EQ | 31 | - ram/RS_FSM_FFd9.D = ram/RS_FSM_FFd1 - # A_FSB<23> & ram/RefDone & ram/RS_FSM_FFd9 & - ram/RASEN - # A_FSB<22> & ram/RefDone & ram/RS_FSM_FFd9 & - ram/RASEN -;Imported pterms FB3_16 - # !RefUrg & nAS_FSB & ram/RS_FSM_FFd5 & !fsb/ASrf - # ram/RefDone & nAS_FSB & ram/RS_FSM_FFd5 & - !fsb/ASrf - # A_FSB<22> & !RefUrg & !RefReq & ram/RS_FSM_FFd9 & - ram/RASEN - # !RefUrg & nAS_FSB & ram/RS_FSM_FFd9 & ram/RASEN & - !fsb/ASrf - # ram/RefDone & nAS_FSB & ram/RS_FSM_FFd9 & - ram/RASEN & !fsb/ASrf -;Imported pterms FB3_17 - # A_FSB<23> & !RefUrg & !RefReq & ram/RS_FSM_FFd9 & - ram/RASEN - # A_FSB<23> & !RefUrg & ram/RS_FSM_FFd9 & - ram/RASEN & ram/BACTr - # A_FSB<22> & !RefUrg & ram/RS_FSM_FFd9 & - ram/RASEN & ram/BACTr - # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay & !nAS_FSB & - ram/RS_FSM_FFd9 & ram/RASEN - # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay & - ram/RS_FSM_FFd9 & ram/RASEN & fsb/ASrf; - ram/RS_FSM_FFd9.CLK = FCLK; // GCK - ram/RS_FSM_FFd9.EXP = A_FSB<23> & RefReq & !ram/RefDone & !nAS_FSB & - ram/RS_FSM_FFd9 & !ram/BACTr - # A_FSB<22> & RefReq & !ram/RefDone & - ram/RS_FSM_FFd9 & !ram/BACTr & fsb/ASrf -GLOBALS | 1 | 2 | FCLK - MACROCELL | 5 | 2 | iobm/IOS_FSM_FFd7 ATTRIBUTES | 8553220 | 0 OUTPUTMC | 9 | 5 | 11 | 5 | 12 | 5 | 9 | 5 | 2 | 5 | 0 | 5 | 17 | 5 | 15 | 5 | 7 | 5 | 16 INPUTS | 6 | iobm/IOS_FSM_FFd7 | iobm/IOS_FSM_FFd1 | iobm/C8Mr | iobm/IORDREQr | nAoutOE | iobm/IOWRREQr -INPUTMC | 6 | 5 | 2 | 1 | 14 | 1 | 16 | 1 | 15 | 3 | 1 | 1 | 11 +INPUTMC | 6 | 5 | 2 | 1 | 11 | 1 | 14 | 1 | 12 | 3 | 1 | 1 | 8 EQ | 6 | !iobm/IOS_FSM_FFd7.D = !iobm/IOS_FSM_FFd7 & !iobm/IOS_FSM_FFd1 # !iobm/C8Mr & !iobm/IOS_FSM_FFd1 & iobm/IORDREQr & @@ -928,9 +937,9 @@ GLOBALS | 1 | 2 | C16M MACROCELL | 5 | 3 | iobm/IOS_FSM_FFd3 ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 10 | 5 | 11 | 5 | 8 | 5 | 10 | 5 | 3 | 5 | 17 | 1 | 17 | 5 | 15 | 5 | 7 | 5 | 12 | 5 | 16 +OUTPUTMC | 10 | 5 | 11 | 5 | 8 | 5 | 10 | 5 | 3 | 5 | 17 | 1 | 16 | 5 | 15 | 5 | 7 | 5 | 12 | 5 | 16 INPUTS | 5 | iobm/IOS_FSM_FFd4 | iobm/IOS_FSM_FFd3 | iobm/C8Mr | IOBERR | IODONE -INPUTMC | 5 | 1 | 13 | 5 | 3 | 1 | 16 | 0 | 15 | 5 | 9 +INPUTMC | 5 | 1 | 10 | 5 | 3 | 1 | 14 | 1 | 17 | 5 | 9 EQ | 4 | iobm/IOS_FSM_FFd3.D = iobm/IOS_FSM_FFd4 # iobm/IOS_FSM_FFd3 & iobm/C8Mr @@ -938,9 +947,9 @@ EQ | 4 | iobm/IOS_FSM_FFd3.CLK = C16M; // GCK GLOBALS | 1 | 2 | C16M -MACROCELL | 1 | 16 | iobm/C8Mr +MACROCELL | 1 | 14 | iobm/C8Mr ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 9 | 5 | 11 | 5 | 12 | 5 | 9 | 5 | 2 | 5 | 3 | 5 | 0 | 5 | 17 | 1 | 17 | 5 | 7 +OUTPUTMC | 9 | 5 | 11 | 5 | 12 | 5 | 9 | 5 | 2 | 5 | 3 | 5 | 0 | 5 | 17 | 1 | 16 | 5 | 7 INPUTS | 1 | C8M INPUTP | 1 | 35 EQ | 2 | @@ -950,9 +959,9 @@ GLOBALS | 1 | 2 | C16M MACROCELL | 5 | 4 | iobm/ES<0> ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 6 | 5 | 1 | 5 | 9 | 5 | 4 | 0 | 16 | 5 | 6 | 5 | 5 +OUTPUTMC | 6 | 5 | 1 | 5 | 9 | 5 | 4 | 0 | 13 | 5 | 6 | 5 | 5 INPUTS | 6 | iobm/ES<0> | E | iobm/Er | iobm/ES<2> | iobm/ES<1> | iobm/ES<3> -INPUTMC | 5 | 5 | 4 | 0 | 3 | 0 | 16 | 5 | 6 | 5 | 5 +INPUTMC | 5 | 5 | 4 | 1 | 13 | 0 | 13 | 5 | 6 | 5 | 5 INPUTP | 1 | 37 EQ | 6 | !iobm/ES<0>.T = iobm/ES<0> & !E & iobm/Er @@ -963,21 +972,21 @@ EQ | 6 | !iobm/ES<0>.CLK = C8M; // GCK GLOBALS | 1 | 2 | C8M -MACROCELL | 1 | 13 | iobm/IOS_FSM_FFd4 +MACROCELL | 1 | 10 | iobm/IOS_FSM_FFd4 ATTRIBUTES | 8553216 | 0 OUTPUTMC | 9 | 5 | 11 | 5 | 8 | 5 | 10 | 5 | 3 | 5 | 17 | 5 | 15 | 5 | 7 | 5 | 12 | 5 | 16 INPUTS | 1 | iobm/IOS_FSM_FFd5 -INPUTMC | 1 | 1 | 12 +INPUTMC | 1 | 1 | 9 EQ | 2 | iobm/IOS_FSM_FFd4.D = iobm/IOS_FSM_FFd5; iobm/IOS_FSM_FFd4.CLK = C16M; // GCK GLOBALS | 1 | 2 | C16M -MACROCELL | 0 | 16 | iobm/ES<2> +MACROCELL | 0 | 13 | iobm/ES<2> ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 6 | 5 | 1 | 5 | 9 | 5 | 4 | 0 | 16 | 5 | 6 | 5 | 5 +OUTPUTMC | 6 | 5 | 1 | 5 | 9 | 5 | 4 | 0 | 13 | 5 | 6 | 5 | 5 INPUTS | 5 | iobm/ES<0> | iobm/ES<1> | iobm/Er | E | iobm/ES<2> -INPUTMC | 4 | 5 | 4 | 5 | 6 | 0 | 3 | 0 | 16 +INPUTMC | 4 | 5 | 4 | 5 | 6 | 1 | 13 | 0 | 13 INPUTP | 1 | 37 EQ | 4 | iobm/ES<2>.T = iobm/ES<0> & iobm/ES<1> & E @@ -986,9 +995,9 @@ EQ | 4 | !iobm/ES<2>.CLK = C8M; // GCK GLOBALS | 1 | 2 | C8M -MACROCELL | 1 | 12 | iobm/IOS_FSM_FFd5 +MACROCELL | 1 | 9 | iobm/IOS_FSM_FFd5 ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 8 | 5 | 11 | 5 | 8 | 5 | 10 | 1 | 13 | 5 | 17 | 5 | 15 | 5 | 7 | 5 | 12 +OUTPUTMC | 8 | 5 | 11 | 5 | 8 | 5 | 10 | 1 | 10 | 5 | 17 | 5 | 15 | 5 | 7 | 5 | 12 INPUTS | 1 | iobm/IOS_FSM_FFd6 INPUTMC | 1 | 5 | 0 EQ | 2 | @@ -998,9 +1007,9 @@ GLOBALS | 1 | 2 | C16M MACROCELL | 5 | 0 | iobm/IOS_FSM_FFd6 ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 8 | 5 | 11 | 5 | 8 | 5 | 10 | 1 | 12 | 5 | 17 | 5 | 15 | 5 | 7 | 5 | 12 +OUTPUTMC | 8 | 5 | 11 | 5 | 8 | 5 | 10 | 1 | 9 | 5 | 17 | 5 | 15 | 5 | 7 | 5 | 12 INPUTS | 5 | iobm/IOS_FSM_FFd7 | iobm/C8Mr | iobm/IORDREQr | nAoutOE | iobm/IOWRREQr -INPUTMC | 5 | 5 | 2 | 1 | 16 | 1 | 15 | 3 | 1 | 1 | 11 +INPUTMC | 5 | 5 | 2 | 1 | 14 | 1 | 12 | 3 | 1 | 1 | 8 EQ | 5 | iobm/IOS_FSM_FFd6.D = iobm/IOS_FSM_FFd7 & !iobm/C8Mr & iobm/IORDREQr & !nAoutOE @@ -1009,11 +1018,50 @@ EQ | 5 | iobm/IOS_FSM_FFd6.CLK = C16M; // GCK GLOBALS | 1 | 2 | C16M +MACROCELL | 4 | 0 | ram/RS_FSM_FFd8 +ATTRIBUTES | 8553220 | 0 +OUTPUTMC | 12 | 2 | 6 | 4 | 0 | 4 | 9 | 2 | 3 | 0 | 17 | 4 | 12 | 4 | 16 | 4 | 3 | 0 | 0 | 4 | 5 | 4 | 15 | 4 | 17 +INPUTS | 11 | RefUrg | cs/nOverlay | ram/RefDone | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd8 | ram/RS_FSM_FFd4 | A_FSB<22> | A_FSB<23> | ram/RASEN | nROMCS_OBUF.EXP | ram/RASrr.EXP +INPUTMC | 9 | 0 | 11 | 2 | 7 | 0 | 5 | 0 | 2 | 4 | 0 | 2 | 17 | 4 | 9 | 4 | 1 | 4 | 17 +INPUTP | 2 | 30 | 36 +IMPORTS | 2 | 4 | 1 | 4 | 17 +EQ | 29 | + !ram/RS_FSM_FFd8.D = !ram/RS_FSM_FFd8 & !ram/RS_FSM_FFd4 & + !ram/RS_FSM_FFd1 + # A_FSB<23> & RefUrg & !ram/RefDone & + !ram/RS_FSM_FFd1 + # A_FSB<22> & RefUrg & !ram/RefDone & + !ram/RS_FSM_FFd1 + # RefUrg & cs/nOverlay & !ram/RefDone & + !ram/RS_FSM_FFd1 + # RefUrg & !ram/RefDone & !ram/RASEN & + !ram/RS_FSM_FFd1 +;Imported pterms FB5_2 + # A_FSB<23> & RefReq & !ram/RefDone & !nAS_FSB & + !ram/RS_FSM_FFd4 & !ram/RS_FSM_FFd1 & !ram/BACTr + # A_FSB<23> & RefReq & !ram/RefDone & + !ram/RS_FSM_FFd4 & !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay & + !ram/RS_FSM_FFd4 & ram/RASEN & !ram/RS_FSM_FFd1 & fsb/ASrf + # A_FSB<22> & RefReq & !ram/RefDone & !nAS_FSB & + !ram/RS_FSM_FFd4 & !ram/RS_FSM_FFd1 & !ram/BACTr + # A_FSB<22> & RefReq & !ram/RefDone & + !ram/RS_FSM_FFd4 & !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf +;Imported pterms FB5_18 + # RefUrg & !ram/RefDone & !ram/RS_FSM_FFd8 & + !ram/RS_FSM_FFd1 + # RefUrg & !ram/RefDone & nAS_FSB & + !ram/RS_FSM_FFd1 & !fsb/ASrf + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay & !nAS_FSB & + !ram/RS_FSM_FFd4 & ram/RASEN & !ram/RS_FSM_FFd1; + ram/RS_FSM_FFd8.CLK = FCLK; // GCK +GLOBALS | 1 | 2 | FCLK + MACROCELL | 7 | 15 | cnt/IS_FSM_FFd1 ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 23 | 3 | 17 | 3 | 14 | 3 | 9 | 6 | 15 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 3 | 6 | 3 | 3 | 3 | 2 | 3 | 8 | 7 | 14 | 7 | 15 | 6 | 3 | 0 | 1 | 3 | 0 | 3 | 13 | 3 | 1 | 3 | 11 | 3 | 12 | 3 | 15 | 7 | 16 +OUTPUTMC | 23 | 3 | 17 | 3 | 14 | 6 | 16 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 0 | 6 | 15 | 7 | 14 | 7 | 15 | 0 | 10 | 3 | 8 | 3 | 0 | 6 | 17 | 3 | 1 | 3 | 2 | 3 | 13 | 3 | 15 | 7 | 16 INPUTS | 24 | cnt/TimerTC | cnt/IS_FSM_FFd1 | cnt/IS_FSM_FFd2 | cnt/Er<0> | cnt/nIPL2r | cnt/Er<1> | cnt/LTimerTC | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<13> | iobs/Sent | nWE_FSB | iobs/IORW1 | iobs/TS_FSM_FFd1 | fsb/ASrf | nADoutLE1 | iobs/TS_FSM_FFd2 | A_FSB<14> -INPUTMC | 13 | 6 | 2 | 7 | 15 | 6 | 3 | 0 | 7 | 0 | 5 | 0 | 6 | 6 | 0 | 4 | 12 | 7 | 16 | 0 | 10 | 0 | 4 | 5 | 13 | 7 | 2 +INPUTMC | 13 | 0 | 8 | 7 | 15 | 0 | 10 | 0 | 4 | 1 | 15 | 0 | 3 | 3 | 11 | 2 | 15 | 7 | 16 | 2 | 5 | 3 | 9 | 5 | 13 | 7 | 2 INPUTP | 11 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 18 | 47 | 19 EXPORTS | 1 | 7 | 16 EQ | 19 | @@ -1038,11 +1086,11 @@ EQ | 19 | fsb/ASrf & nADoutLE1 GLOBALS | 1 | 2 | FCLK -MACROCELL | 6 | 3 | cnt/IS_FSM_FFd2 +MACROCELL | 0 | 10 | cnt/IS_FSM_FFd2 ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 22 | 3 | 17 | 3 | 14 | 3 | 9 | 6 | 15 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 3 | 6 | 3 | 3 | 3 | 2 | 3 | 8 | 7 | 14 | 7 | 15 | 6 | 3 | 0 | 1 | 3 | 0 | 3 | 13 | 3 | 1 | 3 | 11 | 3 | 12 | 3 | 15 +OUTPUTMC | 23 | 3 | 17 | 3 | 14 | 6 | 16 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 0 | 6 | 15 | 7 | 14 | 7 | 15 | 0 | 10 | 3 | 8 | 3 | 0 | 6 | 17 | 3 | 1 | 3 | 2 | 3 | 13 | 3 | 15 | 6 | 1 INPUTS | 6 | cnt/TimerTC | cnt/IS_FSM_FFd1 | cnt/IS_FSM_FFd2 | cnt/Er<0> | cnt/Er<1> | cnt/LTimerTC -INPUTMC | 6 | 6 | 2 | 7 | 15 | 6 | 3 | 0 | 7 | 0 | 6 | 6 | 0 +INPUTMC | 6 | 0 | 8 | 7 | 15 | 0 | 10 | 0 | 4 | 0 | 3 | 3 | 11 EQ | 5 | cnt/IS_FSM_FFd2.T = cnt/TimerTC & cnt/IS_FSM_FFd1 & cnt/IS_FSM_FFd2 & !cnt/Er<0> & cnt/Er<1> & cnt/LTimerTC @@ -1053,9 +1101,9 @@ GLOBALS | 1 | 2 | FCLK MACROCELL | 5 | 6 | iobm/ES<1> ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 6 | 5 | 1 | 5 | 9 | 5 | 4 | 0 | 16 | 5 | 6 | 5 | 5 +OUTPUTMC | 6 | 5 | 1 | 5 | 9 | 5 | 4 | 0 | 13 | 5 | 6 | 5 | 5 INPUTS | 6 | iobm/ES<0> | iobm/ES<1> | E | iobm/Er | iobm/ES<2> | iobm/ES<3> -INPUTMC | 5 | 5 | 4 | 5 | 6 | 0 | 3 | 0 | 16 | 5 | 5 +INPUTMC | 5 | 5 | 4 | 5 | 6 | 1 | 13 | 0 | 13 | 5 | 5 INPUTP | 1 | 37 EQ | 5 | !iobm/ES<1>.D = iobm/ES<0> & iobm/ES<1> @@ -1069,7 +1117,7 @@ MACROCELL | 5 | 5 | iobm/ES<3> ATTRIBUTES | 4358912 | 0 OUTPUTMC | 5 | 5 | 1 | 5 | 9 | 5 | 4 | 5 | 6 | 5 | 5 INPUTS | 6 | iobm/ES<3> | E | iobm/Er | iobm/ES<0> | iobm/ES<2> | iobm/ES<1> -INPUTMC | 5 | 5 | 5 | 0 | 3 | 5 | 4 | 0 | 16 | 5 | 6 +INPUTMC | 5 | 5 | 5 | 1 | 13 | 5 | 4 | 0 | 13 | 5 | 6 INPUTP | 1 | 37 EQ | 6 | iobm/ES<3>.T = iobm/ES<3> & !E & iobm/Er @@ -1080,112 +1128,73 @@ EQ | 6 | !iobm/ES<3>.CLK = C8M; // GCK GLOBALS | 1 | 2 | C8M -MACROCELL | 2 | 4 | ram/RS_FSM_FFd5 +MACROCELL | 2 | 17 | ram/RS_FSM_FFd4 ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 9 | 2 | 10 | 2 | 4 | 2 | 11 | 2 | 8 | 2 | 17 | 2 | 12 | 2 | 0 | 2 | 1 | 2 | 15 -INPUTS | 4 | ram/RS_FSM_FFd5 | ram/RS_FSM_FFd6 | nAS_FSB | fsb/ASrf -INPUTMC | 3 | 2 | 4 | 1 | 2 | 0 | 4 -INPUTP | 1 | 54 -EQ | 3 | - !ram/RS_FSM_FFd5.D = !ram/RS_FSM_FFd5 & !ram/RS_FSM_FFd6 - # nAS_FSB & !ram/RS_FSM_FFd6 & !fsb/ASrf; - ram/RS_FSM_FFd5.CLK = FCLK; // GCK +OUTPUTMC | 10 | 4 | 0 | 4 | 9 | 0 | 17 | 4 | 12 | 4 | 17 | 4 | 3 | 4 | 1 | 4 | 8 | 4 | 11 | 2 | 0 +INPUTS | 12 | ram/DTACKr | ram/RS_FSM_FFd5 | A_FSB<22> | A_FSB<11> | $OpTx$$OpTx$FX_DC$350_INV$537 | A_FSB<10> | A_FSB<9> | A_FSB<8> | cnt/WS<0> | cnt/WS<1> | cnt/WS<2> | cnt/WS<3> +INPUTMC | 7 | 1 | 4 | 2 | 4 | 3 | 13 | 4 | 7 | 2 | 10 | 2 | 2 | 2 | 9 +INPUTP | 5 | 30 | 15 | 13 | 12 | 11 +EXPORTS | 1 | 2 | 0 +EQ | 10 | + ram/RS_FSM_FFd4.D = ram/DTACKr & ram/RS_FSM_FFd5; + ram/RS_FSM_FFd4.CLK = FCLK; // GCK + ram/RS_FSM_FFd4.EXP = A_FSB<22> & !A_FSB<11> & + !$OpTx$$OpTx$FX_DC$350_INV$537 + # A_FSB<22> & !A_FSB<10> & + !$OpTx$$OpTx$FX_DC$350_INV$537 + # A_FSB<22> & A_FSB<9> & !A_FSB<8> & + !$OpTx$$OpTx$FX_DC$350_INV$537 + # cnt/WS<0> & cnt/WS<1> & cnt/WS<2> & cnt/WS<3> & + !$OpTx$$OpTx$FX_DC$350_INV$537 GLOBALS | 1 | 2 | FCLK -MACROCELL | 2 | 11 | ram/RASEN +MACROCELL | 4 | 9 | ram/RASEN ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 13 | 2 | 16 | 4 | 2 | 2 | 14 | 2 | 11 | 2 | 3 | 2 | 9 | 2 | 17 | 2 | 13 | 4 | 7 | 2 | 10 | 2 | 15 | 7 | 5 | 2 | 12 -INPUTS | 18 | ram/RS_FSM_FFd9 | ram/RS_FSM_FFd5 | ram/RS_FSM_FFd7 | ram/RS_FSM_FFd8 | ram/RS_FSM_FFd4 | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd3 | ram/RS_FSM_FFd6 | ram/RS_FSM_FFd1 | ram/RefDone | ram/RASEN | RefUrg | nAS_FSB | fsb/ASrf | A_FSB<23> | RefReq | ram/BACTr | EXP12_.EXP -INPUTMC | 16 | 2 | 14 | 2 | 4 | 2 | 3 | 2 | 9 | 1 | 3 | 1 | 5 | 1 | 4 | 1 | 2 | 1 | 6 | 2 | 2 | 2 | 11 | 0 | 14 | 0 | 4 | 6 | 6 | 0 | 0 | 2 | 10 -INPUTP | 2 | 54 | 36 -EXPORTS | 1 | 2 | 12 -IMPORTS | 1 | 2 | 10 +OUTPUTMC | 12 | 4 | 17 | 2 | 6 | 4 | 0 | 4 | 9 | 2 | 3 | 0 | 17 | 4 | 12 | 4 | 16 | 4 | 1 | 4 | 5 | 4 | 15 | 7 | 5 +INPUTS | 9 | ram/RS_FSM_FFd8 | ram/RS_FSM_FFd4 | ram/RS_FSM_FFd1 | A_FSB<22> | RefUrg | ram/RefDone | A_FSB<23> | ram/RASEN | RA_4_OBUF.EXP +INPUTMC | 7 | 4 | 0 | 2 | 17 | 0 | 2 | 0 | 11 | 0 | 5 | 4 | 9 | 4 | 8 +INPUTP | 2 | 30 | 36 +IMPORTS | 1 | 4 | 8 EQ | 22 | - ram/RASEN.D = ram/RS_FSM_FFd1 - # ram/RefDone & ram/RS_FSM_FFd9 & ram/RASEN - # !RefUrg & nAS_FSB & ram/RS_FSM_FFd5 & !fsb/ASrf - # !ram/RS_FSM_FFd9 & !ram/RS_FSM_FFd5 & - !ram/RS_FSM_FFd7 & !ram/RS_FSM_FFd8 & !ram/RS_FSM_FFd4 & - !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 & !ram/RS_FSM_FFd6 -;Imported pterms FB3_11 - # !RefUrg & !RefReq & ram/RS_FSM_FFd9 & ram/RASEN - # !RefUrg & ram/RS_FSM_FFd9 & ram/RASEN & - ram/BACTr - # ram/RefDone & nAS_FSB & ram/RS_FSM_FFd5 & - !fsb/ASrf - # !A_FSB<23> & !A_FSB<22> & !nAS_FSB & - ram/RS_FSM_FFd9 & ram/RASEN - # !RefUrg & nAS_FSB & ram/RS_FSM_FFd9 & ram/RASEN & - !fsb/ASrf -;Imported pterms FB3_10 - # !A_FSB<23> & !A_FSB<22> & ram/RS_FSM_FFd9 & - ram/RASEN & fsb/ASrf; + !ram/RASEN.D = !ram/RS_FSM_FFd8 & !ram/RS_FSM_FFd4 & + !ram/RS_FSM_FFd1 + # A_FSB<23> & RefUrg & !ram/RefDone & + !ram/RS_FSM_FFd1 + # A_FSB<22> & RefUrg & !ram/RefDone & + !ram/RS_FSM_FFd1 + # RefUrg & !ram/RefDone & !ram/RS_FSM_FFd8 & + !ram/RS_FSM_FFd1 + # RefUrg & !ram/RefDone & !ram/RASEN & + !ram/RS_FSM_FFd1 +;Imported pterms FB5_9 + # RefUrg & !ram/RefDone & nAS_FSB & + !ram/RS_FSM_FFd1 & !fsb/ASrf + # A_FSB<23> & RefReq & !ram/RefDone & !nAS_FSB & + !ram/RS_FSM_FFd4 & !ram/RS_FSM_FFd1 & !ram/BACTr + # A_FSB<23> & RefReq & !ram/RefDone & + !ram/RS_FSM_FFd4 & !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf + # A_FSB<22> & RefReq & !ram/RefDone & !nAS_FSB & + !ram/RS_FSM_FFd4 & !ram/RS_FSM_FFd1 & !ram/BACTr + # A_FSB<22> & RefReq & !ram/RefDone & + !ram/RS_FSM_FFd4 & !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf; ram/RASEN.CLK = FCLK; // GCK - ram/RASEN.EXP = A_FSB<23> & RefReq & !ram/RefDone & - ram/RS_FSM_FFd9 & !ram/BACTr & fsb/ASrf GLOBALS | 1 | 2 | FCLK -MACROCELL | 2 | 3 | ram/RS_FSM_FFd7 +MACROCELL | 0 | 2 | ram/RS_FSM_FFd1 ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 8 | 4 | 2 | 2 | 11 | 1 | 2 | 2 | 17 | 2 | 13 | 1 | 7 | 2 | 0 | 2 | 1 -INPUTS | 7 | A_FSB<23> | A_FSB<22> | cs/nOverlay | nAS_FSB | ram/RS_FSM_FFd9 | ram/RASEN | fsb/ASrf -INPUTMC | 4 | 4 | 3 | 2 | 14 | 2 | 11 | 0 | 4 -INPUTP | 3 | 36 | 30 | 54 -EQ | 5 | - ram/RS_FSM_FFd7.D = !A_FSB<23> & !A_FSB<22> & cs/nOverlay & !nAS_FSB & - ram/RS_FSM_FFd9 & ram/RASEN - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay & - ram/RS_FSM_FFd9 & ram/RASEN & fsb/ASrf; - ram/RS_FSM_FFd7.CLK = FCLK; // GCK -GLOBALS | 1 | 2 | FCLK - -MACROCELL | 1 | 6 | ram/RS_FSM_FFd1 -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 6 | 2 | 2 | 2 | 14 | 2 | 11 | 2 | 17 | 2 | 0 | 2 | 1 +OUTPUTMC | 9 | 0 | 5 | 4 | 0 | 4 | 9 | 4 | 12 | 4 | 4 | 4 | 1 | 4 | 8 | 4 | 11 | 4 | 17 INPUTS | 1 | ram/RS_FSM_FFd2 -INPUTMC | 1 | 1 | 5 +INPUTMC | 1 | 0 | 1 EQ | 2 | ram/RS_FSM_FFd1.D = ram/RS_FSM_FFd2; ram/RS_FSM_FFd1.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 2 | 9 | ram/RS_FSM_FFd8 -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 7 | 2 | 2 | 2 | 11 | 1 | 3 | 2 | 17 | 2 | 13 | 4 | 7 | 2 | 10 -INPUTS | 9 | ram/RS_FSM_FFd9 | ram/RASEN | A_FSB<22> | RefUrg | ram/RefDone | A_FSB<23> | nAS_FSB | fsb/ASrf | nDTACK_FSB_OBUF.EXP -INPUTMC | 6 | 2 | 14 | 2 | 11 | 0 | 14 | 2 | 2 | 0 | 4 | 2 | 8 -INPUTP | 3 | 30 | 36 | 54 -EXPORTS | 1 | 2 | 10 -IMPORTS | 1 | 2 | 8 -EQ | 21 | - ram/RS_FSM_FFd8.D = ram/RS_FSM_FFd9 & !ram/RASEN - # A_FSB<23> & RefUrg & !ram/RefDone & - ram/RS_FSM_FFd9 - # A_FSB<22> & RefUrg & !ram/RefDone & - ram/RS_FSM_FFd9 - # RefUrg & !ram/RefDone & nAS_FSB & - ram/RS_FSM_FFd9 & !fsb/ASrf -;Imported pterms FB3_9 - # RefUrg & !ram/RefDone & nAS_FSB & - ram/RS_FSM_FFd5 & !fsb/ASrf - # A_FSB<23> & RefReq & !ram/RefDone & !nAS_FSB & - ram/RS_FSM_FFd9 & !ram/BACTr - # A_FSB<23> & RefReq & !ram/RefDone & - ram/RS_FSM_FFd9 & !ram/BACTr & fsb/ASrf - # A_FSB<22> & RefReq & !ram/RefDone & !nAS_FSB & - ram/RS_FSM_FFd9 & !ram/BACTr - # A_FSB<22> & RefReq & !ram/RefDone & - ram/RS_FSM_FFd9 & !ram/BACTr & fsb/ASrf; - ram/RS_FSM_FFd8.CLK = FCLK; // GCK - ram/RS_FSM_FFd8.EXP = !A_FSB<23> & !A_FSB<22> & ram/RS_FSM_FFd9 & - ram/RASEN & fsb/ASrf -GLOBALS | 1 | 2 | FCLK - MACROCELL | 5 | 17 | IOACT ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 3 | 5 | 1 | 5 | 16 | 1 | 9 +OUTPUTMC | 3 | 5 | 1 | 5 | 16 | 1 | 6 INPUTS | 9 | iobm/IOS_FSM_FFd5 | iobm/IOS_FSM_FFd6 | iobm/IOS_FSM_FFd4 | iobm/IOS_FSM_FFd3 | iobm/C8Mr | iobm/IOS_FSM_FFd7 | iobm/IORDREQr | nAoutOE | nDinLE_OBUF.EXP -INPUTMC | 9 | 1 | 12 | 5 | 0 | 1 | 13 | 5 | 3 | 1 | 16 | 5 | 2 | 1 | 15 | 3 | 1 | 5 | 16 +INPUTMC | 9 | 1 | 9 | 5 | 0 | 1 | 10 | 5 | 3 | 1 | 14 | 5 | 2 | 1 | 12 | 3 | 1 | 5 | 16 IMPORTS | 1 | 5 | 16 EQ | 11 | IOACT.D = iobm/IOS_FSM_FFd4 @@ -1201,54 +1210,44 @@ EQ | 11 | IOACT.CLK = C16M; // GCK GLOBALS | 1 | 2 | C16M -MACROCELL | 2 | 1 | cnt/WS<0> +MACROCELL | 4 | 7 | cnt/WS<0> ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 6 | 2 | 1 | 2 | 6 | 2 | 5 | 4 | 6 | 4 | 16 | 2 | 0 -INPUTS | 13 | nAS_FSB | cnt/WS<0> | fsb/ASrf | A_FSB<23> | RefReq | ram/RefDone | ram/RS_FSM_FFd9 | ram/RS_FSM_FFd5 | ram/RS_FSM_FFd7 | ram/RS_FSM_FFd1 | ram/BACTr | ram/RS_FSM_FFd6 | A_FSB<22> -INPUTMC | 10 | 2 | 1 | 0 | 4 | 6 | 6 | 2 | 2 | 2 | 14 | 2 | 4 | 2 | 3 | 1 | 6 | 0 | 0 | 1 | 2 -INPUTP | 3 | 54 | 36 | 30 -EXPORTS | 1 | 2 | 0 -EQ | 14 | +OUTPUTMC | 7 | 4 | 7 | 2 | 10 | 2 | 2 | 2 | 9 | 2 | 3 | 2 | 17 | 4 | 8 +INPUTS | 6 | nAS_FSB | cnt/WS<0> | fsb/ASrf | A_FSB<11> | ram/RASEL | A_FSB<3> +INPUTMC | 3 | 4 | 7 | 3 | 9 | 2 | 6 +INPUTP | 3 | 54 | 15 | 155 +EXPORTS | 1 | 4 | 8 +EQ | 4 | !cnt/WS<0>.T = nAS_FSB & !cnt/WS<0> & !fsb/ASrf; cnt/WS<0>.CLK = FCLK; // GCK - cnt/WS<0>.EXP = A_FSB<23> & RefReq & !ram/RefDone & !nAS_FSB & - ram/RS_FSM_FFd9 & !ram/RS_FSM_FFd5 & !ram/RS_FSM_FFd7 & - !ram/RS_FSM_FFd1 & !ram/BACTr & !ram/RS_FSM_FFd6 - # A_FSB<23> & RefReq & !ram/RefDone & - ram/RS_FSM_FFd9 & !ram/RS_FSM_FFd5 & !ram/RS_FSM_FFd7 & - !ram/RS_FSM_FFd1 & !ram/BACTr & !ram/RS_FSM_FFd6 & fsb/ASrf - # A_FSB<22> & RefReq & !ram/RefDone & !nAS_FSB & - ram/RS_FSM_FFd9 & !ram/RS_FSM_FFd5 & !ram/RS_FSM_FFd7 & - !ram/RS_FSM_FFd1 & !ram/BACTr & !ram/RS_FSM_FFd6 - # A_FSB<22> & RefReq & !ram/RefDone & - ram/RS_FSM_FFd9 & !ram/RS_FSM_FFd5 & !ram/RS_FSM_FFd7 & - !ram/RS_FSM_FFd1 & !ram/BACTr & !ram/RS_FSM_FFd6 & fsb/ASrf + cnt/WS<0>.EXP = A_FSB<11> & !ram/RASEL + # ram/RASEL & A_FSB<3> GLOBALS | 1 | 2 | FCLK -MACROCELL | 1 | 14 | iobm/IOS_FSM_FFd1 +MACROCELL | 1 | 11 | iobm/IOS_FSM_FFd1 ATTRIBUTES | 8553216 | 0 OUTPUTMC | 4 | 5 | 2 | 5 | 16 | 5 | 15 | 5 | 12 INPUTS | 1 | iobm/IOS_FSM_FFd2 -INPUTMC | 1 | 1 | 17 +INPUTMC | 1 | 1 | 16 EQ | 2 | iobm/IOS_FSM_FFd1.D = iobm/IOS_FSM_FFd2; iobm/IOS_FSM_FFd1.CLK = C16M; // GCK GLOBALS | 1 | 2 | C16M -MACROCELL | 1 | 17 | iobm/IOS_FSM_FFd2 +MACROCELL | 1 | 16 | iobm/IOS_FSM_FFd2 ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 4 | 5 | 16 | 1 | 14 | 5 | 15 | 5 | 12 +OUTPUTMC | 4 | 5 | 16 | 1 | 11 | 5 | 15 | 5 | 12 INPUTS | 4 | IODONE | iobm/IOS_FSM_FFd3 | iobm/C8Mr | IOBERR -INPUTMC | 4 | 5 | 9 | 5 | 3 | 1 | 16 | 0 | 15 +INPUTMC | 4 | 5 | 9 | 5 | 3 | 1 | 14 | 1 | 17 EQ | 3 | iobm/IOS_FSM_FFd2.D = IOBERR & iobm/IOS_FSM_FFd3 & !iobm/C8Mr # IODONE & iobm/IOS_FSM_FFd3 & !iobm/C8Mr; iobm/IOS_FSM_FFd2.CLK = C16M; // GCK GLOBALS | 1 | 2 | C16M -MACROCELL | 1 | 9 | iobs/IOACTr +MACROCELL | 1 | 6 | iobs/IOACTr ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 4 | 0 | 10 | 7 | 2 | 4 | 16 | 7 | 8 +OUTPUTMC | 4 | 2 | 5 | 7 | 2 | 2 | 13 | 7 | 8 INPUTS | 1 | IOACT INPUTMC | 1 | 5 | 17 EQ | 2 | @@ -1256,13 +1255,13 @@ EQ | 2 | iobs/IOACTr.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 4 | 9 | iobs/Load1 +MACROCELL | 2 | 11 | iobs/Load1 ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 3 | 0 | 12 | 0 | 11 | 5 | 13 -INPUTS | 18 | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<14> | iobs/Sent | nWE_FSB | nAS_FSB | iobs/TS_FSM_FFd2 | nADoutLE1 | A_FSB<13> | iobs/TS_FSM_FFd1 | fsb/ASrf | RA_4_OBUF.EXP -INPUTMC | 6 | 4 | 12 | 7 | 2 | 5 | 13 | 0 | 10 | 0 | 4 | 4 | 8 +OUTPUTMC | 3 | 0 | 7 | 0 | 6 | 5 | 13 +INPUTS | 18 | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<14> | iobs/Sent | nWE_FSB | nAS_FSB | iobs/TS_FSM_FFd2 | nADoutLE1 | A_FSB<13> | iobs/TS_FSM_FFd1 | fsb/ASrf | cnt/WS<1>.EXP +INPUTMC | 6 | 2 | 15 | 7 | 2 | 5 | 13 | 2 | 5 | 3 | 9 | 2 | 10 INPUTP | 12 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 19 | 47 | 54 | 18 -IMPORTS | 1 | 4 | 8 +IMPORTS | 1 | 2 | 10 EQ | 34 | iobs/Load1.D = !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<13> & @@ -1284,7 +1283,7 @@ EQ | 34 | A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<14> & !iobs/Sent & !nWE_FSB & iobs/TS_FSM_FFd2 & fsb/ASrf & nADoutLE1 -;Imported pterms FB5_9 +;Imported pterms FB3_11 # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<13> & !iobs/Sent & !nWE_FSB & iobs/TS_FSM_FFd1 & fsb/ASrf & @@ -1300,32 +1299,78 @@ EQ | 34 | iobs/Load1.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 0 | 0 | ram/BACTr +MACROCELL | 1 | 4 | ram/DTACKr ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 7 | 2 | 11 | 2 | 10 | 2 | 8 | 2 | 16 | 2 | 12 | 2 | 1 | 2 | 14 -INPUTS | 2 | nAS_FSB | fsb/ASrf -INPUTMC | 1 | 0 | 4 -INPUTP | 1 | 54 +OUTPUTMC | 4 | 2 | 17 | 2 | 4 | 4 | 4 | 4 | 6 +INPUTS | 1 | nDTACK_FSB +INPUTMC | 1 | 2 | 8 EQ | 2 | - !ram/BACTr.D = nAS_FSB & !fsb/ASrf; - ram/BACTr.CLK = FCLK; // GCK + ram/DTACKr.D = !nDTACK_FSB; + ram/DTACKr.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 1 | 3 | ram/RS_FSM_FFd4 +MACROCELL | 2 | 4 | ram/RS_FSM_FFd5 ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 5 | 2 | 2 | 2 | 11 | 1 | 4 | 2 | 17 | 4 | 7 -INPUTS | 1 | ram/RS_FSM_FFd8 -INPUTMC | 1 | 2 | 9 -EQ | 2 | - ram/RS_FSM_FFd4.D = ram/RS_FSM_FFd8; - ram/RS_FSM_FFd4.CLK = FCLK; // GCK +OUTPUTMC | 5 | 2 | 17 | 2 | 4 | 4 | 12 | 4 | 4 | 4 | 11 +INPUTS | 3 | ram/RS_FSM_FFd6 | ram/DTACKr | ram/RS_FSM_FFd5 +INPUTMC | 3 | 2 | 3 | 1 | 4 | 2 | 4 +EQ | 3 | + ram/RS_FSM_FFd5.D = ram/RS_FSM_FFd6 + # !ram/DTACKr & ram/RS_FSM_FFd5; + ram/RS_FSM_FFd5.CLK = FCLK; // GCK +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 2 | 3 | ram/RS_FSM_FFd6 +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 6 | 2 | 6 | 2 | 4 | 4 | 12 | 1 | 3 | 4 | 11 | 2 | 2 +INPUTS | 10 | A_FSB<23> | A_FSB<22> | cs/nOverlay | nAS_FSB | ram/RS_FSM_FFd8 | ram/RASEN | fsb/ASrf | cnt/WS<2> | cnt/WS<0> | cnt/WS<1> +INPUTMC | 7 | 2 | 7 | 4 | 0 | 4 | 9 | 3 | 9 | 2 | 2 | 4 | 7 | 2 | 10 +INPUTP | 3 | 36 | 30 | 54 +EXPORTS | 1 | 2 | 2 +EQ | 7 | + ram/RS_FSM_FFd6.D = !A_FSB<23> & !A_FSB<22> & cs/nOverlay & !nAS_FSB & + ram/RS_FSM_FFd8 & ram/RASEN + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay & + ram/RS_FSM_FFd8 & ram/RASEN & fsb/ASrf; + ram/RS_FSM_FFd6.CLK = FCLK; // GCK + ram/RS_FSM_FFd6.EXP = nAS_FSB & cnt/WS<2> & !fsb/ASrf + # cnt/WS<0> & cnt/WS<1> & fsb/ASrf +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 0 | 17 | ram/RS_FSM_FFd7 +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 5 | 0 | 5 | 0 | 0 | 4 | 17 | 4 | 4 | 4 | 3 +INPUTS | 10 | RefUrg | ram/RefDone | ram/RS_FSM_FFd4 | A_FSB<22> | ram/RS_FSM_FFd8 | A_FSB<23> | ram/RASEN | nAS_FSB | fsb/ASrf | ram/RS_FSM_FFd3.EXP +INPUTMC | 7 | 0 | 11 | 0 | 5 | 2 | 17 | 4 | 0 | 4 | 9 | 3 | 9 | 0 | 0 +INPUTP | 3 | 30 | 36 | 54 +IMPORTS | 1 | 0 | 0 +EQ | 19 | + ram/RS_FSM_FFd7.D = RefUrg & !ram/RefDone & ram/RS_FSM_FFd4 + # A_FSB<23> & RefUrg & !ram/RefDone & + ram/RS_FSM_FFd8 + # A_FSB<22> & RefUrg & !ram/RefDone & + ram/RS_FSM_FFd8 + # RefUrg & !ram/RefDone & ram/RS_FSM_FFd8 & + !ram/RASEN + # RefUrg & !ram/RefDone & nAS_FSB & + ram/RS_FSM_FFd8 & !fsb/ASrf +;Imported pterms FB1_1 + # A_FSB<23> & RefReq & !ram/RefDone & !nAS_FSB & + ram/RS_FSM_FFd8 & !ram/BACTr + # A_FSB<23> & RefReq & !ram/RefDone & + ram/RS_FSM_FFd8 & !ram/BACTr & fsb/ASrf + # A_FSB<22> & RefReq & !ram/RefDone & !nAS_FSB & + ram/RS_FSM_FFd8 & !ram/BACTr + # A_FSB<22> & RefReq & !ram/RefDone & + ram/RS_FSM_FFd8 & !ram/BACTr & fsb/ASrf; + ram/RS_FSM_FFd7.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK MACROCELL | 7 | 0 | IOL0 ATTRIBUTES | 4358912 | 0 OUTPUTMC | 4 | 5 | 8 | 7 | 0 | 5 | 7 | 7 | 17 -INPUTS | 9 | iobs/TS_FSM_FFd1 | iobs/Sent | iobs/TS_FSM_FFd2 | nADoutLE1 | nLDS_FSB | IOL0 | iobs/IOL1 | RA_11_OBUF$BUF0.EXP | EXP26_.EXP -INPUTMC | 8 | 0 | 10 | 4 | 12 | 7 | 2 | 5 | 13 | 7 | 0 | 0 | 12 | 7 | 1 | 7 | 17 +INPUTS | 9 | iobs/TS_FSM_FFd1 | iobs/Sent | iobs/TS_FSM_FFd2 | nADoutLE1 | nLDS_FSB | IOL0 | iobs/IOL1 | RA_11_OBUF$BUF0.EXP | EXP25_.EXP +INPUTMC | 8 | 2 | 5 | 2 | 15 | 7 | 2 | 5 | 13 | 7 | 0 | 0 | 7 | 7 | 1 | 7 | 17 INPUTP | 1 | 49 IMPORTS | 2 | 7 | 1 | 7 | 17 EQ | 30 | @@ -1364,8 +1409,8 @@ GLOBALS | 1 | 2 | FCLK MACROCELL | 7 | 13 | IOU0 ATTRIBUTES | 4358912 | 0 OUTPUTMC | 4 | 5 | 10 | 7 | 13 | 5 | 9 | 7 | 12 -INPUTS | 9 | iobs/TS_FSM_FFd1 | iobs/Sent | iobs/TS_FSM_FFd2 | nADoutLE1 | nUDS_FSB | IOU0 | iobs/IOU1 | EXP25_.EXP | nBR_IOB_OBUF.EXP -INPUTMC | 8 | 0 | 10 | 4 | 12 | 7 | 2 | 5 | 13 | 7 | 13 | 0 | 11 | 7 | 12 | 7 | 14 +INPUTS | 9 | iobs/TS_FSM_FFd1 | iobs/Sent | iobs/TS_FSM_FFd2 | nADoutLE1 | nUDS_FSB | IOU0 | iobs/IOU1 | EXP24_.EXP | nBR_IOB_OBUF.EXP +INPUTMC | 8 | 2 | 5 | 2 | 15 | 7 | 2 | 5 | 13 | 7 | 13 | 0 | 6 | 7 | 12 | 7 | 14 INPUTP | 1 | 56 IMPORTS | 2 | 7 | 12 | 7 | 14 EQ | 30 | @@ -1401,92 +1446,103 @@ EQ | 30 | IOU0.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 2 | 6 | cnt/WS<1> +MACROCELL | 2 | 10 | cnt/WS<1> ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 5 | 2 | 6 | 2 | 5 | 4 | 6 | 4 | 16 | 2 | 7 -INPUTS | 17 | cnt/WS<0> | cnt/WS<1> | A_FSB<22> | IONPReady | RAMReady | A_FSB<23> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<13> | nWE_FSB | nADoutLE1 | A_FSB<14> | cnt/WS<2>.EXP -INPUTMC | 6 | 2 | 1 | 2 | 6 | 3 | 11 | 2 | 17 | 5 | 13 | 2 | 5 -INPUTP | 11 | 30 | 36 | 29 | 28 | 26 | 24 | 23 | 22 | 18 | 47 | 19 -EXPORTS | 1 | 2 | 7 -IMPORTS | 1 | 2 | 5 -EQ | 14 | +OUTPUTMC | 6 | 2 | 10 | 2 | 2 | 2 | 9 | 2 | 3 | 2 | 17 | 2 | 11 +INPUTS | 19 | cnt/WS<0> | cnt/WS<1> | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<13> | iobs/Sent | nWE_FSB | iobs/TS_FSM_FFd1 | fsb/ASrf | nADoutLE1 | iobs/TS_FSM_FFd2 | A_FSB<14> | cnt/WS<3>.EXP +INPUTMC | 8 | 4 | 7 | 2 | 10 | 2 | 15 | 2 | 5 | 3 | 9 | 5 | 13 | 7 | 2 | 2 | 9 +INPUTP | 11 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 18 | 47 | 19 +EXPORTS | 1 | 2 | 11 +IMPORTS | 1 | 2 | 9 +EQ | 17 | !cnt/WS<1>.D = cnt/WS<0> & cnt/WS<1> -;Imported pterms FB3_6 - # nAS_FSB & !fsb/ASrf - # !cnt/WS<0> & !cnt/WS<1>; + # !cnt/WS<0> & !cnt/WS<1> +;Imported pterms FB3_10 + # nAS_FSB & !fsb/ASrf; cnt/WS<1>.CLK = FCLK; // GCK - cnt/WS<1>.EXP = !A_FSB<22> & !IONPReady & !RAMReady - # A_FSB<23> & A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> - # A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & A_FSB<13> & !nWE_FSB & !IONPReady & - !nADoutLE1 - # A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & A_FSB<14> & !nWE_FSB & !IONPReady & - !nADoutLE1 + cnt/WS<1>.EXP = !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<13> & + !iobs/Sent & !nWE_FSB & iobs/TS_FSM_FFd1 & fsb/ASrf & + nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<13> & + !iobs/Sent & !nWE_FSB & iobs/TS_FSM_FFd2 & fsb/ASrf & + nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<14> & + !iobs/Sent & !nWE_FSB & iobs/TS_FSM_FFd1 & fsb/ASrf & + nADoutLE1 GLOBALS | 1 | 2 | FCLK -MACROCELL | 2 | 5 | cnt/WS<2> +MACROCELL | 2 | 2 | cnt/WS<2> ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 4 | 2 | 5 | 4 | 6 | 4 | 16 | 2 | 6 -INPUTS | 5 | nAS_FSB | cnt/WS<0> | cnt/WS<1> | fsb/ASrf | cnt/WS<2> -INPUTMC | 4 | 2 | 1 | 2 | 6 | 0 | 4 | 2 | 5 -INPUTP | 1 | 54 -EXPORTS | 1 | 2 | 6 -EQ | 6 | - cnt/WS<2>.T = nAS_FSB & cnt/WS<2> & !fsb/ASrf - # !nAS_FSB & cnt/WS<0> & cnt/WS<1> +OUTPUTMC | 4 | 2 | 9 | 2 | 3 | 2 | 17 | 2 | 1 +INPUTS | 10 | nAS_FSB | cnt/WS<0> | cnt/WS<1> | A_FSB<22> | A_FSB<17> | $OpTx$$OpTx$FX_DC$350_INV$537 | A_FSB<16> | A_FSB<13> | A_FSB<14> | ram/RS_FSM_FFd6.EXP +INPUTMC | 4 | 4 | 7 | 2 | 10 | 3 | 13 | 2 | 3 +INPUTP | 6 | 54 | 30 | 23 | 22 | 18 | 19 +EXPORTS | 1 | 2 | 1 +IMPORTS | 1 | 2 | 3 +EQ | 13 | + cnt/WS<2>.T = !nAS_FSB & cnt/WS<0> & cnt/WS<1> +;Imported pterms FB3_4 + # nAS_FSB & cnt/WS<2> & !fsb/ASrf # cnt/WS<0> & cnt/WS<1> & fsb/ASrf; cnt/WS<2>.CLK = FCLK; // GCK - cnt/WS<2>.EXP = nAS_FSB & !fsb/ASrf - # !cnt/WS<0> & !cnt/WS<1> + cnt/WS<2>.EXP = A_FSB<22> & !A_FSB<17> & + !$OpTx$$OpTx$FX_DC$350_INV$537 + # A_FSB<22> & !A_FSB<16> & + !$OpTx$$OpTx$FX_DC$350_INV$537 + # A_FSB<22> & !A_FSB<13> & + !$OpTx$$OpTx$FX_DC$350_INV$537 + # A_FSB<22> & !A_FSB<14> & + !$OpTx$$OpTx$FX_DC$350_INV$537 GLOBALS | 1 | 2 | FCLK -MACROCELL | 1 | 15 | iobm/IORDREQr +MACROCELL | 1 | 12 | iobm/IORDREQr ATTRIBUTES | 8553216 | 0 OUTPUTMC | 9 | 5 | 11 | 5 | 7 | 5 | 9 | 5 | 2 | 5 | 0 | 5 | 17 | 5 | 15 | 5 | 12 | 3 | 4 INPUTS | 1 | IORDREQ -INPUTMC | 1 | 4 | 16 +INPUTMC | 1 | 2 | 14 EQ | 2 | iobm/IORDREQr.D = IORDREQ; iobm/IORDREQr.CLK = C16M; // GCK GLOBALS | 1 | 2 | C16M -MACROCELL | 1 | 5 | ram/RS_FSM_FFd2 +MACROCELL | 0 | 1 | ram/RS_FSM_FFd2 ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 4 | 2 | 2 | 2 | 11 | 1 | 6 | 2 | 0 +OUTPUTMC | 3 | 0 | 5 | 0 | 2 | 4 | 4 INPUTS | 1 | ram/RS_FSM_FFd3 -INPUTMC | 1 | 1 | 4 +INPUTMC | 1 | 0 | 0 EQ | 2 | ram/RS_FSM_FFd2.D = ram/RS_FSM_FFd3; ram/RS_FSM_FFd2.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 1 | 4 | ram/RS_FSM_FFd3 +MACROCELL | 0 | 0 | ram/RS_FSM_FFd3 ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 4 | 2 | 2 | 2 | 11 | 1 | 5 | 2 | 0 -INPUTS | 1 | ram/RS_FSM_FFd4 -INPUTMC | 1 | 1 | 3 -EQ | 2 | - ram/RS_FSM_FFd3.D = ram/RS_FSM_FFd4; +OUTPUTMC | 4 | 0 | 5 | 0 | 1 | 4 | 4 | 0 | 17 +INPUTS | 9 | ram/RS_FSM_FFd7 | A_FSB<23> | RefReq | ram/RefDone | nAS_FSB | ram/RS_FSM_FFd8 | ram/BACTr | fsb/ASrf | A_FSB<22> +INPUTMC | 6 | 0 | 17 | 0 | 12 | 0 | 5 | 4 | 0 | 3 | 6 | 3 | 9 +INPUTP | 3 | 36 | 54 | 30 +EXPORTS | 1 | 0 | 17 +EQ | 10 | + ram/RS_FSM_FFd3.D = ram/RS_FSM_FFd7; ram/RS_FSM_FFd3.CLK = FCLK; // GCK -GLOBALS | 1 | 2 | FCLK - -MACROCELL | 1 | 2 | ram/RS_FSM_FFd6 -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 5 | 2 | 4 | 2 | 11 | 2 | 17 | 2 | 0 | 2 | 1 -INPUTS | 1 | ram/RS_FSM_FFd7 -INPUTMC | 1 | 2 | 3 -EQ | 2 | - ram/RS_FSM_FFd6.D = ram/RS_FSM_FFd7; - ram/RS_FSM_FFd6.CLK = FCLK; // GCK + ram/RS_FSM_FFd3.EXP = A_FSB<23> & RefReq & !ram/RefDone & !nAS_FSB & + ram/RS_FSM_FFd8 & !ram/BACTr + # A_FSB<23> & RefReq & !ram/RefDone & + ram/RS_FSM_FFd8 & !ram/BACTr & fsb/ASrf + # A_FSB<22> & RefReq & !ram/RefDone & !nAS_FSB & + ram/RS_FSM_FFd8 & !ram/BACTr + # A_FSB<22> & RefReq & !ram/RefDone & + ram/RS_FSM_FFd8 & !ram/BACTr & fsb/ASrf GLOBALS | 1 | 2 | FCLK MACROCELL | 5 | 15 | ALE0M ATTRIBUTES | 8553216 | 0 OUTPUTMC | 2 | 5 | 15 | 5 | 14 INPUTS | 11 | iobm/IOS_FSM_FFd7 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd4 | iobm/IOS_FSM_FFd5 | iobm/IOS_FSM_FFd6 | iobm/IOS_FSM_FFd1 | iobm/IOS_FSM_FFd2 | ALE0M | nAoutOE | iobm/IORDREQr | iobm/IOWRREQr -INPUTMC | 11 | 5 | 2 | 5 | 3 | 1 | 13 | 1 | 12 | 5 | 0 | 1 | 14 | 1 | 17 | 5 | 15 | 3 | 1 | 1 | 15 | 1 | 11 +INPUTMC | 11 | 5 | 2 | 5 | 3 | 1 | 10 | 1 | 9 | 5 | 0 | 1 | 11 | 1 | 16 | 5 | 15 | 3 | 1 | 1 | 12 | 1 | 8 EQ | 14 | !ALE0M.D = iobm/IOS_FSM_FFd7 & !iobm/IOS_FSM_FFd3 & !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd5 & !iobm/IOS_FSM_FFd6 & nAoutOE @@ -1504,43 +1560,45 @@ EQ | 14 | ALE0M.CLK = C16M; // GCK GLOBALS | 1 | 2 | C16M -MACROCELL | 4 | 16 | IORDREQ +MACROCELL | 2 | 14 | IORDREQ ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 3 | 1 | 15 | 4 | 15 | 4 | 17 -INPUTS | 13 | iobs/TS_FSM_FFd1 | iobs/TS_FSM_FFd2 | iobs/IOACTr | A_FSB<22> | A_FSB<11> | $OpTx$$OpTx$FX_DC$348_INV$535 | A_FSB<9> | A_FSB<8> | cnt/WS<0> | cnt/WS<1> | cnt/WS<2> | cnt/WS<3> | EXP19_.EXP -INPUTMC | 9 | 0 | 10 | 7 | 2 | 1 | 9 | 0 | 9 | 2 | 1 | 2 | 6 | 2 | 5 | 4 | 6 | 4 | 15 -INPUTP | 4 | 30 | 15 | 12 | 11 -EXPORTS | 1 | 4 | 17 -IMPORTS | 1 | 4 | 15 -EQ | 21 | +OUTPUTMC | 3 | 1 | 12 | 2 | 13 | 2 | 15 +INPUTS | 11 | iobs/TS_FSM_FFd1 | iobs/TS_FSM_FFd2 | A_FSB<22> | A_FSB<21> | iobs/Sent | fsb/ASrf | nADoutLE1 | A_FSB<20> | cs/nOverlay | nAS_FSB | EXP11_.EXP +INPUTMC | 7 | 2 | 5 | 7 | 2 | 2 | 15 | 3 | 9 | 5 | 13 | 2 | 7 | 2 | 13 +INPUTP | 4 | 30 | 29 | 28 | 54 +EXPORTS | 1 | 2 | 15 +IMPORTS | 1 | 2 | 13 +EQ | 23 | !IORDREQ.D = iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 +;Imported pterms FB3_14 # iobs/TS_FSM_FFd1 & iobs/IOACTr -;Imported pterms FB5_16 # iobs/TS_FSM_FFd2 & !IORDREQ # iobs/Sent & !iobs/TS_FSM_FFd2 & nADoutLE1 # !nWE_FSB & !iobs/TS_FSM_FFd2 & nADoutLE1 # !iobs/IORW1 & !iobs/TS_FSM_FFd2 & !nADoutLE1 +;Imported pterms FB3_13 # !A_FSB<23> & !A_FSB<22> & !iobs/TS_FSM_FFd2 & nADoutLE1 -;Imported pterms FB5_15 # nAS_FSB & !iobs/TS_FSM_FFd2 & !fsb/ASrf & nADoutLE1 # !A_FSB<23> & !A_FSB<21> & !A_FSB<20> & cs/nOverlay & !iobs/TS_FSM_FFd2 & nADoutLE1; IORDREQ.CLK = FCLK; // GCK - IORDREQ.EXP = A_FSB<22> & !A_FSB<11> & - !$OpTx$$OpTx$FX_DC$348_INV$535 - # A_FSB<22> & A_FSB<9> & !A_FSB<8> & - !$OpTx$$OpTx$FX_DC$348_INV$535 - # cnt/WS<0> & cnt/WS<1> & cnt/WS<2> & cnt/WS<3> & - !$OpTx$$OpTx$FX_DC$348_INV$535 + IORDREQ.EXP = A_FSB<22> & A_FSB<21> & !iobs/Sent & + !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & fsb/ASrf & nADoutLE1 + # A_FSB<22> & A_FSB<20> & !iobs/Sent & + !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & fsb/ASrf & nADoutLE1 + # A_FSB<22> & !iobs/Sent & !cs/nOverlay & !nAS_FSB & + !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & nADoutLE1 + # A_FSB<22> & !iobs/Sent & !cs/nOverlay & + !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & fsb/ASrf & nADoutLE1 GLOBALS | 1 | 2 | FCLK MACROCELL | 7 | 8 | IOWRREQ ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 2 | 7 | 8 | 1 | 11 -INPUTS | 13 | iobs/TS_FSM_FFd1 | iobs/TS_FSM_FFd2 | IOWRREQ | iobs/IOACTr | iobs/IORW1 | nADoutLE1 | A_FSB<23> | iobs/Sent | nWE_FSB | nAS_FSB | fsb/ASrf | nRAMUWE_OBUF.EXP | EXP23_.EXP -INPUTMC | 10 | 0 | 10 | 7 | 2 | 7 | 8 | 1 | 9 | 7 | 16 | 5 | 13 | 4 | 12 | 0 | 4 | 7 | 7 | 7 | 9 +OUTPUTMC | 2 | 7 | 8 | 1 | 8 +INPUTS | 13 | iobs/TS_FSM_FFd1 | iobs/TS_FSM_FFd2 | IOWRREQ | iobs/IOACTr | iobs/IORW1 | nADoutLE1 | A_FSB<23> | iobs/Sent | nWE_FSB | nAS_FSB | fsb/ASrf | nRAMUWE_OBUF.EXP | EXP22_.EXP +INPUTMC | 10 | 2 | 5 | 7 | 2 | 7 | 8 | 1 | 6 | 7 | 16 | 5 | 13 | 2 | 15 | 3 | 9 | 7 | 7 | 7 | 9 INPUTP | 3 | 36 | 47 | 54 IMPORTS | 2 | 7 | 7 | 7 | 9 EQ | 35 | @@ -1581,55 +1639,55 @@ EQ | 35 | IOWRREQ.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 4 | 0 | QoSReady +MACROCELL | 2 | 1 | QoSReady ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 2 | 4 | 0 | 2 | 7 -INPUTS | 10 | A_FSB<23> | $OpTx$$OpTx$FX_DC$348_INV$535 | nWE_FSB | QoSReady | cnt/LTimer<0> | cnt/LTimer<1> | A_FSB<22> | A_FSB<20> | nROMCS_OBUF.EXP | EXP20_.EXP -INPUTMC | 6 | 0 | 9 | 4 | 0 | 3 | 17 | 3 | 15 | 4 | 1 | 4 | 17 +OUTPUTMC | 2 | 2 | 8 | 2 | 1 +INPUTS | 10 | A_FSB<23> | $OpTx$$OpTx$FX_DC$350_INV$537 | nWE_FSB | QoSReady | cnt/LTimer<0> | cnt/LTimer<1> | A_FSB<22> | A_FSB<20> | EXP10_.EXP | cnt/WS<2>.EXP +INPUTMC | 6 | 3 | 13 | 2 | 1 | 3 | 17 | 3 | 15 | 2 | 0 | 2 | 2 INPUTP | 4 | 36 | 47 | 30 | 28 -IMPORTS | 2 | 4 | 1 | 4 | 17 +IMPORTS | 2 | 2 | 0 | 2 | 2 EQ | 36 | - QoSReady.D = A_FSB<23> & !$OpTx$$OpTx$FX_DC$348_INV$535 + QoSReady.D = A_FSB<23> & !$OpTx$$OpTx$FX_DC$350_INV$537 # !cnt/LTimer<0> & !cnt/LTimer<1> - # !nWE_FSB & !$OpTx$$OpTx$FX_DC$348_INV$535 - # QoSReady & !$OpTx$$OpTx$FX_DC$348_INV$535 + # !nWE_FSB & !$OpTx$$OpTx$FX_DC$350_INV$537 + # QoSReady & !$OpTx$$OpTx$FX_DC$350_INV$537 # A_FSB<22> & A_FSB<20> & - !$OpTx$$OpTx$FX_DC$348_INV$535 -;Imported pterms FB5_2 - # A_FSB<22> & !A_FSB<17> & - !$OpTx$$OpTx$FX_DC$348_INV$535 - # A_FSB<22> & !A_FSB<16> & - !$OpTx$$OpTx$FX_DC$348_INV$535 - # A_FSB<22> & !A_FSB<13> & - !$OpTx$$OpTx$FX_DC$348_INV$535 - # A_FSB<22> & !A_FSB<14> & - !$OpTx$$OpTx$FX_DC$348_INV$535 - # A_FSB<22> & !A_FSB<10> & - !$OpTx$$OpTx$FX_DC$348_INV$535 -;Imported pterms FB5_18 + !$OpTx$$OpTx$FX_DC$350_INV$537 +;Imported pterms FB3_1 # A_FSB<22> & A_FSB<21> & - !$OpTx$$OpTx$FX_DC$348_INV$535 + !$OpTx$$OpTx$FX_DC$350_INV$537 # A_FSB<22> & A_FSB<19> & - !$OpTx$$OpTx$FX_DC$348_INV$535 + !$OpTx$$OpTx$FX_DC$350_INV$537 # A_FSB<22> & A_FSB<18> & - !$OpTx$$OpTx$FX_DC$348_INV$535 + !$OpTx$$OpTx$FX_DC$350_INV$537 # A_FSB<22> & A_FSB<15> & - !$OpTx$$OpTx$FX_DC$348_INV$535 + !$OpTx$$OpTx$FX_DC$350_INV$537 # A_FSB<22> & A_FSB<12> & - !$OpTx$$OpTx$FX_DC$348_INV$535 -;Imported pterms FB5_17 + !$OpTx$$OpTx$FX_DC$350_INV$537 +;Imported pterms FB3_18 # A_FSB<22> & !A_FSB<11> & - !$OpTx$$OpTx$FX_DC$348_INV$535 + !$OpTx$$OpTx$FX_DC$350_INV$537 + # A_FSB<22> & !A_FSB<10> & + !$OpTx$$OpTx$FX_DC$350_INV$537 # A_FSB<22> & A_FSB<9> & !A_FSB<8> & - !$OpTx$$OpTx$FX_DC$348_INV$535 + !$OpTx$$OpTx$FX_DC$350_INV$537 # cnt/WS<0> & cnt/WS<1> & cnt/WS<2> & cnt/WS<3> & - !$OpTx$$OpTx$FX_DC$348_INV$535; + !$OpTx$$OpTx$FX_DC$350_INV$537 +;Imported pterms FB3_3 + # A_FSB<22> & !A_FSB<17> & + !$OpTx$$OpTx$FX_DC$350_INV$537 + # A_FSB<22> & !A_FSB<16> & + !$OpTx$$OpTx$FX_DC$350_INV$537 + # A_FSB<22> & !A_FSB<13> & + !$OpTx$$OpTx$FX_DC$350_INV$537 + # A_FSB<22> & !A_FSB<14> & + !$OpTx$$OpTx$FX_DC$350_INV$537; QoSReady.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 0 | 7 | cnt/Er<0> +MACROCELL | 0 | 4 | cnt/Er<0> ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 21 | 0 | 14 | 6 | 6 | 0 | 13 | 0 | 17 | 6 | 17 | 3 | 9 | 6 | 15 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 3 | 6 | 3 | 3 | 3 | 2 | 6 | 16 | 3 | 8 | 6 | 2 | 7 | 15 | 6 | 3 | 0 | 6 | 3 | 13 +OUTPUTMC | 22 | 0 | 9 | 0 | 14 | 0 | 16 | 0 | 11 | 6 | 16 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 0 | 0 | 15 | 0 | 12 | 6 | 15 | 0 | 8 | 7 | 15 | 0 | 10 | 0 | 3 | 6 | 17 | 6 | 1 INPUTS | 1 | E INPUTP | 1 | 37 EQ | 2 | @@ -1637,20 +1695,22 @@ EQ | 2 | cnt/Er<0>.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 4 | 6 | cnt/WS<3> +MACROCELL | 2 | 9 | cnt/WS<3> ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 2 | 4 | 6 | 4 | 16 +OUTPUTMC | 3 | 2 | 9 | 2 | 17 | 2 | 10 INPUTS | 6 | nAS_FSB | cnt/WS<3> | fsb/ASrf | cnt/WS<0> | cnt/WS<1> | cnt/WS<2> -INPUTMC | 5 | 4 | 6 | 0 | 4 | 2 | 1 | 2 | 6 | 2 | 5 +INPUTMC | 5 | 2 | 9 | 3 | 9 | 4 | 7 | 2 | 10 | 2 | 2 INPUTP | 1 | 54 -EQ | 4 | +EXPORTS | 1 | 2 | 10 +EQ | 5 | cnt/WS<3>.T = nAS_FSB & cnt/WS<3> & !fsb/ASrf # !nAS_FSB & cnt/WS<0> & cnt/WS<1> & cnt/WS<2> # cnt/WS<0> & cnt/WS<1> & cnt/WS<2> & fsb/ASrf; cnt/WS<3>.CLK = FCLK; // GCK + cnt/WS<3>.EXP = nAS_FSB & !fsb/ASrf GLOBALS | 1 | 2 | FCLK -MACROCELL | 0 | 5 | cnt/nIPL2r +MACROCELL | 1 | 15 | cnt/nIPL2r ATTRIBUTES | 8553216 | 0 OUTPUTMC | 2 | 7 | 14 | 7 | 15 INPUTS | 1 | nIPL2 @@ -1664,7 +1724,7 @@ MACROCELL | 5 | 7 | iobm/DoutOE ATTRIBUTES | 4358912 | 0 OUTPUTMC | 3 | 5 | 7 | 3 | 4 | 5 | 8 INPUTS | 10 | iobm/IOS_FSM_FFd7 | iobm/C8Mr | iobm/DoutOE | iobm/IOWRREQr | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd4 | iobm/IOS_FSM_FFd5 | iobm/IOS_FSM_FFd6 | IOL0 | iobm/IORDREQr -INPUTMC | 10 | 5 | 2 | 1 | 16 | 5 | 7 | 1 | 11 | 5 | 3 | 1 | 13 | 1 | 12 | 5 | 0 | 7 | 0 | 1 | 15 +INPUTMC | 10 | 5 | 2 | 1 | 14 | 5 | 7 | 1 | 8 | 5 | 3 | 1 | 10 | 1 | 9 | 5 | 0 | 7 | 0 | 1 | 12 EXPORTS | 1 | 5 | 8 EQ | 13 | iobm/DoutOE.T = iobm/IOS_FSM_FFd7 & !iobm/C8Mr & !iobm/DoutOE & @@ -1682,9 +1742,9 @@ EQ | 13 | iobm/IORDREQr GLOBALS | 1 | 2 | C16M -MACROCELL | 0 | 3 | iobm/Er +MACROCELL | 1 | 13 | iobm/Er ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 4 | 5 | 4 | 0 | 16 | 5 | 6 | 5 | 5 +OUTPUTMC | 4 | 5 | 4 | 0 | 13 | 5 | 6 | 5 | 5 INPUTS | 1 | E INPUTP | 1 | 37 EQ | 2 | @@ -1696,7 +1756,7 @@ MACROCELL | 5 | 12 | iobm/IOS0 ATTRIBUTES | 8553216 | 0 OUTPUTMC | 2 | 5 | 12 | 3 | 4 INPUTS | 12 | iobm/IOS_FSM_FFd1 | iobm/IOS_FSM_FFd7 | iobm/C8Mr | nAoutOE | iobm/IORDREQr | iobm/IOWRREQr | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd4 | iobm/IOS_FSM_FFd5 | iobm/IOS_FSM_FFd6 | iobm/IOS_FSM_FFd2 | iobm/IOS0 -INPUTMC | 12 | 1 | 14 | 5 | 2 | 1 | 16 | 3 | 1 | 1 | 15 | 1 | 11 | 5 | 3 | 1 | 13 | 1 | 12 | 5 | 0 | 1 | 17 | 5 | 12 +INPUTMC | 12 | 1 | 11 | 5 | 2 | 1 | 14 | 3 | 1 | 1 | 12 | 1 | 8 | 5 | 3 | 1 | 10 | 1 | 9 | 5 | 0 | 1 | 16 | 5 | 12 EQ | 9 | iobm/IOS0.D = iobm/IOS_FSM_FFd1 # iobm/IOS_FSM_FFd7 & iobm/C8Mr @@ -1709,7 +1769,7 @@ EQ | 9 | iobm/IOS0.CLK = C16M; // GCK GLOBALS | 1 | 2 | C16M -MACROCELL | 1 | 11 | iobm/IOWRREQr +MACROCELL | 1 | 8 | iobm/IOWRREQr ATTRIBUTES | 8553216 | 0 OUTPUTMC | 8 | 5 | 11 | 5 | 2 | 5 | 0 | 5 | 16 | 5 | 15 | 5 | 7 | 5 | 12 | 3 | 4 INPUTS | 1 | IOWRREQ @@ -1719,7 +1779,7 @@ EQ | 2 | iobm/IOWRREQr.CLK = C16M; // GCK GLOBALS | 1 | 2 | C16M -MACROCELL | 1 | 10 | iobm/VPAr +MACROCELL | 1 | 7 | iobm/VPAr ATTRIBUTES | 8553216 | 0 OUTPUTMC | 1 | 5 | 1 INPUTS | 1 | nVPA_IOB @@ -1729,7 +1789,18 @@ EQ | 2 | !iobm/VPAr.CLK = C8M; // GCK GLOBALS | 1 | 2 | C8M -MACROCELL | 0 | 8 | ALE0S +MACROCELL | 3 | 6 | ram/BACTr +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 7 | 4 | 1 | 4 | 8 | 0 | 0 | 4 | 11 | 4 | 15 | 4 | 5 | 4 | 6 +INPUTS | 2 | nAS_FSB | fsb/ASrf +INPUTMC | 1 | 3 | 9 +INPUTP | 1 | 54 +EQ | 2 | + !ram/BACTr.D = nAS_FSB & !fsb/ASrf; + ram/BACTr.CLK = FCLK; // GCK +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 3 | 12 | ALE0S ATTRIBUTES | 8553216 | 0 OUTPUTMC | 1 | 5 | 14 INPUTS | 1 | iobs/TS_FSM_FFd2 @@ -1739,74 +1810,57 @@ EQ | 2 | ALE0S.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 2 | 17 | RAMReady +MACROCELL | 4 | 12 | RAMReady ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 2 | 2 | 6 | 2 | 16 -INPUTS | 14 | RefUrg | ram/RefDone | nAS_FSB | ram/RS_FSM_FFd9 | ram/RS_FSM_FFd7 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd6 | fsb/ASrf | ram/RS_FSM_FFd5 | ram/RASEN | ram/RS_FSM_FFd8 | ram/RS_FSM_FFd4 | nWE_FSB | EXP10_.EXP -INPUTMC | 12 | 0 | 14 | 2 | 2 | 2 | 14 | 2 | 3 | 1 | 6 | 1 | 2 | 0 | 4 | 2 | 4 | 2 | 11 | 2 | 9 | 1 | 3 | 2 | 0 -INPUTP | 2 | 54 | 47 -EXPORTS | 1 | 2 | 16 -IMPORTS | 1 | 2 | 0 -EQ | 42 | - !RAMReady.D = ram/RS_FSM_FFd9 & !ram/RS_FSM_FFd5 & !ram/RASEN & - !ram/RS_FSM_FFd7 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd6 - # !ram/RS_FSM_FFd9 & !ram/RS_FSM_FFd5 & - !ram/RS_FSM_FFd7 & !ram/RS_FSM_FFd1 & ram/RS_FSM_FFd8 & - !ram/RS_FSM_FFd6 - # !ram/RS_FSM_FFd9 & !ram/RS_FSM_FFd5 & - !ram/RS_FSM_FFd7 & !ram/RS_FSM_FFd1 & ram/RS_FSM_FFd4 & - !ram/RS_FSM_FFd6 - # RefUrg & !ram/RefDone & nAS_FSB & - ram/RS_FSM_FFd9 & !ram/RS_FSM_FFd7 & !ram/RS_FSM_FFd1 & - !ram/RS_FSM_FFd6 & !fsb/ASrf -;Imported pterms FB3_1 - # !ram/RS_FSM_FFd9 & !ram/RS_FSM_FFd5 & - !ram/RS_FSM_FFd7 & !ram/RS_FSM_FFd1 & ram/RS_FSM_FFd2 & - !ram/RS_FSM_FFd6 - # !ram/RS_FSM_FFd9 & !ram/RS_FSM_FFd5 & - !ram/RS_FSM_FFd7 & !ram/RS_FSM_FFd1 & ram/RS_FSM_FFd3 & - !ram/RS_FSM_FFd6 +OUTPUTMC | 1 | 2 | 7 +INPUTS | 11 | ram/RS_FSM_FFd8 | ram/RS_FSM_FFd4 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd5 | ram/RS_FSM_FFd6 | A_FSB<22> | RefUrg | ram/RefDone | A_FSB<23> | ram/RASEN | RA_5_OBUF.EXP +INPUTMC | 9 | 4 | 0 | 2 | 17 | 0 | 2 | 2 | 4 | 2 | 3 | 0 | 11 | 0 | 5 | 4 | 9 | 4 | 11 +INPUTP | 2 | 30 | 36 +IMPORTS | 1 | 4 | 11 +EQ | 26 | + !RAMReady.D = !ram/RS_FSM_FFd8 & !ram/RS_FSM_FFd4 & + !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd5 & !ram/RS_FSM_FFd6 # A_FSB<23> & RefUrg & !ram/RefDone & - ram/RS_FSM_FFd9 & !ram/RS_FSM_FFd5 & !ram/RS_FSM_FFd7 & - !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd6 + !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd5 & !ram/RS_FSM_FFd6 # A_FSB<22> & RefUrg & !ram/RefDone & - ram/RS_FSM_FFd9 & !ram/RS_FSM_FFd5 & !ram/RS_FSM_FFd7 & - !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd6 + !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd5 & !ram/RS_FSM_FFd6 + # RefUrg & !ram/RefDone & !ram/RS_FSM_FFd8 & + !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd5 & !ram/RS_FSM_FFd6 + # RefUrg & !ram/RefDone & !ram/RASEN & + !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd5 & !ram/RS_FSM_FFd6 +;Imported pterms FB5_12 # RefUrg & !ram/RefDone & nAS_FSB & - ram/RS_FSM_FFd5 & !ram/RS_FSM_FFd7 & !ram/RS_FSM_FFd1 & - !ram/RS_FSM_FFd6 & !fsb/ASrf -;Imported pterms FB3_2 + !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd5 & !ram/RS_FSM_FFd6 & !fsb/ASrf # A_FSB<23> & RefReq & !ram/RefDone & !nAS_FSB & - ram/RS_FSM_FFd9 & !ram/RS_FSM_FFd5 & !ram/RS_FSM_FFd7 & - !ram/RS_FSM_FFd1 & !ram/BACTr & !ram/RS_FSM_FFd6 + !ram/RS_FSM_FFd4 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd5 & + !ram/RS_FSM_FFd6 & !ram/BACTr # A_FSB<23> & RefReq & !ram/RefDone & - ram/RS_FSM_FFd9 & !ram/RS_FSM_FFd5 & !ram/RS_FSM_FFd7 & - !ram/RS_FSM_FFd1 & !ram/BACTr & !ram/RS_FSM_FFd6 & fsb/ASrf + !ram/RS_FSM_FFd4 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd5 & + !ram/RS_FSM_FFd6 & !ram/BACTr & fsb/ASrf # A_FSB<22> & RefReq & !ram/RefDone & !nAS_FSB & - ram/RS_FSM_FFd9 & !ram/RS_FSM_FFd5 & !ram/RS_FSM_FFd7 & - !ram/RS_FSM_FFd1 & !ram/BACTr & !ram/RS_FSM_FFd6 + !ram/RS_FSM_FFd4 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd5 & + !ram/RS_FSM_FFd6 & !ram/BACTr # A_FSB<22> & RefReq & !ram/RefDone & - ram/RS_FSM_FFd9 & !ram/RS_FSM_FFd5 & !ram/RS_FSM_FFd7 & - !ram/RS_FSM_FFd1 & !ram/BACTr & !ram/RS_FSM_FFd6 & fsb/ASrf; + !ram/RS_FSM_FFd4 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd5 & + !ram/RS_FSM_FFd6 & !ram/BACTr & fsb/ASrf; RAMReady.CLK = FCLK; // GCK - RAMReady.EXP = !nWE_FSB & !nAS_FSB GLOBALS | 1 | 2 | FCLK -MACROCELL | 0 | 6 | cnt/Er<1> +MACROCELL | 0 | 3 | cnt/Er<1> ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 20 | 0 | 14 | 6 | 6 | 0 | 13 | 0 | 17 | 6 | 17 | 3 | 9 | 6 | 15 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 3 | 6 | 3 | 3 | 3 | 2 | 6 | 16 | 3 | 8 | 6 | 2 | 7 | 15 | 6 | 3 | 3 | 13 +OUTPUTMC | 21 | 0 | 9 | 0 | 14 | 0 | 16 | 0 | 11 | 6 | 16 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 0 | 0 | 15 | 0 | 12 | 6 | 15 | 0 | 8 | 7 | 15 | 0 | 10 | 6 | 17 | 6 | 1 INPUTS | 1 | cnt/Er<0> -INPUTMC | 1 | 0 | 7 +INPUTMC | 1 | 0 | 4 EQ | 2 | cnt/Er<1>.D = cnt/Er<0>; cnt/Er<1>.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 6 | 0 | cnt/LTimerTC +MACROCELL | 3 | 11 | cnt/LTimerTC ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 2 | 7 | 15 | 6 | 3 +OUTPUTMC | 2 | 7 | 15 | 0 | 10 INPUTS | 12 | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<10> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/LTimer<4> | cnt/LTimer<5> | cnt/LTimer<6> | cnt/LTimer<7> | cnt/LTimer<8> | cnt/LTimer<9> | cnt/LTimer<11> -INPUTMC | 12 | 3 | 17 | 3 | 15 | 3 | 9 | 6 | 15 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 3 | 6 | 3 | 3 | 3 | 2 | 3 | 8 +INPUTMC | 12 | 3 | 17 | 3 | 15 | 6 | 16 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 0 | 6 | 15 EQ | 5 | cnt/LTimerTC.D = !cnt/LTimer<0> & cnt/LTimer<1> & cnt/LTimer<10> & cnt/LTimer<2> & cnt/LTimer<3> & cnt/LTimer<4> & cnt/LTimer<5> & @@ -1815,9 +1869,9 @@ EQ | 5 | cnt/LTimerTC.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 0 | 4 | fsb/ASrf +MACROCELL | 3 | 9 | fsb/ASrf ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 42 | 3 | 13 | 3 | 14 | 4 | 12 | 4 | 3 | 7 | 15 | 3 | 10 | 7 | 11 | 3 | 9 | 4 | 2 | 4 | 11 | 2 | 10 | 2 | 4 | 2 | 11 | 2 | 3 | 2 | 9 | 2 | 1 | 4 | 9 | 0 | 0 | 4 | 13 | 7 | 12 | 2 | 0 | 2 | 5 | 4 | 14 | 7 | 8 | 4 | 6 | 2 | 17 | 2 | 12 | 4 | 7 | 2 | 7 | 3 | 12 | 0 | 9 | 2 | 8 | 2 | 14 | 2 | 15 | 2 | 16 | 3 | 1 | 3 | 11 | 4 | 8 | 7 | 3 | 7 | 7 | 7 | 9 | 7 | 17 +OUTPUTMC | 38 | 3 | 1 | 3 | 14 | 2 | 15 | 2 | 7 | 7 | 15 | 4 | 14 | 7 | 11 | 3 | 10 | 2 | 8 | 2 | 6 | 4 | 17 | 2 | 16 | 4 | 8 | 4 | 7 | 2 | 11 | 2 | 3 | 0 | 17 | 6 | 0 | 7 | 12 | 3 | 13 | 0 | 0 | 2 | 12 | 7 | 8 | 2 | 9 | 3 | 6 | 4 | 11 | 4 | 16 | 4 | 1 | 2 | 14 | 6 | 16 | 2 | 10 | 4 | 5 | 4 | 6 | 4 | 15 | 7 | 3 | 7 | 7 | 7 | 9 | 7 | 17 INPUTS | 1 | nAS_FSB INPUTP | 1 | 54 EQ | 2 | @@ -1825,19 +1879,27 @@ EQ | 2 | !fsb/ASrf.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 0 | 2 | iobs/Clear1 +MACROCELL | 2 | 12 | iobs/Clear1 ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 1 | 5 | 13 -INPUTS | 2 | iobs/TS_FSM_FFd1 | iobs/TS_FSM_FFd2 -INPUTMC | 2 | 0 | 10 | 7 | 2 -EQ | 2 | +OUTPUTMC | 2 | 5 | 13 | 2 | 13 +INPUTS | 10 | iobs/TS_FSM_FFd1 | iobs/TS_FSM_FFd2 | A_FSB<23> | A_FSB<22> | nADoutLE1 | nAS_FSB | fsb/ASrf | A_FSB<21> | A_FSB<20> | cs/nOverlay +INPUTMC | 5 | 2 | 5 | 7 | 2 | 5 | 13 | 3 | 9 | 2 | 7 +INPUTP | 5 | 36 | 30 | 54 | 29 | 28 +EXPORTS | 1 | 2 | 13 +EQ | 8 | iobs/Clear1.D = !iobs/TS_FSM_FFd1 & iobs/TS_FSM_FFd2; iobs/Clear1.CLK = FCLK; // GCK + iobs/Clear1.EXP = !A_FSB<23> & !A_FSB<22> & !iobs/TS_FSM_FFd2 & + nADoutLE1 + # nAS_FSB & !iobs/TS_FSM_FFd2 & !fsb/ASrf & + nADoutLE1 + # !A_FSB<23> & !A_FSB<21> & !A_FSB<20> & cs/nOverlay & + !iobs/TS_FSM_FFd2 & nADoutLE1 GLOBALS | 1 | 2 | FCLK -MACROCELL | 1 | 8 | iobs/IODONEr +MACROCELL | 1 | 5 | iobs/IODONEr ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 1 | 3 | 10 +OUTPUTMC | 1 | 4 | 14 INPUTS | 1 | IODONE INPUTMC | 1 | 5 | 9 EQ | 2 | @@ -1845,83 +1907,72 @@ EQ | 2 | iobs/IODONEr.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 0 | 1 | nRESout +MACROCELL | 3 | 8 | nRESout ATTRIBUTES | 8553216 | 0 OUTPUTMC | 1 | 3 | 7 INPUTS | 2 | cnt/IS_FSM_FFd1 | cnt/IS_FSM_FFd2 -INPUTMC | 2 | 7 | 15 | 6 | 3 +INPUTMC | 2 | 7 | 15 | 0 | 10 EQ | 2 | nRESout.D = cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2; nRESout.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 2 | 13 | ram/CAS -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 1 | 4 | 4 -INPUTS | 10 | ram/RS_FSM_FFd8 | ram/RS_FSM_FFd7 | ram/RS_FSM_FFd9 | ram/RASEN | A_FSB<22> | RefUrg | ram/RefDone | A_FSB<23> | EXP13_.EXP | ram/RS_FSM_FFd9.EXP -INPUTMC | 8 | 2 | 9 | 2 | 3 | 2 | 14 | 2 | 11 | 0 | 14 | 2 | 2 | 2 | 12 | 2 | 14 -INPUTP | 2 | 30 | 36 -IMPORTS | 2 | 2 | 12 | 2 | 14 -EQ | 27 | - ram/CAS.D = ram/RS_FSM_FFd7 - # ram/RS_FSM_FFd8 - # ram/RS_FSM_FFd9 & !ram/RASEN - # A_FSB<23> & RefUrg & !ram/RefDone & - ram/RS_FSM_FFd9 - # A_FSB<22> & RefUrg & !ram/RefDone & - ram/RS_FSM_FFd9 -;Imported pterms FB3_13 - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay & !nAS_FSB & - ram/RS_FSM_FFd9 - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay & - ram/RS_FSM_FFd9 & fsb/ASrf - # RefUrg & !ram/RefDone & nAS_FSB & - ram/RS_FSM_FFd9 & !fsb/ASrf - # RefUrg & !ram/RefDone & nAS_FSB & - ram/RS_FSM_FFd5 & !fsb/ASrf - # A_FSB<22> & RefReq & !ram/RefDone & !nAS_FSB & - ram/RS_FSM_FFd9 & !ram/BACTr -;Imported pterms FB3_12 - # A_FSB<23> & RefReq & !ram/RefDone & - ram/RS_FSM_FFd9 & !ram/BACTr & fsb/ASrf -;Imported pterms FB3_15 - # A_FSB<23> & RefReq & !ram/RefDone & !nAS_FSB & - ram/RS_FSM_FFd9 & !ram/BACTr - # A_FSB<22> & RefReq & !ram/RefDone & - ram/RS_FSM_FFd9 & !ram/BACTr & fsb/ASrf; - ram/CAS.CLK = FCLK; // GCK -GLOBALS | 1 | 2 | FCLK - -MACROCELL | 1 | 7 | ram/RASrf +MACROCELL | 1 | 3 | ram/RASrf ATTRIBUTES | 8553216 | 0 OUTPUTMC | 1 | 7 | 5 -INPUTS | 1 | ram/RS_FSM_FFd7 +INPUTS | 1 | ram/RS_FSM_FFd6 INPUTMC | 1 | 2 | 3 EQ | 2 | - ram/RASrf.D = ram/RS_FSM_FFd7; + ram/RASrf.D = ram/RS_FSM_FFd6; !ram/RASrf.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 4 | 7 | ram/RASrr +MACROCELL | 4 | 17 | ram/RASrr ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 1 | 7 | 5 -INPUTS | 9 | ram/RS_FSM_FFd4 | ram/RS_FSM_FFd8 | A_FSB<23> | A_FSB<22> | cs/nOverlay | nAS_FSB | ram/RS_FSM_FFd9 | ram/RASEN | fsb/ASrf -INPUTMC | 6 | 1 | 3 | 2 | 9 | 4 | 3 | 2 | 14 | 2 | 11 | 0 | 4 -INPUTP | 3 | 36 | 30 | 54 -EQ | 7 | - ram/RASrr.D = ram/RS_FSM_FFd8 - # ram/RS_FSM_FFd4 +OUTPUTMC | 2 | 7 | 5 | 4 | 0 +INPUTS | 13 | ram/RS_FSM_FFd7 | RefUrg | ram/RefDone | ram/RS_FSM_FFd4 | ram/RS_FSM_FFd8 | ram/RS_FSM_FFd1 | nAS_FSB | fsb/ASrf | A_FSB<23> | A_FSB<22> | cs/nOverlay | ram/RASEN | EXP19_.EXP +INPUTMC | 10 | 0 | 17 | 0 | 11 | 0 | 5 | 2 | 17 | 4 | 0 | 0 | 2 | 3 | 9 | 2 | 7 | 4 | 9 | 4 | 16 +INPUTP | 3 | 54 | 36 | 30 +EXPORTS | 1 | 4 | 0 +IMPORTS | 1 | 4 | 16 +EQ | 31 | + ram/RASrr.D = ram/RS_FSM_FFd7 + # RefUrg & !ram/RefDone & ram/RS_FSM_FFd4 +;Imported pterms FB5_17 + # A_FSB<23> & RefUrg & !ram/RefDone & + ram/RS_FSM_FFd8 + # A_FSB<22> & RefUrg & !ram/RefDone & + ram/RS_FSM_FFd8 + # RefUrg & !ram/RefDone & ram/RS_FSM_FFd8 & + !ram/RASEN + # RefUrg & !ram/RefDone & nAS_FSB & + ram/RS_FSM_FFd8 & !fsb/ASrf # !A_FSB<23> & !A_FSB<22> & cs/nOverlay & !nAS_FSB & - ram/RS_FSM_FFd9 & ram/RASEN + ram/RS_FSM_FFd8 & ram/RASEN +;Imported pterms FB5_16 + # A_FSB<23> & RefReq & !ram/RefDone & !nAS_FSB & + ram/RS_FSM_FFd8 & !ram/BACTr + # A_FSB<23> & RefReq & !ram/RefDone & + ram/RS_FSM_FFd8 & !ram/BACTr & fsb/ASrf # !A_FSB<23> & !A_FSB<22> & cs/nOverlay & - ram/RS_FSM_FFd9 & ram/RASEN & fsb/ASrf; + ram/RS_FSM_FFd8 & ram/RASEN & fsb/ASrf + # A_FSB<22> & RefReq & !ram/RefDone & !nAS_FSB & + ram/RS_FSM_FFd8 & !ram/BACTr + # A_FSB<22> & RefReq & !ram/RefDone & + ram/RS_FSM_FFd8 & !ram/BACTr & fsb/ASrf; ram/RASrr.CLK = FCLK; // GCK + ram/RASrr.EXP = RefUrg & !ram/RefDone & !ram/RS_FSM_FFd8 & + !ram/RS_FSM_FFd1 + # RefUrg & !ram/RefDone & nAS_FSB & + !ram/RS_FSM_FFd1 & !fsb/ASrf + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay & !nAS_FSB & + !ram/RS_FSM_FFd4 & ram/RASEN & !ram/RS_FSM_FFd1 GLOBALS | 1 | 2 | FCLK MACROCELL | 6 | 5 | RA_0_OBUF ATTRIBUTES | 264962 | 0 INPUTS | 3 | ram/RASEL | A_FSB<1> | A_FSB<9> -INPUTMC | 1 | 4 | 2 +INPUTMC | 1 | 2 | 6 INPUTP | 2 | 149 | 12 EQ | 2 | RA<0> = ram/RASEL & A_FSB<1> @@ -1930,7 +1981,7 @@ EQ | 2 | MACROCELL | 6 | 8 | RA_10_OBUF ATTRIBUTES | 264962 | 0 INPUTS | 3 | A_FSB<17> | ram/RASEL | A_FSB<7> -INPUTMC | 1 | 4 | 2 +INPUTMC | 1 | 2 | 6 INPUTP | 2 | 23 | 8 EQ | 2 | RA<10> = A_FSB<17> & !ram/RASEL @@ -1938,99 +1989,108 @@ EQ | 2 | MACROCELL | 6 | 1 | RA_1_OBUF ATTRIBUTES | 264962 | 0 -INPUTS | 3 | A_FSB<10> | ram/RASEL | A_FSB<2> -INPUTMC | 1 | 4 | 2 +OUTPUTMC | 1 | 6 | 0 +INPUTS | 16 | A_FSB<10> | ram/RASEL | A_FSB<2> | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/LTimer<4> | cnt/LTimer<5> | cnt/LTimer<6> | cnt/LTimer<7> | cnt/LTimer<8> | cnt/TimerTC | cnt/IS_FSM_FFd2 | cnt/Er<0> | cnt/Er<1> +INPUTMC | 14 | 2 | 6 | 3 | 17 | 3 | 15 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 0 | 8 | 0 | 10 | 0 | 4 | 0 | 3 INPUTP | 2 | 13 | 153 -EQ | 2 | +EXPORTS | 1 | 6 | 0 +EQ | 6 | RA<1> = A_FSB<10> & !ram/RASEL # ram/RASEL & A_FSB<2>; + RA_1_OBUF.EXP = cnt/LTimer<0> & cnt/LTimer<1> & cnt/LTimer<2> & + cnt/LTimer<3> & cnt/LTimer<4> & cnt/LTimer<5> & cnt/LTimer<6> & + cnt/LTimer<7> & cnt/LTimer<8> & cnt/TimerTC & cnt/IS_FSM_FFd2 & + !cnt/Er<0> & cnt/Er<1> MACROCELL | 4 | 13 | RA_2_OBUF ATTRIBUTES | 264962 | 0 -OUTPUTMC | 1 | 4 | 12 -INPUTS | 17 | A_FSB<16> | ram/RASEL | A_FSB<7> | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<13> | iobs/Sent | nWE_FSB | nAS_FSB | nADoutLE1 | fsb/ASrf | A_FSB<14> -INPUTMC | 4 | 4 | 2 | 4 | 12 | 5 | 13 | 0 | 4 -INPUTP | 13 | 22 | 8 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 18 | 47 | 54 | 19 -EXPORTS | 1 | 4 | 12 -EQ | 11 | +OUTPUTMC | 1 | 4 | 14 +INPUTS | 5 | A_FSB<16> | ram/RASEL | A_FSB<7> | A_FSB<13> | A_FSB<5> +INPUTMC | 1 | 2 | 6 +INPUTP | 4 | 22 | 8 | 18 | 4 +EXPORTS | 1 | 4 | 14 +EQ | 4 | RA<2> = A_FSB<16> & !ram/RASEL # ram/RASEL & A_FSB<7>; - RA_2_OBUF.EXP = !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<13> & - !iobs/Sent & !nWE_FSB & !nAS_FSB & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<13> & - !iobs/Sent & !nWE_FSB & fsb/ASrf & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<14> & - !iobs/Sent & !nWE_FSB & fsb/ASrf & nADoutLE1 + RA_2_OBUF.EXP = A_FSB<13> & !ram/RASEL + # ram/RASEL & A_FSB<5> MACROCELL | 4 | 8 | RA_4_OBUF ATTRIBUTES | 264962 | 0 OUTPUTMC | 1 | 4 | 9 -INPUTS | 19 | A_FSB<11> | ram/RASEL | A_FSB<3> | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<13> | iobs/Sent | nWE_FSB | iobs/TS_FSM_FFd1 | fsb/ASrf | nADoutLE1 | iobs/TS_FSM_FFd2 | A_FSB<14> -INPUTMC | 6 | 4 | 2 | 4 | 12 | 0 | 10 | 0 | 4 | 5 | 13 | 7 | 2 -INPUTP | 13 | 15 | 155 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 18 | 47 | 19 +INPUTS | 11 | RefUrg | ram/RefDone | nAS_FSB | ram/RS_FSM_FFd1 | fsb/ASrf | A_FSB<23> | RefReq | ram/RS_FSM_FFd4 | ram/BACTr | A_FSB<22> | cnt/WS<0>.EXP +INPUTMC | 8 | 0 | 11 | 0 | 5 | 0 | 2 | 3 | 9 | 0 | 12 | 2 | 17 | 3 | 6 | 4 | 7 +INPUTP | 3 | 54 | 36 | 30 EXPORTS | 1 | 4 | 9 -EQ | 14 | - RA<4> = A_FSB<11> & !ram/RASEL +IMPORTS | 1 | 4 | 7 +EQ | 13 | + RA<4> = ;Imported pterms FB5_8 + A_FSB<11> & !ram/RASEL # ram/RASEL & A_FSB<3>; - RA_4_OBUF.EXP = !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<13> & - !iobs/Sent & !nWE_FSB & iobs/TS_FSM_FFd1 & fsb/ASrf & - nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<13> & - !iobs/Sent & !nWE_FSB & iobs/TS_FSM_FFd2 & fsb/ASrf & - nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<14> & - !iobs/Sent & !nWE_FSB & iobs/TS_FSM_FFd1 & fsb/ASrf & - nADoutLE1 + RA_4_OBUF.EXP = RefUrg & !ram/RefDone & nAS_FSB & + !ram/RS_FSM_FFd1 & !fsb/ASrf + # A_FSB<23> & RefReq & !ram/RefDone & !nAS_FSB & + !ram/RS_FSM_FFd4 & !ram/RS_FSM_FFd1 & !ram/BACTr + # A_FSB<23> & RefReq & !ram/RefDone & + !ram/RS_FSM_FFd4 & !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf + # A_FSB<22> & RefReq & !ram/RefDone & !nAS_FSB & + !ram/RS_FSM_FFd4 & !ram/RS_FSM_FFd1 & !ram/BACTr + # A_FSB<22> & RefReq & !ram/RefDone & + !ram/RS_FSM_FFd4 & !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf MACROCELL | 4 | 11 | RA_5_OBUF ATTRIBUTES | 264962 | 0 OUTPUTMC | 1 | 4 | 12 -INPUTS | 18 | A_FSB<22> | A_FSB<21> | iobs/Sent | iobs/TS_FSM_FFd1 | iobs/TS_FSM_FFd2 | fsb/ASrf | nADoutLE1 | A_FSB<20> | cs/nOverlay | nAS_FSB | A_FSB<23> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<14> | nWE_FSB | RA_11_OBUF.EXP -INPUTMC | 7 | 4 | 12 | 0 | 10 | 7 | 2 | 0 | 4 | 5 | 13 | 4 | 3 | 4 | 10 -INPUTP | 11 | 30 | 29 | 28 | 54 | 36 | 26 | 24 | 23 | 22 | 19 | 47 +INPUTS | 13 | RefUrg | ram/RefDone | nAS_FSB | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd5 | ram/RS_FSM_FFd6 | fsb/ASrf | A_FSB<23> | RefReq | ram/RS_FSM_FFd4 | ram/BACTr | A_FSB<22> | RA_11_OBUF.EXP +INPUTMC | 10 | 0 | 11 | 0 | 5 | 0 | 2 | 2 | 4 | 2 | 3 | 3 | 9 | 0 | 12 | 2 | 17 | 3 | 6 | 4 | 10 +INPUTP | 3 | 54 | 36 | 30 EXPORTS | 1 | 4 | 12 IMPORTS | 1 | 4 | 10 -EQ | 14 | +EQ | 17 | RA<5> = ;Imported pterms FB5_11 A_FSB<12> & !ram/RASEL # ram/RASEL & A_FSB<4>; - RA_5_OBUF.EXP = A_FSB<22> & A_FSB<21> & !iobs/Sent & - !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & fsb/ASrf & nADoutLE1 - # A_FSB<22> & A_FSB<20> & !iobs/Sent & - !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & fsb/ASrf & nADoutLE1 - # A_FSB<22> & !iobs/Sent & !cs/nOverlay & !nAS_FSB & - !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & nADoutLE1 - # A_FSB<22> & !iobs/Sent & !cs/nOverlay & - !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & fsb/ASrf & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<14> & - !iobs/Sent & !nWE_FSB & !nAS_FSB & nADoutLE1 + RA_5_OBUF.EXP = RefUrg & !ram/RefDone & nAS_FSB & + !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd5 & !ram/RS_FSM_FFd6 & !fsb/ASrf + # A_FSB<23> & RefReq & !ram/RefDone & !nAS_FSB & + !ram/RS_FSM_FFd4 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd5 & + !ram/RS_FSM_FFd6 & !ram/BACTr + # A_FSB<23> & RefReq & !ram/RefDone & + !ram/RS_FSM_FFd4 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd5 & + !ram/RS_FSM_FFd6 & !ram/BACTr & fsb/ASrf + # A_FSB<22> & RefReq & !ram/RefDone & !nAS_FSB & + !ram/RS_FSM_FFd4 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd5 & + !ram/RS_FSM_FFd6 & !ram/BACTr + # A_FSB<22> & RefReq & !ram/RefDone & + !ram/RS_FSM_FFd4 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd5 & + !ram/RS_FSM_FFd6 & !ram/BACTr & fsb/ASrf MACROCELL | 4 | 14 | RA_6_OBUF ATTRIBUTES | 264962 | 0 OUTPUTMC | 1 | 4 | 15 -INPUTS | 11 | A_FSB<13> | ram/RASEL | A_FSB<5> | nAS_FSB | iobs/TS_FSM_FFd2 | fsb/ASrf | nADoutLE1 | A_FSB<23> | A_FSB<21> | A_FSB<20> | cs/nOverlay -INPUTMC | 5 | 4 | 2 | 7 | 2 | 0 | 4 | 5 | 13 | 4 | 3 -INPUTP | 6 | 18 | 4 | 54 | 36 | 29 | 28 +INPUTS | 17 | iobs/Sent | IONPReady | iobs/IODONEr | nAS_FSB | fsb/ASrf | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<13> | nWE_FSB | A_FSB<14> | RA_2_OBUF.EXP +INPUTMC | 5 | 2 | 15 | 4 | 15 | 1 | 5 | 3 | 9 | 4 | 13 +INPUTP | 12 | 54 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 18 | 47 | 19 EXPORTS | 1 | 4 | 15 -EQ | 6 | - RA<6> = A_FSB<13> & !ram/RASEL +IMPORTS | 1 | 4 | 13 +EQ | 12 | + RA<6> = ;Imported pterms FB5_14 + A_FSB<13> & !ram/RASEL # ram/RASEL & A_FSB<5>; - RA_6_OBUF.EXP = nAS_FSB & !iobs/TS_FSM_FFd2 & !fsb/ASrf & - nADoutLE1 - # !A_FSB<23> & !A_FSB<21> & !A_FSB<20> & cs/nOverlay & - !iobs/TS_FSM_FFd2 & nADoutLE1 + RA_6_OBUF.EXP = !iobs/Sent & !IONPReady + # !IONPReady & !iobs/IODONEr + # nAS_FSB & !fsb/ASrf + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<13> & + !nWE_FSB & !IONPReady + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<14> & + !nWE_FSB & !IONPReady MACROCELL | 6 | 4 | RA_7_OBUF ATTRIBUTES | 264962 | 0 INPUTS | 3 | A_FSB<14> | ram/RASEL | A_FSB<6> -INPUTMC | 1 | 4 | 2 +INPUTMC | 1 | 2 | 6 INPUTP | 2 | 19 | 6 EQ | 2 | RA<7> = A_FSB<14> & !ram/RASEL @@ -2039,7 +2099,7 @@ EQ | 2 | MACROCELL | 6 | 7 | RA_8_OBUF ATTRIBUTES | 264962 | 0 INPUTS | 3 | A_FSB<18> | ram/RASEL | A_FSB<21> -INPUTMC | 1 | 4 | 2 +INPUTMC | 1 | 2 | 6 INPUTP | 2 | 24 | 29 EQ | 2 | RA<8> = A_FSB<21> & ram/RASEL @@ -2048,100 +2108,75 @@ EQ | 2 | MACROCELL | 6 | 10 | RA_9_OBUF ATTRIBUTES | 264962 | 0 INPUTS | 3 | A_FSB<15> | ram/RASEL | A_FSB<8> -INPUTMC | 1 | 4 | 2 +INPUTMC | 1 | 2 | 6 INPUTP | 2 | 21 | 11 EQ | 2 | RA<9> = A_FSB<15> & !ram/RASEL # ram/RASEL & A_FSB<8>; -MACROCELL | 4 | 5 | nOE_OBUF -ATTRIBUTES | 264962 | 0 -INPUTS | 2 | nWE_FSB | nAS_FSB -INPUTP | 2 | 47 | 54 -EQ | 1 | - !nOE = nWE_FSB & !nAS_FSB; - MACROCELL | 2 | 16 | nROMWE_OBUF ATTRIBUTES | 264962 | 0 OUTPUTMC | 1 | 2 | 15 -INPUTS | 11 | A_FSB<23> | RefUrg | RefReq | ram/RS_FSM_FFd9 | ram/RASEN | ram/BACTr | A_FSB<22> | cs/nOverlay | nAS_FSB | fsb/ASrf | RAMReady.EXP -INPUTMC | 8 | 0 | 14 | 6 | 6 | 2 | 14 | 2 | 11 | 0 | 0 | 4 | 3 | 0 | 4 | 2 | 17 -INPUTP | 3 | 36 | 30 | 54 +INPUTS | 15 | nWE_FSB | nAS_FSB | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<13> | iobs/Sent | nADoutLE1 | fsb/ASrf | A_FSB<14> +INPUTMC | 3 | 2 | 15 | 5 | 13 | 3 | 9 +INPUTP | 12 | 47 | 54 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 18 | 19 EXPORTS | 1 | 2 | 15 -IMPORTS | 1 | 2 | 17 -EQ | 12 | - !nROMWE = ;Imported pterms FB3_18 - !nWE_FSB & !nAS_FSB; - nROMWE_OBUF.EXP = A_FSB<23> & !RefUrg & !RefReq & ram/RS_FSM_FFd9 & - ram/RASEN - # A_FSB<23> & !RefUrg & ram/RS_FSM_FFd9 & - ram/RASEN & ram/BACTr - # A_FSB<22> & !RefUrg & ram/RS_FSM_FFd9 & - ram/RASEN & ram/BACTr - # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay & !nAS_FSB & - ram/RS_FSM_FFd9 & ram/RASEN - # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay & - ram/RS_FSM_FFd9 & ram/RASEN & fsb/ASrf +EQ | 13 | + !nROMWE = !nWE_FSB & !nAS_FSB; + nROMWE_OBUF.EXP = !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<13> & + !iobs/Sent & !nWE_FSB & !nAS_FSB & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<13> & + !iobs/Sent & !nWE_FSB & fsb/ASrf & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<14> & + !iobs/Sent & !nWE_FSB & !nAS_FSB & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<14> & + !iobs/Sent & !nWE_FSB & fsb/ASrf & nADoutLE1 MACROCELL | 5 | 14 | nADoutLE0_OBUF ATTRIBUTES | 264962 | 0 INPUTS | 2 | ALE0M | ALE0S -INPUTMC | 2 | 5 | 15 | 0 | 8 +INPUTMC | 2 | 5 | 15 | 3 | 12 EQ | 1 | nADoutLE0 = !ALE0M & !ALE0S; MACROCELL | 4 | 4 | nCAS_OBUF ATTRIBUTES | 8684290 | 0 -INPUTS | 1 | ram/CAS -INPUTMC | 1 | 2 | 13 -EQ | 2 | - nCAS.D = !ram/CAS; +INPUTS | 9 | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd3 | ram/RS_FSM_FFd1 | ram/DTACKr | ram/RS_FSM_FFd5 | RefUrg | ram/RS_FSM_FFd7 | EXP17_.EXP | nOE_OBUF.EXP +INPUTMC | 9 | 0 | 1 | 0 | 0 | 0 | 2 | 1 | 4 | 2 | 4 | 0 | 11 | 0 | 17 | 4 | 3 | 4 | 5 +IMPORTS | 2 | 4 | 3 | 4 | 5 +EQ | 21 | + nCAS.D = ram/RS_FSM_FFd1 + # ram/RS_FSM_FFd2 + # ram/RS_FSM_FFd3 + # !RefUrg & ram/RS_FSM_FFd7 + # ram/DTACKr & ram/RS_FSM_FFd5 +;Imported pterms FB5_4 + # !RefUrg & ram/RS_FSM_FFd4 + # ram/RefDone & ram/RS_FSM_FFd8 + # ram/RefDone & ram/RS_FSM_FFd4 + # ram/RefDone & ram/RS_FSM_FFd7 + # !RefUrg & !RefReq & ram/RS_FSM_FFd8 +;Imported pterms FB5_6 + # !RefUrg & ram/RS_FSM_FFd8 & ram/BACTr + # !A_FSB<23> & !A_FSB<22> & !RefUrg & + ram/RS_FSM_FFd8 + # !RefUrg & nAS_FSB & ram/RS_FSM_FFd8 & !fsb/ASrf + # !A_FSB<23> & !A_FSB<22> & !nAS_FSB & + ram/RS_FSM_FFd8 & ram/RASEN + # !A_FSB<23> & !A_FSB<22> & ram/RS_FSM_FFd8 & + ram/RASEN & fsb/ASrf; !nCAS.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 2 | 8 | nDTACK_FSB_OBUF -ATTRIBUTES | 8684290 | 0 -OUTPUTMC | 1 | 2 | 9 -INPUTS | 11 | RefUrg | ram/RefDone | nAS_FSB | ram/RS_FSM_FFd5 | fsb/ASrf | A_FSB<23> | RefReq | ram/RS_FSM_FFd9 | ram/BACTr | A_FSB<22> | EXP11_.EXP -INPUTMC | 8 | 0 | 14 | 2 | 2 | 2 | 4 | 0 | 4 | 6 | 6 | 2 | 14 | 0 | 0 | 2 | 7 -INPUTP | 3 | 54 | 36 | 30 -EXPORTS | 1 | 2 | 9 -IMPORTS | 1 | 2 | 7 -EQ | 27 | - nDTACK_FSB.D = ;Imported pterms FB3_8 - A_FSB<23> & !IONPReady - # !IONPReady & !QoSReady - # nAS_FSB & !fsb/ASrf - # A_FSB<22> & A_FSB<21> & !IONPReady - # A_FSB<22> & A_FSB<20> & !IONPReady -;Imported pterms FB3_7 - # !A_FSB<22> & !IONPReady & !RAMReady - # A_FSB<23> & A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> - # A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & A_FSB<13> & !nWE_FSB & !IONPReady & - !nADoutLE1 - # A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & A_FSB<14> & !nWE_FSB & !IONPReady & - !nADoutLE1; - nDTACK_FSB.CLK = FCLK; // GCK - nDTACK_FSB_OBUF.EXP = RefUrg & !ram/RefDone & nAS_FSB & - ram/RS_FSM_FFd5 & !fsb/ASrf - # A_FSB<23> & RefReq & !ram/RefDone & !nAS_FSB & - ram/RS_FSM_FFd9 & !ram/BACTr - # A_FSB<23> & RefReq & !ram/RefDone & - ram/RS_FSM_FFd9 & !ram/BACTr & fsb/ASrf - # A_FSB<22> & RefReq & !ram/RefDone & !nAS_FSB & - ram/RS_FSM_FFd9 & !ram/BACTr - # A_FSB<22> & RefReq & !ram/RefDone & - ram/RS_FSM_FFd9 & !ram/BACTr & fsb/ASrf -GLOBALS | 1 | 2 | FCLK - MACROCELL | 5 | 16 | nDinLE_OBUF ATTRIBUTES | 8684290 | 0 OUTPUTMC | 1 | 5 | 17 INPUTS | 10 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd4 | IOBERR | IODONE | iobm/IOS_FSM_FFd7 | iobm/IOWRREQr | nAoutOE | IOACT | iobm/IOS_FSM_FFd1 | iobm/IOS_FSM_FFd2 -INPUTMC | 10 | 5 | 3 | 1 | 13 | 0 | 15 | 5 | 9 | 5 | 2 | 1 | 11 | 3 | 1 | 5 | 17 | 1 | 14 | 1 | 17 +INPUTMC | 10 | 5 | 3 | 1 | 10 | 1 | 17 | 5 | 9 | 5 | 2 | 1 | 8 | 3 | 1 | 5 | 17 | 1 | 11 | 1 | 16 EXPORTS | 1 | 5 | 17 EQ | 6 | !nDinLE.D = !iobm/IOS_FSM_FFd3 & !iobm/IOS_FSM_FFd4; @@ -2152,10 +2187,34 @@ EQ | 6 | !iobm/IOS_FSM_FFd1 & !iobm/IOS_FSM_FFd2 GLOBALS | 1 | 2 | C16M +MACROCELL | 4 | 5 | nOE_OBUF +ATTRIBUTES | 8684290 | 0 +OUTPUTMC | 1 | 4 | 4 +INPUTS | 9 | RefUrg | ram/RS_FSM_FFd8 | ram/BACTr | A_FSB<23> | A_FSB<22> | nAS_FSB | fsb/ASrf | ram/RASEN | EXP18_.EXP +INPUTMC | 6 | 0 | 11 | 4 | 0 | 3 | 6 | 3 | 9 | 4 | 9 | 4 | 6 +INPUTP | 3 | 36 | 30 | 54 +EXPORTS | 1 | 4 | 4 +IMPORTS | 1 | 4 | 6 +EQ | 13 | + nOE.D = ;Imported pterms FB5_7 + !nWE_FSB + # nAS_FSB & !fsb/ASrf + # ram/DTACKr & ram/BACTr; + nOE.CLK = FCLK; // GCK + nOE_OBUF.EXP = !RefUrg & ram/RS_FSM_FFd8 & ram/BACTr + # !A_FSB<23> & !A_FSB<22> & !RefUrg & + ram/RS_FSM_FFd8 + # !RefUrg & nAS_FSB & ram/RS_FSM_FFd8 & !fsb/ASrf + # !A_FSB<23> & !A_FSB<22> & !nAS_FSB & + ram/RS_FSM_FFd8 & ram/RASEN + # !A_FSB<23> & !A_FSB<22> & ram/RS_FSM_FFd8 & + ram/RASEN & fsb/ASrf +GLOBALS | 1 | 2 | FCLK + MACROCELL | 3 | 7 | N0 ATTRIBUTES | 265986 | 0 INPUTS | 1 | nRESout -INPUTMC | 1 | 0 | 1 +INPUTMC | 1 | 3 | 8 EQ | 2 | nRES = Gnd; nRES.OE = !nRESout; @@ -2164,7 +2223,7 @@ MACROCELL | 7 | 1 | RA_11_OBUF$BUF0 ATTRIBUTES | 264962 | 0 OUTPUTMC | 1 | 7 | 0 INPUTS | 12 | A_FSB<23> | A_FSB<22> | A_FSB<19> | iobs/TS_FSM_FFd2 | nADoutLE1 | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<21> | A_FSB<20> | cs/nOverlay | iobs/TS_FSM_FFd2.EXP -INPUTMC | 4 | 7 | 2 | 5 | 13 | 4 | 3 | 7 | 2 +INPUTMC | 4 | 7 | 2 | 5 | 13 | 2 | 7 | 7 | 2 INPUTP | 8 | 36 | 30 | 26 | 24 | 23 | 22 | 29 | 28 EXPORTS | 1 | 7 | 0 IMPORTS | 1 | 7 | 2 @@ -2185,9 +2244,9 @@ EQ | 13 | MACROCELL | 5 | 13 | nADoutLE1_OBUF ATTRIBUTES | 8815366 | 0 -OUTPUTMC | 24 | 4 | 12 | 7 | 16 | 7 | 2 | 4 | 9 | 7 | 0 | 7 | 13 | 4 | 15 | 7 | 8 | 2 | 6 | 5 | 13 | 4 | 8 | 4 | 11 | 4 | 13 | 4 | 14 | 7 | 1 | 7 | 3 | 7 | 4 | 7 | 7 | 7 | 9 | 7 | 11 | 7 | 12 | 7 | 14 | 7 | 15 | 7 | 17 +OUTPUTMC | 24 | 2 | 15 | 7 | 16 | 2 | 7 | 7 | 2 | 2 | 11 | 7 | 0 | 7 | 13 | 2 | 13 | 7 | 8 | 5 | 13 | 2 | 10 | 2 | 12 | 2 | 14 | 2 | 16 | 7 | 1 | 7 | 3 | 7 | 4 | 7 | 7 | 7 | 9 | 7 | 11 | 7 | 12 | 7 | 14 | 7 | 15 | 7 | 17 INPUTS | 3 | iobs/Clear1 | nADoutLE1 | iobs/Load1 -INPUTMC | 3 | 0 | 2 | 5 | 13 | 4 | 9 +INPUTMC | 3 | 2 | 12 | 5 | 13 | 2 | 11 EQ | 3 | !nADoutLE1.D = iobs/Load1 # !iobs/Clear1 & !nADoutLE1; @@ -2197,8 +2256,8 @@ GLOBALS | 1 | 2 | FCLK MACROCELL | 3 | 1 | nAoutOE_OBUF ATTRIBUTES | 8815366 | 0 OUTPUTMC | 13 | 5 | 1 | 5 | 11 | 5 | 8 | 5 | 10 | 5 | 2 | 5 | 0 | 5 | 17 | 5 | 15 | 5 | 12 | 3 | 4 | 3 | 2 | 5 | 16 | 3 | 0 -INPUTS | 23 | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<15> | A_FSB<13> | A_FSB<12> | A_FSB<14> | A_FSB<11> | A_FSB<10> | nWE_FSB | cnt/IS_FSM_FFd1 | cnt/IS_FSM_FFd2 | A_FSB<9> | fsb/ASrf | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | A_FSB<8> | nAS_FSB | cnt/LTimer<9>.EXP -INPUTMC | 5 | 7 | 15 | 6 | 3 | 0 | 4 | 3 | 13 | 3 | 2 +INPUTS | 23 | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<15> | A_FSB<13> | A_FSB<12> | A_FSB<14> | A_FSB<11> | A_FSB<10> | nWE_FSB | cnt/IS_FSM_FFd1 | cnt/IS_FSM_FFd2 | A_FSB<9> | fsb/ASrf | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | A_FSB<8> | nAS_FSB | EXP13_.EXP +INPUTMC | 5 | 7 | 15 | 0 | 10 | 3 | 9 | 6 | 17 | 3 | 2 INPUTP | 18 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 21 | 18 | 17 | 19 | 15 | 13 | 47 | 12 | 11 | 54 EXPORTS | 1 | 3 | 0 IMPORTS | 1 | 3 | 2 @@ -2246,7 +2305,7 @@ EQ | 3 | MACROCELL | 3 | 4 | nDoutOE_OBUF ATTRIBUTES | 264962 | 0 INPUTS | 5 | iobm/DoutOE | nAoutOE | iobm/IORDREQr | iobm/IOS0 | iobm/IOWRREQr -INPUTMC | 5 | 5 | 7 | 3 | 1 | 1 | 15 | 5 | 12 | 1 | 11 +INPUTMC | 5 | 5 | 7 | 3 | 1 | 1 | 12 | 5 | 12 | 1 | 8 EQ | 3 | !nDoutOE = iobm/DoutOE & !nAoutOE # !iobm/IORDREQr & iobm/IOS0 & !iobm/IOWRREQr & @@ -2256,7 +2315,7 @@ MACROCELL | 7 | 5 | nRAMLWE_OBUF ATTRIBUTES | 264962 | 0 OUTPUTMC | 1 | 7 | 4 INPUTS | 10 | nWE_FSB | nLDS_FSB | ram/RASEL | ram/RASrf | ram/RASrr | A_FSB<23> | A_FSB<22> | cs/nOverlay | nAS_FSB | ram/RASEN -INPUTMC | 5 | 4 | 2 | 1 | 7 | 4 | 7 | 4 | 3 | 2 | 11 +INPUTMC | 5 | 2 | 6 | 1 | 3 | 4 | 17 | 2 | 7 | 4 | 9 INPUTP | 5 | 47 | 49 | 36 | 30 | 54 EXPORTS | 1 | 7 | 4 EQ | 5 | @@ -2269,8 +2328,8 @@ EQ | 5 | MACROCELL | 7 | 7 | nRAMUWE_OBUF ATTRIBUTES | 264962 | 0 OUTPUTMC | 1 | 7 | 8 -INPUTS | 12 | A_FSB<22> | A_FSB<21> | iobs/Sent | nWE_FSB | nAS_FSB | iobs/TS_FSM_FFd1 | iobs/TS_FSM_FFd2 | nADoutLE1 | fsb/ASrf | A_FSB<20> | cs/nOverlay | EXP22_.EXP -INPUTMC | 7 | 4 | 12 | 0 | 10 | 7 | 2 | 5 | 13 | 0 | 4 | 4 | 3 | 7 | 6 +INPUTS | 12 | A_FSB<22> | A_FSB<21> | iobs/Sent | nWE_FSB | nAS_FSB | iobs/TS_FSM_FFd1 | iobs/TS_FSM_FFd2 | nADoutLE1 | fsb/ASrf | A_FSB<20> | cs/nOverlay | EXP21_.EXP +INPUTMC | 7 | 2 | 15 | 2 | 5 | 7 | 2 | 5 | 13 | 3 | 9 | 2 | 7 | 7 | 6 INPUTP | 5 | 30 | 29 | 47 | 54 | 28 EXPORTS | 1 | 7 | 8 IMPORTS | 1 | 7 | 6 @@ -2291,25 +2350,25 @@ EQ | 12 | MACROCELL | 4 | 1 | nROMCS_OBUF ATTRIBUTES | 264962 | 0 OUTPUTMC | 1 | 4 | 0 -INPUTS | 8 | A_FSB<22> | A_FSB<17> | $OpTx$$OpTx$FX_DC$348_INV$535 | A_FSB<16> | A_FSB<13> | A_FSB<14> | A_FSB<10> | ram/RASEL.EXP -INPUTMC | 2 | 0 | 9 | 4 | 2 -INPUTP | 6 | 30 | 23 | 22 | 18 | 19 | 13 +INPUTS | 12 | A_FSB<23> | RefReq | ram/RefDone | nAS_FSB | ram/RS_FSM_FFd4 | ram/RS_FSM_FFd1 | ram/BACTr | fsb/ASrf | A_FSB<22> | cs/nOverlay | ram/RASEN | EXP16_.EXP +INPUTMC | 9 | 0 | 12 | 0 | 5 | 2 | 17 | 0 | 2 | 3 | 6 | 3 | 9 | 2 | 7 | 4 | 9 | 4 | 2 +INPUTP | 3 | 36 | 54 | 30 EXPORTS | 1 | 4 | 0 IMPORTS | 1 | 4 | 2 EQ | 13 | !nROMCS = ;Imported pterms FB5_3 !A_FSB<23> & A_FSB<22> & !A_FSB<21> & !A_FSB<20> # !A_FSB<23> & !A_FSB<21> & !A_FSB<20> & !cs/nOverlay; - nROMCS_OBUF.EXP = A_FSB<22> & !A_FSB<17> & - !$OpTx$$OpTx$FX_DC$348_INV$535 - # A_FSB<22> & !A_FSB<16> & - !$OpTx$$OpTx$FX_DC$348_INV$535 - # A_FSB<22> & !A_FSB<13> & - !$OpTx$$OpTx$FX_DC$348_INV$535 - # A_FSB<22> & !A_FSB<14> & - !$OpTx$$OpTx$FX_DC$348_INV$535 - # A_FSB<22> & !A_FSB<10> & - !$OpTx$$OpTx$FX_DC$348_INV$535 + nROMCS_OBUF.EXP = A_FSB<23> & RefReq & !ram/RefDone & !nAS_FSB & + !ram/RS_FSM_FFd4 & !ram/RS_FSM_FFd1 & !ram/BACTr + # A_FSB<23> & RefReq & !ram/RefDone & + !ram/RS_FSM_FFd4 & !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay & + !ram/RS_FSM_FFd4 & ram/RASEN & !ram/RS_FSM_FFd1 & fsb/ASrf + # A_FSB<22> & RefReq & !ram/RefDone & !nAS_FSB & + !ram/RS_FSM_FFd4 & !ram/RS_FSM_FFd1 & !ram/BACTr + # A_FSB<22> & RefReq & !ram/RefDone & + !ram/RS_FSM_FFd4 & !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf MACROCELL | 6 | 11 | C25MEN_OBUF ATTRIBUTES | 264962 | 0 @@ -2317,21 +2376,19 @@ INPUTS | 0 EQ | 1 | C25MEN = Vcc; -MACROCELL | 3 | 13 | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 +MACROCELL | 6 | 17 | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 ATTRIBUTES | 133888 | 0 OUTPUTMC | 7 | 3 | 17 | 3 | 15 | 3 | 0 | 3 | 1 | 3 | 13 | 3 | 14 | 3 | 16 -INPUTS | 37 | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<10> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/LTimer<4> | cnt/LTimer<5> | cnt/LTimer<6> | cnt/LTimer<7> | cnt/LTimer<8> | cnt/LTimer<9> | cnt/LTimer<11> | cnt/IS_FSM_FFd1 | cnt/IS_FSM_FFd2 | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<15> | A_FSB<13> | A_FSB<12> | A_FSB<14> | A_FSB<11> | A_FSB<10> | nWE_FSB | A_FSB<9> | fsb/ASrf | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | A_FSB<8> | EXP16_.EXP -INPUTMC | 20 | 6 | 2 | 0 | 7 | 0 | 6 | 3 | 17 | 3 | 15 | 3 | 9 | 6 | 15 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 3 | 6 | 3 | 3 | 3 | 2 | 3 | 8 | 7 | 15 | 6 | 3 | 0 | 4 | 3 | 13 | 3 | 12 -INPUTP | 17 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 21 | 18 | 17 | 19 | 15 | 13 | 47 | 12 | 11 -EXPORTS | 1 | 3 | 14 -IMPORTS | 1 | 3 | 12 -EQ | 62 | +INPUTS | 37 | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<10> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/LTimer<4> | cnt/LTimer<5> | cnt/LTimer<6> | cnt/LTimer<7> | cnt/LTimer<8> | cnt/LTimer<9> | cnt/LTimer<11> | cnt/IS_FSM_FFd1 | cnt/IS_FSM_FFd2 | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<15> | A_FSB<13> | A_FSB<12> | A_FSB<14> | A_FSB<11> | A_FSB<10> | nWE_FSB | nAS_FSB | A_FSB<8> | A_FSB<9> | cnt/LTimer<9>.EXP | cnt/LTimer<10>.EXP +INPUTMC | 19 | 0 | 8 | 0 | 4 | 0 | 3 | 3 | 17 | 3 | 15 | 6 | 16 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 0 | 6 | 15 | 7 | 15 | 0 | 10 | 6 | 0 | 6 | 16 +INPUTP | 18 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 21 | 18 | 17 | 19 | 15 | 13 | 47 | 54 | 11 | 12 +IMPORTS | 2 | 6 | 0 | 6 | 16 +EQ | 47 | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 = cnt/TimerTC & !cnt/Er<0> & cnt/Er<1> # !cnt/LTimer<0> & !cnt/LTimer<1> & !cnt/LTimer<10> & !cnt/LTimer<2> & !cnt/LTimer<3> & !cnt/LTimer<4> & !cnt/LTimer<5> & !cnt/LTimer<6> & !cnt/LTimer<7> & !cnt/LTimer<8> & !cnt/LTimer<9> & !cnt/LTimer<11> & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 -;Imported pterms FB4_13 # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & A_FSB<13> & A_FSB<12> & A_FSB<14> & A_FSB<11> & A_FSB<10> & @@ -2344,20 +2401,10 @@ EQ | 62 | A_FSB<8> # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & - A_FSB<13> & A_FSB<12> & A_FSB<14> & A_FSB<11> & A_FSB<10> & - !nWE_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & A_FSB<8> & - fsb/ASrf - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & - A_FSB<13> & !A_FSB<12> & !A_FSB<14> & !A_FSB<11> & !A_FSB<10> & - !nWE_FSB & !nAS_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & - A_FSB<9> - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & A_FSB<13> & !A_FSB<12> & !A_FSB<14> & !A_FSB<11> & !A_FSB<10> & !nWE_FSB & !nAS_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & A_FSB<8> -;Imported pterms FB4_12 +;Imported pterms FB7_1 # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & A_FSB<13> & A_FSB<12> & A_FSB<14> & A_FSB<11> & A_FSB<10> & @@ -2372,180 +2419,105 @@ EQ | 62 | A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & A_FSB<13> & !A_FSB<12> & !A_FSB<14> & !A_FSB<11> & !A_FSB<10> & !nWE_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & A_FSB<8> & - fsb/ASrf; - cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.EXP = !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + fsb/ASrf +;Imported pterms FB7_17 + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & A_FSB<13> & A_FSB<12> & A_FSB<14> & A_FSB<11> & A_FSB<10> & - !nWE_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & A_FSB<9> & - fsb/ASrf & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & - A_FSB<13> & !A_FSB<12> & !A_FSB<14> & !A_FSB<11> & !A_FSB<10> & - !nWE_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & A_FSB<9> & - fsb/ASrf & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & - A_FSB<13> & !A_FSB<12> & !A_FSB<14> & !A_FSB<11> & !A_FSB<10> & !nWE_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & A_FSB<8> & - fsb/ASrf & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 + fsb/ASrf + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & + A_FSB<13> & !A_FSB<12> & !A_FSB<14> & !A_FSB<11> & !A_FSB<10> & + !nWE_FSB & !nAS_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & + A_FSB<9>; -MACROCELL | 0 | 9 | $OpTx$$OpTx$FX_DC$348_INV$535 +MACROCELL | 3 | 13 | $OpTx$$OpTx$FX_DC$350_INV$537 ATTRIBUTES | 133888 | 0 -OUTPUTMC | 4 | 4 | 0 | 4 | 1 | 4 | 16 | 4 | 17 -INPUTS | 2 | nAS_FSB | fsb/ASrf -INPUTMC | 1 | 0 | 4 -INPUTP | 1 | 54 -EQ | 1 | - $OpTx$$OpTx$FX_DC$348_INV$535 = nAS_FSB & !fsb/ASrf; +OUTPUTMC | 5 | 2 | 1 | 2 | 0 | 2 | 2 | 2 | 17 | 3 | 14 +INPUTS | 22 | nAS_FSB | fsb/ASrf | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<15> | A_FSB<13> | A_FSB<12> | A_FSB<14> | A_FSB<11> | A_FSB<10> | nWE_FSB | cnt/IS_FSM_FFd1 | cnt/IS_FSM_FFd2 | A_FSB<9> | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | A_FSB<8> +INPUTMC | 4 | 3 | 9 | 7 | 15 | 0 | 10 | 6 | 17 +INPUTP | 18 | 54 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 21 | 18 | 17 | 19 | 15 | 13 | 47 | 12 | 11 +EXPORTS | 1 | 3 | 14 +EQ | 16 | + $OpTx$$OpTx$FX_DC$350_INV$537 = nAS_FSB & !fsb/ASrf; + $OpTx$$OpTx$FX_DC$350_INV$537.EXP = !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & + A_FSB<13> & A_FSB<12> & A_FSB<14> & A_FSB<11> & A_FSB<10> & + !nWE_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & A_FSB<9> & + fsb/ASrf & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & + A_FSB<13> & !A_FSB<12> & !A_FSB<14> & !A_FSB<11> & !A_FSB<10> & + !nWE_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & A_FSB<9> & + fsb/ASrf & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & + A_FSB<13> & !A_FSB<12> & !A_FSB<14> & !A_FSB<11> & !A_FSB<10> & + !nWE_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & A_FSB<8> & + fsb/ASrf & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 MACROCELL | 2 | 0 | EXP10_ ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 2 | 17 -INPUTS | 14 | ram/RS_FSM_FFd9 | ram/RS_FSM_FFd5 | ram/RS_FSM_FFd7 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd6 | ram/RS_FSM_FFd3 | A_FSB<23> | RefUrg | ram/RefDone | A_FSB<22> | nAS_FSB | fsb/ASrf | cnt/WS<0>.EXP -INPUTMC | 11 | 2 | 14 | 2 | 4 | 2 | 3 | 1 | 6 | 1 | 5 | 1 | 2 | 1 | 4 | 0 | 14 | 2 | 2 | 0 | 4 | 2 | 1 -INPUTP | 3 | 36 | 30 | 54 -EXPORTS | 1 | 2 | 17 -IMPORTS | 1 | 2 | 1 -EQ | 28 | - EXP10_.EXP = !ram/RS_FSM_FFd9 & !ram/RS_FSM_FFd5 & - !ram/RS_FSM_FFd7 & !ram/RS_FSM_FFd1 & ram/RS_FSM_FFd2 & - !ram/RS_FSM_FFd6 - # !ram/RS_FSM_FFd9 & !ram/RS_FSM_FFd5 & - !ram/RS_FSM_FFd7 & !ram/RS_FSM_FFd1 & ram/RS_FSM_FFd3 & - !ram/RS_FSM_FFd6 - # A_FSB<23> & RefUrg & !ram/RefDone & - ram/RS_FSM_FFd9 & !ram/RS_FSM_FFd5 & !ram/RS_FSM_FFd7 & - !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd6 - # A_FSB<22> & RefUrg & !ram/RefDone & - ram/RS_FSM_FFd9 & !ram/RS_FSM_FFd5 & !ram/RS_FSM_FFd7 & - !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd6 - # RefUrg & !ram/RefDone & nAS_FSB & - ram/RS_FSM_FFd5 & !ram/RS_FSM_FFd7 & !ram/RS_FSM_FFd1 & - !ram/RS_FSM_FFd6 & !fsb/ASrf -;Imported pterms FB3_2 - # A_FSB<23> & RefReq & !ram/RefDone & !nAS_FSB & - ram/RS_FSM_FFd9 & !ram/RS_FSM_FFd5 & !ram/RS_FSM_FFd7 & - !ram/RS_FSM_FFd1 & !ram/BACTr & !ram/RS_FSM_FFd6 - # A_FSB<23> & RefReq & !ram/RefDone & - ram/RS_FSM_FFd9 & !ram/RS_FSM_FFd5 & !ram/RS_FSM_FFd7 & - !ram/RS_FSM_FFd1 & !ram/BACTr & !ram/RS_FSM_FFd6 & fsb/ASrf - # A_FSB<22> & RefReq & !ram/RefDone & !nAS_FSB & - ram/RS_FSM_FFd9 & !ram/RS_FSM_FFd5 & !ram/RS_FSM_FFd7 & - !ram/RS_FSM_FFd1 & !ram/BACTr & !ram/RS_FSM_FFd6 - # A_FSB<22> & RefReq & !ram/RefDone & - ram/RS_FSM_FFd9 & !ram/RS_FSM_FFd5 & !ram/RS_FSM_FFd7 & - !ram/RS_FSM_FFd1 & !ram/BACTr & !ram/RS_FSM_FFd6 & fsb/ASrf +OUTPUTMC | 1 | 2 | 1 +INPUTS | 8 | A_FSB<22> | A_FSB<21> | $OpTx$$OpTx$FX_DC$350_INV$537 | A_FSB<19> | A_FSB<18> | A_FSB<15> | A_FSB<12> | ram/RS_FSM_FFd4.EXP +INPUTMC | 2 | 3 | 13 | 2 | 17 +INPUTP | 6 | 30 | 29 | 26 | 24 | 21 | 17 +EXPORTS | 1 | 2 | 1 +IMPORTS | 1 | 2 | 17 +EQ | 19 | + EXP10_.EXP = A_FSB<22> & A_FSB<21> & + !$OpTx$$OpTx$FX_DC$350_INV$537 + # A_FSB<22> & A_FSB<19> & + !$OpTx$$OpTx$FX_DC$350_INV$537 + # A_FSB<22> & A_FSB<18> & + !$OpTx$$OpTx$FX_DC$350_INV$537 + # A_FSB<22> & A_FSB<15> & + !$OpTx$$OpTx$FX_DC$350_INV$537 + # A_FSB<22> & A_FSB<12> & + !$OpTx$$OpTx$FX_DC$350_INV$537 +;Imported pterms FB3_18 + # A_FSB<22> & !A_FSB<11> & + !$OpTx$$OpTx$FX_DC$350_INV$537 + # A_FSB<22> & !A_FSB<10> & + !$OpTx$$OpTx$FX_DC$350_INV$537 + # A_FSB<22> & A_FSB<9> & !A_FSB<8> & + !$OpTx$$OpTx$FX_DC$350_INV$537 + # cnt/WS<0> & cnt/WS<1> & cnt/WS<2> & cnt/WS<3> & + !$OpTx$$OpTx$FX_DC$350_INV$537 -MACROCELL | 2 | 7 | EXP11_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 2 | 8 -INPUTS | 9 | A_FSB<23> | IONPReady | QoSReady | nAS_FSB | fsb/ASrf | A_FSB<22> | A_FSB<21> | A_FSB<20> | cnt/WS<1>.EXP -INPUTMC | 4 | 3 | 11 | 4 | 0 | 0 | 4 | 2 | 6 -INPUTP | 5 | 36 | 54 | 30 | 29 | 28 -EXPORTS | 1 | 2 | 8 -IMPORTS | 1 | 2 | 6 -EQ | 15 | - EXP11_.EXP = A_FSB<23> & !IONPReady - # !IONPReady & !QoSReady - # nAS_FSB & !fsb/ASrf - # A_FSB<22> & A_FSB<21> & !IONPReady - # A_FSB<22> & A_FSB<20> & !IONPReady -;Imported pterms FB3_7 - # !A_FSB<22> & !IONPReady & !RAMReady - # A_FSB<23> & A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> - # A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & A_FSB<13> & !nWE_FSB & !IONPReady & - !nADoutLE1 - # A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & A_FSB<14> & !nWE_FSB & !IONPReady & - !nADoutLE1 - -MACROCELL | 2 | 10 | EXP12_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 2 | 11 -INPUTS | 12 | RefUrg | RefReq | ram/RS_FSM_FFd9 | ram/RASEN | ram/BACTr | ram/RefDone | nAS_FSB | ram/RS_FSM_FFd5 | fsb/ASrf | A_FSB<23> | A_FSB<22> | ram/RS_FSM_FFd8.EXP -INPUTMC | 9 | 0 | 14 | 6 | 6 | 2 | 14 | 2 | 11 | 0 | 0 | 2 | 2 | 2 | 4 | 0 | 4 | 2 | 9 -INPUTP | 3 | 54 | 36 | 30 -EXPORTS | 1 | 2 | 11 -IMPORTS | 1 | 2 | 9 -EQ | 12 | - EXP12_.EXP = !RefUrg & !RefReq & ram/RS_FSM_FFd9 & ram/RASEN - # !RefUrg & ram/RS_FSM_FFd9 & ram/RASEN & - ram/BACTr - # ram/RefDone & nAS_FSB & ram/RS_FSM_FFd5 & - !fsb/ASrf - # !A_FSB<23> & !A_FSB<22> & !nAS_FSB & - ram/RS_FSM_FFd9 & ram/RASEN - # !RefUrg & nAS_FSB & ram/RS_FSM_FFd9 & ram/RASEN & - !fsb/ASrf -;Imported pterms FB3_10 - # !A_FSB<23> & !A_FSB<22> & ram/RS_FSM_FFd9 & - ram/RASEN & fsb/ASrf - -MACROCELL | 2 | 12 | EXP13_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 2 | 13 -INPUTS | 12 | A_FSB<23> | A_FSB<22> | cs/nOverlay | nAS_FSB | ram/RS_FSM_FFd9 | fsb/ASrf | RefUrg | ram/RefDone | ram/RS_FSM_FFd5 | RefReq | ram/BACTr | ram/RASEN.EXP -INPUTMC | 9 | 4 | 3 | 2 | 14 | 0 | 4 | 0 | 14 | 2 | 2 | 2 | 4 | 6 | 6 | 0 | 0 | 2 | 11 -INPUTP | 3 | 36 | 30 | 54 -EXPORTS | 1 | 2 | 13 -IMPORTS | 1 | 2 | 11 -EQ | 13 | - EXP13_.EXP = !A_FSB<23> & !A_FSB<22> & cs/nOverlay & !nAS_FSB & - ram/RS_FSM_FFd9 - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay & - ram/RS_FSM_FFd9 & fsb/ASrf - # RefUrg & !ram/RefDone & nAS_FSB & - ram/RS_FSM_FFd9 & !fsb/ASrf - # RefUrg & !ram/RefDone & nAS_FSB & - ram/RS_FSM_FFd5 & !fsb/ASrf - # A_FSB<22> & RefReq & !ram/RefDone & !nAS_FSB & - ram/RS_FSM_FFd9 & !ram/BACTr -;Imported pterms FB3_12 - # A_FSB<23> & RefReq & !ram/RefDone & - ram/RS_FSM_FFd9 & !ram/BACTr & fsb/ASrf - -MACROCELL | 2 | 15 | EXP14_ +MACROCELL | 2 | 13 | EXP11_ ATTRIBUTES | 2048 | 0 OUTPUTMC | 1 | 2 | 14 -INPUTS | 10 | RefUrg | nAS_FSB | ram/RS_FSM_FFd5 | fsb/ASrf | ram/RefDone | A_FSB<22> | RefReq | ram/RS_FSM_FFd9 | ram/RASEN | nROMWE_OBUF.EXP -INPUTMC | 8 | 0 | 14 | 2 | 4 | 0 | 4 | 2 | 2 | 6 | 6 | 2 | 14 | 2 | 11 | 2 | 16 -INPUTP | 2 | 54 | 30 +INPUTS | 9 | iobs/TS_FSM_FFd1 | iobs/IOACTr | iobs/TS_FSM_FFd2 | IORDREQ | iobs/Sent | nADoutLE1 | nWE_FSB | iobs/IORW1 | iobs/Clear1.EXP +INPUTMC | 8 | 2 | 5 | 1 | 6 | 7 | 2 | 2 | 14 | 2 | 15 | 5 | 13 | 7 | 16 | 2 | 12 +INPUTP | 1 | 47 EXPORTS | 1 | 2 | 14 -IMPORTS | 1 | 2 | 16 -EQ | 20 | - EXP14_.EXP = !RefUrg & nAS_FSB & ram/RS_FSM_FFd5 & !fsb/ASrf - # ram/RefDone & nAS_FSB & ram/RS_FSM_FFd5 & - !fsb/ASrf - # A_FSB<22> & !RefUrg & !RefReq & ram/RS_FSM_FFd9 & - ram/RASEN - # !RefUrg & nAS_FSB & ram/RS_FSM_FFd9 & ram/RASEN & - !fsb/ASrf - # ram/RefDone & nAS_FSB & ram/RS_FSM_FFd9 & - ram/RASEN & !fsb/ASrf -;Imported pterms FB3_17 - # A_FSB<23> & !RefUrg & !RefReq & ram/RS_FSM_FFd9 & - ram/RASEN - # A_FSB<23> & !RefUrg & ram/RS_FSM_FFd9 & - ram/RASEN & ram/BACTr - # A_FSB<22> & !RefUrg & ram/RS_FSM_FFd9 & - ram/RASEN & ram/BACTr - # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay & !nAS_FSB & - ram/RS_FSM_FFd9 & ram/RASEN - # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay & - ram/RS_FSM_FFd9 & ram/RASEN & fsb/ASrf +IMPORTS | 1 | 2 | 12 +EQ | 12 | + EXP11_.EXP = iobs/TS_FSM_FFd1 & iobs/IOACTr + # iobs/TS_FSM_FFd2 & !IORDREQ + # iobs/Sent & !iobs/TS_FSM_FFd2 & nADoutLE1 + # !nWE_FSB & !iobs/TS_FSM_FFd2 & nADoutLE1 + # !iobs/IORW1 & !iobs/TS_FSM_FFd2 & !nADoutLE1 +;Imported pterms FB3_13 + # !A_FSB<23> & !A_FSB<22> & !iobs/TS_FSM_FFd2 & + nADoutLE1 + # nAS_FSB & !iobs/TS_FSM_FFd2 & !fsb/ASrf & + nADoutLE1 + # !A_FSB<23> & !A_FSB<21> & !A_FSB<20> & cs/nOverlay & + !iobs/TS_FSM_FFd2 & nADoutLE1 -MACROCELL | 3 | 0 | EXP15_ +MACROCELL | 3 | 0 | EXP12_ ATTRIBUTES | 2048 | 0 OUTPUTMC | 1 | 3 | 17 INPUTS | 26 | cnt/LTimer<0> | cnt/LTimer<10> | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | cnt/LTimer<8> | cnt/LTimer<9> | cnt/LTimer<11> | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<15> | A_FSB<13> | A_FSB<12> | A_FSB<14> | A_FSB<11> | A_FSB<10> | nWE_FSB | nAS_FSB | cnt/IS_FSM_FFd1 | cnt/IS_FSM_FFd2 | A_FSB<8> | nAoutOE_OBUF.EXP -INPUTMC | 9 | 3 | 17 | 3 | 9 | 3 | 13 | 3 | 3 | 3 | 2 | 3 | 8 | 7 | 15 | 6 | 3 | 3 | 1 +INPUTMC | 9 | 3 | 17 | 6 | 16 | 6 | 17 | 6 | 2 | 6 | 0 | 6 | 15 | 7 | 15 | 0 | 10 | 3 | 1 INPUTP | 17 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 21 | 18 | 17 | 19 | 15 | 13 | 47 | 54 | 11 EXPORTS | 1 | 3 | 17 IMPORTS | 1 | 3 | 1 EQ | 39 | - EXP15_.EXP = !cnt/LTimer<0> & cnt/LTimer<10> & + EXP12_.EXP = !cnt/LTimer<0> & cnt/LTimer<10> & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 # !cnt/LTimer<0> & cnt/LTimer<8> & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 @@ -2585,67 +2557,26 @@ EQ | 39 | !nWE_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & A_FSB<8> & fsb/ASrf & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 -MACROCELL | 3 | 12 | EXP16_ +MACROCELL | 3 | 2 | EXP13_ ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 3 | 13 -INPUTS | 22 | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<15> | A_FSB<13> | A_FSB<12> | A_FSB<14> | A_FSB<11> | A_FSB<10> | nWE_FSB | nAS_FSB | cnt/IS_FSM_FFd1 | cnt/IS_FSM_FFd2 | A_FSB<9> | A_FSB<8> | fsb/ASrf | IONPReady.EXP -INPUTMC | 4 | 7 | 15 | 6 | 3 | 0 | 4 | 3 | 11 -INPUTP | 18 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 21 | 18 | 17 | 19 | 15 | 13 | 47 | 54 | 12 | 11 -EXPORTS | 1 | 3 | 13 -IMPORTS | 1 | 3 | 11 -EQ | 41 | - EXP16_.EXP = !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & - A_FSB<13> & A_FSB<12> & A_FSB<14> & A_FSB<11> & A_FSB<10> & - !nWE_FSB & !nAS_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & - A_FSB<9> - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & - A_FSB<13> & A_FSB<12> & A_FSB<14> & A_FSB<11> & A_FSB<10> & - !nWE_FSB & !nAS_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & - A_FSB<8> - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & - A_FSB<13> & A_FSB<12> & A_FSB<14> & A_FSB<11> & A_FSB<10> & - !nWE_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & A_FSB<8> & - fsb/ASrf - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & - A_FSB<13> & !A_FSB<12> & !A_FSB<14> & !A_FSB<11> & !A_FSB<10> & - !nWE_FSB & !nAS_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & - A_FSB<9> - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & - A_FSB<13> & !A_FSB<12> & !A_FSB<14> & !A_FSB<11> & !A_FSB<10> & - !nWE_FSB & !nAS_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & - A_FSB<8> -;Imported pterms FB4_12 - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & - A_FSB<13> & A_FSB<12> & A_FSB<14> & A_FSB<11> & A_FSB<10> & - !nWE_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & A_FSB<9> & - fsb/ASrf - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & - A_FSB<13> & !A_FSB<12> & !A_FSB<14> & !A_FSB<11> & !A_FSB<10> & - !nWE_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & A_FSB<9> & - fsb/ASrf - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & - A_FSB<13> & !A_FSB<12> & !A_FSB<14> & !A_FSB<11> & !A_FSB<10> & - !nWE_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & A_FSB<8> & - fsb/ASrf +OUTPUTMC | 1 | 3 | 1 +INPUTS | 4 | nBR_IOB | cnt/IS_FSM_FFd1 | cnt/IS_FSM_FFd2 | nAoutOE +INPUTMC | 4 | 7 | 14 | 7 | 15 | 0 | 10 | 3 | 1 +EXPORTS | 1 | 3 | 1 +EQ | 2 | + EXP13_.EXP = !nBR_IOB & cnt/IS_FSM_FFd1 & cnt/IS_FSM_FFd2 + # cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & !nAoutOE -MACROCELL | 3 | 14 | EXP17_ +MACROCELL | 3 | 14 | EXP14_ ATTRIBUTES | 2048 | 0 OUTPUTMC | 1 | 3 | 15 -INPUTS | 23 | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<15> | A_FSB<13> | A_FSB<12> | A_FSB<14> | A_FSB<11> | A_FSB<10> | nWE_FSB | nAS_FSB | cnt/IS_FSM_FFd1 | cnt/IS_FSM_FFd2 | A_FSB<9> | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | A_FSB<8> | fsb/ASrf | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.EXP -INPUTMC | 5 | 7 | 15 | 6 | 3 | 3 | 13 | 0 | 4 | 3 | 13 +INPUTS | 23 | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<15> | A_FSB<13> | A_FSB<12> | A_FSB<14> | A_FSB<11> | A_FSB<10> | nWE_FSB | nAS_FSB | cnt/IS_FSM_FFd1 | cnt/IS_FSM_FFd2 | A_FSB<9> | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | A_FSB<8> | fsb/ASrf | $OpTx$$OpTx$FX_DC$350_INV$537.EXP +INPUTMC | 5 | 7 | 15 | 0 | 10 | 6 | 17 | 3 | 9 | 3 | 13 INPUTP | 18 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 21 | 18 | 17 | 19 | 15 | 13 | 47 | 54 | 12 | 11 EXPORTS | 1 | 3 | 15 IMPORTS | 1 | 3 | 13 EQ | 41 | - EXP17_.EXP = !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + EXP14_.EXP = !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & A_FSB<13> & A_FSB<12> & A_FSB<14> & A_FSB<11> & A_FSB<10> & !nWE_FSB & !nAS_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & @@ -2687,15 +2618,15 @@ EQ | 41 | !nWE_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & A_FSB<8> & fsb/ASrf & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 -MACROCELL | 3 | 16 | EXP18_ +MACROCELL | 3 | 16 | EXP15_ ATTRIBUTES | 2048 | 0 OUTPUTMC | 1 | 3 | 17 INPUTS | 8 | cnt/LTimer<0> | cnt/LTimer<3> | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | cnt/LTimer<4> | cnt/LTimer<5> | cnt/LTimer<6> | cnt/LTimer<7> | cnt/LTimer<1>.EXP -INPUTMC | 8 | 3 | 17 | 6 | 14 | 3 | 13 | 6 | 13 | 6 | 12 | 6 | 9 | 3 | 6 | 3 | 15 +INPUTMC | 8 | 3 | 17 | 6 | 13 | 6 | 17 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 3 | 15 EXPORTS | 1 | 3 | 17 IMPORTS | 1 | 3 | 15 EQ | 21 | - EXP18_.EXP = !cnt/LTimer<0> & cnt/LTimer<3> & + EXP15_.EXP = !cnt/LTimer<0> & cnt/LTimer<3> & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 # !cnt/LTimer<0> & cnt/LTimer<4> & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 @@ -2717,64 +2648,83 @@ EQ | 21 | !nWE_FSB & !nAS_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & A_FSB<8> & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 -MACROCELL | 4 | 15 | EXP19_ +MACROCELL | 4 | 2 | EXP16_ ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 4 | 16 -INPUTS | 9 | iobs/TS_FSM_FFd2 | IORDREQ | iobs/Sent | nADoutLE1 | nWE_FSB | iobs/IORW1 | A_FSB<23> | A_FSB<22> | RA_6_OBUF.EXP -INPUTMC | 6 | 7 | 2 | 4 | 16 | 4 | 12 | 5 | 13 | 7 | 16 | 4 | 14 -INPUTP | 3 | 47 | 36 | 30 -EXPORTS | 1 | 4 | 16 -IMPORTS | 1 | 4 | 14 -EQ | 11 | - EXP19_.EXP = iobs/TS_FSM_FFd2 & !IORDREQ - # iobs/Sent & !iobs/TS_FSM_FFd2 & nADoutLE1 - # !nWE_FSB & !iobs/TS_FSM_FFd2 & nADoutLE1 - # !iobs/IORW1 & !iobs/TS_FSM_FFd2 & !nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & !iobs/TS_FSM_FFd2 & - nADoutLE1 -;Imported pterms FB5_15 - # nAS_FSB & !iobs/TS_FSM_FFd2 & !fsb/ASrf & - nADoutLE1 - # !A_FSB<23> & !A_FSB<21> & !A_FSB<20> & cs/nOverlay & - !iobs/TS_FSM_FFd2 & nADoutLE1 +OUTPUTMC | 1 | 4 | 1 +INPUTS | 5 | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | cs/nOverlay +INPUTMC | 1 | 2 | 7 +INPUTP | 4 | 36 | 30 | 29 | 28 +EXPORTS | 1 | 4 | 1 +EQ | 2 | + EXP16_.EXP = !A_FSB<23> & A_FSB<22> & !A_FSB<21> & !A_FSB<20> + # !A_FSB<23> & !A_FSB<21> & !A_FSB<20> & !cs/nOverlay -MACROCELL | 4 | 17 | EXP20_ +MACROCELL | 4 | 3 | EXP17_ ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 4 | 0 -INPUTS | 8 | A_FSB<22> | A_FSB<21> | $OpTx$$OpTx$FX_DC$348_INV$535 | A_FSB<19> | A_FSB<18> | A_FSB<15> | A_FSB<12> | IORDREQ.EXP -INPUTMC | 2 | 0 | 9 | 4 | 16 -INPUTP | 6 | 30 | 29 | 26 | 24 | 21 | 17 -EXPORTS | 1 | 4 | 0 -IMPORTS | 1 | 4 | 16 -EQ | 17 | - EXP20_.EXP = A_FSB<22> & A_FSB<21> & - !$OpTx$$OpTx$FX_DC$348_INV$535 - # A_FSB<22> & A_FSB<19> & - !$OpTx$$OpTx$FX_DC$348_INV$535 - # A_FSB<22> & A_FSB<18> & - !$OpTx$$OpTx$FX_DC$348_INV$535 - # A_FSB<22> & A_FSB<15> & - !$OpTx$$OpTx$FX_DC$348_INV$535 - # A_FSB<22> & A_FSB<12> & - !$OpTx$$OpTx$FX_DC$348_INV$535 -;Imported pterms FB5_17 - # A_FSB<22> & !A_FSB<11> & - !$OpTx$$OpTx$FX_DC$348_INV$535 - # A_FSB<22> & A_FSB<9> & !A_FSB<8> & - !$OpTx$$OpTx$FX_DC$348_INV$535 - # cnt/WS<0> & cnt/WS<1> & cnt/WS<2> & cnt/WS<3> & - !$OpTx$$OpTx$FX_DC$348_INV$535 +OUTPUTMC | 1 | 4 | 4 +INPUTS | 6 | RefUrg | ram/RS_FSM_FFd4 | ram/RefDone | ram/RS_FSM_FFd8 | ram/RS_FSM_FFd7 | RefReq +INPUTMC | 6 | 0 | 11 | 2 | 17 | 0 | 5 | 4 | 0 | 0 | 17 | 0 | 12 +EXPORTS | 1 | 4 | 4 +EQ | 5 | + EXP17_.EXP = !RefUrg & ram/RS_FSM_FFd4 + # ram/RefDone & ram/RS_FSM_FFd8 + # ram/RefDone & ram/RS_FSM_FFd4 + # ram/RefDone & ram/RS_FSM_FFd7 + # !RefUrg & !RefReq & ram/RS_FSM_FFd8 -MACROCELL | 7 | 3 | EXP21_ +MACROCELL | 4 | 6 | EXP18_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 4 | 5 +INPUTS | 5 | nWE_FSB | nAS_FSB | fsb/ASrf | ram/DTACKr | ram/BACTr +INPUTMC | 3 | 3 | 9 | 1 | 4 | 3 | 6 +INPUTP | 2 | 47 | 54 +EXPORTS | 1 | 4 | 5 +EQ | 3 | + EXP18_.EXP = !nWE_FSB + # nAS_FSB & !fsb/ASrf + # ram/DTACKr & ram/BACTr + +MACROCELL | 4 | 16 | EXP19_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 4 | 17 +INPUTS | 10 | A_FSB<23> | RefUrg | ram/RefDone | ram/RS_FSM_FFd8 | A_FSB<22> | ram/RASEN | nAS_FSB | fsb/ASrf | cs/nOverlay | IONPReady.EXP +INPUTMC | 7 | 0 | 11 | 0 | 5 | 4 | 0 | 4 | 9 | 3 | 9 | 2 | 7 | 4 | 15 +INPUTP | 3 | 36 | 30 | 54 +EXPORTS | 1 | 4 | 17 +IMPORTS | 1 | 4 | 15 +EQ | 21 | + EXP19_.EXP = A_FSB<23> & RefUrg & !ram/RefDone & + ram/RS_FSM_FFd8 + # A_FSB<22> & RefUrg & !ram/RefDone & + ram/RS_FSM_FFd8 + # RefUrg & !ram/RefDone & ram/RS_FSM_FFd8 & + !ram/RASEN + # RefUrg & !ram/RefDone & nAS_FSB & + ram/RS_FSM_FFd8 & !fsb/ASrf + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay & !nAS_FSB & + ram/RS_FSM_FFd8 & ram/RASEN +;Imported pterms FB5_16 + # A_FSB<23> & RefReq & !ram/RefDone & !nAS_FSB & + ram/RS_FSM_FFd8 & !ram/BACTr + # A_FSB<23> & RefReq & !ram/RefDone & + ram/RS_FSM_FFd8 & !ram/BACTr & fsb/ASrf + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay & + ram/RS_FSM_FFd8 & ram/RASEN & fsb/ASrf + # A_FSB<22> & RefReq & !ram/RefDone & !nAS_FSB & + ram/RS_FSM_FFd8 & !ram/BACTr + # A_FSB<22> & RefReq & !ram/RefDone & + ram/RS_FSM_FFd8 & !ram/BACTr & fsb/ASrf + +MACROCELL | 7 | 3 | EXP20_ ATTRIBUTES | 2048 | 0 OUTPUTMC | 1 | 7 | 2 INPUTS | 11 | nAS_FSB | iobs/TS_FSM_FFd2 | fsb/ASrf | nADoutLE1 | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<16> | nWE_FSB | nRAS_OBUF.EXP -INPUTMC | 4 | 7 | 2 | 0 | 4 | 5 | 13 | 7 | 4 +INPUTMC | 4 | 7 | 2 | 3 | 9 | 5 | 13 | 7 | 4 INPUTP | 7 | 54 | 36 | 30 | 29 | 28 | 22 | 47 EXPORTS | 1 | 7 | 2 IMPORTS | 1 | 7 | 4 EQ | 21 | - EXP21_.EXP = nAS_FSB & !iobs/TS_FSM_FFd2 & !fsb/ASrf & + EXP20_.EXP = nAS_FSB & !iobs/TS_FSM_FFd2 & !fsb/ASrf & nADoutLE1 # !A_FSB<23> & !A_FSB<22> & !A_FSB<21> & !iobs/TS_FSM_FFd2 & nADoutLE1 @@ -2796,25 +2746,25 @@ EQ | 21 | # !A_FSB<23> & !A_FSB<21> & !A_FSB<20> & cs/nOverlay & !iobs/TS_FSM_FFd2 & nADoutLE1 -MACROCELL | 7 | 6 | EXP22_ +MACROCELL | 7 | 6 | EXP21_ ATTRIBUTES | 2048 | 0 OUTPUTMC | 1 | 7 | 7 INPUTS | 3 | nWE_FSB | nUDS_FSB | ram/RASEL -INPUTMC | 1 | 4 | 2 +INPUTMC | 1 | 2 | 6 INPUTP | 2 | 47 | 56 EXPORTS | 1 | 7 | 7 EQ | 1 | - EXP22_.EXP = !nWE_FSB & !nUDS_FSB & ram/RASEL + EXP21_.EXP = !nWE_FSB & !nUDS_FSB & ram/RASEL -MACROCELL | 7 | 9 | EXP23_ +MACROCELL | 7 | 9 | EXP22_ ATTRIBUTES | 2048 | 0 OUTPUTMC | 1 | 7 | 8 INPUTS | 17 | A_FSB<22> | iobs/Sent | cs/nOverlay | nWE_FSB | iobs/TS_FSM_FFd1 | iobs/TS_FSM_FFd2 | fsb/ASrf | nADoutLE1 | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<13> | nAS_FSB | A_FSB<14> -INPUTMC | 6 | 4 | 12 | 4 | 3 | 0 | 10 | 7 | 2 | 0 | 4 | 5 | 13 +INPUTMC | 6 | 2 | 15 | 2 | 7 | 2 | 5 | 7 | 2 | 3 | 9 | 5 | 13 INPUTP | 11 | 30 | 47 | 29 | 28 | 26 | 24 | 23 | 22 | 18 | 54 | 19 EXPORTS | 1 | 7 | 8 EQ | 14 | - EXP23_.EXP = A_FSB<22> & !iobs/Sent & !cs/nOverlay & !nWE_FSB & + EXP22_.EXP = A_FSB<22> & !iobs/Sent & !cs/nOverlay & !nWE_FSB & !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & fsb/ASrf & nADoutLE1 # A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<13> & !iobs/Sent & !nWE_FSB & @@ -2829,25 +2779,25 @@ EQ | 14 | A_FSB<17> & A_FSB<16> & A_FSB<14> & !iobs/Sent & !nWE_FSB & !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & fsb/ASrf & nADoutLE1 -MACROCELL | 7 | 10 | EXP24_ +MACROCELL | 7 | 10 | EXP23_ ATTRIBUTES | 2048 | 0 OUTPUTMC | 1 | 7 | 11 INPUTS | 2 | IOBERR | nBERR_FSB -INPUTMC | 2 | 0 | 15 | 7 | 11 +INPUTMC | 2 | 1 | 17 | 7 | 11 EXPORTS | 1 | 7 | 11 EQ | 1 | - EXP24_.EXP = !IOBERR & nBERR_FSB + EXP23_.EXP = !IOBERR & nBERR_FSB -MACROCELL | 7 | 12 | EXP25_ +MACROCELL | 7 | 12 | EXP24_ ATTRIBUTES | 2048 | 0 OUTPUTMC | 1 | 7 | 13 INPUTS | 12 | iobs/IOU1 | IOU0 | nADoutLE1 | nAS_FSB | iobs/TS_FSM_FFd2 | fsb/ASrf | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | nWE_FSB | nBERR_FSB_OBUF.EXP -INPUTMC | 6 | 0 | 11 | 7 | 13 | 5 | 13 | 7 | 2 | 0 | 4 | 7 | 11 +INPUTMC | 6 | 0 | 6 | 7 | 13 | 5 | 13 | 7 | 2 | 3 | 9 | 7 | 11 INPUTP | 6 | 54 | 36 | 30 | 29 | 28 | 47 EXPORTS | 1 | 7 | 13 IMPORTS | 1 | 7 | 11 EQ | 16 | - EXP25_.EXP = !iobs/IOU1 & !IOU0 & !nADoutLE1 + EXP24_.EXP = !iobs/IOU1 & !IOU0 & !nADoutLE1 # nAS_FSB & !iobs/TS_FSM_FFd2 & !fsb/ASrf & nADoutLE1 # !A_FSB<23> & !A_FSB<22> & !A_FSB<21> & @@ -2864,16 +2814,16 @@ EQ | 16 | # !A_FSB<23> & !A_FSB<21> & !A_FSB<20> & cs/nOverlay & !iobs/TS_FSM_FFd2 & nADoutLE1 -MACROCELL | 7 | 17 | EXP26_ +MACROCELL | 7 | 17 | EXP25_ ATTRIBUTES | 2048 | 0 OUTPUTMC | 1 | 7 | 0 INPUTS | 12 | iobs/IOL1 | IOL0 | nADoutLE1 | nAS_FSB | iobs/TS_FSM_FFd2 | fsb/ASrf | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | nWE_FSB | iobs/IORW1.EXP -INPUTMC | 6 | 0 | 12 | 7 | 0 | 5 | 13 | 7 | 2 | 0 | 4 | 7 | 16 +INPUTMC | 6 | 0 | 7 | 7 | 0 | 5 | 13 | 7 | 2 | 3 | 9 | 7 | 16 INPUTP | 6 | 54 | 36 | 30 | 29 | 28 | 47 EXPORTS | 1 | 7 | 0 IMPORTS | 1 | 7 | 16 EQ | 12 | - EXP26_.EXP = !iobs/IOL1 & !IOL0 & !nADoutLE1 + EXP25_.EXP = !iobs/IOL1 & !IOL0 & !nADoutLE1 # nAS_FSB & !iobs/TS_FSM_FFd2 & !fsb/ASrf & nADoutLE1 # !A_FSB<23> & !A_FSB<22> & !A_FSB<21> & @@ -2886,40 +2836,40 @@ EQ | 12 | # !A_FSB<23> & !A_FSB<22> & !A_FSB<13> & !A_FSB<14> & !iobs/TS_FSM_FFd2 & nADoutLE1 -PIN | A_FSB<23> | 64 | 0 | N/A | 36 | 45 | 3 | 15 | 3 | 14 | 4 | 12 | 4 | 3 | 7 | 16 | 3 | 11 | 3 | 10 | 7 | 3 | 4 | 2 | 7 | 1 | 2 | 14 | 2 | 10 | 2 | 3 | 2 | 9 | 4 | 9 | 4 | 14 | 7 | 12 | 4 | 15 | 7 | 8 | 4 | 0 | 2 | 16 | 2 | 13 | 4 | 7 | 2 | 7 | 3 | 5 | 3 | 13 | 3 | 12 | 2 | 0 | 2 | 1 | 2 | 6 | 2 | 8 | 2 | 11 | 2 | 12 | 3 | 0 | 3 | 1 | 3 | 9 | 4 | 8 | 4 | 11 | 4 | 13 | 7 | 4 | 7 | 5 | 7 | 11 | 7 | 14 | 7 | 15 | 7 | 17 -PIN | A_FSB<22> | 64 | 0 | N/A | 30 | 48 | 3 | 15 | 3 | 14 | 4 | 12 | 4 | 3 | 7 | 16 | 3 | 11 | 3 | 10 | 7 | 3 | 4 | 2 | 7 | 1 | 2 | 14 | 2 | 10 | 2 | 3 | 2 | 9 | 4 | 9 | 4 | 17 | 7 | 12 | 4 | 15 | 7 | 7 | 4 | 0 | 2 | 16 | 2 | 13 | 4 | 7 | 2 | 7 | 3 | 5 | 3 | 13 | 3 | 12 | 2 | 0 | 2 | 1 | 2 | 6 | 2 | 8 | 2 | 12 | 2 | 15 | 3 | 0 | 3 | 1 | 3 | 9 | 4 | 1 | 4 | 8 | 4 | 11 | 4 | 13 | 4 | 16 | 7 | 4 | 7 | 5 | 7 | 9 | 7 | 11 | 7 | 14 | 7 | 15 | 7 | 17 -PIN | A_FSB<21> | 64 | 0 | N/A | 29 | 32 | 3 | 15 | 3 | 14 | 4 | 12 | 4 | 3 | 7 | 16 | 3 | 11 | 3 | 10 | 7 | 1 | 4 | 9 | 4 | 17 | 7 | 12 | 4 | 14 | 7 | 7 | 3 | 13 | 6 | 7 | 2 | 7 | 3 | 5 | 3 | 9 | 3 | 12 | 2 | 6 | 3 | 0 | 3 | 1 | 4 | 2 | 4 | 8 | 4 | 11 | 4 | 13 | 7 | 3 | 7 | 4 | 7 | 9 | 7 | 11 | 7 | 15 | 7 | 17 -PIN | A_FSB<20> | 64 | 0 | N/A | 28 | 33 | 3 | 15 | 3 | 14 | 4 | 12 | 4 | 3 | 7 | 16 | 3 | 11 | 3 | 10 | 4 | 10 | 7 | 1 | 4 | 9 | 4 | 13 | 7 | 12 | 4 | 14 | 7 | 7 | 4 | 0 | 2 | 7 | 4 | 11 | 3 | 5 | 3 | 13 | 3 | 12 | 2 | 6 | 3 | 0 | 3 | 1 | 3 | 9 | 4 | 2 | 4 | 8 | 7 | 2 | 7 | 3 | 7 | 4 | 7 | 9 | 7 | 11 | 7 | 15 | 7 | 17 -PIN | A_FSB<19> | 64 | 0 | N/A | 26 | 23 | 3 | 15 | 3 | 14 | 4 | 11 | 7 | 16 | 3 | 11 | 3 | 10 | 4 | 10 | 7 | 1 | 4 | 9 | 4 | 17 | 7 | 11 | 7 | 4 | 3 | 13 | 2 | 6 | 4 | 13 | 3 | 12 | 3 | 0 | 3 | 1 | 3 | 9 | 4 | 8 | 7 | 2 | 7 | 9 | 7 | 15 -PIN | A_FSB<18> | 64 | 0 | N/A | 24 | 22 | 3 | 15 | 3 | 14 | 4 | 11 | 7 | 16 | 3 | 11 | 3 | 10 | 7 | 1 | 4 | 9 | 4 | 17 | 7 | 9 | 7 | 4 | 3 | 13 | 6 | 7 | 2 | 6 | 3 | 12 | 3 | 0 | 3 | 1 | 3 | 9 | 4 | 8 | 4 | 13 | 7 | 14 | 7 | 15 -PIN | A_FSB<17> | 64 | 0 | N/A | 23 | 22 | 3 | 15 | 3 | 14 | 4 | 11 | 7 | 16 | 3 | 11 | 3 | 10 | 7 | 1 | 4 | 9 | 4 | 13 | 7 | 9 | 7 | 4 | 3 | 13 | 6 | 8 | 2 | 6 | 3 | 12 | 3 | 0 | 3 | 1 | 3 | 9 | 4 | 1 | 4 | 8 | 7 | 14 | 7 | 15 -PIN | A_FSB<16> | 64 | 0 | N/A | 22 | 21 | 3 | 15 | 3 | 14 | 4 | 11 | 7 | 16 | 3 | 11 | 3 | 10 | 7 | 1 | 4 | 9 | 4 | 8 | 7 | 9 | 7 | 3 | 3 | 13 | 4 | 13 | 2 | 6 | 3 | 12 | 3 | 0 | 3 | 1 | 3 | 9 | 4 | 1 | 7 | 14 | 7 | 15 -PIN | A_FSB<15> | 64 | 0 | N/A | 21 | 9 | 3 | 15 | 3 | 14 | 3 | 13 | 6 | 10 | 3 | 12 | 3 | 0 | 3 | 1 | 3 | 11 | 4 | 17 -PIN | A_FSB<13> | 64 | 0 | N/A | 18 | 18 | 3 | 15 | 3 | 14 | 4 | 8 | 7 | 16 | 3 | 11 | 4 | 1 | 4 | 9 | 4 | 13 | 7 | 11 | 7 | 4 | 3 | 13 | 4 | 14 | 2 | 6 | 3 | 12 | 3 | 0 | 3 | 1 | 7 | 9 | 7 | 15 -PIN | A_FSB<12> | 64 | 0 | N/A | 17 | 9 | 3 | 15 | 3 | 14 | 3 | 13 | 4 | 10 | 3 | 12 | 3 | 0 | 3 | 1 | 3 | 11 | 4 | 17 -PIN | C8M | 8256 | 0 | N/A | 35 | 10 | 1 | 16 | 5 | 1 | 0 | 15 | 5 | 9 | 5 | 4 | 0 | 16 | 5 | 6 | 5 | 5 | 0 | 3 | 1 | 10 -PIN | C16M | 4096 | 0 | N/A | 33 | 18 | 5 | 2 | 5 | 3 | 1 | 16 | 1 | 13 | 1 | 12 | 5 | 0 | 5 | 17 | 1 | 14 | 1 | 17 | 1 | 15 | 5 | 15 | 5 | 7 | 5 | 12 | 1 | 11 | 5 | 11 | 5 | 8 | 5 | 10 | 5 | 16 -PIN | A_FSB<14> | 64 | 0 | N/A | 19 | 19 | 3 | 15 | 3 | 14 | 4 | 11 | 7 | 16 | 3 | 11 | 4 | 8 | 4 | 9 | 4 | 13 | 7 | 11 | 7 | 4 | 3 | 13 | 6 | 4 | 2 | 6 | 3 | 12 | 3 | 0 | 3 | 1 | 4 | 1 | 7 | 9 | 7 | 15 -PIN | A_FSB<11> | 64 | 0 | N/A | 15 | 9 | 3 | 15 | 3 | 14 | 3 | 13 | 4 | 8 | 3 | 12 | 3 | 0 | 3 | 1 | 3 | 11 | 4 | 16 -PIN | A_FSB<10> | 64 | 0 | N/A | 13 | 9 | 3 | 15 | 3 | 14 | 3 | 13 | 6 | 1 | 3 | 12 | 3 | 0 | 3 | 1 | 3 | 11 | 4 | 1 -PIN | FCLK | 16384 | 0 | N/A | 42 | 73 | 0 | 14 | 3 | 17 | 6 | 6 | 3 | 15 | 0 | 13 | 0 | 17 | 6 | 17 | 4 | 12 | 3 | 9 | 6 | 15 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 3 | 6 | 3 | 3 | 3 | 2 | 6 | 16 | 2 | 2 | 3 | 8 | 6 | 2 | 4 | 3 | 7 | 16 | 3 | 11 | 0 | 12 | 0 | 11 | 7 | 11 | 3 | 10 | 7 | 14 | 4 | 2 | 0 | 10 | 7 | 2 | 2 | 14 | 7 | 15 | 6 | 3 | 2 | 4 | 2 | 11 | 2 | 3 | 1 | 6 | 2 | 9 | 2 | 1 | 1 | 9 | 4 | 9 | 0 | 0 | 1 | 3 | 7 | 0 | 7 | 13 | 2 | 6 | 2 | 5 | 1 | 5 | 1 | 4 | 1 | 2 | 4 | 16 | 7 | 8 | 4 | 0 | 0 | 7 | 4 | 6 | 0 | 5 | 0 | 8 | 2 | 17 | 0 | 6 | 6 | 0 | 0 | 2 | 1 | 8 | 0 | 1 | 2 | 13 | 4 | 7 | 2 | 8 | 5 | 13 | 3 | 1 | 0 | 4 | 1 | 7 | 4 | 4 -PIN | nWE_FSB | 64 | 0 | N/A | 47 | 27 | 3 | 15 | 3 | 14 | 4 | 11 | 7 | 16 | 3 | 11 | 4 | 8 | 4 | 9 | 4 | 13 | 7 | 12 | 4 | 15 | 7 | 8 | 4 | 0 | 4 | 5 | 3 | 12 | 2 | 6 | 3 | 5 | 7 | 5 | 7 | 6 | 2 | 17 | 3 | 0 | 3 | 1 | 3 | 13 | 7 | 3 | 7 | 7 | 7 | 9 | 7 | 15 | 7 | 17 -PIN | nAS_FSB | 64 | 0 | N/A | 54 | 44 | 3 | 15 | 3 | 14 | 4 | 12 | 4 | 3 | 7 | 16 | 3 | 1 | 7 | 11 | 3 | 10 | 7 | 3 | 4 | 2 | 4 | 11 | 2 | 10 | 2 | 4 | 2 | 11 | 2 | 3 | 2 | 9 | 2 | 1 | 4 | 9 | 0 | 0 | 4 | 13 | 7 | 12 | 2 | 0 | 2 | 5 | 4 | 14 | 7 | 8 | 4 | 6 | 2 | 17 | 0 | 4 | 2 | 12 | 4 | 7 | 4 | 5 | 2 | 15 | 2 | 7 | 3 | 5 | 3 | 12 | 0 | 9 | 2 | 8 | 2 | 14 | 2 | 16 | 3 | 0 | 7 | 5 | 7 | 7 | 7 | 9 | 7 | 17 -PIN | A_FSB<8> | 64 | 0 | N/A | 11 | 9 | 3 | 15 | 3 | 14 | 3 | 13 | 6 | 10 | 3 | 12 | 3 | 0 | 3 | 1 | 3 | 11 | 4 | 16 -PIN | A_FSB<9> | 64 | 0 | N/A | 12 | 8 | 3 | 15 | 3 | 14 | 3 | 13 | 6 | 5 | 3 | 12 | 3 | 1 | 3 | 11 | 4 | 16 -PIN | nBERR_IOB | 64 | 0 | N/A | 123 | 1 | 0 | 15 +PIN | A_FSB<23> | 64 | 0 | N/A | 36 | 45 | 3 | 15 | 3 | 14 | 2 | 15 | 0 | 0 | 7 | 16 | 4 | 14 | 3 | 10 | 7 | 3 | 2 | 8 | 2 | 6 | 7 | 1 | 4 | 0 | 4 | 9 | 2 | 11 | 2 | 3 | 0 | 17 | 6 | 16 | 7 | 12 | 2 | 12 | 7 | 8 | 2 | 1 | 4 | 12 | 4 | 16 | 4 | 2 | 3 | 5 | 3 | 13 | 6 | 17 | 2 | 7 | 2 | 10 | 2 | 16 | 3 | 0 | 3 | 1 | 4 | 1 | 4 | 5 | 4 | 8 | 4 | 11 | 4 | 15 | 4 | 17 | 6 | 0 | 7 | 4 | 7 | 5 | 7 | 11 | 7 | 14 | 7 | 15 | 7 | 17 +PIN | A_FSB<22> | 64 | 0 | N/A | 30 | 50 | 3 | 15 | 3 | 14 | 2 | 15 | 2 | 2 | 7 | 16 | 4 | 14 | 3 | 10 | 7 | 3 | 2 | 8 | 2 | 6 | 7 | 1 | 4 | 0 | 4 | 9 | 2 | 11 | 2 | 3 | 0 | 17 | 6 | 16 | 7 | 12 | 2 | 12 | 7 | 7 | 2 | 1 | 4 | 12 | 4 | 16 | 4 | 2 | 3 | 5 | 3 | 13 | 6 | 17 | 0 | 0 | 2 | 0 | 2 | 7 | 2 | 10 | 2 | 14 | 2 | 16 | 2 | 17 | 3 | 0 | 3 | 1 | 4 | 1 | 4 | 5 | 4 | 8 | 4 | 11 | 4 | 15 | 4 | 17 | 6 | 0 | 7 | 4 | 7 | 5 | 7 | 9 | 7 | 11 | 7 | 14 | 7 | 15 | 7 | 17 +PIN | A_FSB<21> | 64 | 0 | N/A | 29 | 33 | 3 | 15 | 3 | 14 | 2 | 15 | 2 | 6 | 7 | 16 | 4 | 14 | 3 | 10 | 2 | 8 | 7 | 1 | 2 | 11 | 6 | 16 | 7 | 12 | 2 | 12 | 7 | 7 | 2 | 0 | 6 | 7 | 3 | 5 | 3 | 13 | 6 | 17 | 2 | 7 | 2 | 10 | 2 | 14 | 2 | 16 | 3 | 0 | 3 | 1 | 4 | 2 | 6 | 0 | 7 | 3 | 7 | 4 | 7 | 9 | 7 | 11 | 7 | 15 | 7 | 17 +PIN | A_FSB<20> | 64 | 0 | N/A | 28 | 34 | 3 | 15 | 3 | 14 | 2 | 15 | 2 | 6 | 7 | 16 | 4 | 14 | 3 | 10 | 4 | 10 | 2 | 8 | 7 | 1 | 2 | 11 | 6 | 16 | 7 | 12 | 2 | 12 | 7 | 7 | 2 | 1 | 6 | 0 | 3 | 5 | 3 | 13 | 6 | 17 | 2 | 7 | 2 | 10 | 2 | 14 | 2 | 16 | 3 | 0 | 3 | 1 | 4 | 2 | 7 | 2 | 7 | 3 | 7 | 4 | 7 | 9 | 7 | 11 | 7 | 15 | 7 | 17 +PIN | A_FSB<19> | 64 | 0 | N/A | 26 | 23 | 3 | 15 | 3 | 14 | 2 | 10 | 7 | 16 | 4 | 14 | 3 | 10 | 4 | 10 | 2 | 7 | 7 | 1 | 2 | 11 | 6 | 16 | 7 | 11 | 7 | 4 | 2 | 0 | 6 | 0 | 6 | 17 | 2 | 16 | 3 | 0 | 3 | 1 | 3 | 13 | 7 | 2 | 7 | 9 | 7 | 15 +PIN | A_FSB<18> | 64 | 0 | N/A | 24 | 22 | 3 | 15 | 3 | 14 | 2 | 10 | 7 | 16 | 4 | 14 | 3 | 10 | 2 | 7 | 7 | 1 | 2 | 11 | 6 | 16 | 7 | 9 | 7 | 4 | 2 | 0 | 6 | 7 | 6 | 17 | 2 | 16 | 3 | 0 | 3 | 1 | 3 | 13 | 6 | 0 | 7 | 14 | 7 | 15 +PIN | A_FSB<17> | 64 | 0 | N/A | 23 | 22 | 3 | 15 | 3 | 14 | 2 | 10 | 7 | 16 | 4 | 14 | 3 | 10 | 2 | 7 | 7 | 1 | 2 | 11 | 6 | 16 | 7 | 9 | 7 | 4 | 6 | 17 | 6 | 8 | 2 | 2 | 2 | 16 | 3 | 0 | 3 | 1 | 3 | 13 | 6 | 0 | 7 | 14 | 7 | 15 +PIN | A_FSB<16> | 64 | 0 | N/A | 22 | 22 | 3 | 15 | 3 | 14 | 2 | 10 | 7 | 16 | 4 | 14 | 3 | 10 | 2 | 7 | 7 | 1 | 2 | 11 | 6 | 16 | 7 | 9 | 7 | 3 | 6 | 17 | 4 | 13 | 2 | 2 | 2 | 16 | 3 | 0 | 3 | 1 | 3 | 13 | 6 | 0 | 7 | 14 | 7 | 15 +PIN | A_FSB<15> | 64 | 0 | N/A | 21 | 10 | 3 | 15 | 3 | 14 | 2 | 0 | 6 | 10 | 6 | 17 | 3 | 0 | 3 | 1 | 3 | 13 | 6 | 0 | 6 | 16 +PIN | A_FSB<13> | 64 | 0 | N/A | 18 | 20 | 3 | 15 | 3 | 14 | 2 | 10 | 7 | 16 | 4 | 14 | 2 | 7 | 6 | 0 | 2 | 11 | 6 | 16 | 7 | 11 | 7 | 4 | 6 | 17 | 4 | 13 | 2 | 2 | 2 | 16 | 3 | 0 | 3 | 1 | 3 | 13 | 7 | 9 | 7 | 15 +PIN | A_FSB<12> | 64 | 0 | N/A | 17 | 10 | 3 | 15 | 3 | 14 | 2 | 0 | 4 | 10 | 6 | 17 | 3 | 0 | 3 | 1 | 3 | 13 | 6 | 0 | 6 | 16 +PIN | C8M | 8256 | 0 | N/A | 35 | 10 | 1 | 14 | 5 | 1 | 1 | 17 | 5 | 9 | 5 | 4 | 0 | 13 | 5 | 6 | 5 | 5 | 1 | 13 | 1 | 7 +PIN | C16M | 4096 | 0 | N/A | 33 | 18 | 5 | 2 | 5 | 3 | 1 | 14 | 1 | 10 | 1 | 9 | 5 | 0 | 5 | 17 | 1 | 11 | 1 | 16 | 1 | 12 | 5 | 15 | 5 | 7 | 5 | 12 | 1 | 8 | 5 | 11 | 5 | 8 | 5 | 10 | 5 | 16 +PIN | A_FSB<14> | 64 | 0 | N/A | 19 | 20 | 3 | 15 | 3 | 14 | 2 | 10 | 7 | 16 | 4 | 14 | 2 | 7 | 6 | 0 | 2 | 11 | 6 | 16 | 7 | 11 | 7 | 4 | 6 | 17 | 6 | 4 | 2 | 2 | 2 | 16 | 3 | 0 | 3 | 1 | 3 | 13 | 7 | 9 | 7 | 15 +PIN | A_FSB<11> | 64 | 0 | N/A | 15 | 10 | 3 | 15 | 3 | 14 | 6 | 17 | 4 | 7 | 2 | 17 | 3 | 0 | 3 | 1 | 3 | 13 | 6 | 0 | 6 | 16 +PIN | A_FSB<10> | 64 | 0 | N/A | 13 | 10 | 3 | 15 | 3 | 14 | 6 | 17 | 6 | 1 | 2 | 17 | 3 | 0 | 3 | 1 | 3 | 13 | 6 | 0 | 6 | 16 +PIN | FCLK | 16384 | 0 | N/A | 42 | 73 | 3 | 17 | 3 | 15 | 0 | 9 | 0 | 14 | 0 | 16 | 2 | 15 | 0 | 11 | 6 | 16 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 0 | 0 | 15 | 0 | 12 | 6 | 15 | 0 | 8 | 2 | 7 | 7 | 16 | 0 | 5 | 4 | 15 | 0 | 7 | 0 | 6 | 7 | 11 | 3 | 10 | 7 | 14 | 2 | 8 | 2 | 6 | 2 | 5 | 7 | 2 | 4 | 0 | 7 | 15 | 0 | 10 | 2 | 17 | 4 | 9 | 0 | 2 | 4 | 7 | 1 | 6 | 2 | 11 | 1 | 4 | 2 | 4 | 2 | 3 | 0 | 17 | 7 | 0 | 7 | 13 | 2 | 10 | 2 | 2 | 0 | 1 | 0 | 0 | 2 | 14 | 7 | 8 | 2 | 1 | 0 | 4 | 2 | 9 | 1 | 15 | 3 | 6 | 3 | 12 | 4 | 12 | 0 | 3 | 3 | 11 | 2 | 12 | 1 | 5 | 3 | 8 | 4 | 17 | 4 | 5 | 5 | 13 | 3 | 1 | 3 | 9 | 1 | 3 | 4 | 4 +PIN | nWE_FSB | 64 | 0 | N/A | 47 | 27 | 3 | 15 | 3 | 14 | 2 | 10 | 7 | 16 | 4 | 14 | 2 | 7 | 6 | 0 | 2 | 11 | 6 | 16 | 7 | 12 | 2 | 13 | 7 | 8 | 2 | 1 | 2 | 16 | 3 | 13 | 3 | 5 | 7 | 5 | 7 | 6 | 6 | 17 | 3 | 0 | 3 | 1 | 4 | 6 | 7 | 3 | 7 | 7 | 7 | 9 | 7 | 15 | 7 | 17 +PIN | nAS_FSB | 64 | 0 | N/A | 54 | 43 | 3 | 15 | 3 | 14 | 2 | 15 | 2 | 7 | 7 | 16 | 4 | 14 | 7 | 11 | 3 | 10 | 7 | 3 | 2 | 8 | 2 | 6 | 4 | 17 | 3 | 1 | 4 | 8 | 4 | 7 | 2 | 11 | 2 | 3 | 0 | 17 | 6 | 16 | 7 | 12 | 0 | 0 | 2 | 2 | 2 | 12 | 7 | 8 | 2 | 9 | 3 | 6 | 4 | 11 | 3 | 9 | 4 | 16 | 2 | 16 | 4 | 1 | 3 | 0 | 3 | 5 | 6 | 17 | 3 | 13 | 2 | 14 | 4 | 5 | 4 | 6 | 4 | 15 | 7 | 5 | 7 | 7 | 7 | 9 | 7 | 17 +PIN | A_FSB<8> | 64 | 0 | N/A | 11 | 10 | 3 | 15 | 3 | 14 | 6 | 17 | 6 | 10 | 2 | 17 | 3 | 0 | 3 | 1 | 3 | 13 | 6 | 0 | 6 | 16 +PIN | A_FSB<9> | 64 | 0 | N/A | 12 | 9 | 3 | 15 | 3 | 14 | 6 | 17 | 6 | 5 | 2 | 17 | 3 | 1 | 3 | 13 | 6 | 0 | 6 | 16 +PIN | nBERR_IOB | 64 | 0 | N/A | 123 | 1 | 1 | 17 PIN | nDTACK_IOB | 64 | 0 | N/A | 127 | 1 | 5 | 9 -PIN | nLDS_FSB | 64 | 0 | N/A | 49 | 3 | 0 | 12 | 7 | 0 | 7 | 5 -PIN | nUDS_FSB | 64 | 0 | N/A | 56 | 3 | 0 | 11 | 7 | 13 | 7 | 6 -PIN | E | 64 | 0 | N/A | 37 | 6 | 5 | 4 | 0 | 16 | 5 | 6 | 5 | 5 | 0 | 7 | 0 | 3 -PIN | nIPL2 | 64 | 0 | N/A | 146 | 1 | 0 | 5 -PIN | nVPA_IOB | 64 | 0 | N/A | 125 | 1 | 1 | 10 +PIN | nLDS_FSB | 64 | 0 | N/A | 49 | 3 | 0 | 7 | 7 | 0 | 7 | 5 +PIN | nUDS_FSB | 64 | 0 | N/A | 56 | 3 | 0 | 6 | 7 | 13 | 7 | 6 +PIN | E | 64 | 0 | N/A | 37 | 6 | 5 | 4 | 0 | 13 | 5 | 6 | 5 | 5 | 0 | 4 | 1 | 13 +PIN | nIPL2 | 64 | 0 | N/A | 146 | 1 | 1 | 15 +PIN | nVPA_IOB | 64 | 0 | N/A | 125 | 1 | 1 | 7 PIN | A_FSB<1> | 64 | 0 | N/A | 149 | 1 | 6 | 5 PIN | A_FSB<7> | 64 | 0 | N/A | 8 | 2 | 6 | 8 | 4 | 13 PIN | A_FSB<2> | 64 | 0 | N/A | 153 | 1 | 6 | 1 -PIN | A_FSB<3> | 64 | 0 | N/A | 155 | 1 | 4 | 8 +PIN | A_FSB<3> | 64 | 0 | N/A | 155 | 1 | 4 | 7 PIN | A_FSB<4> | 64 | 0 | N/A | 157 | 1 | 4 | 10 -PIN | A_FSB<5> | 64 | 0 | N/A | 4 | 1 | 4 | 14 +PIN | A_FSB<5> | 64 | 0 | N/A | 4 | 1 | 4 | 13 PIN | A_FSB<6> | 64 | 0 | N/A | 6 | 1 | 6 | 4 PIN | nVMA_IOB | 536871040 | 0 | N/A | 118 PIN | nAS_IOB | 536871040 | 0 | N/A | 135 @@ -2930,6 +2880,7 @@ PIN | nVPA_FSB | 536871040 | 0 | N/A | 147 PIN | nRAS | 536871040 | 0 | N/A | 103 PIN | nBR_IOB | 536871040 | 0 | N/A | 116 PIN | RA<3> | 536871040 | 0 | N/A | 64 +PIN | nDTACK_FSB | 536871040 | 0 | N/A | 44 PIN | RA<0> | 536871040 | 0 | N/A | 86 PIN | RA<10> | 536871040 | 0 | N/A | 90 PIN | RA<1> | 536871040 | 0 | N/A | 79 @@ -2940,12 +2891,11 @@ PIN | RA<6> | 536871040 | 0 | N/A | 72 PIN | RA<7> | 536871040 | 0 | N/A | 82 PIN | RA<8> | 536871040 | 0 | N/A | 88 PIN | RA<9> | 536871040 | 0 | N/A | 92 -PIN | nOE | 536871040 | 0 | N/A | 60 PIN | nROMWE | 536871040 | 0 | N/A | 57 PIN | nADoutLE0 | 536871040 | 0 | N/A | 139 PIN | nCAS | 536871040 | 0 | N/A | 59 -PIN | nDTACK_FSB | 536871040 | 0 | N/A | 44 PIN | nDinLE | 536871040 | 0 | N/A | 140 +PIN | nOE | 536871040 | 0 | N/A | 60 PIN | RA<11> | 536871040 | 0 | N/A | 102 PIN | nADoutLE1 | 536871040 | 0 | N/A | 136 PIN | nAoutOE | 536871040 | 0 | N/A | 141 @@ -2955,4 +2905,4 @@ PIN | nRAMLWE | 536871040 | 0 | N/A | 104 PIN | nRAMUWE | 536871040 | 0 | N/A | 105 PIN | nROMCS | 536871040 | 0 | N/A | 58 PIN | C25MEN | 536871040 | 0 | N/A | 95 -PIN | nRES | 536870976 | 0 | N/A | 145 | 2 | 5 | 9 | 4 | 3 +PIN | nRES | 536870976 | 0 | N/A | 145 | 2 | 5 | 9 | 2 | 7 diff --git a/cpld/XC95144XL/WarpSE.mod b/cpld/XC95144XL/WarpSE.mod index c31ce15..67e89bb 100644 --- a/cpld/XC95144XL/WarpSE.mod +++ b/cpld/XC95144XL/WarpSE.mod @@ -48,6 +48,7 @@ OUTPUT S:PIN93 = nVPA_FSB; OUTPUT S:PIN64 = nRAS; OUTPUT S:PIN72 = nBR_IOB; OUTPUT S:PIN41 = RA<3>; +OUTPUT S:PIN28 = nDTACK_FSB; OUTPUT S:PIN53 = RA<0>; OUTPUT S:PIN55 = RA<10>; OUTPUT S:PIN50 = RA<1>; @@ -58,12 +59,11 @@ OUTPUT S:PIN46 = RA<6>; OUTPUT S:PIN52 = RA<7>; OUTPUT S:PIN54 = RA<8>; OUTPUT S:PIN56 = RA<9>; -OUTPUT S:PIN37 = nOE; OUTPUT S:PIN34 = nROMWE; OUTPUT S:PIN85 = nADoutLE0; OUTPUT S:PIN36 = nCAS; -OUTPUT S:PIN28 = nDTACK_FSB; OUTPUT S:PIN86 = nDinLE; +OUTPUT S:PIN37 = nOE; OUTPUT S:PIN63 = RA<11>; OUTPUT S:PIN82 = nADoutLE1; OUTPUT S:PIN87 = nAoutOE; @@ -75,44 +75,42 @@ OUTPUT S:PIN35 = nROMCS; OUTPUT S:PIN58 = C25MEN; /* timing arc definitions */ -A_FSB<1>_RA<0>_delay: DELAY A_FSB<1> RA<0>; A_FSB<9>_RA<0>_delay: DELAY A_FSB<9> RA<0>; -A_FSB<7>_RA<10>_delay: DELAY A_FSB<7> RA<10>; +A_FSB<1>_RA<0>_delay: DELAY A_FSB<1> RA<0>; A_FSB<17>_RA<10>_delay: DELAY A_FSB<17> RA<10>; +A_FSB<7>_RA<10>_delay: DELAY A_FSB<7> RA<10>; A_FSB<20>_RA<11>_delay: DELAY A_FSB<20> RA<11>; A_FSB<19>_RA<11>_delay: DELAY A_FSB<19> RA<11>; A_FSB<2>_RA<1>_delay: DELAY A_FSB<2> RA<1>; A_FSB<10>_RA<1>_delay: DELAY A_FSB<10> RA<1>; -A_FSB<7>_RA<2>_delay: DELAY A_FSB<7> RA<2>; A_FSB<16>_RA<2>_delay: DELAY A_FSB<16> RA<2>; +A_FSB<7>_RA<2>_delay: DELAY A_FSB<7> RA<2>; A_FSB<20>_RA<3>_delay: DELAY A_FSB<20> RA<3>; A_FSB<19>_RA<3>_delay: DELAY A_FSB<19> RA<3>; -A_FSB<11>_RA<4>_delay: DELAY A_FSB<11> RA<4>; A_FSB<3>_RA<4>_delay: DELAY A_FSB<3> RA<4>; -A_FSB<12>_RA<5>_delay: DELAY A_FSB<12> RA<5>; +A_FSB<11>_RA<4>_delay: DELAY A_FSB<11> RA<4>; A_FSB<4>_RA<5>_delay: DELAY A_FSB<4> RA<5>; +A_FSB<12>_RA<5>_delay: DELAY A_FSB<12> RA<5>; A_FSB<5>_RA<6>_delay: DELAY A_FSB<5> RA<6>; A_FSB<13>_RA<6>_delay: DELAY A_FSB<13> RA<6>; -A_FSB<14>_RA<7>_delay: DELAY A_FSB<14> RA<7>; A_FSB<6>_RA<7>_delay: DELAY A_FSB<6> RA<7>; -A_FSB<18>_RA<8>_delay: DELAY A_FSB<18> RA<8>; +A_FSB<14>_RA<7>_delay: DELAY A_FSB<14> RA<7>; A_FSB<21>_RA<8>_delay: DELAY A_FSB<21> RA<8>; -A_FSB<15>_RA<9>_delay: DELAY A_FSB<15> RA<9>; +A_FSB<18>_RA<8>_delay: DELAY A_FSB<18> RA<8>; A_FSB<8>_RA<9>_delay: DELAY A_FSB<8> RA<9>; +A_FSB<15>_RA<9>_delay: DELAY A_FSB<15> RA<9>; nAS_FSB_nDinOE_delay: DELAY nAS_FSB nDinOE; -nWE_FSB_nDinOE_delay: DELAY nWE_FSB nDinOE; +A_FSB<23>_nDinOE_delay: DELAY A_FSB<23> nDinOE; A_FSB<20>_nDinOE_delay: DELAY A_FSB<20> nDinOE; +nWE_FSB_nDinOE_delay: DELAY nWE_FSB nDinOE; A_FSB<21>_nDinOE_delay: DELAY A_FSB<21> nDinOE; A_FSB<22>_nDinOE_delay: DELAY A_FSB<22> nDinOE; -A_FSB<23>_nDinOE_delay: DELAY A_FSB<23> nDinOE; -nAS_FSB_nOE_delay: DELAY nAS_FSB nOE; -nWE_FSB_nOE_delay: DELAY nWE_FSB nOE; -nLDS_FSB_nRAMLWE_delay: DELAY nLDS_FSB nRAMLWE; nWE_FSB_nRAMLWE_delay: DELAY nWE_FSB nRAMLWE; -nUDS_FSB_nRAMUWE_delay: DELAY nUDS_FSB nRAMUWE; +nLDS_FSB_nRAMLWE_delay: DELAY nLDS_FSB nRAMLWE; nWE_FSB_nRAMUWE_delay: DELAY nWE_FSB nRAMUWE; -A_FSB<22>_nRAS_delay: DELAY A_FSB<22> nRAS; +nUDS_FSB_nRAMUWE_delay: DELAY nUDS_FSB nRAMUWE; nAS_FSB_nRAS_delay: DELAY nAS_FSB nRAS; +A_FSB<22>_nRAS_delay: DELAY A_FSB<22> nRAS; A_FSB<23>_nRAS_delay: DELAY A_FSB<23> nRAS; A_FSB<22>_nROMCS_delay: DELAY A_FSB<22> nROMCS; A_FSB<20>_nROMCS_delay: DELAY A_FSB<20> nROMCS; @@ -130,6 +128,7 @@ FCLK_nVPA_FSB_delay: DELAY FCLK nVPA_FSB; FCLK_nRAS_delay: DELAY FCLK nRAS; FCLK_nBR_IOB_delay: DELAY FCLK nBR_IOB; FCLK_RA<3>_delay: DELAY FCLK RA<3>; +FCLK_nDTACK_FSB_delay: DELAY FCLK nDTACK_FSB; FCLK_RA<0>_delay: DELAY FCLK RA<0>; FCLK_RA<10>_delay: DELAY FCLK RA<10>; FCLK_RA<1>_delay: DELAY FCLK RA<1>; @@ -142,7 +141,7 @@ FCLK_RA<8>_delay: DELAY FCLK RA<8>; FCLK_RA<9>_delay: DELAY FCLK RA<9>; FCLK_nADoutLE0_delay: DELAY FCLK nADoutLE0; FCLK_nCAS_delay: DELAY FCLK nCAS; -FCLK_nDTACK_FSB_delay: DELAY FCLK nDTACK_FSB; +FCLK_nOE_delay: DELAY FCLK nOE; FCLK_RA<11>_delay: DELAY FCLK RA<11>; FCLK_nADoutLE1_delay: DELAY FCLK nADoutLE1; FCLK_nAoutOE_delay: DELAY FCLK nAoutOE; diff --git a/cpld/XC95144XL/WarpSE.nga b/cpld/XC95144XL/WarpSE.nga index 2cbb6fd..3013736 100644 --- a/cpld/XC95144XL/WarpSE.nga +++ b/cpld/XC95144XL/WarpSE.nga @@ -1,3 +1,3 @@ XILINX-XDB 0.1 STUB 0.1 ASCII XILINX-XDM V1.6e -$7cf4`<,Fz_t)*@pUz'B]YCK-9?4RGAV%701+B582.D|Yv+$NrW|!@_WMI/?96PIOT'163)L88;7)ATy&'KuR,ORTHN*<49]JJS"2;<$O>XAG\/9>;!UHO6?!Tb~-=0$=4?:1+e?-6=83:",%>50;2*55=/99:;6<>?0;3345/6;2":=:>?:03445<69>:;%<>4(0534?70890:;=>&119+5=67282;<7?701+24>.509:1>5>?:3:34,773!9;<=4<01297567!;;0$>>?0;1345<489:",%=:0180156=;<:;%<>4(2734?5289089=>&d:*745<3890?<='>0:*5456=>9:;6;>?0(33?-17890<<=>57123-46< 0:;<77?018:456.92::7<<41108544<9;;97<<5OTVSQQ5383:7?<42108674<::;?7?=5IORVP?GXNZH7>>4>>39107=5=;19;?5=8391=4=4:29;>6==2:106>53:29>>6=92:145>253=:979?=;501?1553=<978>=;401?0553<>978;=;441?0>63?80:><46508204<>?;0;?58039467=0<;1<:?587394<7=01813>66?2::26>>5:22>>6692::46>>>923974>=;831?<45309974:=;871?<0530=>7LBJ0L58EIC7E'N37LBJ0L,G5==FDL:F"I0?,SEA?>e9B[CUE48;5"]OK9:C\BVD;9;4o7LQISC>26;(WIM30MRH\B=30:a=FWOYI0<=1.QCG=>GXNZH7=90k;@]EWG:6<7$[MI74A^DPF9726m1JSK]M<07=*UGC12KTJ^L3178?,SEA?e9B[CUE4835"]OK8:C\BVD;97i0MRH\B=3=*UGC12KTJ^L321/RB@==FWOYI0>0l;@]EWG:46'ZJH55N_GQA818d3HUM_O2;>/RB@==FWOYI080l;@]EWG:26'ZJH55N_GQA838d3HUM_O29>/RB@==FWOYI0:0l;@]EWG:06'ZJH55N_GQA8=8d3HUM_O27>/RB@==FWOYI040l;@]EWG:>6'ZJHi5N_GQA[46XAK_Mh6OPFR@\54YNJ\Lo7LQISC]26ZOE]On0MRH\B^30[LDRNm1JSK]M_06\MGSAl2KTJ^LP14]JFP@c3HUM_OQ>6^KAQCbGXNZHT=4QFBTD`?DYA[KU:SDLZFe9B[CUEW;:TEO[Id:C\BVDX:8UBNXHk;@]EWGY5:VCIYKj4A^DPFZ44W@H^Jn5N_GQA[7YNJ\Lh7LQISC]0[LDRNj1JSK]M_5]JFP@d3HUM_OQ:_H@VBf=FWOYIS;QFBTD`?DYA[KUW@H^J;5NotvLA6=E]O20NX]PIODL0>E6>D20O<8B.QCGa>E6>DUBNXH FBNHb>E6>DUBNXH FBNH)3=D:8CJN^MAQCbE?E>1H4@ _AE:8G=KXAK_Mh6M7M^KAQC)AKEAn7N6B_H@VB*@DDB'97NK<;BNH=>EHEDC_XHJ8;BPFEQCC;2I_@45LlnahWucd02Njxl]eb78@`jfq81N96K!P@Fb?@^W99U%IU^i;DZS55Y)MQZT\YQ?f:G[T46X&LR[S]ZP1g9F\U77W'OS\R^[_3d8A]V68V$NT]Q_T^1e?@^W99U%IU^PPU]7b>C_X8:T"HV__QV\14dC_X8;T"HV__QV\14dC_X88T"HV__QV\14dR JXQ]wwlkumgkfiiQaeu]b?@^W9:U%IU^i;DZS56Y)MQZT\YQ?f:G[T45X&LR[S]ZP1g9F\U74W'OS\R^[_3d8A]V6;V$NT]Q_T^1e?@^W9:U%IU^PPU]7b>C_X89T"HV__QV\14dC_X8>T"HV__QV\14dC_X8?T"HV__QV\14dV$NT]Q_T^1e?@^W9?U%IU^PPU]7b>C_X8U%IU^i;DZS52Y)MQZT\YQ?f:G[T41X&LR[S]ZP1g9F\U70W'OS\R^[_3d8A]V6?V$NT]Q_T^1e?@^W9>U%IU^PPU]7b>C_X8=T"HV__QV\14dX&LR[S]ZP1g9F\U7?W'OS\R^[_3d8A]V60V$NT]Q_T^1e?@^W91U%IU^PPU]7b>C_X82T"HV__QV\14dW'OS\R^[_3d8A]V61V$NT]Q_T^1e?@^W90U%IU^PPU]7b>C_X83T"HV__QV\14dC_X;:T"HV__QV\14dC_X;;T"HV__QV\14db:G[T74X&LR[Sy}fmsgmehccWgoSl5JXQ00[+C_Xo1NT]<<_/G[TZVSW9l0IU^=3^,F\UYW\V;m7HV_22]-A]VXX]U9j6KWP31\*@^WWY^T?k5JXQ00[+C_XVZ_S9?m;DZS66Y)MQZTx~gbrdlbi`bXfl~Tm6KWP36\*@^W9k1NT]<;_/G[TZrtadxnblcjd^lfpZgC_X;?T"HV__QV\6c=BPY8>S#KWP^RW[6`8Q!EYR\TQY29k1NT]<:_/G[TZrtadxnblcjd^lfpZgV$NT]Q_T^2e?@^W:?U%IU^PPU]2b>C_X;;Q!EYR\TQY29k1NT]<9_/G[TZrtadxnblcjd^lfpZ0259JJ4533@D:895FN077?LH6>=1BB<9;;HL2<1=NF8387D@=4:KM6529:4IO060>OI:?>0EC<84:KM6=25FN518MK343@D=?6GA729JJ=58:KLEFR)L;n0EBOLT/F1[URX8m1BCLM[.E0\TQY6l2CDMNZ!D3]SPZ4c3@EJOY K2^RW[6bOHIJ^%]45FO@AW*WC@>2CDNH\]8:KLF@TU&M30EBLJRS,G5<=NGKOY^#J=8:KLF@TU&Xk0EBLJRS,QABdOHJLXYSy}fmbpfeqccWyxghR84INFLJ@>OHLFDNSy}fmbpfeqccWyxghR:4INN32>OHD9$O;6G@L1,G52=NGE:%H?m4INN3*A4XX]U;o6G@L1,G6ZVSW8i0EBB?.E0\TQY5k2CD@= K2^RW[6eOHD9$O>R^[_4a8MJJ7&M8T\YQ96:KLH5(V02CD@= ]EF36?LIK8V~xe`m}e`vf`Z~hzV30EB@_Rdcg}g=NGGZYiljv.Ea8MJHWZlkou#J>c:KLJUTbims%H??>;HMMTWcflp$O>R^[_132?LIIX[ojht K2^RW[4763@EE\_kndx,G6ZVSW;n0EB@_Rdcg}+C_X880EB@_Rdcg}+C_XVZ_S=?=;HMMTWcflp$NT]Q_T^326>OHFYXnmiw!EYR\TQY59l1BCC^]e`fz*@^WW}ybakaalgg[kcsWk1BCC^]e`fz*TbR^[_1d8MJTCZL[%H?Q_T^3e?LIUL[OZ"IOHZMXN]#KWP028MJTCZL[%IU^PPU]355=NG[NYI\ JXQ]SPZ7682CD^I\JQ/G[TZVSW;;h7DA]DSGR*@^WW}ybakaalgg[kcsW01BC_J]EP,Rf>OHZMXN]#\JG59JKP613@E^<#J8;HMV4+B6?2CDY= K2b9JKP6)L;U[XR>l;HMV4+B5WY^T=n5FOT2-@7YW\V8h7DAZ0/F1[URX;j1BCX>!D3]SPZ2d3@E^<#J=_QV\1f=NG\:%H?Q_T^45?LIR8'[37DAZ0/PFC436@?5:L3 JCd3G:%NXH@E/MVP1=I8'N>7C>!D078J5(C:=1E<#_8;O2-PWUS;2D;=:5AEUULVN5VFL=1[M_Z8;QKMJDKBi2ZBBRLZSHF[f>VNFVH^_COBE79Sawohl11[cz_auj;?TiTZlkoul5^oRPfea)Lk1Zc^\jae{-@4dWh[[ojht K2^RW[5773XeX^hoky/F1[URX98:0]b]]e`fz*A4XX]U9==5^oRPfea)L;U[XR=>0:SlWWcflp$O>R^[_533?TiTZlkou#J=_QV\146Wh[[ojht ]EF78VD:7601YM1>1.QCG2>TF48:5m6\N<02=*UGC02XJ01?,SEA389QE959&YKO96\N<5<:?WG;<7$[MI;4R@>6:<=UI5?5"]OK5:PB838>3[K7:3 _AE78VD:0601YM191.QCG1>TF41427_O38?,SEA3TFW9UDNXH!D3]SPZ6692XJS=Q@BTD-@7YW\V;i7_OP0^MAQC(Vi2XJS<>POCWEg>TFW8:TCO[I.Ef8VDY68VEIYK K1e9QEZ77WFH^J#J=139QEZ77WFH^J#J=_QV\4440^MAQC(Vn2XJS1^MAQC"E]O:%H<<4R@]25ZIE]O.IYK>!D031?WGX98UDNXH+BTD3*A46;2XJS!EYR\TQY7911YMR?>_N@VB!DRN9$NT]Q_T^32<>TFW8;TCO[I$CWE4+C_XVZ_S??7;SC\54YHJ\L/NXH?.DZS[URX;820^LQ>1^MAQC"E]O:%IU^PPU]761=UIV;:SBLZF%@VB5(BPYUdc}eocnaaYim}U:=6\N_03\KGSA,K_M<#_l;SC\54YHJ\L/]n5]A^32[JDRN'No7_OP10]LFP@)L8n0^LQ>1^MAQC(C:880^LQ>1^MAQC(C:VZ_S=?=;SC\54YHJ\L%H?Q_T^3f?WGX98UDNXH!EYR27>TFW8;TCO[I.DZS[URX8890^LQ>1^MAQC(BPYU[XR?>f:PB[47XGK_M"HV__uqjiwciidooSck{_b9QEZ76WFH^J#_6;SC\5ZIE]Oh0^LQ>_N@VB+Bd3[KT=RAMUG,G5f=UIV;TCO[I.E025>TFW8UDNXH!D3]SPZ6692XJSTFW;UDNXH!EYR26>TFW;UDNXH!EYR\TQY79;1YMRTCO[I.E0\TQY7981YMR:POCWE*A4XX]U:h6\N_5]LFP@)MQZ:>6\N_5]LFP@)MQZT\YQ?139QEZ2XGK_M"HV__QV\5446\N_4]LFP@)MQZT\YQ?139QEZ3XGK_M"HV__QV\544SBLZF/G[TZVSW;;97_OP5^MAQC(BPYU[XR=>2:PB[0YHJ\L%IU^PPU]75`=UIV?TCO[I.DZS[qune{oem`kk_ogw[g=UIV?TCO[I.P;8VDY1WFH^Jo5]A^4\KGSA&Mi0^LQ9_N@VB+B6k2XJS;Q@BTD-@7763[KT:RAMUG,G6ZVSW9;:7_OP6^MAQC(C:VZ_SVEIYK JXQ]SPZ76m2XJS;Q@BTD-A]VX|zcf~h`nmdf\j`rXj2XJS;Q@BTD-U<=UIV=TCO[Ib:PB[2YHJ\L%Hn5]A^5\KGSA&M;h7_OP7^MAQC(C:8;0^LQ8_N@VB+B5WY^T<TFW1UDNXH!D332?WGX0VEIYK K2^RW[5763[KT4RAMUG,G6ZVSW8h0^LQ7_N@VB+W>3[KT5RAMUG`8VDY>WFH^J#Jl;SC\=ZIE]O$O=n5]A^;\KGSA&M8:=6\N_8]LFP@)L;U[XR>>1:PB[Qnl}b9o6VL94370]J*99'_]<>? )Qsa`b'N`fn6-Xjrrklj%5)8 >0TICC1e9[MIOIP$RON->!1!QWQG&7&8*J_NGF3:ZLV3=_lkUBho5Wdi]SvlkNfi0TifPPsknP|vbn2RodR^}ilTfvvohf11SheQ[ilgg?]boW]cfiNfjcjf8\anX\`gnHhbny028\akXEh`d~[k}shmm55=_ldUFeca}Vdppmjh33SOYC45lnu-Fv969j2iex"K}<1<-@f=df}%N~1>1.E3`?fhs'Lx7<3 K2c9`jq)Bz5:5"\j4cov,Aw:76'XNK45lnu-Fv979j2iex"K}<0<-@f=df}%N~1?1.E3`?fhs'Lx7=3 K2c9`jq)Bz5;5"\j4cov,Aw:66'XNKk5lnu-JWZ@TEVLMh;blw+LUXNZGTJKj>.E31?fhs'@YTJ^CPFGf2*A76:2iex"G\_GQN[C@c9'N9=>5lnu-JWZ@TEVLMh< JXQ3;?fhs'@YTJ^CPFGf2*@^WWY^T<<64cov,MVYA[DUMJi?!EYR\TQY6911hby!FS^DPIZ@Al8$NT]Q_T^02<>ei|&CXSK]B_GDg5+C_XVZ_S><;;blw+LUXNZGTJKj>.DZS[qune{oem`kk_ogw[47ei|&CXSK]B_GDg6+B6:2iex"G\_GQN[C@c:'N:=?5lnu-JWZ@TEVLMh? K2058gkr(AZUM_@QIFe0-@7YW\V::;6mat.KP[CUJWOLo>#J=_QV\547g9`jq)K\`gn~1>1.E33?fhs'E^bah|30?,G5463:+B59<1hby!CThofv969&M8T\YQ?149`jq)K\`gn~1>1.E0\TQY69<1hby!CThofv969&M8T\YQ=149`jq)K\`gn~1>1.E0\TQY49<1hby!CThofv969&M8T\YQ;149`jq)K\`gn~1>1.E0\TQY29<1hby!CThofv969&M8T\YQ9f:amp*JSadoy0=0!Q038gkr(D]cfi2?>/PFC`=df}%GXdcjr=33:4624;(C981hby!CThofv9776'N:=<5lnu-OPlkbz5;;2#J=179`jq)K\`gn~1??>/F1[URX88<0ocz LUknaw:687$O>R^[_035?fhs'E^bah|311<-@7YW\V8:>6mat.NWmhcu48:5"HV_229`jq)K\`gn~1??>/G[TZrtadxnblcjd^lfpZ773jd#AZfmdp?558)Y880ocz LUknaw:687$YIJ?i;blw+IRnelx7==0Ptrkngwcf|lnTtb|Pe:amp*JSadoy0>/F25>ei|&F_e`k}<03=*A7692iex"B[ilgq8479&M8::6mat.NWmhcu48;5"Iei|&F_e`k}<0<-@7723jd#AZfmdp?5;(C:VZ_S=?:;blw+IRnelx7=3 K2^RW[4723jd#AZfmdp?5;(C:VZ_S??:;blw+IRnelx7=3 K2^RW[6763jd#AZfmdp?5;(BPY;=7n`{/MVji`t;97$NT]Q_T^222>ei|&F_e`k}<0<-A]VXX]U:>?5lnu-OPlkbz5;5"HV__uqjiwciidooSck{_g9`jq)K\`gn~1?1.P32?fhs'E^bah|31?,QABb1:c=df}%GXdcjr=0=*A773jd#AZfmdp?6;(C98:0ocz LUknaw:56'N9=85lnu-OPlkbz585"Iei|&F_e`k}<3<-U471:+TBO8o0ocz LUknaw:56V~xe`m}e`vf`Z~hzVn0ocz LUknaw:46o1hby!CThofv959&M;;7n`{/MVji`t;;7$O=<>4cov,HQojm{682#J=149`jq)K\`gn~1=1.E0\TQY79<1hby!CThofv959&M8T\YQ>149`jq)K\`gn~1=1.E0\TQY5n2iex"B[ilgq868)Y8;0ocz LUknaw:46'XNK5"I??;blw+IRnelx783 K1028gkr(D]cfi2;>/F150=df}%GXdcjr=6=*A4XX]U;=85lnu-OPlkbz5>5"I^vpmheumh~nhRv`r^f8gkr(D]cfi2:>g9`jq)K\`gn~1;1.E33?fhs'E^bah|35?,G5466:+B59<1hby!CThofv939&M8T\YQ?149`jq)K\`gn~1;1.E0\TQY69<1hby!CThofv939&M8T\YQ=f:amp*JSadoy080!Q038gkr(D]cfi2:>/PFC4c6:Zrtadiyilzjd^zlvZb5:c=df}%GXdcjr=4=*A773jd#AZfmdp?2;(C98:0ocz LUknaw:16'N9=85lnu-OPlkbz5<5"Iei|&F_e`k}<7<-U475:+TBO8o0ocz LUknaw:16V~xe`m}e`vf`Z~hzVn0ocz LUknaw:06o1hby!CThofv919&M;;7n`{/MVji`t;?7$O=<>4cov,HQojm{6<2#J=149`jq)K\`gn~191.E0\TQY79<1hby!CThofv919&M8T\YQ>149`jq)K\`gn~191.E0\TQY5n2iex"B[ilgq828)Y8;0ocz LUknaw:06'XNK/F150=df}%GXdcjr=:=*A4XX]U;=85lnu-OPlkbz525"I^vpmheumh~nhRv`r^f8gkr(D]cfi26>g9`jq)K\`gn~171.E33?fhs'E^bah|39?,G546::+B59<1hby!CThofv9?9&M8T\YQ?149`jq)K\`gn~171.E0\TQY69<1hby!CThofv9?9&M8T\YQ=109`jq)K\`gn~171.DZS53=df}%GXdcjr=;=*@^WWY^T<<84cov,HQojm{622#KWP^RW[4453jd#AZfmdp?=;(BPYUdc}eocnaaYim}Um7n`{/MVji`t;17$Z=<5lnu-OPlkbz535"_KH1d9`jq)K\`gn~171_uqjiftbi}ooSua}_b9`jq)K\`gn~YMj;blw+IRnelx_O#Ji;blw+IRnelx_O#J>f:amp*JSadoyXN K2d9`jq)K\`gn~YM!Q028gkr(D]cfiZL.SGD6`=df}%GXdcjr^3\jjr789;$ocz LUknawY6Wge<=>>_E0-@7`?1^F1*A75n2iex"B[ilgq[4Yig}:;<0ocz LUknawY6Wge<=>>/blw+IRnelxT=R``t1235ZB5&M8T\YQ?359`jq)K\`gn~R?Pnnv3457(kg~$@Ygbes]2[kis89::SI#KWP278gkr(D]cfiQ>_omw4566'jd#AZfmdp\5Zhh|9:;=RJ=.DZS[URX8:?0ocz LUknawY6Wge<=>>/blw+IRnelxT=R``t1235ZB5&LR[S]ZP1278gkr(D]cfiQ>_omw4566'jd#AZfmdp\5Zhh|9:;=RJ=.DZS[URX:=;0ocz LUknawY6Wge<=>>/blw+IRnelxT=R``t1235ZB5&LR[Sy}fmsgmehccWgoS?k4cov,HQojm{U:Sca{0122+fhs'E^bah|P1^llp5679VN9"\=?;blw+IRnelxT=R``t1235*ei|&F_e`k}_0]mkq6788UO>#[FMb9`jq)Sadoy0=0i;blw+Qojm{6;2#MJe:amp*Rnelx7<3 Kf:amp*Rnelx7<3 K1g9`jq)Sadoy0=0!D3g8gkr(\`gn~1>1.P33?fhs']cfi2?>/PFC4b>/AFa>ei|&^bah|31?,Gb>ei|&^bah|31?,G5c=df}%_e`k}<0<-@7733jd#Ygbes>2:+B5WY^T<<:4cov,Plkbz5;5"I4:amp*Rnelx7>3 K2^RW[5733jd#Ygbes>1:+B5WY^T=<:4cov,Plkbz585"I4:amp*Rnelx7?3 K2^RW[5733jd#Ygbes>0:+B5WY^T=<:4cov,Plkbz595"I3:g=df}%\_1>1.Ea8gkr(_Z6;2#J>c:amp*QT494%H?j4cov,SV:76'OS\<<4cov,SV:76'OS\R^[_131?fhs'^Y7<3 JXQ]SPZ76:2iex"Y\<1<-A]VXX]U9=?5lnu-TW969&LR[S]ZP30g8gkr(_Z6;2#KWP^vpmhtbfhgnhR`jt^`8gkr(_Z6;2#_k;blw+RU;87$YIJ?n;blw+RU;87UdclrdcwaaYg{U27n`{/VQ?5;d>/F`?fhs'^Y7=3 K1b9`jq)P[5;5"I<>1:amp*QT484%H?Q_T^225>ei|&]X0<0!D3]SPZ7c3jd#Z]31?,F\U753jd#Z]31?,F\UYW\V::>6mat.UP848)MQZT\YQ>139`jq)P[5;5"HV__QV\644>/G[TZVSW:;n7n`{/VQ?5;(BPYUdc}eocnaaYim}Ui7n`{/VQ?5;(Vl2iex"Y\<0<-V@A>3jd#Z]32?`8gkr(_Z692#Jl;blw+RU;:7$O=n5lnu-TW949&M8:=6mat.UP878)L;U[XR>>1:amp*QT4;4%H?Q_T^325>ei|&]X0?0!D3]SPZ4c3jd#Z]32?,F\U753jd#Z]32?,F\UYW\V::>6mat.UP878)MQZT\YQ>1d9`jq)P[585"HV__uqjiwciidooSck{_c9`jq)P[585"\j4cov,SV:56'XNK0:g=df}%\_1=1.Ea8gkr(_Z682#J>c:amp*QT4:4%H??>;blw+RU;;7$O>R^[_132?fhs'^Y7?3 K2^RW[4763jd#Z]33?,G6ZVSW;h0ocz WR>0:+Wc3jd#Z]33?,QAB7f3jd#Z]33?]wwlkdzlkiiQwos]b?fhs'gC[@?|l;blw+kOWD;x%Hi5lnu-mMUJ5z'N:h6mat.lJTI4u&M8h7n`{/oKSH7t)Yl1hby!aIQN1v+TBOk1h"`@vdpoe|bJz'N:o6g`bl-@3:+Bc3`eia"K\<1<-@4b2:klfh)B[5:5"Iohjd%N_1>1.Pg8mjdj'LY7<3 ]EF3a?liee&OX0=0Ptrkngwcf|lnTtb|Pa:klfh)B[5;5o6g`bl-FW979&Mn0eblb/DQ?5;(C9m1bcoc ER>2:+B59;1bcoc ER>2:+B5WY^T<<<4in`n+@U;97$O>R^[_031?liee&OX0<0!D3]SPZ46:2cdn`!JS=3=*A4XX]U8o6g`bl-FW979&Xo0eblb/DQ?5;(UMNk0eblb/DQ?6;eohjd%N_1<1.E3g?liee&OX0?0!D331?liee&OX0?0!D3]SPZ66:2cdn`!JS=0=*A4XX]U:=?5foco,AV:56'N9S]ZP2b9jkgk(MZ692#_j;hmai*CT4;4%^HI>b:klfh)B[585Sy}fmbpfeqccWqeySl5foco,AV:46j1bcoc ER>0:+Bc3`eia"K\<2<-@4b2:klfh)B[595"I/F1[URX;j1bcoc ER>0:+Wb3`eia"K\<2<-V@A6j2cdn`!JS=1=[qunejxnmykk_ymq[2=ngkg$I74in`n+@t)Lh1bcoc Es,G5d=ngkg$I K289jkgk(M{$Zn6g`bl-Fv+TBOm1bcoc INPGV@Wun2cdn`!FOSFQATt)L8:0eblb/HMQ@WCVz'N:==5foco,MJTCZL[y"Iohjd%BC^>m;hmai*OH[9$Oo6g`bl-JKV6)L8i0eblb/HMP4+B5981bcoc INQ3*A4XX]U;=<5foco,MJU7&M8T\YQ>109jkgk(AFY;"I5foco,MJUXNZGTJKj>.E37?liee&CD_RH\M^DE`4(C98>0eblb/HMP[CUJWOLo=#J=129jkgk(AFYTJ^CPFGf2*T723`eia"G@S^DPIZ@Al8$YIJ?>;hmai*OH[VLXARHId330?liee&CD_RH\M^DE`7(C9=1bcoc INQ\BVKXNOn9"I?>4:klfh)NGZUM_@QIFe0-@77>3`eia"G@S^DPIZ@Al;$O>R^[_13:?liee&CD_RH\M^DE`7(C:VZ_S%H??<;hmai*OH[VLXARHId5,R50=ngkg$EB]PFRO\BCb3&[OL=<5foco,MJUXNZGTJKj:129jkgk(AFYTJ^CPFGf6*A733`eia"G@S^DPIZ@Al<$O=<:4in`n+LITWOYFSKHk5/F156=ngkg$EB]PFRO\BCb2&X;>7damm.KLWZ@TEVLMh8 ]EF32?liee&CD_RH\M^DE`3743`eia"G@S^DPIZ@Al?$O=95foco,MJUXNZGTJKj9.E320>ohjd%BC^QISL]EBa0)L;;27damm.KLWZ@TEVLMh; K2^RW[57>3`eia"G@S^DPIZ@Al?$O>R^[_030?liee&CD_RH\M^DE`3(V9<1bcoc INQ\BVKXNOn="_KH109jkgk(AFYTJ^CPFGf456=ngkg$EB]PFRO\BCb0&M;?7damm.KLWZ@TEVLMh: K1068mjdj'@EXSK]B_GDg3+B5901bcoc INQ\BVKXNOn<"I189jkgk(AFYTJ^CPFGf4*A4XX]U9=>5foco,MJUXNZGTJKj8.P36?liee&CD_RH\M^DE`2(UMNn0eblb/HMTVWCVzo1bcoc INUQV@Wu&M;;7damm.KLSWTBY{$O=<>4in`n+LIPZ[OZ~#J=f:klfh)NG^XYI\|!Q038mjdj'@E\^_K^r/PFC<=ngkg$Z]O}b:klfh)QXHx%Hn5foco,RUGu&M;h7damm.TSEw(C:k1bcoc VQCq*Tbi5focq,MJGD\{$Zj6g`br-JKDESz'XNKn5focq,MJBHFLxn7dams.KL@JHBz'Nm7dams.KL@JHBz'N:j6g`br-JKAIIM{$O>h5focq,MJBHFLx%]<>4in`p+LICGGOy"_KH9:klfv)NGE;h7dams.KLH4(DMk1bco} INN2*Aeohjz%BCA?!D3`8mjdt'@EG=#_k;hmaw*OHD8$YIJo4in`p+LIU_8i0ebl|/HMQS4(Cl2cdn~!FOSU2*A7c3`ei"G@RV3-@7753`ei"G@RV3-@7YW\V::>6g`br-JKWQ6&M8T\YQ>139jkgu(AFX\=#J=_QV\644f:klfv)NG[]:"HV__uqjiwciidooSck{_b9jkgu(AFX\=#_j;hmaw*OHZ^;%^HI>b:klfv)NG[]:Sy}fmbpfeqccWqeyS45focq,MJS6k2cdn~!FOT3-G@dohjz%Gclj>.E026>ohjz%Gclj>.E0\TQY79;1bco} Lncg5+B5WY^T=<<4in`p+Iifl8$O>R^[_331?lie{&Fdmi?!D3]SPZ56:2cdn~!Co`f2*A4XX]U?=?5focq,Hjgc9'N9S]ZP5b9jkgu(Dfko=#_j;hmaw*Jhim;%^HI6;hmaw*Ubf}h0ebl|/Rgmp+Bd3`ei"]jnu,G5f=ngky$_h`{.E025>ohjz%Xicz!D3]SPZ6692cdn~!\eov-@7YW\V;:=6g`br-Pakr)L;U[XR<>1:klfv)Tmg~%H?Q_T^125>ohjz%Xicz!D3]SPZ2692cdn~!\eov-@7YW\V?:=6g`br-Pakr)L;U[XR8m;hmaw*Ubf}$Zh6g`br-Pakr)ZLM:m6g`br-PakrX|zcfokntdf\|jtX991bco} TR]EWHYANm;:>6g`br-WWZ@TEVLMh< K129jkgu(\ZUM_@QIFe3-@4743`ei"Z\_GQN[C@c9'N9=55focq,PVYA[DUMJi?!D3]SPZ6602cdn~![S^DPIZ@Al8$O>R^[_031?lie{&^XSK]B_GDg5+W6<2cdn~![S^DPIZ@Al8$YIJ??;hmaw*RTWOYFSKHk2008mjdt']YTJ^CPFGf1*A743`ei"Z\_GQN[C@c:'N:=>5focq,PVYA[DUMJiohjz%__RH\M^DE`7(C:VZ_S>?;;hmaw*RTWOYFSKHk2/G[T4?#\JG89mEAir|EO;h6`NDnwwH@6)XHNn7cOKotvOA5YHJ\L:<6`NDnwwH@6XGK_M"I?>;oCGkprKM9UDNXH!D032?kGCg|~GI=Q@BTD-@7773gKOcxzCE1]LFP@)Y01eMIaztMG2`>hFLf@H?!P@Ff?kGCg|~GIhFLf@H?POCWE*A763gKOcxzCE0]LFP@)L8;:7cOKotvOA4YHJ\L%H??9;oCGkprKM8UDNXH!D3]SPZ66>2dJHb{{LD3\KGSA&M8T\YQ>119mEAir|EO:SBLZF/S26>hFLf@H?POCWE*WC@?2dJ_RH\Bc9mEVYA[K$[MIm4n@Q\BVDXAK_M;6`NS^KLFg=iIZUBCO _AEc8jDUXAFHdyyj4n@Q\MJDh}}.DIn5aAR]JKGir|-[:86`NS^KLFjss&K_MCH @UUa8jDUXAFHdyy Kd:lBWZOHJf"I?k;oCP[LIEg|~%H??=;oCP[LIEg|~%H?Q_T^226>hF[VCDNb{{.E0\TQY69;1eM^QFOCmvp+B5WY^T>n5aAR]JKGir|'[n7cO\_HMAkpr)ZLMm7cO\_HMAkpr)\[Y_;6`NotvLAg=iIfCH _AEa8jDir|FOTCO[Ie:lBkprHMVEIYK Kf:lBkprHMVEIYK K1g9mEjssGLUDNXH!D333?kGh}}ENSBLZF/G[T43<;4n@mvpJCXGK_M"HV__QV\743hEM[XTJ^Lk;o@FVWYA[K$[MIk4nCGQVZ@TJVEIYK??;o@FVWYA[KUDNXH!D038jGCUZVLXNRAMUG,G547R^[_135?kDBZ[UM_OQ@BTD-@7YW\V;::6`MESP\BVDXGK_M"IhEM[XTJ^LPOCWE*@^WWY^T=<94nCGQVZ@TJVEIYK JXQ]SPZ45;2dII_\PFR@\KGSA&LR[Sy}fmsgmehccWgoS<>4nCGQVZ@TJVEIYK ^139mF@TUWOYISBLZF/PFC44hEM[XTEBLPICWE3>hEZVCDNo5aBS]JKG(WIMi0bO\PIN@\KGSAm2dI^RG@B^MAQC"Vm2dI^RG@B^MAQC(Cn2dI^RG@B^MAQC(C9o1eN_QFOC]LFP@)L;;?7cL]_HMA[JDRN'N9S]ZP0068jGTXAFHTCO[I.E0\TQY6991eN_QFOC]LFP@)MQZ:96`MR^KLFZIE]O$NT]Q_T^221>hEZVCDNRAMUG,F\UYW\V;:96`MR^KLFZIE]O$NT]Q_T^015>hEZVCDNRAMUG,F\UYs{`gyicobee]maqYb3gHYSDAM_N@VB+W682dI^RG@B^MAQC(UMN;o7cL]_HMA[JDRNV~xe`m}e`vf`Z~hzV>0bNO\8:l@EV(WIM30bNO\_N@VBg=iKHYTCO[I.Ea8jFGTWFH^J#J>c:l@EVYHJ\L%H?l4nBCP[JDRN'[o7cMNS^MAQC(UMNk0bIZNCJ]EWGc6`KT@AH[CUEWFH^J#J>139m@QGDCVLXNRAMUG,G645hCagFNSBLZFe9m@lhKMVEIYK Ke:lGmkJBWFH^J#J>e:lGmkJBWFH^J#J=f:lGmkJBWFH^J#KWP068jAoiDLUDNXH!EYR\TQY79=1eHd`CE^MAQC(BPYU[XR?>4:lGmkJBWFH^J#KWP^RW[7473gNbbAKPOCWE*@^WW}ybakaalgg[kcsWm1eHd`CE^MAQC(Vn2dOecBJ_N@VB+TBO?1eHd`@E`9m@lhHM'ZJHo5aDhlLAZIE]On0bIgaOD]LFP@)Ll1eHd`@E^MAQC(C9l1eHd`@E^MAQC(C:890bIgaOD]LFP@)L;U[XR>>3:lGmkIBWFH^J#J=_QV\545hKLZUM_OQFBTD;?kJC[VCDNn5aLEQ\MJD)XHNi7cBKS^KLFjssm2dGH^QFOCmvp!IBl2dGH^QFOCmvp!W6=2dGH^QFOCmvp+DRNFO%CXZk;oNGWZOHJf"Ik4nMFP[LIEg|~%HhHM'ZJH55aOD]LFP@f3gENSBLZF/Fa?kIBWFH^J#J>b:lLAZIE]O$O>l5aOD]LFP@)Y>1e^LCCWD`8jWGJD^O%\LJl;oPBIIQBWFH^Jh5aR@OOS@YHJ\L%Hk5aR@OOS@YHJ\L%H0:lQEHJPMVEIYK JXQ36?kTFEE]NSBLZF/G[TZVSW9;>7c\NMMUF[JDRN'OS\R^[_036?kTFEE]NSBLZF/G[TZVSW;8:7c\NMMUF[JDRN'OS\Rz|ilpfjdkblVdnxRk4nSCNHRCXGK_M"\94nSCNQRCe3gXJAXYJ.QCGg>hUID_\IRAMUGg8jWGJ]^OTCO[I.Ed8jWGJ]^OTCO[I.E3e?kTFE\]NSBLZF/F155=iZHG^[HQ@BTD-A]V6=2dYM@[XE^MAQC(BPYU[XR>>5:lQEHSPMVEIYK JXQ]SPZ76=2dYM@[XE^MAQC(BPYU[XR<>5:lQEHSPMVEIYK JXQ]SPZ56=2dYM@[XE^MAQC(BPYU[XR:=1:lQEHSPMVEIYK JXQ]wwlkumgkfiiQaeu]f?kTFE\]NSBLZF/S7?kTF[11e^L]!P@F:?kTF[VEIYKl4nSCP[JDRN'Nh7c\NS^MAQC(C9j1e^L]POCWE*A4c3gXJ_RAMUG,F\U753gXJ_RAMUG,F\UYW\V::>6`]AR]LFP@)MQZT\YQ>139mVDUXGK_M"HV__QV\644e:lQEVYHJ\L%IU^Ptrknv`hfelnTbhzPb:lQEVYHJ\L%]95aRDQ;?kTB['ZJH:5aRDQlqq?hUMZe~x#\JG79mVJKD[h1e^BCLS/RB@g=iZFGH_RAMUGf8jWIJKZUDNXH!Dd9mVJKD[VEIYK K1d9mVJKD[VEIYK K2g9mVJKD[VEIYK JXQ37?kTHEJYTCO[I.DZS[URX88>0b_ABCR]LFP@)MQZT\YQ>159mVJKD[VEIYK JXQ]SPZ46<2dYC@M\_N@VB+C_XVZ_S>?;;oPLIFUXGK_M"HV__QV\076>4:lQKHQBWFH^J#KWP^RW[4733gXDAZKPOCWE*@^WWY^T><:4nSMNS@YHJ\L%IU^PPU]051=iZFG\IRAMUG,F\UYW\V>9<6`]OLUF[JDRN'OS\Rz|ilpfjdkblVdnxRj4nSMNS@YHJ\L%]55aUEQ\BVDd3g_O_RH\B/RB@a=i]MYTJ^LPICWE<>hRLZUBCOm4nTFP[LIE&YKOn6`ZDR]JKGir|l1eYI]PIN@lqq"HMm1eYI]PIN@lqq"V9<1eYI]PIN@lqq(E]OEN"B[[d:lV@VYNGKe~x#Jj;oWGWZOHJf"I?j;oWGWZOHJf"I<>3:lV@VYNGKe~x#J=_QV\4454nTFP[LIEg|~%X_][8:lUIDYNGKi0b[CN_HMA*UGCj2d]ALQFOCmvp`=i^DKTEBL`uu&LAa=i^DKTEBL`uu&R50=i^DKTEBL`uu,AQCIB&F__h6`YM@]JKGir|'Nn7cXBA^KLFjss&M;n7cXBA^KLFjss&M8:?6`YM@]JKGir|'N9S]ZP0018jSKFW@EIcxz!D3]SPZ7c3g\FMRG@Bnww*T`>5:lUTDYA[KUDNXH!D3]SPZ7692d]\LQISC]LFP@)MQZ::6`YP@]EWGYHJ\L%IU^PPU]353=i^YKTJ^LPOCWE*@^WWY^T=<84nWRB[CUEWFH^J#KWP^RW[7453g\[MRH\B^MAQC(BPYUdc}eocnaaYim}Um7cX_A^DPFZIE]O$Z=<5aVQC\BVDXGK_M"_KH139mRUGXNZHTCO[I.RGWB==i^YKTEBLl;oTSEZOHJ'ZJHi5aVQC\MJDXAK_M;6`XE^DPFg=i_LUM_O _AEa8jRCXNZHTEO[I4:lbi`1tfe&IJ_#J=_QV\4c=uid%HM^ K2^RW[4`1:pbi*TF[LF%H?Q_T^0g?wgj'[KXIA JXQ31?wgj'[KXIA JXQ]SPZ66:2xja"\NSDN-A]VXX]U:=h5}al-QEVCK&LR[Sy}fmsgmehccWgoSo5}al-QEVCK&Xn0~lc R@QFH+TBO01ym`!]ARGMf>tfe&XJ_H@!Db9qeh)UIZOE"I?l;scn+WGTMG$O>;scn+WUXNZGTJKj>.E31?wgj'[YTJ^CPFGf2*A76:2xja"\\_GQN[C@c9'N9=<5}al-QWZ@TEVLMh< ^129qeh)U[VLXARHId0,QAB`6|nm.PP[CUJWOLo>#J>139qeh)U[VLXARHId3,G647;scn+WUXNZGTJKj<.P30?wgj'[YTJ^CPFGf0*WC@n2xja"\\_GQN[C@c<8;0~lc RR]EWHYANm>%H<<4r`o,VVYA[DUMJi:!D031?wgj'[YTJ^CPFGf7*A4692xja"\\_GQN[C@c<'[:?6|nm.PP[CUJWOLo8#\JGg9qeh)U[VLXARHId432?wgj'[YTJ^CPFGf6*A753{kf#_]PFRO\BCb2&M;:>6|nm.PP[CUJWOLo9#J=169qeh)U[VLXARHId4,G6ZVSW9;<7ob/SQ\BVKXNOn>"I1:pbi*TTWOYFSKHk6/F26>tfe&XXSK]B_GDg2+B69;1ym`!]S^DPIZ@Al?$O>$O=?5}al-QWZ@TEVLMh: K1008vdk(ZZUM_@QIFe5-@7703{kf#_]PFRO\BCb0&M8T\YQ?169qeh)U[VLXARHId6,G6ZVSW8;:7ob/SQ\BVKXNOn<"\?<;scn+WUXNZGTJKj8.SGDb>tfe&XXSK]B_GDg<47)L880~lc RR]EWHYANm2%Htfe&XXSK]B_GDg<+B5WY^T<<94r`o,VVYA[DUMJi6!D3]SPZ76?2xja"\\_GQN[C@c0'N9S]ZP2058vdk(ZZUM_@QIFe:-@7YW\V9:;6|nm.PP[CUJWOLo4#J=_QV\045)MQZ986|nm.PP[CUJWOLo4#KWP^vpmhtbfhgnhR`jt^32?wgj'[YTJ^CPFGf;*T743{kf#_]PFRO\BCb?&[OLj6|nm.PP[CUJWOLo5&M8:;6|nm.PP[CUJWOLo5#J=_QV\4417:pbi*TTWOYFSKHk9/F1[URX:8=0~lc RR]EWHYANm3%H?Q_T^127>tfe&XXSK]B_GDg=+C_X820~lc RR]EWHYANm3%IU^PPU]35==uid%Y_RH\M^DE`<(BPYU[XR?=4:pbi*TTWOYFSKHk9/G[TZrtadxnblcjd^lfpZ763{kf#_]PFRO\BCb>&X;87ob/SQ\BVKXNOn2"_KHb:pbi*TbnMeeii5}al-QacBhfl$Oi6|nm.PfbAiim'N:i6|nm.PfbAiim'N9=>5}al-QacBhfl$O>R^[_130?wgj'[omHb`j.E0\TQY6l2xja"\jfEmma+Wa3{kf#_kiDnlf*WC@=2|j`xkr@Ar;0=e4I:382Veb2;h=684>35`24d<6;h29wco752c58yVec2;h=684>35`24d<6;h297io855;300g77i3;8m5<4vU52>5<6280>8nu\cd81f3<2289?n<>n:01b<7=Q:ki1>v{>e282?p7b<3:0q)"6n:09n85m2c094?212<"5il09n?5Ub`8173=900ve5h50;&2b34=f78;a>h6n<0976g78;29 4`121o0b7>5$0d5>=c6854i9294?"6n?03i6`>f485?>o0m3:1(10e:m50;&2b3d=<1<7*>f78;a>h6n<0i76gl6;29?lde2900e:o50;&2b3f=81<7*>f78;a>h6n<0o76g>5383>>o1900;66g;f883>>o>l3:1(9;4?::k63f<722c>i>4?::kg7?6=3`?<57>5;h422?6=3`2=6=4+1g49<`=i9o?1=;54i8`94?"6n?03i6`>f4824>=n180;6)?i6;:f?k7a=3;>76g63;29 4`121o0b2:9j=<<72-;m:76j;o3e1?7632e>j:4?::m7bf<722e>;k4?::m6a3<722e>=l4?::m543<722e>n<4?::m66`<722e9=<4?:%3e2?47i2d:j847;:m143<72-;m:7;:m14a<72-;m:7h84?::m7a=<722e>?k4?::m602<722e>944?::)6fc<722e9;=4?::m6=2<722e>m>4?::m6g2<722eij7>5;c;3>5<6290;w)N5io1b=k<50;&1fg<6n;1/=h?5279'5c2=:?10qooi:181>5<7s-8in7l6;I0bb>o6=10;6)=h9o;1<7*=bc82b4=Q:kn1=vB=bg82Sb52;q/=k651g38R4362;q/:4<729q/>ol51g08L7ga3`;m>7>5$3`a>4`53-;n=7<=;%3e0?4532wimh4?:383>5}#:kh1n45G2`d8m43?290/>ol514:8?j7a93:1(?lm:0d2?S4el3;p@?li:0yU`7<5s-;m47?i1:T214<5s-<:i7h4$73g>c=zutw0qo6m:182>5<7s-8in7?i2:J1ec=n9o81<7*=bc82b7=#9l;1>=5+1g6965=f09U6gb=9rF9nk4>{Wf1>7}#9o21=k?4V072>7}#>8o1j6*91e8e?x{zu2wi444?:083>5}#:kh1=k<4H3ce?l7a:3:1(?lm:0d1?!7b93;m7)?i4;3e?>{eij0;6?4?:1y'6gd=j01C>lh4i07;>5<#:kh1=864;n3e5?6=,;hi6;W0a`?7|D;hm65081!06m3l0(;?k:g9~yx{6=4>:183!4ej3;m>6F=ag9j5c4=83.9no4>f39'5`7=9l1/=k:51d98yggf29096=4?{%0af?d>3A8jj6g>5983>!4ej3;>465`1g394?"5jk0:j<5Y2cf95~J5jo0:w[j=:3y'5c>=9o;0Z<;>:3y'24c=n2.==i4i;|~y>{e0:0;6<4?:1y'6gd=9o80D?oi;h3e6?6=,;hi66290:6=4?{%0af?7a:2B9mk5f1g094?"5jk0:j?5+1d395f=#9o>1=n54}cc;>5<5290;w)oj51zN1fc<6s_n96?u+1g:95c7<^8?:6?u+60g9b>"19m0m7psr}:a3c<7280;6=u+2c`95c4<@;km7d?i2;29 7de28l97)?j1;3a?!7a<3;i76sma683>7<729q/>ol5b89K6d`<3f;m=7>5$3`a>4`63_8ih7?tL3`e>4}Ql;09w)?i8;3e5>P6=809w)8>e;d8 37c2o1vqps4}c5g>5<6290;w)N5io1b=k<50;&1fg<6n;1/=h?51`9'5c2=9h10qoo9:181>5<7s-8in7l6;I0bb>o6=10;6)=h9o;1<7*=bc82b4=Q:kn1=vB=bg82Sb52;q/=k651g38R4362;q/:4<729q/>ol51g08L7ga3`;m>7>5$3`a>4`53-;n=7?8;%3e0?7032wim94?:383>5}#:kh1n45G2`d8m43?290/>ol514:8?j7a93:1(?lm:0d2?S4el3;p@?li:0yU`7<5s-;m47?i1:T214<5s-<:i7h4$73g>c=zutw0qo98:182>5<7s-8in7?i2:J1ec=n9o81<7*=bc82b7=#9l;1=;5+1g6953=f09U6gb=9rF9nk4>{Wf1>7}#9o21=k?4V072>7}#>8o1j6*91e8e?x{zu2wio:4?:083>5}#:kh1=k<4H3ce?l7a:3:1(?lm:0d1?!7b938?7)?i4;07?>{ek10;6?4?:1y'6gd=j01C>lh4i07;>5<#:kh1=864;n3e5?6=,;hi6;W0a`?7|D;hm65081!06m3l0(;?k:g9~yx{f09U6gb=9rF9nk4>{Wf1>7}#9o21=k?4V072>7}#>8o1n6*91e8a?x{zu2wiol4?:383>5}#:kh1n45G2`d8m43?290/>ol514:8?j7a93:1(?lm:0d2?M4ei2\9ni4>{M0ab?7|^m81>v*>f982b4=Q9<;1>v*91d8a?!06l3h0qpsr;|`ag?6=93:1:318 4`32;907plmd;296?6=8r.9no4m9:J1ec=n9<21<7*=bc821==47>5$3`a>43?32e:j<4?:%0af?7a92B9nl5Y2cf95~J5jo0:w[j=:3y'5c>=9o;0Z<;>:3y'24c=j2.==i4m;|~y>{e?k0;6<4?:1y'6gd=9o80D?oi;h3e6?6=,;hi619854}cc1>5<5290;w)oj51zN1fc<6s_n96?u+1g:95c7<^8?:6?u+60g9b>"19m0m7psr}:a36<7280;6=u+2c`95c4<@;km7d?i2;29 7de28l97)?j1;6g?!7a<3>o76sma083>7<729q/>ol5b89K6d`<3f;m=7>5$3`a>4`63_8ih7?tL3`e>4}Ql;09w)?i8;3e5>P6=809w)8>e;d8 37c2o1vqps4}c367?6=93:1:3:8 4`32;207pl>5583>7<729q/>ol5b89K6d`<3f;m=7>5$3`a>4`63_8ih7?tL3`e>4}Ql;09w)?i8;3e5>P6=809w)8>e;`8 37c2k1vqps4}c361?6=:3:1N5io1b=8650;&1fg<6=110c:18'6gd=9o;0D?ln;W0a`?7|D;hm65081!06m3h0(;?k:c9~yx{51;294~"5jk0:j?5G2`d8m4`5290/>ol51g08 4c62;k0(lh4i07;>5<#:kh1=864;n3e5?6=,;hi6;W0a`?7|D;hm65081!06m3l0(;?k:g9~yx{mm7>51;294~"5jk0:j?5G2`d8m4`5290/>ol51g08 4c62;n0(lh4i07;>5<#:kh1=864;n3e5?6=,;hi6;W0a`?7|D;hm65081!06m3l0(;?k:g9~yx{:183!4ej3;m>6F=ag9j5c4=83.9no4>f39'5`7=<91/=k:54198ygd129096=4?{%0af?d>3A8jj6g>5983>!4ej3;>465`1g394?"5jk0:j<5Y2cf95~J5jo0:w[j=:3y'5c>=9o;0Z<;>:3y'24c=n2.==i4i;|~y>{ei90;6<4?:1y'6gd=9o80D?oi;h3e6?6=,;hi650z&1fg<6n;1C>lh4i0d1>5<#:kh1=k<4$0g2>1?<,8l?6974;|`66a<72;0;6=u+2c`9f<=O:hl0e<;7:18'6gd=9<207b?i1;29 7de28l:7[7>50z&1fg5<#:kh1=k?4V3`g>4}K:kl1=vXk2;0x 4`?28l:7[?:1;0x 37b2o1/::183!4ej3;m>6F=ag9j5c4=83.9no4>f39'5`7=7>50z&1fg5<#:kh1=k?4V3`g>4}K:kl1=vXk2;0x 4`?28l:7[?:1;0x 37b2o1/::183!4ej3;m>6F=ag9j5c4=83.9no4>f39'5`7=:j1/=k:52b98yg30m3:1>7>50z&1fg5<#:kh1=k?4V3`g>4}K:kl1=vXk2;0x 4`?28l:7[?:1;0x 37b2o1/::183!4ej3;m>6F=ag9j5c4=83.9no4>f39'5`7=:l1/=k:52d98yg3b=3:1>7>50z&1fg5<#:kh1=k?4V3`g>4}K:kl1=vXk2;0x 4`?28l:7[?:1;0x 37b2o1/:1<7?50;2x 7de28l97E3A8jj6g>5983>!4ej3;>465`1g394?"5jk0:j<5Y2cf95~J5jo0:w[j=:3y'5c>=9o;0Z<;>:3y'24c=n2.==i4i;|~y>{e=>k1<7?50;2x 7de28l97E3A8jj6g>5983>!4ej3;>465`1g394?"5jk0:j<5Y2cf95~J5jo0:w[j=:3y'5c>=9o;0Z<;>:3y'24c=n2.==i4i;|~y>{e>8=1<7?50;2x 7de28l97E3A8jj6g>5983>!4ej3;>465`1g394?"5jk0:j<5Y2cf95~J5jo0:w[j=:3y'5c>=9o;0Z<;>:3y'24c=n2.==i4i;|~y>{e0>0;6<4?:1y'6gd=9o80D?oi;h3e6?6=,;hi61?>54}c`6>5<5290;w)oj51zN1fc<6s_n96?u+1g:95c7<^8?:6?u+60g9b>"19m0m7psr}:a=7<7280;6=u+2c`95c4<@;km7d?i2;29 7de28l97)?j1;7a?!7a<3?i76smb183>7<729q/>ol5b89K6d`<3f;m=7>5$3`a>4`63_8ih7?tL3`e>4}Ql;09w)?i8;3e5>P6=809w)8>e;d8 37c2o1vqps4}c;7>5<6290;w)N5io1b=k<50;&1fg<6n;1/=h?55b9'5c2==j10qol>:181>5<7s-8in7l6;I0bb>o6=10;6)=h9o;1<7*=bc82b4=Q:kn1=vB=bg82Sb52;q/=k651g38R4362;q/:4<729q/>ol51g08L7ga3`;m>7>5$3`a>4`53-;n=7;k;%3e0?3c32win?4?:383>5}#:kh1n45G2`d8m43?290/>ol514:8?j7a93:1(?lm:0d2?S4el3;p@?li:0yU`7<5s-;m47?i1:T214<5s-<:i7h4$73g>c=zutw0qo77:182>5<7s-8in7?i2:J1ec=n9o81<7*=bc82b7=#9l;1><5+1g6964=f09U6gb=9rF9nk4>{Wf1>7}#9o21=k?4V072>7}#>8o1j6*91e8e?x{zu2wi5l4?:083>5}#:kh1=k<4H3ce?l7a:3:1(?lm:0d1?!7b938i7)?i4;0a?>{ej=0;6?4?:1y'6gd=j01C>lh4i07;>5<#:kh1=864;n3e5?6=,;hi6;W0a`?7|D;hm65081!06m3l0(;?k:g9~yx{51;294~"5jk0:j?5G2`d8k4`5290/>ol51g08 4c62=20(0;6>4?:1y'6gd=:hn0D?oi;h3646X>5081!06m3n0(;?k:e9~yx{P5jm0:wA3=zutw0c:18'6gd=9o;0Z?lk:0yO6g`=9r\o>74`63_;>=73=#>8n1:6sr}|9~f1`c290:6=4?{%0af?7a:2B9mk5`1g094?"5jk0:j?5+1d390c=#9o>18k54}c6eg?6=;3:1743?3_;>=7a=#>8n1h6sr}|9jgc<72-8in7mi;W0a`?7|D;hm65<7s-8in77f`<^8?:6?u+60g92>"19m0=7psr}:m2b4<72-8in7?i1:T1fa<6sE8ij7?tVe096~"6n10:j<5Y14396~"19l0=7)8>d;48yx{z3th>i:4?:083>5}#:kh1=k<4H3ce?j7a:3:1(?lm:0d1?!7b93>n7)?i4;6f?>{e=l<1<7=50;2x 7de2;ko7Ed;f8yx{z3`im6=4+2c`9gc=Q:kn1=vB=bg82Sb52;q/=k65cg9U507=:r.==h49;%42`?050z&1fg<6n;1C>lh4o0d1>5<#:kh1=k<4$0g2>10<,8l?6984;|`65d<72;0;6=u+2c`9f<=O:hl0e<;7:18'6gd=9<207b?i1;29 7de28l:7[50z&1fg<6n;1C>lh4o0d1>5<#:kh1=k<4$0g2>0?<,8l?6874;|`543<72;0;6=u+2c`9f<=O:hl0e<;7:18'6gd=9<207b?i1;29 7de28l:7[50z&1fg<6n;1C>lh4o0d1>5<#:kh1=k<4$0g2>14<,8l?69<4;|`6f4<72;0;6=u+2c`9f<=O:hl0e<;7:18'6gd=9<207b?i1;29 7de28l:7[50z&1fg<6n;1C>lh4o0d1>5<#:kh1=k<4$0g2>11<,8l?6994;|`66`<72;0;6=u+2c`9f<=O:hl0e<;7:18'6gd=9<207b?i1;29 7de28l:7[50z&1fg<6n;1C>lh4o0d1>5<#:kh1=k<4$0g2>63<,8l?6>;4;|`154<72;0;6=u+2c`9f<=O:hl0e<;7:18'6gd=9<207b?i1;29 7de28l:7[50z&1fg<6n;1C>lh4o0d1>5<#:kh1=k<4$0g2>6d<,8l?6>l4;|`143<72;0;6=u+2c`9f<=O:hl0e<;7:18'6gd=9<207b?i1;29 7de28l:7[50z&1fg<6n;1C>lh4o0d1>5<#:kh1=k<4$0g2>6b<,8l?6>j4;|`14=<72;0;6=u+2c`9f<=O:hl0e<;7:18'6gd=9<207b?i1;29 7de28l:7[50z&1fg<6n;1C>lh4o0d1>5<#:kh1=k<4$0g2>6?<,8l?6>74;|`14a<72;0;6=u+2c`9f<=O:hl0e<;7:18'6gd=9<207b?i1;29 7de28l:7[50z&1fg<6n;1C>lh4o0d1>5<#:kh1=k<4$0g2>61<,8l?6>94;|`14c<72;0;6=u+2c`9f<=O:hl0e<;7:18'6gd=9<207b?i1;29 7de28l:7[50z&1fg<6n;1C>lh4o0d1>5<#:kh1=k<4$0g2>62<,8l?6>:4;|`156<72;0;6=u+2c`9f<=O:hl0e<;7:18'6gd=9<207b?i1;29 7de28l:7[3:1=7>50z&1fg<6n;1C>lh4o0d1>5<#:kh1=k<4$0g2>60<,8l?6>84;|`150<72;0;6=u+2c`9f<=O:hl0e<;7:18'6gd=9<207b?i1;29 7de28l:7[50z&1fg<6n;1C>lh4o0d1>5<#:kh1=k<4$0g2>6><,8l?6>64;|`152<72;0;6=u+2c`9f<=O:hl0e<;7:18'6gd=9<207b?i1;29 7de28l:7[50z&1fg<6n;1C>lh4o0d1>5<#:kh1=k<4$0g2>6g<,8l?6>o4;|`15<<72;0;6=u+2c`9f<=O:hl0e<;7:18'6gd=9<207b?i1;29 7de28l:7[50z&1fg<6n;1C>lh4o0d1>5<#:kh1=k<4$0g2>6e<,8l?6>m4;|`15g<72;0;6=u+2c`9f<=O:hl0e<;7:18'6gd=9<207b?i1;29 7de28l:7[50z&1fg<6n;1C>lh4o0d1>5<#:kh1=k<4$0g2>6c<,8l?6>k4;|`15a<72;0;6=u+2c`9f<=O:hl0e<;7:18'6gd=9<207b?i1;29 7de28l:7[93:1=7>50z&1fg<6n;1C>lh4o0d1>5<#:kh1=k<4$0g2>64<,8l?6><4;|`6=5<72;0;6=u+2c`9f<=O:hl0e<;7:18'6gd=9<207b?i1;29 7de28l:7[3:1=7>50z&1fg<6n;1C>lh4o0d1>5<#:kh1=k<4$0g2>7`<,8l?6?h4;|`6`0<72;0;6=u+2c`9f<=O:hl0e<;7:18'6gd=9<207b?i1;29 7de28l:7[50z&1fg<6n;1C>lh4o0d1>5<#:kh1=k<4$0g2>07<,8l?68?4;|`7a=<72;0;6=u+2c`9f<=O:hl0e<;7:18'6gd=9<207b?i1;29 7de28l:7[50z&1fg<6n;1C>lh4o0d1>5<#:kh1=k<4$0g2>67<,8l?6>?4;|`67c<72;0;6=u+2c`9f<=O:hl0e<;7:18'6gd=9<207b?i1;29 7de28l:7[50z&1fg<6n;1C>lh4o0d1>5<#:kh1=k<4$0g2>7?<,8l?6?74;|`602<72;0;6=u+2c`9f<=O:hl0e<;7:18'6gd=9<207b?i1;29 7de28l:7[50z&1fg<6n;1C>lh4o0d1>5<#:kh1=k<4$0g2>04<,8l?68<4;|`61<<72;0;6=u+2c`9f<=O:hl0e<;7:18'6gd=9<207b?i1;29 7de28l:7[50z&1fg<6n;1C>lh4+0d1>5<#:kh1=k<4$0g2>01<,8l?6894;|`6fc<72:0;6=u+2c`96db<@;km7d?:8;29 7de28?37[5081!06m3<0(;?k:79~yx{2wvqp5rb32`>5<6290;w)N5io1d=k<50;&1fg<6n;1/=h?5409'5c2=<810qo5<6290;w)N5io1d=k<50;&1fg<6n;1/=h?5519'5c2==910qo:jf;296?6=8r.9no4m9:J1ec=n9<21<7*=bc821==5<6290;w)N5io1d=k<50;&1fg<6n;1/=h?5529'5c2==:10qo;?b;296?6=8r.9no4m9:J1ec=n9<21<7*=bc821==5<6290;w)N5io1d=k<50;&1fg<6n;1/=h?5579'5c2==?10qo;96;296?6=8r.9no4m9:J1ec=n9<21<7*=bc821==5<6290;w)N5io1d=k<50;&1fg<6n;1/=h?5559'5c2===10qo;80;296?6=8r.9no4m9:J1ec=n9<21<7*=bc821==5<6290;w)N5io1d=k<50;&1fg<6n;1/=h?5429'5c2=<:10qo;67;296?6=8r.9no4m9:J1ec=n9<21<7*=bc821==5<6290;w)N5io1d=k<50;&1fg<6n;1/=h?5459'5c2=<=10qo;n3;296?6=8r.9no4m9:J1ec=n9<21<7*=bc821==5<6290;w)N5io1d=k<50;&1fg<6n;1/=h?5319'5c2=;910qo;l7;296?6=8r.9no4m9:J1ec=n9<21<7*=bc821==i6n80;6)P5jm0:wAf09U507=:r.==h4k;%42`?b7<729q/>ol5b89K6d`<3f;m=7>5$3`a>4`63_8ih7?tL3`e>4}Ql;09w)?i8;3e5>P6=809w)8>e;48 37c2?1vqps4}c7e=?6=:3:1N5io1b=8650;&1fg<6=110c:18'6gd=9o;0Z?lk:0yO6g`=9r\o>74`63_;>=73=#>8n1:6sr}|9~f0`f29096=4?{%0af?d>3A8jj6g>5983>!4ej3;>465`1g394?"5jk0:j<5Y2cf95~J5jo0:w[j=:3y'5c>=9o;0Z<;>:3y'24c=>2.==i49;|~y>{e=oi1<7<50;2x 7de2k30D?oi;h36d;48yx{z3th=<84?:283>5}#:kh1>o64H3ce?l7213:1(?lm:07;?S4el3;p@?li:0yU`7<5s-;m47?:9:T214<5s-<:i784$73g>3=zutw0e<;n:18'6gd=9<20Z?lk:0yO6g`=9r\o>743f3_;>=7c=#>8n1j6sr}|9l5c7=83.9no4>f09U6gb=9rF9nk4>{Wf1>7}#9o21=k?4V072>7}#>8o1:6*91e85?x{zu2wi:==50;494?6|,;hi6<;9;I0bb>"6?00:86g>5983>!4ej3;>46X=be82I4en3;pZ=9<20Z<;>:3y'24c=>2.==i49;|~y>odj3:1(?lm:b`8R7dc28qG>oh51zT2a5<5s-;m47mm;W365?4|,?;n6;5+60f92>{zut1bon4?:%0af?ed3_8ih74}Q9l:1>v*>f98`g>P6=809w)8>e;:8 37c211vqpB=bd87S4fk39pZi>52z&55f599'7c6=>8o0q)8nd;g8yS4fk39pZi>52z&55f599'7c6=>8n0q)8nd;g8yS4fk39pZi>52z&55fe:'2db=9:1vqp5f2``94?"5jk09mo5Y2cf96~J5jo0:w[?j0;0x 4`?2;ki7[?:1;0x 37b2880(;?k:008yx{K:ko1=vX=b581Sb72;q/:{zu2c95n4?:%0af?4>k2\9ni4={M0ab?7|^8o;6?u+1g:968n1=?5r}|N1f`<6s_8i87{e9<=1<7?50;2x 7de2;i97E743>3_;>=73=#>8n1:6sr}|9j50g=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=8o4V072>7}#>8o1:6*91e85?x{zu2e:j<4?:%0af?7a92\9ni4>{M0ab?7|^m81>v*>f982b4=Q9<;1>v*91d85?!06l3<0qpsr;|`6b`<7280;6=u+2c`96f4<@;km7b?i1;29 7de28l:76sm61294?0=83:p(?lm:628L7ga3`;>57>5$3`a>43?3A8im6X=be82I4en3;pZi<52z&2b=<6=01]=8?52z&55`<692.==i4>1:~yx=n9743f3_;>=747<,?;o6{M0ab?7|^m81>v*>f98220=Q9<;1>v*91d825>"19m0:=6sr}|9j526=83.9no4>599K6gg<^;ho6P5jm0:wA729U507=:r.==h4>1:&55a<692wvqp5`1g394?"5jk0:j<5Y2cf95~J5jo0:w[j=:3y'5c>=9o;0Z<;>:3y'24c=>2.==i49;|~y>{e>9;1<7650;2x 7de2>:0D?oi;h36=?6=,;hi6<;7;W0a`?7|D;hm656X>5081!06m3;:7)8>d;32?x{zu2c:9l4?:%0af?7202\9ni4>{M0ab?7|^m81>v*>f9821d=Q9<;1>v*91d825>"19m0:=6sr}|9j533=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=;;4V072>7}#>8o1=<5+60f954=zutw0e<9?:18'6gd=9<20D?ln;W0a`?7|D;hm65081!06m3;:7)8>d;32?x{zu2c:;>4?:%0af?7202B9nl5Y2cf95~J5jo0:w[j=:3y'5c>=9>90Z<;>:3y'24c=981/:<^;ho65081!06m3;:7)8>d;32?x{zu2e:j<4?:%0af?7a92\9ni4>{M0ab?7|^m81>v*>f982b4=Q9<;1>v*91d85?!06l3<0qpsr;|`6bc<72:0;6=u+2c`95c6<@;km7d?:9;29 7de28?37[ol514:8R7dc28qG>oh51zTg6?4|,8l36<;n;W365?4|,?;n6;5+60f92>{zut1d=k?50;&1fg<6n81]>oj51zN1fc<6s_n96?u+1g:95c7<^8?:6?u+60g92>"19m0=7psr}:a252=8391<7>t$3`a>26<@;km7d?:9;29 7de28?37Ed;;8yx{z3`;>m7>5$3`a>43?3A8im6X=be82I4en3;pZi<52z&2b=<6=h1]=8?52z&55`<>3-<:h774}|~?j7a93:1(?lm:0d2?S4el3;p@?li:0yU`7<5s-;m47?i1:T214<5s-<:i784$73g>3=zutw0qo;?0;296?6=8r.9no4m9:J1ec=n9<21<7*=bc821==2wvqp5rb5df>5<5290;w)oj51zN1fc<6s_n96?u+1g:95c7<^8?:6?u+60g92>"19m0=7psr}:a0c`=8381<7>t$3`a>g?<@;km7d?:8;29 7de28?376a>f083>!4ej3;m=6X=be82I4en3;pZi<52z&2b=<6n81]=8?52z&55`<13-<:h784}|~?xd2880;6?4?:1y'6gd=j01C>lh4i07;>5<#:kh1=864;n3e5?6=,;hi6;W0a`?7|D;hm65081!06m3<0(;?k:79~yx{56;294~"5jk0:9;5G2`d8 41>28>0e<;7:18'6gd=9<20Z?lk:0yO6g`=9r\:i=4={%3e7}#9o21oo5Y14396~"19l0=7)8>d;48yx{z3`ih6=4+2c`9gf=Q:kn1>vB=bg82S7b838p(fe<,:l;6;?j;|Tg5?4|,?;h6<;7;%1e4?06m2w/:lj5e:U6de=;r\o<7fe<,:l;6;?j;|Tg5?4|,?;h6<;7;%1e4?06l2w/:lj5e:U6de=;r\o<7fe<,:l;6;?j;|Tg5?4|,?;h6nl4$2d3>37b3t.=mi48;|T2b2<5s_n;6?u+60a9gf=#;o:1:52z&2b=<5ik1]=8?52z&55`<6:2.==i4>2:~yI4em3;pZ?l;:3yU`5<5s-<:o7P6=809w)8>e;31?!06l3;97psrL3`f>4}Q:k>1>vXk0;0x 37d2;3h7)=i0;42a>{#>hn1=?5r}|9l5c7=83.9no4>f098yg37:3:1?7>50z&1fg<5j11C>lh4i07:>5<#:kh1=864V3`g>4}K:kl1=vXk2;0x 4`?28?27[?:1;0x 37b2?1/:f083>!4ej3;m=6X=be82I4en3;pZi<52z&2b=<6n81]=8?52z&55`<13-<:h784}|~?xd28:0;6<4?:1y'6gd=:j80D?oi;n3e5?6=,;hi6;:a153=83<1<7>t$3`a>26<@;km7d?:9;29 7de28?37E{zut1b=8o50;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:950g<^8?:6?u+60g954=#>8n1=<5r}|8m402290/>ol514:8L7df3_8ih7?tL3`e>4}Ql;09w)?i8;351>P6=809w)8>e;32?!06l3;:7psr}:k235<72-8in7?:8:J1fd=Q:kn1=vB=bg82Sb52;q/=k651628R4362;q/:5<#:kh1=864H3`b?S4el3;p@?li:0yU`7<5s-;m47?83:T214<5s-<:i7?>;%42`?763twvq6a>f083>!4ej3;m=6X=be82I4en3;pZi<52z&2b=<6n81]=8?52z&55`<13-<:h784}|~?xd28?0;6;4?:1y'6gd=?91C>lh4i07:>5<#:kh1=864H3`b?S4el3;p@?li:0yU`7<5s-;m47?:9:T214<5s-<:i7?>;%42`?763twvq6g>5`83>!4ej3;>46F=b`9U6gb=9rF9nk4>{Wf1>7}#9o21=8o4V072>7}#>8o1=<5+60f954=zutw0e<8::18'6gd=9<20D?ln;W0a`?7|D;hm65081!06m3;:7)8>d;32?x{zu2c:;=4?:%0af?7202B9nl5Y2cf95~J5jo0:w[j=:3y'5c>=9>:0Z<;>:3y'24c=981/:<@;hj7[;|~y>i6n80;6)P5jm0:wAf09U507=:r.==h49;%42`?02<729q/>ol5719K6d`<@;hj7[;|~y>o6=h0;6)N5jh1]>oj51zN1fc<6s_n96?u+1g:950g<^8?:6?u+60g954=#>8n1=<5r}|8m402290/>ol514:8L7df3_8ih7?tL3`e>4}Ql;09w)?i8;351>P6=809w)8>e;32?!06l3;:7psr}:k235<72-8in7?:8:J1fd=Q:kn1=vB=bg82Sb52;q/=k651628R4362;q/:5<#:kh1=864H3`b?S4el3;p@?li:0yU`7<5s-;m47?83:T214<5s-<:i7?>;%42`?763twvq6g>7583>!4ej3;>46F=b`9U6gb=9rF9nk4>{Wf1>7}#9o21=::4V072>7}#>8o1=<5+60f954=zutw0c:18'6gd=9o;0Z?lk:0yO6g`=9r\o>74`63_;>=73=#>8n1:6sr}|9~f063290?6=4?{%0af?7a82B9mk5f14;94?"5jk0:955Y2cf95~J5jo0:w[j=:3y'5c>=9<30Z<;>:3y'24c=>2.==i49;|~y>o6=h0;6)P5jm0:wA5`9U507=:r.==h49;%42`?0ol51g38R7dc28qG>oh51zTg6?4|,8l36;W365?4|,?;n6;5+60f92>{zut1vn8>n:180>5<7s-8in79?;I0bb>o6=00;6)N5jh1]>oj51zN1fc<6s_n96?u+1g:950?<^8?:6?u+60g9=>"19m027psr}:k21d<72-8in7?:8:J1fd=Q:kn1=vB=bg82Sb52;q/=k6514c8R4362;q/:3twvq6a>f083>!4ej3;m=6X=be82I4en3;pZi<52z&2b=<6n81]=8?52z&55`<13-<:h784}|~?xd20:0;6?4?:1y'6gd=j01C>lh4i07;>5<#:kh1=864;n3e5?6=,;hi6;W0a`?7|D;hm65081!06m3<0(;?k:79~yx{52;294~"5jk0i56F=ag9j50>=83.9no4>5998k4`6290/>ol51g38R7dc28qG>oh51zTg6?4|,8l36;W365?4|,?;n6;5+60f92>{zut1vn86=:181>5<7s-8in7l6;I0bb>o6=10;6)=h9o;1<7*=bc82b4=Q:kn1=vB=bg82Sb52;q/=k651g38R4362;q/:47>5$3`a>43?32e:j<4?:%0af?7a92\9ni4>{M0ab?7|^m81>v*>f982b4=Q9<;1>v*91d85?!06l3<0qpsr;|`6<`<72?0;6=u+2c`9500<@;km7)?89;37?l7203:1(?lm:07;?S4el3;p@?li:0yU5`6=:r.:j54>599U507=:r.==h49;%42`?0oj51zN1fc<6s_;n<7fd<^8?:6?u+60g92>"19m0=7psr}:k`g?6=,;hi6nm4V3`g>7}K:kl1=vX>e181!7a03ih7[?:1;0x 37b211/:pZ?ol:2yU`5<5s-<:o7ml;%1e4?06m2w]h<4={%42g?7202.8j=491d9~ 3gc2l1vZ?ol:2yU`5<5s-<:o7ml;%1e4?06m2w]h<4={%42g?7202.8j=491e9~ 3gc2l1vZ?ol:2yU`5<5s-<:o7ml;%1e4?06m2w]h<4={%42g?ee3-9m<78>e:'2db=?2w]=k952zTg4?4|,?;h6nm4$2d3>37b3t.=mi4>3:~y>o5ik0;6)P5jm09wA52z&55f<5ik1/?k>560g8y!0fl3;97psr;h0:g?6=,;hi6?7l;W0a`?4|D;hm66*91e826>{zuE8ii7?tV3`7>7}Ql909w)8>c;0:g>"4n90==h5r$7cg>44:18'6gd=9o;07pl:8483>6<729q/>ol52c:8L7ga3`;>57>5$3`a>43?3A8im6X=be82I4en3;pZi<52z&2b=<6=01]=8?52z&55`<13-<:h784}|~?l72i3:1(?lm:07;?S4el3;p@?li:0yU`7<5s-;m47?:a:T214<5s-<:i784$73g>3=zutw0c:18'6gd=9o;0Z?lk:0yO6g`=9r\o>74`63_;>=73=#>8n1:6sr}|9~f0>1290:6=4?{%0af?4d:2B9mk5`1g394?"5jk0:j<54}c7;N5io1b=8750;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:950?<^8?:6?u+60g92>"19m0=7psr}:k21d<72-8in7?:8:T1fa<6sE8ij7?tVe096~"6n10:9l5Y14396~"19l0=7)8>d;48yx{z3f;m=7>5$3`a>4`63_8ih7?tL3`e>4}Ql;09w)?i8;3e5>P6=809w)8>e;48 37c2?1vqps4}c7;=?6=;3:1N5io1b=8750;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:950?<^8?:6?u+60g954=#>8n1=<5r}|8m43f290/>ol514:8R7dc28qG>oh51zTg6?4|,8l36<;n;W365?4|,?;n64750z&1fg<082B9mk5f14;94?"5jk0:955Y2cf95~J5jo0:w[j=:3y'5c>=9<30Z<;>:3y'24c=981/:<^;ho6;W0a`?7|D;hm65081!06m3<0(;?k:79~yx{53;294~"5jk0<<6F=ag9j50?=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=874V072>7}#>8o1=<5+60f954=zutw0e<;n:18'6gd=9<20Z?lk:0yO6g`=9r\o>743f3_;>=747<,?;o63=zutw0qo;7c;290?6=8r.9no480:J1ec=n9<31<7*=bc821==Q:kn1=vB=bg82Sb52;q/=k6514;8R4362;q/:5<#:kh1=864V3`g>4}K:kl1=vXk2;0x 4`?28?j7[?:1;0x 37b28;0(;?k:038yx{z3`;=97>5$3`a>43?3A8im6X=be82I4en3;pZi<52z&2b=<6><1]=8?52z&55`<692.==i4>1:~yx=h9o;1<7*=bc82b4=Q:kn1=vB=bg82Sb52;q/=k651g38R4362;q/:{M0ab?7|^m81>v*>f9821<=Q9<;1>v*91d85?!06l3<0qpsr;h36e?6=,;hi6<;7;W0a`?7|D;hm6m6X>5081!06m3<0(;?k:79~yx{6=4+2c`950><^;ho62wvqp5f16294?"5jk0:955Y2cf95~J5jo0:w[j=:3y'5c>=9>:0Z<;>:3y'24c=>2.==i49;|~y>o6?:0;6)P5jm0:wA729U507=:r.==h49;%42`?050z&1fg<082B9mk5f14;94?"5jk0:955G2cc8R7dc28qG>oh51zTg6?4|,8l36<;6;W365?4|,?;n645+60f9=>{zut1b=8o50;&1fg<6=11C>oo4V3`g>4}K:kl1=vXk2;0x 4`?28?j7[?:1;0x 37b201/:47>5$3`a>43?32e:j<4?:%0af?7a92\9ni4>{M0ab?7|^m81>v*>f982b4=Q9<;1>v*91d85?!06l3<0qpsr;|`6a=<72;0;6=u+2c`9f<=O:hl0e<;7:18'6gd=9<207b?i1;29 7de28l:7[7>50z&1fg5<#:kh1=k?4V3`g>4}K:kl1=vXk2;0x 4`?28l:7[?:1;0x 37b2?1/:f09U6gb=9rF9nk4>{Wf1>7}#9o21=k?4V072>7}#>8o1:6*91e85?x{zu2wi9k;50;494?6|,;hi6<;9;I0bb>"6?00:86g>5983>!4ej3;>46X=be82I4en3;pZ=9<20Z<;>:3y'24c=>2.==i49;|~y>odj3:1(?lm:b`8R7dc28qG>oh51zT2a5<5s-;m47mm;W365?4|,?;n6;5+60f92>{zut1bon4?:%0af?ed3_8ih74}Q9l:1>v*>f98`g>P6=809w)8>e;:8 37c211vqpB=bd87S4fk39pZi>52z&55f599'7c6=>8o0q)8nd;g8yS4fk39pZi>52z&55f599'7c6=>8n0q)8nd;g8yS4fk39pZi>52z&55fe:'2db=9:1vqp5f2``94?"5jk09mo5Y2cf96~J5jo0:w[?j0;0x 4`?2;ki7[?:1;0x 37b2880(;?k:008yx{K:ko1=vX=b581Sb72;q/:{zu2c95n4?:%0af?4>k2\9ni4={M0ab?7|^8o;6?u+1g:968n1=?5r}|N1f`<6s_8i87{e=li1<7=50;2x 7de2;h37E5`83>!4ej3;>46X=be82I4en3;pZi<52z&2b=<6=h1]=8?52z&55`<13-<:h784}|~?j7a93:1(?lm:0d2?S4el3;p@?li:0yU`7<5s-;m47?i1:T214<5s-<:i784$73g>3=zutw0qo;jd;295?6=8r.9no4=c39K6d`ik4?:283>5}#:kh1;=5G2`d8m43>290/>ol514:8R7dc28qG>oh51zTg6?4|,8l36<;6;W365?4|,?;n6;5+60f92>{zut1b=8o50;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:950g<^8?:6?u+60g92>"19m0=7psr}:m2b4<72-8in7?i1:T1fa<6sE8ij7?tVe096~"6n10:j<5Y14396~"19l0=7)8>d;48yx{z3th>j=4?:283>5}#:kh1;=5G2`d8m43>290/>ol514:8R7dc28qG>oh51zTg6?4|,8l36<;6;W365?4|,?;n647;|~y>i6n80;6)P5jm0:wAf09U507=:r.==h49;%42`?06<729q/>ol5719K6d`<^;ho6m6X>5081!06m3;:7)8>d;32?x{zu2e:j<4?:%0af?7a92\9ni4>{M0ab?7|^m81>v*>f982b4=Q9<;1>v*91d85?!06l3<0qpsr;|`6b7<72:0;6=u+2c`935=O:hl0e<;6:18'6gd=9<20Z?lk:0yO6g`=9r\o>743>3_;>=747<,?;o6;%42`?763twvq6a>f083>!4ej3;m=6X=be82I4en3;pZi<52z&2b=<6n81]=8?52z&55`<13-<:h784}|~?xd2n:0;694?:1y'6gd=?91C>lh4i07:>5<#:kh1=864V3`g>4}K:kl1=vXk2;0x 4`?28?27[?:1;0x 37b28;0(;?k:038yx{z3`;>m7>5$3`a>43?3_8ih7?tL3`e>4}Ql;09w)?i8;36e>P6=809w)8>e;32?!06l3;:7psr}:k220<72-8in7?:8:J1fd=Q:kn1=vB=bg82Sb52;q/=k651778R4362;q/:5<#:kh1=k?4V3`g>4}K:kl1=vXk2;0x 4`?28l:7[?:1;0x 37b2?1/:599U6gb=9rF9nk4>{Wf1>7}#9o21=874V072>7}#>8o1:6*91e85?x{zu2c:9l4?:%0af?7202\9ni4>{M0ab?7|^m81>v*>f9821d=Q9<;1>v*91d85?!06l3<0qpsr;h351?6=,;hi6<;7;W0a`?7|D;hm65081!06m3<0(;?k:79~yx{<^;ho62wvqp5f16194?"5jk0:955Y2cf95~J5jo0:w[j=:3y'5c>=9>90Z<;>:3y'24c=>2.==i49;|~y>i6n80;6)P5jm0:wAf09U507=:r.==h49;%42`?06<729q/>ol5719K6d`<@;hj7[ol514:8L7df3_8ih7?tL3`e>4}Ql;09w)?i8;36e>P6=809w)8>e;;8 37c201vqps4o0d2>5<#:kh1=k?4V3`g>4}K:kl1=vXk2;0x 4`?28l:7[?:1;0x 37b2?1/:f09U6gb=9rF9nk4>{Wf1>7}#9o21=k?4V072>7}#>8o1:6*91e85?x{zu2wi>lo50;494?6|,;hi6<;9;I0bb>"6?00:86g>5983>!4ej3;>46X=be82I4en3;pZ=9<20Z<;>:3y'24c=>2.==i49;|~y>odj3:1(?lm:b`8R7dc28qG>oh51zT2a5<5s-;m47mm;W365?4|,?;n6;5+60f92>{zut1bon4?:%0af?ed3_8ih74}Q9l:1>v*>f98`g>P6=809w)8>e;:8 37c211vqpB=bd87S4fk39pZi>52z&55f599'7c6=>8o0q)8nd;g8yS4fk39pZi>52z&55f599'7c6=>8n0q)8nd;g8yS4fk39pZi>52z&55fe:'2db=9:1vqp5f2``94?"5jk09mo5Y2cf96~J5jo0:w[?j0;0x 4`?2;ki7[?:1;0x 37b2880(;?k:008yx{K:ko1=vX=b581Sb72;q/:{zu2c95n4?:%0af?4>k2\9ni4={M0ab?7|^8o;6?u+1g:968n1=?5r}|N1f`<6s_8i87{e:h<1<7=50;2x 7de2;h37Ed;48yx{z3`;>m7>5$3`a>43?3_8ih7?tL3`e>4}Ql;09w)?i8;36e>P6=809w)8>e;48 37c2?1vqps4o0d2>5<#:kh1=k?4V3`g>4}K:kl1=vXk2;0x 4`?28l:7[?:1;0x 37b2?1/::183!4ej38h>6F=ag9l5c7=83.9no4>f098yg4f03:187>50z&1fg<082B9mk5f14;94?"5jk0:955G2cc8R7dc28qG>oh51zTg6?4|,8l36<;6;W365?4|,?;n647{zut1b=;;50;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:9533<^8?:6?u+60g954=#>8n1=<5r}|8k4`6290/>ol51g38R7dc28qG>oh51zTg6?4|,8l36;W365?4|,?;n6;5+60f92>{zut1vn?o::180>5<7s-8in79?;I0bb>o6=00;6)N5jh1]>oj51zN1fc<6s_n96?u+1g:950?<^8?:6?u+60g954=#>8n1=<5r}|8m43f290/>ol514:8R7dc28qG>oh51zTg6?4|,8l36<;n;W365?4|,?;n6477>50z&1fg5<#:kh1=k?4V3`g>4}K:kl1=vXk2;0x 4`?28l:7[?:1;0x 37b2?1/::6F=ag9'52?=9=1b=8650;&1fg<6=11]>oj51zN1fc<6s_;n<743?3_;>=73=#>8n1:6sr}|9jgg<72-8in7mm;W0a`?7|D;hm65081!06m3<0(;?k:79~yx{P5jm09wAok54zT1ef<4s_n;6?u+60a9gf=#;o:1:7}#>8i1=864$2d3>37b3t.=mi4j;|T1ef<4s_n;6?u+60a9gf=#;o:1:7}#>8i1=864$2d3>37c3t.=mi4j;|T1ef<4s_n;6?u+60a9gf=#;o:1:7}#>8i1oo5+3g2924cv*91b8`g>"4n90==h5r$7cg>45o:52zTg4?4|,?;h6?om;%1e4?06m2w/:lj5139~yx=n:0i1<7*=bc81=f=Q:kn1>vB=bg82S7b838p(h?:73f?x"1im0:>6sr}:m2b4<72-8in7?i1:9~f7c129086=4?{%0af?4e02B9mk5f14;94?"5jk0:955Y2cf95~J5jo0:w[j=:3y'5c>=9<30Z<;>:3y'24c=>2.==i49;|~y>o6=h0;6)P5jm0:wA5`9U507=:r.==h49;%42`?050z&1fg<5k;1C>lh4o0d2>5<#:kh1=k?4;|`1a<<72:0;6=u+2c`935=O:hl0e<;6:18'6gd=9<20Z?lk:0yO6g`=9r\o>743>3_;>=73=#>8n1:6sr}|9j50g=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=8o4V072>7}#>8o1:6*91e85?x{zu2e:j<4?:%0af?7a92\9ni4>{M0ab?7|^m81>v*>f982b4=Q9<;1>v*91d85?!06l3<0qpsr;|`1ad<72:0;6=u+2c`935=O:hl0e<;6:18'6gd=9<20Z?lk:0yO6g`=9r\o>743>3_;>=73=#>8n1:6sr}|9j50g=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=8o4V072>7}#>8o1:6*91e85?x{zu2e:j<4?:%0af?7a92\9ni4>{M0ab?7|^m81>v*>f982b4=Q9<;1>v*91d85?!06l3<0qpsr;|`1ag<72:0;6=u+2c`935=O:hl0e<;6:18'6gd=9<20Z?lk:0yO6g`=9r\o>743>3_;>=747<,?;o6{M0ab?7|^m81>v*>f9821d=Q9<;1>v*91d825>"19m0:=6sr}|9l5c7=83.9no4>f09U6gb=9rF9nk4>{Wf1>7}#9o21=k?4V072>7}#>8o1:6*91e85?x{zu2wi>hm50;694?6|,;hi6:>4H3ce?l7213:1(?lm:07;?M4ei2\9ni4>{M0ab?7|^m81>v*>f9821<=Q9<;1>v*91d825>"19m0:=6sr}|9j50g=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=8o4V072>7}#>8o1=<5+60f954=zutw0e<8::18'6gd=9<20D?ln;W0a`?7|D;hm65081!06m3;:7)8>d;32?x{zu2e:j<4?:%0af?7a92\9ni4>{M0ab?7|^m81>v*>f982b4=Q9<;1>v*91d85?!06l3<0qpsr;|`1aa<72=0;6=u+2c`935=O:hl0e<;6:18'6gd=9<20D?ln;W0a`?7|D;hm656X>5081!06m3;:7)8>d;32?x{zu2c:9l4?:%0af?7202\9ni4>{M0ab?7|^m81>v*>f9821d=Q9<;1>v*91d825>"19m0:=6sr}|9j533=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=;;4V072>7}#>8o1=<5+60f954=zutw0c:18'6gd=9o;0Z?lk:0yO6g`=9r\o>74`63_;>=73=#>8n1:6sr}|9~f7cb290?6=4?{%0af?173A8jj6g>5883>!4ej3;>46F=b`9U6gb=9rF9nk4>{Wf1>7}#9o21=874V072>7}#>8o1=<5+60f954=zutw0e<;n:18'6gd=9<20Z?lk:0yO6g`=9r\o>743f3_;>=747<,?;o6;%42`?763twvq6a>f083>!4ej3;m=6X=be82I4en3;pZi<52z&2b=<6n81]=8?52z&55`<13-<:h784}|~?xd5mo0;694?:1y'6gd=?91C>lh4i07:>5<#:kh1=864H3`b?S4el3;p@?li:0yU`7<5s-;m47?:9:T214<5s-<:i7?>;%42`?763twvq6g>5`83>!4ej3;>46X=be82I4en3;pZi<52z&2b=<6=h1]=8?52z&55`<692.==i4>1:~yx=n9??1<7*=bc821==Q:kn1=vB=bg82Sb52;q/=k651778R4362;q/:5<#:kh1=k?4V3`g>4}K:kl1=vXk2;0x 4`?28l:7[?:1;0x 37b2?1/:599U6gb=9rF9nk4>{Wf1>7}#9o21=874V072>7}#>8o1:6*91e85?x{zu2c:9l4?:%0af?7202\9ni4>{M0ab?7|^m81>v*>f9821d=Q9<;1>v*91d85?!06l3<0qpsr;h351?6=,;hi6<;7;W0a`?7|D;hm65081!06m3<0(;?k:79~yx{<^;ho62wvqp5f16194?"5jk0:955Y2cf95~J5jo0:w[j=:3y'5c>=9>90Z<;>:3y'24c=>2.==i49;|~y>o6?=0;6)P5jm0:wA759U507=:r.==h49;%42`?0ol51g38R7dc28qG>oh51zTg6?4|,8l36;W365?4|,?;n6;5+60f92>{zut1vn?7k:181>5<7s-8in7l6;I0bb>o6=10;6)=h9o;1<7*=bc82b4=Q:kn1=vB=bg82Sb52;q/=k651g38R4362;q/:4:k21=<72-8in7?:8:T1fa<6sE8ij7?tV0g3>7}#9o21=864V072>7}#>8o1:6*91e85?x{zu2chn7>5$3`a>fd<^;ho62wvqp5fcb83>!4ej3ih7[52z&2b=<,?;o655r}|N1f`<3s_8jo7=tVe296~"19j0ho6*v*91b821==#;o:1:v*91b821==#;o:1:v*91b8`f>"4n90==h5r$7cg>`=z^8l<6?uYd181!06k3ih7)=i0;42a>{#>hn1=>5r}|9j6dd=83.9no4=ac9U6gb=:rF9nk4>{W3f4?4|,8l36?om;W365?4|,?;n6<<4$73g>44ok51zT1f1<5s_n;6?u+60a96dd<,:l;6;?j;|&5ea<6:2wvq6g=9b83>!4ej382o6X=be81I4en3;pZ=:0i0Z<;>:3y'24c=9;1/:8o0q)8nd;31?x{z3f;m=7>5$3`a>4`632wi>4h50;194?6|,;hi6?l7;I0bb>o6=00;6)N5jh1]>oj51zN1fc<6s_n96?u+1g:950?<^8?:6?u+60g92>"19m0=7psr}:k21d<72-8in7?:8:T1fa<6sE8ij7?tVe096~"6n10:9l5Y14396~"19l0=7)8>d;48yx{z3f;m=7>5$3`a>4`63_8ih7?tL3`e>4}Ql;09w)?i8;3e5>P6=809w)8>e;48 37c2?1vqps4}c0b4?6=93:1:18'6gd=9o;07pl=a083>0<729q/>ol5719K6d`<^;ho6P5jm0:wA5`9U507=:r.==h4>1:&55a<692wvqp5f17794?"5jk0:955Y2cf95~J5jo0:w[j=:3y'5c>=9??0Z<;>:3y'24c=981/:<@;hj7[;|~y>i6n80;6)P5jm0:wAf09U507=:r.==h49;%42`?06<729q/>ol5719K6d`<@;hj7[;|~y>o6=h0;6)P5jm0:wA5`9U507=:r.==h4>1:&55a<692wvqp5`1g394?"5jk0:j<5Y2cf95~J5jo0:w[j=:3y'5c>=9o;0Z<;>:3y'24c=>2.==i49;|~y>{e;921<7<50;2x 7de2k30D?oi;h36d;48yx{z3th8==4?:383>5}#:kh1n45G2`d8m43?290/>ol514:8?j7a93:1(?lm:0d2?S4el3;p@?li:0yU`7<5s-;m47?i1:T214<5s-<:i784$73g>3=zutw0qo=>5;292?6=8r.9no4>579K6d`<,8=26<:4i07;>5<#:kh1=864V3`g>4}K:kl1=vX>e181!7a03;>46X>5081!06m3<0(;?k:79~yx{P5jm0:wAoj52zN1fc<6s_;n<7fe<^8?:6?u+60g9<>"19m037psrL3`f>1}Q:hi1?vXk0;0x 37d2ji0(>h?:73f?xPc938p(;?l:07;?!5a83<:i6s+6`f9a>{Q:hi1?vXk0;0x 37d2ji0(>h?:73f?xPc938p(;?l:07;?!5a83<:h6s+6`f9a>{Q:hi1?vXk0;0x 37d2ji0(>h?:73f?xPc938p(;?l:b`8 6`72?;n7p*9ae84?xP6n>09w[j?:3y'24e=kj1/?k>560g8y!0fl3;87psr;h0bf?6=,;hi6?om;W0a`?4|D;hm66*91e826>{zuE8ii7?tV3`7>7}Ql909w)8>c;0bf>"4n90==h5r$7cg>44k2\:9<4={%42a?753-<:h7?=;|~H7db28q]>o:52zTg4?4|,?;h6?7l;%1e4?06m2w/:lj5139~yx=h9o;1<7*=bc82b4=53;294~"5jk09n55G2`d8m43>290/>ol514:8L7df3_8ih7?tL3`e>4}Ql;09w)?i8;36=>P6=809w)8>e;48 37c2?1vqps4i07b>5<#:kh1=864V3`g>4}K:kl1=vXk2;0x 4`?28?j7[?:1;0x 37b2?1/:>l:180>5<7s-8in79?;I0bb>o6=00;6)P5jm0:wA589U507=:r.==h49;%42`?0ol51g38R7dc28qG>oh51zTg6?4|,8l36;W365?4|,?;n6;5+60f92>{zut1vn>>k:180>5<7s-8in79?;I0bb>o6=00;6)N5jh1]>oj51zN1fc<6s_n96?u+1g:950?<^8?:6?u+60g954=#>8n1=<5r}|8m43f290/>ol514:8L7df3_8ih7?tL3`e>4}Ql;09w)?i8;36e>P6=809w)8>e;32?!06l3;:7psr}:m2b4<72-8in7?i1:T1fa<6sE8ij7?tVe096~"6n10:j<5Y14396~"19l0=7)8>d;48yx{z3th85}#:kh1;=5G2`d8m43>290/>ol514:8L7df3_8ih7?tL3`e>4}Ql;09w)?i8;36=>P6=809w)8>e;32?!06l3;:7psr}:k21d<72-8in7?:8:J1fd=Q:kn1=vB=bg82Sb52;q/=k6514c8R4362;q/:5<#:kh1=k?4V3`g>4}K:kl1=vXk2;0x 4`?28l:7[?:1;0x 37b2?1/:{zut1b=8o50;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:950g<^8?:6?u+60g954=#>8n1=<5r}|8m402290/>ol514:8R7dc28qG>oh51zTg6?4|,8l36<8:;W365?4|,?;n64750z&1fg<6n91C>lh4i07:>5<#:kh1=864V3`g>4}K:kl1=vXk2;0x 4`?28?27[?:1;0x 37b2?1/:6483>!4ej3;>46X=be82I4en3;pZi<52z&2b=<6><1]=8?52z&55`<13-<:h784}|~?l7083:1(?lm:07;?S4el3;p@?li:0yU`7<5s-;m47?80:T214<5s-<:i784$73g>3=zutw0c:18'6gd=9o;0Z?lk:0yO6g`=9r\o>74`63_;>=73=#>8n1:6sr}|9~f6762909=7>50z&1fg<082B9mk5f14;94?"5jk0:955G2cc8R7dc28qG>oh51zTg6?4|,8l36<;6;W365?4|,?;n6n5+60f9g>{zut1b=8o50;&1fg<6=11C>oo4V3`g>4}K:kl1=vXk2;0x 4`?28?j7[?:1;0x 37b2j1/:7183>!4ej3;>46X=be82I4en3;pZi<52z&2b=<6?91]=8?52z&55`f=zutw0e<9;:18'6gd=9<20Z?lk:0yO6g`=9r\o>74133_;>=7f=#>8n1o6sr}|9j523=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=:;4V072>7}#>8o1o6*91e8`?x{zu2c:;;4?:%0af?7202\9ni4>{M0ab?7|^m81>v*>f98233=Q9<;1>v*91d8`?!06l3i0qpsr;h343?6=,;hi6<;7;W0a`?7|D;hm65081!06m3i0(;?k:b9~yx{<^;ho6=9:3y'24c=k2.==i4l;|~y>o6=j0;6)P5jm0:wA5b9U507=:r.==h4l;%42`?eol514:8R7dc28qG>oh51zTg6?4|,8l36<;j;W365?4|,?;n6n5+60f9g>{zut1b=8h50;&1fg<6=11C>oo4V3`g>4}K:kl1=vXk2;0x 4`?28?m7[?:1;0x 37b2j1/:74073_;>=7f=#>8n1o6sr}|9j537=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=;?4V072>7}#>8o1o6*91e8`?x{zu2c::?4?:%0af?7202\9ni4>{M0ab?7|^m81>v*>f98227=Q9<;1>v*91d826>"19m0:>6sr}|9j535=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=;=4V072>7}#>8o1=?5+60f957=zutw0e<8;:18'6gd=9<20D?ln;W0a`?7|D;hm65081!06m3;97)8>d;31?x{zu2c::;4?:%0af?7202\9ni4>{M0ab?7|^m81>v*>f98223=Q9<;1>v*91d85?!06l3<0qpsr;h353?6=,;hi6<;7;W0a`?7|D;hm65081!06m3<0(;?k:79~yx{<^;ho62wvqp5f17;94?"5jk0:955Y2cf95~J5jo0:w[j=:3y'5c>=9?30Z<;>:3y'24c=>2.==i49;|~y>o6>h0;6)P5jm0:wA6`9U507=:r.==h49;%42`?0ol514:8R7dc28qG>oh51zTg6?4|,8l36<8l;W365?4|,?;n6;5+60f92>{zut1b=;j50;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:953b<^8?:6?u+60g92>"19m0=7psr}:k22`<72-8in7?:8:T1fa<6sE8ij7?tVe096~"6n10::h5Y14396~"19l0=7)8>d;48yx{z3`;=j7>5$3`a>43?3_8ih7?tL3`e>4}Ql;09w)?i8;35b>P6=809w)8>e;48 37c2?1vqps4i052>5<#:kh1=864V3`g>4}K:kl1=vXk2;0x 4`?28=:7[?:1;0x 37b2?1/:81<7*=bc821==Q:kn1=vB=bg82Sb52;q/=k651608R4362;q/:f083>!4ej3;m=6X=be82I4en3;pZi<52z&2b=<6n81]=8?52z&55`<13-<:h784}|~?xd49;0;6??50;2x 7de2>:0D?oi;h36=?6=,;hi6<;7;I0ae>P5jm0:wA589U507=:r.==h4l;%42`?ed;a8yx{z3`;=97>5$3`a>43?3_8ih7?tL3`e>4}Ql;09w)?i8;351>P6=809w)8>e;a8 37c2j1vqps4i053>5<#:kh1=864V3`g>4}K:kl1=vXk2;0x 4`?28=;7[?:1;0x 37b2j1/:91<7*=bc821==Q:kn1=vB=bg82Sb52;q/=k651618R4362;q/:7583>!4ej3;>46X=be82I4en3;pZi<52z&2b=<6?=1]=8?52z&55`f=zutw0e<99:18'6gd=9<20Z?lk:0yO6g`=9r\o>74113_;>=7f=#>8n1o6sr}|9j521=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=:94V072>7}#>8o1o6*91e8`?x{zu2c:;54?:%0af?7202\9ni4>{M0ab?7|^m81>v*>f9823==Q9<;1>v*91d8`?!06l3i0qpsr;h36f?6=,;hi6<;7;I0ae>P5jm0:wA5c9U507=:r.==h4l;%42`?ed;a8yx{z3`;>h7>5$3`a>43?3A8im6X=be82I4en3;pZi<52z&2b=<6=m1]=8?52z&55`{M0ab?7|^m81>v*>f9821`=Q9<;1>v*91d8`?!06l3i0qpsr;h36b?6=,;hi6<;7;I0ae>P5jm0:wA5g9U507=:r.==h4l;%42`?ed;a8yx{z3`;==7>5$3`a>43?3_8ih7?tL3`e>4}Ql;09w)?i8;355>P6=809w)8>e;a8 37c2j1vqps4i041>5<#:kh1=864V3`g>4}K:kl1=vXk2;0x 4`?28<97[?:1;0x 37b2880(;?k:008yx{z3`;=?7>5$3`a>43?3_8ih7?tL3`e>4}Ql;09w)?i8;357>P6=809w)8>e;31?!06l3;97psr}:k221<72-8in7?:8:J1fd=Q:kn1=vB=bg82Sb52;q/=k651768R4362;q/:5<#:kh1=864V3`g>4}K:kl1=vXk2;0x 4`?28<=7[?:1;0x 37b2?1/:6983>!4ej3;>46X=be82I4en3;pZi<52z&2b=<6>11]=8?52z&55`<13-<:h784}|~?l7113:1(?lm:07;?S4el3;p@?li:0yU`7<5s-;m47?99:T214<5s-<:i784$73g>3=zutw0e<8n:18'6gd=9<20Z?lk:0yO6g`=9r\o>740f3_;>=73=#>8n1:6sr}|9j53d=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=;l4V072>7}#>8o1:6*91e85?x{zu2c::n4?:%0af?7202\9ni4>{M0ab?7|^m81>v*>f9822f=Q9<;1>v*91d85?!06l3<0qpsr;h35`?6=,;hi6<;7;W0a`?7|D;hm65081!06m3<0(;?k:79~yx{<^;ho62wvqp5f17d94?"5jk0:955Y2cf95~J5jo0:w[j=:3y'5c>=9?l0Z<;>:3y'24c=>2.==i49;|~y>o6?80;6)P5jm0:wA709U507=:r.==h49;%42`?0ol51g38R7dc28qG>oh51zTg6?4|,8l36;W365?4|,?;n6;5+60f92>{zut1vn>?<:180>5<7s-8in7?i0:J1ec=n9<31<7*=bc821==Q:kn1=vB=bg82Sb52;q/=k6514;8R4362;q/:5`83>!4ej3;>46X=be82I4en3;pZi<52z&2b=<6=h1]=8?52z&55`<13-<:h784}|~?j7a93:1(?lm:0d2?S4el3;p@?li:0yU`7<5s-;m47?i1:T214<5s-<:i784$73g>3=zutw0qo=8d;296?6=8r.9no4m9:J1ec=n9<21<7*=bc821==2wvqp5rb2:7>5<4290;w)N5io1b=8750;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:950?<^8?:6?u+60g92>"19m0=7psr}:k21d<72-8in7?:8:T1fa<6sE8ij7?tVe096~"6n10:9l5Y14396~"19l0m7)8>d;d8yx{z3f;m=7>5$3`a>4`63_8ih7?tL3`e>4}Ql;09w)?i8;3e5>P6=809w)8>e;48 37c2?1vqps4}c1;7?6=>3:1ol514:8R7dc28qG>oh51zT2a5<5s-;m47?:8:T214<5s-<:i784$73g>3=zutw0enl50;&1fg{M0ab?7|^8o;6?u+1g:9gg=Q9<;1>v*91d85?!06l3<0qpsr;ha`>5<#:kh1on5Y2cf96~J5jo0:w[?j0;0x 4`?2ji0Z<;>:3y'24c=02.==i47;|~H7db2=q]>lm53zTg4?4|,?;h6nm4$2d3>37b3t\o=743?3-9m<78>e:'2db=m2w]>lm53zTg4?4|,?;h6nm4$2d3>37b3t\o=743?3-9m<78>d:'2db=m2w]>lm53zTg4?4|,?;h6nm4$2d3>37b3t\o=7fd<,:l;6;?j;|&5ea7}#>8i1on5+3g2924c2:&55a<6:2wvqA5<#:kh1>4m4V3`g>7}K:kl1=vX>e181!7a0382o6X>5081!06m3;97)8>d;31?x{zD;hn6<@;hj7[ol514:8R7dc28qG>oh51zTg6?4|,8l36<;n;W365?4|,?;n6;5+60f92>{zut1d=k?50;&1fg<6n81]>oj51zN1fc<6s_n96?u+1g:95c7<^8?:6?u+60g92>"19m0=7psr}:a7=6=83;1<7>t$3`a>7e53A8jj6a>f083>!4ej3;m=65rb2:2>5<2290;w)=9<30Z<;>:3y'24c=981/:<^;ho6P5jm0:wA649U507=:r.==h4>1:&55a<692wvqp5f16294?"5jk0:955Y2cf95~J5jo0:w[j=:3y'5c>=9>:0Z<;>:3y'24c=981/:2wvqp5rb25f>5<4290;w)=9<30Z<;>:3y'24c=981/:<^;ho6;W0a`?7|D;hm65081!06m3<0(;?k:79~yx{52;294~"5jk0i56F=ag9j50>=83.9no4>5998k4`6290/>ol51g38R7dc28qG>oh51zTg6?4|,8l36;W365?4|,?;n6;5+60f92>{zut1vn>6j:185>5<7s-8in7?:6:J1ec=#9>31=95f14:94?"5jk0:955Y2cf95~J5jo0:w[?j0;0x 4`?28?37[?:1;0x 37b2?1/:3=zutw0enm50;&1fgv*91d8;?!06l320qpsC2cg90~P5ij08w[j?:3y'24e=kj1/?k>560g8ySb62;q/:560g8ySb62;q/:560g8ySb62;q/:8o0q)8nd;g8yS7a?38pZi>52z&55f5<#:kh1>ll4V3`g>7}K:kl1=vX>e181!7a038jn6X>5081!06m3;97)8>d;31?x{zD;hn64m50;&1fg<51j1]>oj52zN1fc<6s_;n<77?d3_;>=744<,?;o6<<4}|O6gc=9r\9n94={Wf3>7}#>8i1>4m4$2d3>37b3t.=mi4>2:~y>i6n80;6)=zj:2<6=4<:183!4ej38i46F=ag9j50?=83.9no4>599K6gg<^;ho62wvqp5f14c94?"5jk0:955Y2cf95~J5jo0:w[j=:3y'5c>=9:3y'24c=>2.==i49;|~y>i6n80;6)P5jm0:wAf09U507=:r.==h49;%42`?04<729q/>ol52b08L7ga3f;m=7>5$3`a>4`632wi?5o50;194?6|,;hi6:>4H3ce?l7213:1(?lm:07;?S4el3;p@?li:0yU`7<5s-;m47?:9:T214<5s-<:i7?>;%42`?763twvq6g>5`83>!4ej3;>46X=be82I4en3;pZi<52z&2b=<6=h1]=8?52z&55`<692.==i4>1:~yx=h9o;1<7*=bc82b4=Q:kn1=vB=bg82Sb52;q/=k651g38R4362;q/:57>5$3`a>43?3A8im6X=be82I4en3;pZi<52z&2b=<6=01]=8?52z&55`<692.==i4>1:~yx=n9743f3_;>=747<,?;o63=zutw0qo=7c;290?6=8r.9no480:J1ec=n9<31<7*=bc821==O:kk0Z?lk:0yO6g`=9r\o>743>3_;>=747<,?;o6;%42`?763twvq6g>6483>!4ej3;>46X=be82I4en3;pZi<52z&2b=<6><1]=8?52z&55`<692.==i4>1:~yx=h9o;1<7*=bc82b4=Q:kn1=vB=bg82Sb52;q/=k651g38R4362;q/:{M0ab?7|^m81>v*>f9821<=Q9<;1>v*91d85?!06l3<0qpsr;h36e?6=,;hi6<;7;W0a`?7|D;hm6m6X>5081!06m3<0(;?k:79~yx{6=4+2c`950><^;ho62wvqp5`1g394?"5jk0:j<5Y2cf95~J5jo0:w[j=:3y'5c>=9o;0Z<;>:3y'24c=>2.==i49;|~y>{e;1<1<7=50;2x 7de2>:0D?oi;h36=?6=,;hi6<;7;I0ae>P5jm0:wA589U507=:r.==h4>1:&55a<692wvqp5f14c94?"5jk0:955Y2cf95~J5jo0:w[j=:3y'5c>=9:3y'24c=981/:2wvqp5rb2:e>5<5290;w)oj51zN1fc<6s_n96?u+1g:95c7<^8?:6?u+60g92>"19m0=7psr}:a7t$3`a>4313A8jj6*>78820>o6=10;6)P5jm0:wA=73=#>8n1:6sr}|9jgf<72-8in7ml;W0a`?4|D;hm65081!06m320(;?k:99~yxJ5jl0?w[560g8y!0fl3o0q[560f8y!0fl3o0q[vB=bg82S7b838p(h?:73f?x"1im0:>6sr}:k1=f<72-8in7<6c:T1fa<5sE8ij7?tV0g3>7}#9o21>4m4V072>7}#>8o1=?5+60f957=zutF9nh4>{W0a0?4|^m:1>v*91b81=f=#;o:1:=9<30Z<;>:3y'24c=>2.==i49;|~y>o6=h0;6)P5jm0:wA5`9U507=:r.==h49;%42`?0:3:1=7>50z&1fg<5k;1C>lh4o0d2>5<#:kh1=k?4;|`0=1<72:0;6=u+2c`935=O:hl0e<;6:18'6gd=9<20D?ln;W0a`?7|D;hm656X>5081!06m3;:7)8>d;32?x{zu2c:9l4?:%0af?7202B9nl5Y2cf95~J5jo0:w[j=:3y'5c>=9:3y'24c=981/:2wvqp5rb2;6>5<4290;w)=9<30Z<;>:3y'24c=981/:<@;hj7[;|~y>i6n80;6)P5jm0:wAf09U507=:r.==h49;%42`?01<729q/>ol5719K6d`<^;ho6m6X>5081!06m3;:7)8>d;32?x{zu2c::84?:%0af?7202\9ni4>{M0ab?7|^m81>v*>f98220=Q9<;1>v*91d825>"19m0:=6sr}|9l5c7=83.9no4>f09U6gb=9rF9nk4>{Wf1>7}#9o21=k?4V072>7}#>8o1:6*91e85?x{zu2wi?4950;694?6|,;hi6:>4H3ce?l7213:1(?lm:07;?M4ei2\9ni4>{M0ab?7|^m81>v*>f9821<=Q9<;1>v*91d825>"19m0:=6sr}|9j50g=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=8o4V072>7}#>8o1=<5+60f954=zutw0e<8::18'6gd=9<20Z?lk:0yO6g`=9r\o>74023_;>=747<,?;o63=zutw0qo=63;291?6=8r.9no4>f19K6d`<^;ho62wvqp5f14c94?"5jk0:955Y2cf95~J5jo0:w[j=:3y'5c>=9:3y'24c=>2.==i49;|~y>o6><0;6)P5jm0:wA649U507=:r.==h49;%42`?0ol51g38R7dc28qG>oh51zTg6?4|,8l36;W365?4|,?;n6;5+60f92>{zut1vn>7?:180>5<7s-8in79?;I0bb>o6=00;6)N5jh1]>oj51zN1fc<6s_n96?u+1g:950?<^8?:6?u+60g954=#>8n1=<5r}|8m43f290/>ol514:8R7dc28qG>oh51zTg6?4|,8l36<;n;W365?4|,?;n6477>50z&1fg5<#:kh1=k?4V3`g>4}K:kl1=vXk2;0x 4`?28l:7[?:1;0x 37b2?1/:599U6gb=9rF9nk4>{Wf1>7}#9o21=874V072>7}#>8o1:6*91e85?x{zu2c:9l4?:%0af?7202\9ni4>{M0ab?7|^m81>v*>f9821d=Q9<;1>v*91d8e?!06l3l0qpsr;n3e5?6=,;hi6;W0a`?7|D;hm65081!06m3<0(;?k:79~yx{o<7>56;294~"5jk0:9;5G2`d8 41>28>0e<;7:18'6gd=9<20Z?lk:0yO6g`=9r\:i=4={%3e7}#9o21oo5Y14396~"19l0=7)8>d;48yx{z3`ih6=4+2c`9gf=Q:kn1>vB=bg82S7b838p(fe<,:l;6;?j;|Tg5?4|,?;h6<;7;%1e4?06m2w/:lj5e:U6de=;r\o<7fe<,:l;6;?j;|Tg5?4|,?;h6<;7;%1e4?06l2w/:lj5e:U6de=;r\o<7fe<,:l;6;?j;|Tg5?4|,?;h6nl4$2d3>37b3t.=mi48;|T2b2<5s_n;6?u+60a9gf=#;o:1:52z&2b=<5ik1]=8?52z&55`<6:2.==i4>2:~yI4em3;pZ?l;:3yU`5<5s-<:o7P6=809w)8>e;31?!06l3;97psrL3`f>4}Q:k>1>vXk0;0x 37d2;3h7)=i0;42a>{#>hn1=?5r}|9l5c7=83.9no4>f098yg2d=3:1?7>50z&1fg<5j11C>lh4i07:>5<#:kh1=864V3`g>4}K:kl1=vXk2;0x 4`?28?27[?:1;0x 37b2?1/:f083>!4ej3;m=6X=be82I4en3;pZi<52z&2b=<6n81]=8?52z&55`<13-<:h784}|~?xd3k?0;6<4?:1y'6gd=:j80D?oi;n3e5?6=,;hi6;:a0f>=8391<7>t$3`a>26<@;km7d?:9;29 7de28?37[ol514:8R7dc28qG>oh51zTg6?4|,8l36<;n;W365?4|,?;n6;5+60f92>{zut1d=k?50;&1fg<6n81]>oj51zN1fc<6s_n96?u+1g:95c7<^8?:6?u+60g92>"19m0=7psr}:a0f?=8391<7>t$3`a>26<@;km7d?:9;29 7de28?37[ol514:8R7dc28qG>oh51zTg6?4|,8l36<;n;W365?4|,?;n6;5+60f92>{zut1d=k?50;&1fg<6n81]>oj51zN1fc<6s_n96?u+1g:95c7<^8?:6?u+60g92>"19m0=7psr}:a0fg=83>1<7>t$3`a>26<@;km7d?:9;29 7de28?37[ol514:8R7dc28qG>oh51zTg6?4|,8l36<;n;W365?4|,?;n647{zut1d=k?50;&1fg<6n81]>oj51zN1fc<6s_n96?u+1g:95c7<^8?:6?u+60g92>"19m0=7psr}:a0fd=83=1<7>t$3`a>26<@;km7d?:9;29 7de28?37[ol514:8L7df3_8ih7?tL3`e>4}Ql;09w)?i8;36e>P6=809w)8>e;`8 37c2k1vqps4i046>5<#:kh1=864H3`b?S4el3;p@?li:0yU`7<5s-;m47?95:T214<5s-<:i7?>;%42`?763twvq6g>7183>!4ej3;>46F=b`9U6gb=9rF9nk4>{Wf1>7}#9o21=:>4V072>7}#>8o1=<5+60f954=zutw0e<9<:18'6gd=9<20D?ln;W0a`?7|D;hm65081!06m3;:7)8>d;32?x{zu2c:;94?:%0af?7202\9ni4>{M0ab?7|^m81>v*>f98231=Q9<;1>v*91d825>"19m0:=6sr}|9l5c7=83.9no4>f09U6gb=9rF9nk4>{Wf1>7}#9o21=k?4V072>7}#>8o1:6*91e85?x{zu2wi8nm50;594?6|,;hi6:>4H3ce?l7213:1(?lm:07;?S4el3;p@?li:0yU`7<5s-;m47?:9:T214<5s-<:i7l4$73g>g=zutw0e<;n:18'6gd=9<20D?ln;W0a`?7|D;hm6m6X>5081!06m3;:7)8>d;32?x{zu2c::84?:%0af?7202\9ni4>{M0ab?7|^m81>v*>f98220=Q9<;1>v*91d825>"19m0:=6sr}|9j526=83.9no4>599K6gg<^;ho6P5jm0:wA729U507=:r.==h4>1:&55a<692wvqp5f16694?"5jk0:955Y2cf95~J5jo0:w[j=:3y'5c>=9>>0Z<;>:3y'24c=981/:2wvqp5rb5ag>5{M0ab?7|^m81>v*>f9821<=Q9<;1>v*91d8a?!06l3h0qpsr;h36e?6=,;hi6<;7;W0a`?7|D;hm6m6X>5081!06m3h0(;?k:c9~yx{6=4+2c`950><@;hj7[ol514:8L7df3_8ih7?tL3`e>4}Ql;09w)?i8;344>P6=809w)8>e;32?!06l3;:7psr}:k236<72-8in7?:8:J1fd=Q:kn1=vB=bg82Sb52;q/=k651618R4362;q/:5<#:kh1=864H3`b?S4el3;p@?li:0yU`7<5s-;m47?84:T214<5s-<:i7?>;%42`?763twvq6g>7483>!4ej3;>46X=be82I4en3;pZi<52z&2b=<6?<1]=8?52z&55`<692.==i4>1:~yx=h9o;1<7*=bc82b4=Q:kn1=vB=bg82Sb52;q/=k651g38R4362;q/:=83:p(?lm:628L7ga3`;>57>5$3`a>43?3_8ih7?tL3`e>4}Ql;09w)?i8;36=>P6=809w)8>e;`8 37c2k1vqps4i07b>5<#:kh1=864V3`g>4}K:kl1=vXk2;0x 4`?28?j7[?:1;0x 37b2k1/:74023_;>=7g=#>8n1n6sr}|9j526=83.9no4>599K6gg<^;ho6P5jm0:wA729U507=:r.==h4>1:&55a<692wvqp5f16694?"5jk0:955G2cc8R7dc28qG>oh51zTg6?4|,8l36<9;;W365?4|,?;n647;|~y>i6n80;6)P5jm0:wAf09U507=:r.==h49;%42`?0=<729q/>ol51g28L7ga3`;>57>5$3`a>43?3_8ih7?tL3`e>4}Ql;09w)?i8;36=>P6=809w)8>e;48 37c2?1vqps4i07b>5<#:kh1=864V3`g>4}K:kl1=vXk2;0x 4`?28?j7[?:1;0x 37b2?1/:7183>!4ej3;>46X=be82I4en3;pZi<52z&2b=<6?91]=8?52z&55`<13-<:h784}|~?l70;3:1(?lm:07;?S4el3;p@?li:0yU`7<5s-;m47?83:T214<5s-<:i784$73g>3=zutw0e<9;:18'6gd=9<20Z?lk:0yO6g`=9r\o>74133_;>=73=#>8n1:6sr}|9j523=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=:;4V072>7}#>8o1:6*91e85?x{zu2e:j<4?:%0af?7a92\9ni4>{M0ab?7|^m81>v*>f982b4=Q9<;1>v*91d85?!06l3<0qpsr;|`2<3<72;0;6=u+2c`9f<=O:hl0e<;7:18'6gd=9<207b?i1;29 7de28l:7[50z&1fg<6n91C>lh4i07:>5<#:kh1=864V3`g>4}K:kl1=vXk2;0x 4`?28?27[?:1;0x 37b2?1/:f083>!4ej3;m=6X=be82I4en3;pZi<52z&2b=<6n81]=8?52z&55`<13-<:h784}|~?xd60k0;6;4?:1y'6gd=9<<0D?oi;%34=?733`;>47>5$3`a>43?3_8ih7?tL3`e>4}Q9l:1>v*>f9821==Q9<;1>v*91d85?!06l3<0qpsr;haa>5<#:kh1oo5Y2cf95~J5jo0:w[?j0;0x 4`?2jh0Z<;>:3y'24c=>2.==i49;|~y>odk3:1(?lm:ba8R7dc2;qG>oh51zT2a5<5s-;m47ml;W365?4|,?;n655+60f9<>{zuE8ii7:tV3c`>6}Ql909w)8>c;a`?!5a83<:i6sYd081!06k3;>46*6}Ql909w)8>c;a`?!5a83<:i6sYd081!06k3;>46*6}Ql909w)8>c;a`?!5a83<:i6sYd081!06k3ii7)=i0;42a>{#>hn1;6sY1g596~Pc838p(;?l:ba8 6`72?;n7p*9ae827>{zu2c9mo4?:%0af?4fj2\9ni4={M0ab?7|^8o;6?u+1g:96dd<^8?:6?u+60g957=#>8n1=?5r}|N1f`<6s_8i87k3:1(?lm:3;`?S4el38p@?li:0yU5`6=:r.:j54=9b9U507=:r.==h4>2:&55a<6:2wvqAk2.8j=491d9~ 3gc2880qps4o0d2>5<#:kh1=k?4;|`2<2<72:0;6=u+2c`96g><@;km7d?:9;29 7de28?37[ol514:8R7dc28qG>oh51zTg6?4|,8l36<;n;W365?4|,?;n6;5+60f92>{zut1d=k?50;&1fg<6n81]>oj51zN1fc<6s_n96?u+1g:95c7<^8?:6?u+60g92>"19m0=7psr}:a5=>=83;1<7>t$3`a>7e53A8jj6a>f083>!4ej3;m=65rb0::>5<4290;w)=9<30Z<;>:3y'24c=j2.==i4m;|~y>o6=h0;6)N5jh1]>oj51zN1fc<6s_n96?u+1g:950g<^8?:6?u+60g9f>"19m0i7psr}:m2b4<72-8in7?i1:T1fa<6sE8ij7?tVe096~"6n10:j<5Y14396~"19l0=7)8>d;48yx{z3th:4n4?:283>5}#:kh1;=5G2`d8m43>290/>ol514:8R7dc28qG>oh51zTg6?4|,8l36<;6;W365?4|,?;n647;|~y>i6n80;6)P5jm0:wAf09U507=:r.==h49;%42`?08d83>7<729q/>ol5b89K6d`<3f;m=7>5$3`a>4`63_8ih7?tL3`e>4}Ql;09w)?i8;3e5>P6=809w)8>e;48 37c2?1vqps4}c3:1?6=:3:1N5io1b=8650;&1fg<6=110c:18'6gd=9o;0Z?lk:0yO6g`=9r\o>74`63_;>=73=#>8n1:6sr}|9~f4?f29086=4?{%0af?7a82B9mk5f14;94?"5jk0:955Y2cf95~J5jo0:w[j=:3y'5c>=9<30Z<;>:3y'24c=>2.==i49;|~y>o6=h0;6)P5jm0:wA5`9U507=:r.==h49;%42`?003:1:7>50z&1fg<6=?1C>lh4$05:>42<^;ho6P6=809w)8>e;48 37c2?1vqps4ib`94?"5jk0hn6X=be82I4en3;pZ=kk1]=8?52z&55`<13-<:h784}|~?led290/>ol5cb9U6gb=:rF9nk4>{W3f4?4|,8l36nm4V072>7}#>8o146*91e8;?x{zD;hn69uY2`a97~Pc838p(;?l:ba8 6`72?;n7pXk1;0x 37d28?37)=i0;42a>{#>hn1i6sY2`a97~Pc838p(;?l:ba8 6`72?;n7pXk1;0x 37d28?37)=i0;42`>{#>hn1i6sY2`a97~Pc838p(;?l:ba8 6`72?;n7pXk1;0x 37d2jh0(>h?:73f?x"1im0<7pX>f681Sb72;q/:8o0q)8nd;30?x{z3`8jn7>5$3`a>7ge3_8ih74}Q9l:1>v*>f981eg=Q9<;1>v*91d826>"19m0:>6sr}M0aa?7|^;h?6?uYd181!06k38jn6*ol528a8R7dc2;qG>oh51zT2a5<5s-;m47<6c:T214<5s-<:i7?=;%42`?753twv@?lj:0yU6g2=:r\o<77?d3-9m<78>e:'2db=9;1vqp5`1g394?"5jk0:j<54}c3;b?6=;3:1743>3_;>=73=#>8n1:6sr}|9j50g=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=8o4V072>7}#>8o1:6*91e85?x{zu2e:j<4?:%0af?7a92\9ni4>{M0ab?7|^m81>v*>f982b4=Q9<;1>v*91d85?!06l3<0qpsr;|`2=5<7280;6=u+2c`96f4<@;km7b?i1;29 7de28l:76sm18094?5=83:p(?lm:628L7ga3`;>57>5$3`a>43?3A8im6X=be82I4en3;pZi<52z&2b=<6=01]=8?52z&55`{M0ab?7|^m81>v*>f9821d=Q9<;1>v*91d8a?!06l3h0qpsr;n3e5?6=,;hi6;W0a`?7|D;hm65081!06m3<0(;?k:79~yx{53;294~"5jk0<<6F=ag9j50?=83.9no4>599K6gg<^;ho6oh51zTg6?4|,8l36<;n;W365?4|,?;n6o5+60f9f>{zut1d=k?50;&1fg<6n81]>oj51zN1fc<6s_n96?u+1g:95c7<^8?:6?u+60g92>"19m0=7psr}:a5<2=83<1<7>t$3`a>26<@;km7d?:9;29 7de28?37E{zut1b=8o50;&1fg<6=11C>oo4V3`g>4}K:kl1=vXk2;0x 4`?28?j7[?:1;0x 37b28;0(;?k:038yx{z3`;=97>5$3`a>43?3A8im6X=be82I4en3;pZi<52z&2b=<6><1]=8?52z&55`<692.==i4>1:~yx=n9>:1<7*=bc821==O:kk0Z?lk:0yO6g`=9r\o>74173_;>=747<,?;o6;%42`?763twvq6a>f083>!4ej3;m=6X=be82I4en3;pZi<52z&2b=<6n81]=8?52z&55`<13-<:h784}|~?xd6180;694?:1y'6gd=9o:0D?oi;h36=?6=,;hi6<;7;W0a`?7|D;hm656X>5081!06m3<0(;?k:79~yx{<^;ho62wvqp5f17794?"5jk0:955Y2cf95~J5jo0:w[j=:3y'5c>=9??0Z<;>:3y'24c=>2.==i49;|~y>i6n80;6)P5jm0:wAf09U507=:r.==h49;%42`?09883>6<729q/>ol5719K6d`<^;ho6m6X>5081!06m3;:7)8>d;32?x{zu2e:j<4?:%0af?7a92\9ni4>{M0ab?7|^m81>v*>f982b4=Q9<;1>v*91d85?!06l3<0qpsr;|`2=3<72<0;6=u+2c`935=O:hl0e<;6:18'6gd=9<20D?ln;W0a`?7|D;hm656X>5081!06m3;97)8>d;31?x{zu2c:9l4?:%0af?7202\9ni4>{M0ab?7|^m81>v*>f9821d=Q9<;1>v*91d826>"19m0:>6sr}|9j533=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=;;4V072>7}#>8o1=?5+60f957=zutw0e<9?:18'6gd=9<20Z?lk:0yO6g`=9r\o>74173_;>=744<,?;o6<<4}|~?j7a93:1(?lm:0d2?S4el3;p@?li:0yU`7<5s-;m47?i1:T214<5s-<:i784$73g>3=zutw0qo2wvqp5rb3d:>5<5290;w)oj51zN1fc<6s_n96?u+1g:95c7<^8?:6?u+60g92>"19m0=7psr}:a6cb=8391<7>t$3`a>7d?3A8jj6g>5883>!4ej3;>46X=be82I4en3;pZi<52z&2b=<6=01]=8?52z&55`<13-<:h784}|~?l72i3:1(?lm:07;?S4el3;p@?li:0yU`7<5s-;m47?:a:T214<5s-<:i7h4$73g>c=zutw0c:18'6gd=9o;0Z?lk:0yO6g`=9r\o>74`63_;>=73=#>8n1:6sr}|9~f7`d290=6=4?{%0af?72>2B9mk5+16;951=n9<21<7*=bc821==Q:kn1=vB=bg82S7b838p(2wvqp5fcc83>!4ej3ii7[52z&2b=7}#9o21on5Y14396~"19l037)8>d;:8yx{K:ko18vX=ab80Sb72;q/:8o0q[j>:3y'24e=9<20(>h?:73f?x"1im0n7pX=ab80Sb72;q/:8o0q[j>:3y'24e=9<20(>h?:73g?x"1im0n7pX=ab80Sb72;q/:8o0q[j>:3y'24e=kk1/?k>560g8y!0fl3=0q[?i7;0xRa6=:r.==n4lc:&0b5<19l1v(;ok:018yx{P6=809w)8>e;31?!06l3;97psrL3`f>4}Q:k>1>vXk0;0x 37d2;ki7)=i0;42a>{#>hn1=?5r}|9j6{W3f4?4|,8l36?7l;W365?4|,?;n6<<4$73g>44ok51zT1f1<5s_n;6?u+60a96f083>!4ej3;m=65rb3d0>5<4290;w)N5io1b=8750;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:950?<^8?:6?u+60g92>"19m0=7psr}:k21d<72-8in7?:8:T1fa<6sE8ij7?tVe096~"6n10:9l5Y14396~"19l0=7)8>d;48yx{z3f;m=7>5$3`a>4`63_8ih7?tL3`e>4}Ql;09w)?i8;3e5>P6=809w)8>e;48 37c2?1vqps4}c0e0?6=93:1:18'6gd=9o;07pl=f783>1<729q/>ol5719K6d`<^;ho6P5jm0:wA5`9U507=:r.==h4>1:&55a<692wvqp5f17794?"5jk0:955Y2cf95~J5jo0:w[j=:3y'5c>=9??0Z<;>:3y'24c=981/:2wvqp5rb3d4>5<693:1N5io1b=8750;&1fg<6=11C>oo4V3`g>4}K:kl1=vXk2;0x 4`?28?27[?:1;0x 37b28;0(;?k:038yx{z3`;>m7>5$3`a>43?3_8ih7?tL3`e>4}Ql;09w)?i8;36e>P6=809w)8>e;32?!06l3;:7psr}:k220<72-8in7?:8:T1fa<6sE8ij7?tVe096~"6n10::85Y14396~"19l0:=6*91e825>{zut1b=:>50;&1fg<6=11C>oo4V3`g>4}K:kl1=vXk2;0x 4`?28=;7[?:1;0x 37b28;0(;?k:038yx{z3`;5$3`a>43?3_8ih7?tL3`e>4}Ql;09w)?i8;347>P6=809w)8>e;32?!06l3;:7psr}:k231<72-8in7?:8:T1fa<6sE8ij7?tVe096~"6n10:;95Y14396~"19l0:=6*91e825>{zut1b=:;50;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:9523<^8?:6?u+60g954=#>8n1=<5r}|8m411290/>ol514:8R7dc28qG>oh51zTg6?4|,8l36<99;W365?4|,?;n647;|~y>o6?10;6)P5jm0:wA799U507=:r.==h4>1:&55a<692wvqp5f14`94?"5jk0:955Y2cf95~J5jo0:w[j=:3y'5c>=9:3y'24c=981/:<^;ho6h6X>5081!06m3;:7)8>d;32?x{zu2c:9h4?:%0af?7202\9ni4>{M0ab?7|^m81>v*>f9821`=Q9<;1>v*91d825>"19m0:=6sr}|9j50`=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=8h4V072>7}#>8o1:6*91e85?x{zu2c::=4?:%0af?7202\9ni4>{M0ab?7|^m81>v*>f98225=Q9<;1>v*91d85?!06l3<0qpsr;n3e5?6=,;hi6;W0a`?7|D;hm65081!06m3<0(;?k:79~yx{51083>5}#:kh1;=5G2`d8m43>290/>ol514:8L7df3_8ih7?tL3`e>4}Ql;09w)?i8;36=>P6=809w)8>e;32?!06l3;:7psr}:k21d<72-8in7?:8:T1fa<6sE8ij7?tVe096~"6n10:9l5Y14396~"19l0:=6*91e825>{zut1b=;;50;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:9533<^8?:6?u+60g954=#>8n1=<5r}|8m417290/>ol514:8R7dc28qG>oh51zTg6?4|,8l36<9?;W365?4|,?;n647;|~y>o6?=0;6)P5jm0:wA759U507=:r.==h4>1:&55a<692wvqp5f16794?"5jk0:955Y2cf95~J5jo0:w[j=:3y'5c>=9>?0Z<;>:3y'24c=981/:<^;ho65081!06m3;:7)8>d;32?x{zu2c:;54?:%0af?7202\9ni4>{M0ab?7|^m81>v*>f9823==Q9<;1>v*91d825>"19m0:=6sr}|9j50d=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=8l4V072>7}#>8o1=<5+60f954=zutw0e<;l:18'6gd=9<20Z?lk:0yO6g`=9r\o>743d3_;>=747<,?;o6;%42`?763twvq6g>5d83>!4ej3;>46X=be82I4en3;pZi<52z&2b=<6=l1]=8?52z&55`<692.==i4>1:~yx=n96183>!4ej3;>46X=be82I4en3;pZi<52z&2b=<6>91]=8?52z&55`<13-<:h784}|~?j7a93:1(?lm:0d2?S4el3;p@?li:0yU`7<5s-;m47?i1:T214<5s-<:i784$73g>3=zutw0qof19K6d`<^;ho62wvqp5f14c94?"5jk0:955Y2cf95~J5jo0:w[j=:3y'5c>=9:3y'24c=>2.==i49;|~y>o6><0;6)P5jm0:wA649U507=:r.==h49;%42`?0lh4i07:>5<#:kh1=864V3`g>4}K:kl1=vXk2;0x 4`?28?27[?:1;0x 37b2j1/:6483>!4ej3;>46X=be82I4en3;pZi<52z&2b=<6><1]=8?52z&55`f=zutw0e<9<:18'6gd=9<20Z?lk:0yO6g`=9r\o>74143_;>=7f=#>8n1o6sr}|9j522=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=::4V072>7}#>8o1o6*91e8`?x{zu2c:;84?:%0af?7202\9ni4>{M0ab?7|^m81>v*>f98230=Q9<;1>v*91d8`?!06l3i0qpsr;h342?6=,;hi6<;7;W0a`?7|D;hm65081!06m3i0(;?k:b9~yx{<^;ho65081!06m3;97)8>d;31?x{zu2c:9o4?:%0af?7202\9ni4>{M0ab?7|^m81>v*>f9821g=Q9<;1>v*91d85?!06l3<0qpsr;h36g?6=,;hi6<;7;W0a`?7|D;hm6o6X>5081!06m3<0(;?k:79~yx{<^;ho62wvqp5f14g94?"5jk0:955Y2cf95~J5jo0:w[j=:3y'5c>=9:3y'24c=>2.==i49;|~y>o6=o0;6)P5jm0:wA5g9U507=:r.==h49;%42`?0ol51g38R7dc28qG>oh51zTg6?4|,8l36;W365?4|,?;n6;5+60f92>{zut1vn>?9:181>5<7s-8in7l6;I0bb>o6=10;6)=h9o;1<7*=bc82b4=Q:kn1=vB=bg82Sb52;q/=k651g38R4362;q/:{M0ab?7|^m81>v*>f9821<=Q9<;1>v*91d85?!06l3<0qpsr;h36e?6=,;hi6<;7;W0a`?7|D;hm6m6X>5081!06m3l0(;?k:g9~yx{2wvqp5rb23f>5<1290;w)N5io1/=:75159j50>=83.9no4>599U6gb=9rF9nk4>{W3f4?4|,8l36<;7;W365?4|,?;n6;5+60f92>{zut1boo4?:%0af?ee3_8ih7?tL3`e>4}Q9l:1>v*>f98`f>P6=809w)8>e;48 37c2?1vqps4iba94?"5jk0ho6X=be81I4en3;pZ=kj1]=8?52z&55`7}#>8i1on5+3g2924c<,:l;6;?j;|&5ea7}#>8i1on5+3g2924c<,:l;6;?k;|&5ea7}#>8i1on5+3g2924col52``8R7dc2;qG>oh51zT2a5<5s-;m477ge3-9m<78>e:'2db=9;1vqp5f28a94?"5jk095n5Y2cf96~J5jo0:w[?j0;0x 4`?2;3h7[?:1;0x 37b2880(;?k:008yx{K:ko1=vX=b581Sb72;q/:{zu2e:j<4?:%0af?7a921vn>?8:180>5<7s-8in75`83>!4ej3;>46X=be82I4en3;pZi<52z&2b=<6=h1]=8?52z&55`<13-<:h784}|~?j7a93:1(?lm:0d2?S4el3;p@?li:0yU`7<5s-;m47?i1:T214<5s-<:i784$73g>3=zutw0qo=>8;295?6=8r.9no4=c39K6d`5}#:kh1;=5G2`d8m43>290/>ol514:8R7dc28qG>oh51zTg6?4|,8l36<;6;W365?4|,?;n647{zut1b=;;50;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:9533<^8?:6?u+60g954=#>8n1=<5r}|8k4`6290/>ol51g38R7dc28qG>oh51zTg6?4|,8l36;W365?4|,?;n6;5+60f92>{zut1vn>?m:184>5<7s-8in79?;I0bb>o6=00;6)N5jh1]>oj51zN1fc<6s_n96?u+1g:950?<^8?:6?u+60g954=#>8n1=<5r}|8m43f290/>ol514:8R7dc28qG>oh51zTg6?4|,8l36<;n;W365?4|,?;n647;|~y>o6?90;6)N5jh1]>oj51zN1fc<6s_n96?u+1g:9526<^8?:6?u+60g954=#>8n1=<5r}|8m414290/>ol514:8R7dc28qG>oh51zTg6?4|,8l36<9<;W365?4|,?;n647;|~y>i6n80;6)P5jm0:wAf09U507=:r.==h49;%42`?02<729q/>ol5719K6d`<@;hj7[;|~y>o6=h0;6)P5jm0:wA5`9U507=:r.==h4>1:&55a<692wvqp5f17794?"5jk0:955Y2cf95~J5jo0:w[j=:3y'5c>=9??0Z<;>:3y'24c=981/:<^;ho65081!06m3;:7)8>d;32?x{zu2c:;94?:%0af?7202\9ni4>{M0ab?7|^m81>v*>f98231=Q9<;1>v*91d825>"19m0:=6sr}|9l5c7=83.9no4>f09U6gb=9rF9nk4>{Wf1>7}#9o21=k?4V072>7}#>8o1:6*91e85?x{zu2wi?<750;694?6|,;hi6o6=00;6)P5jm0:wA589U507=:r.==h49;%42`?0ol514:8R7dc28qG>oh51zTg6?4|,8l36<8:;W365?4|,?;n6;5+60f92>{zut1d=k?50;&1fg<6n81]>oj51zN1fc<6s_n96?u+1g:95c7<^8?:6?u+60g92>"19m0=7psr}:a776=8381<7>t$3`a>g?<@;km7d?:8;29 7de28?376a>f083>!4ej3;m=6X=be82I4en3;pZi<52z&2b=<6n81]=8?52z&55`<13-<:h784}|~?xd4:00;6>4?:1y'6gd=:k20D?oi;h36=?6=,;hi6<;7;W0a`?7|D;hm656X>5081!06m3<0(;?k:79~yx{<^;ho6=9o;0Z<;>:3y'24c=>2.==i49;|~y>{e;;21<7850;2x 7de28?=7E{M0ab?7|^8o;6?u+1g:950><^8?:6?u+60g92>"19m0=7psr}:k`f?6=,;hi6nl4V3`g>4}K:kl1=vX>e181!7a03ii7[?:1;0x 37b2?1/:==zutF9nh4;{W0bg?5|^m:1>v*91b8`g>"4n90==h5rVe396~"19j0:955+3g2924cv*91b8`g>"4n90==h5rVe396~"19j0:955+3g2924bv*91b8`g>"4n90==h5rVe396~"19j0hn6*7}Ql909w)8>c;a`?!5a83<:i6s+6`f956=zut1b>ll50;&1fg<5ik1]>oj52zN1fc<6s_;n<77ge3_;>=744<,?;o6<<4}|O6gc=9r\9n94={Wf3>7}#>8i1>ll4$2d3>37b3t.=mi4>2:~y>o51j0;6)P5jm09wA52z&55f<51j1/?k>560g8y!0fl3;97psr;n3e5?6=,;hi6;:a777=8391<7>t$3`a>7d?3A8jj6g>5883>!4ej3;>46X=be82I4en3;pZi<52z&2b=<6=01]=8?52z&55`<13-<:h784}|~?l72i3:1(?lm:07;?S4el3;p@?li:0yU`7<5s-;m47?:a:T214<5s-<:i784$73g>3=zutw0c:18'6gd=9o;0Z?lk:0yO6g`=9r\o>74`63_;>=73=#>8n1:6sr}|9~f645290:6=4?{%0af?4d:2B9mk5`1g394?"5jk0:j<54}c110?6=<3:1N5io1b=8750;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:950?<^8?:6?u+60g954=#>8n1=<5r}|8m43f290/>ol514:8L7df3_8ih7?tL3`e>4}Ql;09w)?i8;36e>P6=809w)8>e;32?!06l3;:7psr}:k220<72-8in7?:8:T1fa<6sE8ij7?tVe096~"6n10::85Y14396~"19l0:=6*91e825>{zut1d=k?50;&1fg<6n81]>oj51zN1fc<6s_n96?u+1g:95c7<^8?:6?u+60g92>"19m0=7psr}:a773=8321<7>t$3`a>26<@;km7d?:9;29 7de28?37E{zut1b=8o50;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:950g<^8?:6?u+60g954=#>8n1=<5r}|8m402290/>ol514:8R7dc28qG>oh51zTg6?4|,8l36<8:;W365?4|,?;n647{zut1b=:=50;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:9525<^8?:6?u+60g954=#>8n1=<5r}|8m413290/>ol514:8R7dc28qG>oh51zTg6?4|,8l36<9;;W365?4|,?;n647;|~y>i6n80;6)P5jm0:wAf09U507=:r.==h49;%42`?0=<729q/>ol5719K6d`<@;hj7[;|~y>o6=h0;6)P5jm0:wA5`9U507=:r.==h4>1:&55a<692wvqp5f17794?"5jk0:955Y2cf95~J5jo0:w[j=:3y'5c>=9??0Z<;>:3y'24c=981/:<^;ho65081!06m3;:7)8>d;32?x{zu2c:;94?:%0af?7202\9ni4>{M0ab?7|^m81>v*>f98231=Q9<;1>v*91d825>"19m0:=6sr}|9j523=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=:;4V072>7}#>8o1=<5+60f954=zutw0c:18'6gd=9o;0Z?lk:0yO6g`=9r\o>74`63_;>=73=#>8n1:6sr}|9~f644290?6=4?{%0af?7a82B9mk5f14;94?"5jk0:955Y2cf95~J5jo0:w[j=:3y'5c>=9<30Z<;>:3y'24c=>2.==i49;|~y>o6=h0;6)P5jm0:wA5`9U507=:r.==h49;%42`?0ol51g38R7dc28qG>oh51zTg6?4|,8l36;W365?4|,?;n6;5+60f92>{zut1vn>5<7s-8in7l6;I0bb>o6=10;6)=h9o;1<7*=bc82b4=Q:kn1=vB=bg82Sb52;q/=k651g38R4362;q/:{M0ab?7|^m81>v*>f9821<=Q9<;1>v*91d85?!06l3<0qpsr;h36e?6=,;hi6<;7;W0a`?7|D;hm6m6X>5081!06m3l0(;?k:g9~yx{2wvqp5rb211>5<1290;w)N5io1/=:75159j50>=83.9no4>599U6gb=9rF9nk4>{W3f4?4|,8l36<;7;W365?4|,?;n6;5+60f92>{zut1boo4?:%0af?ee3_8ih7?tL3`e>4}Q9l:1>v*>f98`f>P6=809w)8>e;48 37c2?1vqps4iba94?"5jk0ho6X=be81I4en3;pZ=kj1]=8?52z&55`7}#>8i1on5+3g2924c<,:l;6;?j;|&5ea7}#>8i1on5+3g2924c<,:l;6;?k;|&5ea7}#>8i1on5+3g2924col52``8R7dc2;qG>oh51zT2a5<5s-;m477ge3-9m<78>e:'2db=9;1vqp5f28a94?"5jk095n5Y2cf96~J5jo0:w[?j0;0x 4`?2;3h7[?:1;0x 37b2880(;?k:008yx{K:ko1=vX=b581Sb72;q/:{zu2e:j<4?:%0af?7a921vn>5<7s-8in75`83>!4ej3;>46X=be82I4en3;pZi<52z&2b=<6=h1]=8?52z&55`<13-<:h784}|~?j7a93:1(?lm:0d2?S4el3;p@?li:0yU`7<5s-;m47?i1:T214<5s-<:i784$73g>3=zutw0qo==c;295?6=8r.9no4=c39K6d`h4?:583>5}#:kh1;=5G2`d8m43>290/>ol514:8R7dc28qG>oh51zTg6?4|,8l36<;6;W365?4|,?;n647{zut1b=;;50;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:9533<^8?:6?u+60g954=#>8n1=<5r}|8k4`6290/>ol51g38R7dc28qG>oh51zTg6?4|,8l36;W365?4|,?;n6;5+60f92>{zut1vn>5<7s-8in79?;I0bb>o6=00;6)N5jh1]>oj51zN1fc<6s_n96?u+1g:950?<^8?:6?u+60g954=#>8n1=<5r}|8m43f290/>ol514:8R7dc28qG>oh51zTg6?4|,8l36<;n;W365?4|,?;n647;|~y>o6?90;6)N5jh1]>oj51zN1fc<6s_n96?u+1g:9526<^8?:6?u+60g954=#>8n1=<5r}|8m414290/>ol514:8R7dc28qG>oh51zTg6?4|,8l36<9<;W365?4|,?;n647;|~y>o6?<0;6)P5jm0:wA749U507=:r.==h4>1:&55a<692wvqp5f16494?"5jk0:955Y2cf95~J5jo0:w[j=:3y'5c>=9><0Z<;>:3y'24c=981/:2wvqp5rb213>5<>290;w)=9<30Z<;>:3y'24c=981/:<^;ho65081!06m3;:7)8>d;32?x{zu2c:;=4?:%0af?7202\9ni4>{M0ab?7|^m81>v*>f98235=Q9<;1>v*91d825>"19m0:=6sr}|9j525=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=:=4V072>7}#>8o1=<5+60f954=zutw0e<9;:18'6gd=9<20Z?lk:0yO6g`=9r\o>74133_;>=747<,?;o6;%42`?763twvq6g>7783>!4ej3;>46X=be82I4en3;pZi<52z&2b=<6??1]=8?52z&55`<692.==i4>1:~yx=h9o;1<7*=bc82b4=Q:kn1=vB=bg82Sb52;q/=k651g38R4362;q/:{M0ab?7|^m81>v*>f9821<=Q9<;1>v*91d85?!06l3<0qpsr;h36e?6=,;hi6<;7;W0a`?7|D;hm6m6X>5081!06m3<0(;?k:79~yx{6=4+2c`950><^;ho62wvqp5`1g394?"5jk0:j<5Y2cf95~J5jo0:w[j=:3y'5c>=9o;0Z<;>:3y'24c=>2.==i49;|~y>{e;:>1<7<50;2x 7de2k30D?oi;h36d;48yx{z3th8?i4?:283>5}#:kh1>o64H3ce?l7213:1(?lm:07;?S4el3;p@?li:0yU`7<5s-;m47?:9:T214<5s-<:i784$73g>3=zutw0e<;n:18'6gd=9<20Z?lk:0yO6g`=9r\o>743f3_;>=7c=#>8n1j6sr}|9l5c7=83.9no4>f09U6gb=9rF9nk4>{Wf1>7}#9o21=k?4V072>7}#>8o1:6*91e85?x{zu2wi?>m50;494?6|,;hi6<;9;I0bb>"6?00:86g>5983>!4ej3;>46X=be82I4en3;pZ=9<20Z<;>:3y'24c=>2.==i49;|~y>odj3:1(?lm:b`8R7dc28qG>oh51zT2a5<5s-;m47mm;W365?4|,?;n6;5+60f92>{zut1bon4?:%0af?ed3_8ih74}Q9l:1>v*>f98`g>P6=809w)8>e;:8 37c211vqpB=bd87S4fk39pZi>52z&55f599'7c6=>8o0q)8nd;g8yS4fk39pZi>52z&55f599'7c6=>8n0q)8nd;g8yS4fk39pZi>52z&55fe:'2db=9:1vqp5f2``94?"5jk09mo5Y2cf96~J5jo0:w[?j0;0x 4`?2;ki7[?:1;0x 37b2880(;?k:008yx{K:ko1=vX=b581Sb72;q/:{zu2c95n4?:%0af?4>k2\9ni4={M0ab?7|^8o;6?u+1g:968n1=?5r}|N1f`<6s_8i87{e;:?1<7=50;2x 7de2;h37Ed;48yx{z3`;>m7>5$3`a>43?3_8ih7?tL3`e>4}Ql;09w)?i8;36e>P6=809w)8>e;48 37c2?1vqps4o0d2>5<#:kh1=k?4V3`g>4}K:kl1=vXk2;0x 4`?28l:7[?:1;0x 37b2?1/::183!4ej38h>6F=ag9l5c7=83.9no4>f098yg5403:187>50z&1fg<082B9mk5f14;94?"5jk0:955Y2cf95~J5jo0:w[j=:3y'5c>=9<30Z<;>:3y'24c=981/:<@;hj7[;|~y>o6><0;6)P5jm0:wA649U507=:r.==h4>1:&55a<692wvqp5`1g394?"5jk0:j<5Y2cf95~J5jo0:w[j=:3y'5c>=9o;0Z<;>:3y'24c=>2.==i49;|~y>{e;:31<7?>:183!4ej3=;7E5<#:kh1=864V3`g>4}K:kl1=vXk2;0x 4`?28?j7[?:1;0x 37b28;0(;?k:038yx{z3`;=97>5$3`a>43?3_8ih7?tL3`e>4}Ql;09w)?i8;351>P6=809w)8>e;32?!06l3;:7psr}:k235<72-8in7?:8:J1fd=Q:kn1=vB=bg82Sb52;q/=k651628R4362;q/:5<#:kh1=864V3`g>4}K:kl1=vXk2;0x 4`?28=87[?:1;0x 37b28;0(;?k:038yx{z3`;<87>5$3`a>43?3_8ih7?tL3`e>4}Ql;09w)?i8;340>P6=809w)8>e;32?!06l3;:7psr}:k230<72-8in7?:8:T1fa<6sE8ij7?tVe096~"6n10:;85Y14396~"19l0:=6*91e825>{zut1b=:850;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:9520<^8?:6?u+60g954=#>8n1=<5r}|8m410290/>ol514:8R7dc28qG>oh51zTg6?4|,8l36<98;W365?4|,?;n647ol514:8R7dc28qG>oh51zTg6?4|,8l36<;m;W365?4|,?;n6;5+60f92>{zut1b=8m50;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:950e<^8?:6?u+60g92>"19m0=7psr}:k21a<72-8in7?:8:T1fa<6sE8ij7?tVe096~"6n10:9i5Y14396~"19l0=7)8>d;48yx{z3`;>i7>5$3`a>43?3_8ih7?tL3`e>4}Ql;09w)?i8;36a>P6=809w)8>e;48 37c2?1vqps4i07e>5<#:kh1=864V3`g>4}K:kl1=vXk2;0x 4`?28?m7[?:1;0x 37b2?1/:f083>!4ej3;m=6X=be82I4en3;pZi<52z&2b=<6n81]=8?52z&55`<13-<:h784}|~?xd4;h0;6:0D?oi;h36=?6=,;hi6<;7;I0ae>P5jm0:wA589U507=:r.==h4>1:&55a<692wvqp5f14c94?"5jk0:955Y2cf95~J5jo0:w[j=:3y'5c>=9:3y'24c=981/:6=4+2c`950><^;ho65081!06m3;:7)8>d;32?x{zu2c:;>4?:%0af?7202\9ni4>{M0ab?7|^m81>v*>f98236=Q9<;1>v*91d825>"19m0:=6sr}|9j522=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=::4V072>7}#>8o1=<5+60f954=zutw0e<9::18'6gd=9<20Z?lk:0yO6g`=9r\o>74123_;>=747<,?;o63:1(?lm:07;?S4el3;p@?li:0yU`7<5s-;m47?86:T214<5s-<:i7?>;%42`?763twvq6g>7683>!4ej3;>46X=be82I4en3;pZi<52z&2b=<6?>1]=8?52z&55`<692.==i4>1:~yx=n9>21<7*=bc821==Q:kn1=vB=bg82Sb52;q/=k6516:8R4362;q/:5c83>!4ej3;>46X=be82I4en3;pZi<52z&2b=<6=k1]=8?52z&55`<13-<:h784}|~?l72k3:1(?lm:07;?S4el3;p@?li:0yU`7<5s-;m47?:c:T214<5s-<:i784$73g>3=zutw0e<;k:18'6gd=9<20Z?lk:0yO6g`=9r\o>743c3_;>=73=#>8n1:6sr}|9j50c=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=8k4V072>7}#>8o1:6*91e85?x{zu2c:9k4?:%0af?7202\9ni4>{M0ab?7|^m81>v*>f9821c=Q9<;1>v*91d85?!06l3<0qpsr;h354?6=,;hi6<;7;W0a`?7|D;hm65081!06m3<0(;?k:79~yx{2wvqp5rb214>5<3290;w)N5io1b=8750;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:950?<^8?:6?u+60g92>"19m0=7psr}:k21d<72-8in7?:8:T1fa<6sE8ij7?tVe096~"6n10:9l5Y14396~"19l0=7)8>d;48yx{z3`;=97>5$3`a>43?3_8ih7?tL3`e>4}Ql;09w)?i8;351>P6=809w)8>e;48 37c2?1vqps4o0d2>5<#:kh1=k?4V3`g>4}K:kl1=vXk2;0x 4`?28l:7[?:1;0x 37b2?1/:f09U6gb=9rF9nk4>{Wf1>7}#9o21=k?4V072>7}#>8o1:6*91e85?x{zu2wi?9950;194?6|,;hi6?l7;I0bb>o6=00;6)P5jm0:wA589U507=:r.==h49;%42`?0ol51g38R7dc28qG>oh51zTg6?4|,8l36;W365?4|,?;n6;5+60f92>{zut1vn>:9:185>5<7s-8in7?:6:J1ec=#9>31=95f14:94?"5jk0:955Y2cf95~J5jo0:w[?j0;0x 4`?28?37[?:1;0x 37b2?1/:3=zutw0enm50;&1fgv*91d8;?!06l320qpsC2cg90~P5ij08w[j?:3y'24e=kj1/?k>560g8ySb62;q/:560g8ySb62;q/:560g8ySb62;q/:8o0q)8nd;58yS7a?38pZi>52z&55f5<#:kh1>ll4V3`g>7}K:kl1=vX>e181!7a038jn6X>5081!06m3;97)8>d;31?x{zD;hn64m50;&1fg<51j1]>oj52zN1fc<6s_;n<77?d3_;>=744<,?;o6<<4}|O6gc=9r\9n94={Wf3>7}#>8i1>4m4$2d3>37b3t.=mi4>2:~y>i6n80;6)=zj:9m6=4<:183!4ej38i46F=ag9j50?=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=874V072>7}#>8o1:6*91e85?x{zu2c:9l4?:%0af?7202\9ni4>{M0ab?7|^m81>v*>f9821d=Q9<;1>v*91d85?!06l3<0qpsr;n3e5?6=,;hi6;W0a`?7|D;hm65081!06m3<0(;?k:79~yx{51;294~"5jk09o?5G2`d8k4`6290/>ol51g38?xd4<;0;694?:1y'6gd=?91C>lh4i07:>5<#:kh1=864V3`g>4}K:kl1=vXk2;0x 4`?28?27[?:1;0x 37b28;0(;?k:038yx{z3`;>m7>5$3`a>43?3A8im6X=be82I4en3;pZi<52z&2b=<6=h1]=8?52z&55`<692.==i4>1:~yx=n9??1<7*=bc821==Q:kn1=vB=bg82Sb52;q/=k651778R4362;q/:5<#:kh1=k?4V3`g>4}K:kl1=vXk2;0x 4`?28l:7[?:1;0x 37b2?1/:86=4>1;294~"5jk0<<6F=ag9j50?=83.9no4>599K6gg<^;ho6m6X>5081!06m3;:7)8>d;32?x{zu2c::84?:%0af?7202\9ni4>{M0ab?7|^m81>v*>f98220=Q9<;1>v*91d825>"19m0:=6sr}|9j526=83.9no4>599K6gg<^;ho65081!06m3;:7)8>d;32?x{zu2c:;94?:%0af?7202\9ni4>{M0ab?7|^m81>v*>f98231=Q9<;1>v*91d825>"19m0:=6sr}|9j523=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=:;4V072>7}#>8o1=<5+60f954=zutw0e<99:18'6gd=9<20Z?lk:0yO6g`=9r\o>74113_;>=747<,?;o6;%42`?763twvq6g>7983>!4ej3;>46X=be82I4en3;pZi<52z&2b=<6?11]=8?52z&55`<692.==i4>1:~yx=n95b83>!4ej3;>46X=be82I4en3;pZi<52z&2b=<6=j1]=8?52z&55`<13-<:h784}|~?l72l3:1(?lm:07;?S4el3;p@?li:0yU`7<5s-;m47?:d:T214<5s-<:i784$73g>3=zutw0e<;j:18'6gd=9<20Z?lk:0yO6g`=9r\o>743b3_;>=73=#>8n1:6sr}|9j50`=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=8h4V072>7}#>8o1:6*91e85?x{zu2c::=4?:%0af?7202\9ni4>{M0ab?7|^m81>v*>f98225=Q9<;1>v*91d85?!06l3<0qpsr;n3e5?6=,;hi6;W0a`?7|D;hm65081!06m3<0(;?k:79~yx{51083>5}#:kh1;=5G2`d8m43>290/>ol514:8L7df3_8ih7?tL3`e>4}Ql;09w)?i8;36=>P6=809w)8>e;32?!06l3;:7psr}:k21d<72-8in7?:8:T1fa<6sE8ij7?tVe096~"6n10:9l5Y14396~"19l0:=6*91e825>{zut1b=;;50;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:9533<^8?:6?u+60g954=#>8n1=<5r}|8m417290/>ol514:8R7dc28qG>oh51zTg6?4|,8l36<9?;W365?4|,?;n647;|~y>o6?=0;6)P5jm0:wA759U507=:r.==h4>1:&55a<692wvqp5f16794?"5jk0:955Y2cf95~J5jo0:w[j=:3y'5c>=9>?0Z<;>:3y'24c=981/:<^;ho65081!06m3;:7)8>d;32?x{zu2c:;54?:%0af?7202\9ni4>{M0ab?7|^m81>v*>f9823==Q9<;1>v*91d825>"19m0:=6sr}|9j50d=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=8l4V072>7}#>8o1:6*91e85?x{zu2c:9n4?:%0af?7202\9ni4>{M0ab?7|^m81>v*>f9821f=Q9<;1>v*91d85?!06l3<0qpsr;h36`?6=,;hi6<;7;W0a`?7|D;hm6h6X>5081!06m3<0(;?k:79~yx{<^;ho62wvqp5f14d94?"5jk0:955Y2cf95~J5jo0:w[j=:3y'5c>=9:3y'24c=>2.==i49;|~y>o6>90;6)P5jm0:wA619U507=:r.==h49;%42`?050z&1fg<6n91C>lh4i07:>5<#:kh1=864V3`g>4}K:kl1=vXk2;0x 4`?28?27[?:1;0x 37b2?1/:6483>!4ej3;>46X=be82I4en3;pZi<52z&2b=<6><1]=8?52z&55`<13-<:h784}|~?j7a93:1(?lm:0d2?S4el3;p@?li:0yU`7<5s-;m47?i1:T214<5s-<:i784$73g>3=zutw0qo=;8;296?6=8r.9no4m9:J1ec=n9<21<7*=bc821==2wvqp5rb272>5<4290;w)N5io1b=8750;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:950?<^8?:6?u+60g92>"19m0=7psr}:k21d<72-8in7?:8:T1fa<6sE8ij7?tVe096~"6n10:9l5Y14396~"19l0m7)8>d;d8yx{z3f;m=7>5$3`a>4`63_8ih7?tL3`e>4}Ql;09w)?i8;3e5>P6=809w)8>e;48 37c2?1vqps4}c164?6=>3:1ol514:8R7dc28qG>oh51zT2a5<5s-;m47?:8:T214<5s-<:i784$73g>3=zutw0enl50;&1fg{M0ab?7|^8o;6?u+1g:9gg=Q9<;1>v*91d85?!06l3<0qpsr;ha`>5<#:kh1on5Y2cf96~J5jo0:w[?j0;0x 4`?2ji0Z<;>:3y'24c=02.==i47;|~H7db2=q]>lm53zTg4?4|,?;h6nm4$2d3>37b3t\o=743?3-9m<78>e:'2db=m2w]>lm53zTg4?4|,?;h6nm4$2d3>37b3t\o=743?3-9m<78>d:'2db=m2w]>lm53zTg4?4|,?;h6nm4$2d3>37b3t\o=7fd<,:l;6;?j;|&5ea<03t\:j:4={Wf3>7}#>8i1on5+3g2924c2:&55a<6:2wvqA5<#:kh1>4m4V3`g>7}K:kl1=vX>e181!7a0382o6X>5081!06m3;97)8>d;31?x{zD;hn6<^;ho62wvqp5f14c94?"5jk0:955Y2cf95~J5jo0:w[j=:3y'5c>=9:3y'24c=>2.==i49;|~y>i6n80;6)P5jm0:wAf09U507=:r.==h49;%42`?04<729q/>ol52b08L7ga3f;m=7>5$3`a>4`632wi?9m50;694?6|,;hi6:>4H3ce?l7213:1(?lm:07;?S4el3;p@?li:0yU`7<5s-;m47?:9:T214<5s-<:i7?>;%42`?763twvq6g>5`83>!4ej3;>46F=b`9U6gb=9rF9nk4>{Wf1>7}#9o21=8o4V072>7}#>8o1=<5+60f954=zutw0e<8::18'6gd=9<20Z?lk:0yO6g`=9r\o>74023_;>=747<,?;o63=zutw0qo=;d;2954<729q/>ol5719K6d`<@;hj7[;|~y>o6=h0;6)P5jm0:wA5`9U507=:r.==h4>1:&55a<692wvqp5f17794?"5jk0:955Y2cf95~J5jo0:w[j=:3y'5c>=9??0Z<;>:3y'24c=981/:<@;hj7[;|~y>o6?:0;6)P5jm0:wA729U507=:r.==h4>1:&55a<692wvqp5f16694?"5jk0:955Y2cf95~J5jo0:w[j=:3y'5c>=9>>0Z<;>:3y'24c=981/:6=4+2c`950><^;ho65081!06m3;:7)8>d;32?x{zu2c:;:4?:%0af?7202\9ni4>{M0ab?7|^m81>v*>f98232=Q9<;1>v*91d825>"19m0:=6sr}|9j52>=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=:64V072>7}#>8o1=<5+60f954=zutw0e<;m:18'6gd=9<20Z?lk:0yO6g`=9r\o>743e3_;>=747<,?;o63=zutw0e<;k:18'6gd=9<20Z?lk:0yO6g`=9r\o>743c3_;>=73=#>8n1:6sr}|9j50c=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=8k4V072>7}#>8o1:6*91e85?x{zu2c:9k4?:%0af?7202\9ni4>{M0ab?7|^m81>v*>f9821c=Q9<;1>v*91d85?!06l3<0qpsr;h354?6=,;hi6<;7;W0a`?7|D;hm65081!06m3<0(;?k:79~yx{2wvqp5rb26f>5<693:1N5io1b=8750;&1fg<6=11C>oo4V3`g>4}K:kl1=vXk2;0x 4`?28?27[?:1;0x 37b28;0(;?k:038yx{z3`;>m7>5$3`a>43?3_8ih7?tL3`e>4}Ql;09w)?i8;36e>P6=809w)8>e;32?!06l3;:7psr}:k220<72-8in7?:8:T1fa<6sE8ij7?tVe096~"6n10::85Y14396~"19l0:=6*91e825>{zut1b=:>50;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:9526<^8?:6?u+60g954=#>8n1=<5r}|8m414290/>ol514:8R7dc28qG>oh51zTg6?4|,8l36<9<;W365?4|,?;n647;|~y>o6?<0;6)P5jm0:wA749U507=:r.==h4>1:&55a<692wvqp5f16494?"5jk0:955Y2cf95~J5jo0:w[j=:3y'5c>=9><0Z<;>:3y'24c=981/:<^;ho65081!06m3;:7)8>d;32?x{zu2c:9o4?:%0af?7202\9ni4>{M0ab?7|^m81>v*>f9821g=Q9<;1>v*91d825>"19m0:=6sr}|9j50e=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=8m4V072>7}#>8o1:6*91e85?x{zu2c:9i4?:%0af?7202\9ni4>{M0ab?7|^m81>v*>f9821a=Q9<;1>v*91d85?!06l3<0qpsr;h36a?6=,;hi6<;7;W0a`?7|D;hm6i6X>5081!06m3<0(;?k:79~yx{<^;ho62wvqp5f17294?"5jk0:955Y2cf95~J5jo0:w[j=:3y'5c>=9?:0Z<;>:3y'24c=>2.==i49;|~y>i6n80;6)P5jm0:wAf09U507=:r.==h49;%42`?01<729q/>ol51g28L7ga3`;>57>5$3`a>43?3_8ih7?tL3`e>4}Ql;09w)?i8;36=>P6=809w)8>e;48 37c2?1vqps4i07b>5<#:kh1=864V3`g>4}K:kl1=vXk2;0x 4`?28?j7[?:1;0x 37b2?1/:f083>!4ej3;m=6X=be82I4en3;pZi<52z&2b=<6n81]=8?52z&55`<13-<:h784}|~?xd4=;0;6?4?:1y'6gd=j01C>lh4i07;>5<#:kh1=864;n3e5?6=,;hi6;W0a`?7|D;hm65081!06m3<0(;?k:79~yx{n7>53;294~"5jk09n55G2`d8m43>290/>ol514:8R7dc28qG>oh51zTg6?4|,8l36<;6;W365?4|,?;n6;5+60f92>{zut1b=8o50;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:950g<^8?:6?u+60g9b>"19m0m7psr}:m2b4<72-8in7?i1:T1fa<6sE8ij7?tVe096~"6n10:j<5Y14396~"19l0=7)8>d;48yx{z3th89l4?:783>5}#:kh1=884H3ce?!7013;?7d?:8;29 7de28?37[52z&2b=<6=11]=8?52z&55`<13-<:h784}|~?lee290/>ol5cc9U6gb=9rF9nk4>{W3f4?4|,8l36nl4V072>7}#>8o1:6*91e85?x{zu2cho7>5$3`a>fe<^;ho6?uC2cd95~P6m909w)?i8;a`?S72938p(;?j:99'24b=02wvqAe:U`4<5s-<:o7?:8:&0b5<19l1v(;ok:d9~R7gd2:q]h=4={%42g?ed3-9m<78>e:U`4<5s-<:o7?:8:&0b5<19m1v(;ok:d9~R7gd2:q]h=4={%42g?ed3-9m<78>e:U`4<5s-<:o7mm;%1e4?06m2w/:lj57:U5c1=:r\o<7fe<,:l;6;?j;|&5ea<6;2wvq6g=ac83>!4ej38jn6X=be81I4en3;pZ=:hh0Z<;>:3y'24c=9;1/:8o0q)8nd;31?x{z3`82o7>5$3`a>7?d3_8ih74}Q9l:1>v*>f981=f=Q9<;1>v*91d826>"19m0:>6sr}M0aa?7|^;h?6?uYd181!06k382o6*ol51g38?xd4=:0;6>4?:1y'6gd=:k20D?oi;h36=?6=,;hi6<;7;W0a`?7|D;hm656X>5081!06m3<0(;?k:79~yx{<^;ho62wvqp5`1g394?"5jk0:j<5Y2cf95~J5jo0:w[j=:3y'5c>=9o;0Z<;>:3y'24c=>2.==i49;|~y>{e;<>1<7?50;2x 7de2;i97E5883>!4ej3;>46X=be82I4en3;pZi<52z&2b=<6=01]=8?52z&55`<692.==i4>1:~yx=n9743f3_;>=747<,?;o6;%42`?763twvq6a>f083>!4ej3;m=6X=be82I4en3;pZi<52z&2b=<6n81]=8?52z&55`<13-<:h784}|~?xd4=>0;6:0D?oi;h36=?6=,;hi6<;7;I0ae>P5jm0:wA589U507=:r.==h4>1:&55a<692wvqp5f14c94?"5jk0:955Y2cf95~J5jo0:w[j=:3y'5c>=9:3y'24c=981/:6=4+2c`950><^;ho6P5jm0:wA719U507=:r.==h4>1:&55a<692wvqp5f16194?"5jk0:955Y2cf95~J5jo0:w[j=:3y'5c>=9>90Z<;>:3y'24c=981/:<^;ho65081!06m3;:7)8>d;32?x{zu2c:;;4?:%0af?7202\9ni4>{M0ab?7|^m81>v*>f98233=Q9<;1>v*91d825>"19m0:=6sr}|9j521=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=:94V072>7}#>8o1=<5+60f954=zutw0e<97:18'6gd=9<20Z?lk:0yO6g`=9r\o>741?3_;>=747<,?;o6;%42`?763twvq6g>5b83>!4ej3;>46X=be82I4en3;pZi<52z&2b=<6=j1]=8?52z&55`<692.==i4>1:~yx=n95d83>!4ej3;>46X=be82I4en3;pZi<52z&2b=<6=l1]=8?52z&55`<13-<:h784}|~?l72n3:1(?lm:07;?S4el3;p@?li:0yU`7<5s-;m47?:f:T214<5s-<:i784$73g>3=zutw0e<8?:18'6gd=9<20Z?lk:0yO6g`=9r\o>74073_;>=73=#>8n1:6sr}|9l5c7=83.9no4>f09U6gb=9rF9nk4>{Wf1>7}#9o21=k?4V072>7}#>8o1:6*91e85?x{zu2wi?8650;32>5<7s-8in79?;I0bb>o6=00;6)N5jh1]>oj51zN1fc<6s_n96?u+1g:950?<^8?:6?u+60g954=#>8n1=<5r}|8m43f290/>ol514:8R7dc28qG>oh51zTg6?4|,8l36<;n;W365?4|,?;n647;|~y>o6?90;6)P5jm0:wA719U507=:r.==h4>1:&55a<692wvqp5f16194?"5jk0:955Y2cf95~J5jo0:w[j=:3y'5c>=9>90Z<;>:3y'24c=981/:<^;ho65081!06m3;:7)8>d;32?x{zu2c:;;4?:%0af?7202\9ni4>{M0ab?7|^m81>v*>f98233=Q9<;1>v*91d825>"19m0:=6sr}|9j521=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=:94V072>7}#>8o1=<5+60f954=zutw0e<97:18'6gd=9<20Z?lk:0yO6g`=9r\o>741?3_;>=747<,?;o6;%42`?763twvq6g>5b83>!4ej3;>46X=be82I4en3;pZi<52z&2b=<6=j1]=8?52z&55`<692.==i4>1:~yx=n95d83>!4ej3;>46X=be82I4en3;pZi<52z&2b=<6=l1]=8?52z&55`<13-<:h784}|~?l72n3:1(?lm:07;?S4el3;p@?li:0yU`7<5s-;m47?:f:T214<5s-<:i784$73g>3=zutw0e<8?:18'6gd=9<20Z?lk:0yO6g`=9r\o>74073_;>=73=#>8n1:6sr}|9l5c7=83.9no4>f09U6gb=9rF9nk4>{Wf1>7}#9o21=k?4V072>7}#>8o1:6*91e85?x{zu2wi?8;50;694?6|,;hi6o6=00;6)P5jm0:wA589U507=:r.==h49;%42`?0ol514:8R7dc28qG>oh51zTg6?4|,8l36<8:;W365?4|,?;n6;5+60f92>{zut1d=k?50;&1fg<6n81]>oj51zN1fc<6s_n96?u+1g:95c7<^8?:6?u+60g92>"19m0=7psr}:a70e=8381<7>t$3`a>g?<@;km7d?:8;29 7de28?376a>f083>!4ej3;m=6X=be82I4en3;pZi<52z&2b=<6n81]=8?52z&55`<13-<:h784}|~?xd4>:0;6?4?:1y'6gd=j01C>lh4i07;>5<#:kh1=864;n3e5?6=,;hi6;W0a`?7|D;hm65081!06m3<0(;?k:79~yx{53;294~"5jk09n55G2`d8m43>290/>ol514:8R7dc28qG>oh51zTg6?4|,8l36<;6;W365?4|,?;n6;5+60f92>{zut1b=8o50;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:950g<^8?:6?u+60g9b>"19m0m7psr}:m2b4<72-8in7?i1:T1fa<6sE8ij7?tVe096~"6n10:j<5Y14396~"19l0=7)8>d;48yx{z3th8:54?:783>5}#:kh1=884H3ce?!7013;?7d?:8;29 7de28?37[52z&2b=<6=11]=8?52z&55`<13-<:h784}|~?lee290/>ol5cc9U6gb=9rF9nk4>{W3f4?4|,8l36nl4V072>7}#>8o1:6*91e85?x{zu2cho7>5$3`a>fe<^;ho6?uC2cd95~P6m909w)?i8;a`?S72938p(;?j:99'24b=02wvqAe:U`4<5s-<:o7?:8:&0b5<19l1v(;ok:d9~R7gd2:q]h=4={%42g?ed3-9m<78>e:U`4<5s-<:o7?:8:&0b5<19m1v(;ok:d9~R7gd2:q]h=4={%42g?ed3-9m<78>e:U`4<5s-<:o7mm;%1e4?06m2w/:lj57:U5c1=:r\o<7fe<,:l;6;?j;|&5ea<6;2wvq6g=ac83>!4ej38jn6X=be81I4en3;pZ=:hh0Z<;>:3y'24c=9;1/:8o0q)8nd;31?x{z3`82o7>5$3`a>7?d3_8ih74}Q9l:1>v*>f981=f=Q9<;1>v*91d826>"19m0:>6sr}M0aa?7|^;h?6?uYd181!06k382o6*ol51g38?xd4=m0;6>4?:1y'6gd=:k20D?oi;h36=?6=,;hi6<;7;W0a`?7|D;hm656X>5081!06m3<0(;?k:79~yx{<^;ho62wvqp5`1g394?"5jk0:j<5Y2cf95~J5jo0:w[j=:3y'5c>=9o;0Z<;>:3y'24c=>2.==i49;|~y>{e;5883>!4ej3;>46X=be82I4en3;pZi<52z&2b=<6=01]=8?52z&55`<692.==i4>1:~yx=n9743f3_;>=747<,?;o6;%42`?763twvq6a>f083>!4ej3;m=6X=be82I4en3;pZi<52z&2b=<6n81]=8?52z&55`<13-<:h784}|~?xd4>80;6:0D?oi;h36=?6=,;hi6<;7;I0ae>P5jm0:wA589U507=:r.==h4>1:&55a<692wvqp5f14c94?"5jk0:955Y2cf95~J5jo0:w[j=:3y'5c>=9:3y'24c=981/:6=4+2c`950><^;ho6P5jm0:wA719U507=:r.==h4>1:&55a<692wvqp5f16194?"5jk0:955Y2cf95~J5jo0:w[j=:3y'5c>=9>90Z<;>:3y'24c=981/:<^;ho65081!06m3;:7)8>d;32?x{zu2c:;;4?:%0af?7202\9ni4>{M0ab?7|^m81>v*>f98233=Q9<;1>v*91d825>"19m0:=6sr}|9j521=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=:94V072>7}#>8o1=<5+60f954=zutw0e<97:18'6gd=9<20Z?lk:0yO6g`=9r\o>741?3_;>=747<,?;o6;%42`?763twvq6g>5b83>!4ej3;>46X=be82I4en3;pZi<52z&2b=<6=j1]=8?52z&55`<692.==i4>1:~yx=n95<#:kh1=864V3`g>4}K:kl1=vXk2;0x 4`?28?n7[?:1;0x 37b2?1/:6183>!4ej3;>46X=be82I4en3;pZi<52z&2b=<6>91]=8?52z&55`<13-<:h784}|~?j7a93:1(?lm:0d2?S4el3;p@?li:0yU`7<5s-;m47?i1:T214<5s-<:i784$73g>3=zutw0qo=92;2954<729q/>ol5719K6d`<@;hj7[;|~y>o6=h0;6)P5jm0:wA5`9U507=:r.==h4>1:&55a<692wvqp5f17794?"5jk0:955Y2cf95~J5jo0:w[j=:3y'5c>=9??0Z<;>:3y'24c=981/:<^;ho65081!06m3;:7)8>d;32?x{zu2c:;94?:%0af?7202\9ni4>{M0ab?7|^m81>v*>f98231=Q9<;1>v*91d825>"19m0:=6sr}|9j523=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=:;4V072>7}#>8o1=<5+60f954=zutw0e<99:18'6gd=9<20Z?lk:0yO6g`=9r\o>74113_;>=747<,?;o6;%42`?763twvq6g>7983>!4ej3;>46X=be82I4en3;pZi<52z&2b=<6?11]=8?52z&55`<692.==i4>1:~yx=n95<#:kh1=864V3`g>4}K:kl1=vXk2;0x 4`?28?h7[?:1;0x 37b28;0(;?k:038yx{z3`;>h7>5$3`a>43?3_8ih7?tL3`e>4}Ql;09w)?i8;36`>P6=809w)8>e;32?!06l3;:7psr}:k21`<72-8in7?:8:T1fa<6sE8ij7?tVe096~"6n10:9h5Y14396~"19l0=7)8>d;48yx{z3`;>j7>5$3`a>43?3_8ih7?tL3`e>4}Ql;09w)?i8;36b>P6=809w)8>e;48 37c2?1vqps4i043>5<#:kh1=864V3`g>4}K:kl1=vXk2;0x 4`?28<;7[?:1;0x 37b2?1/:{M0ab?7|^m81>v*>f9821<=Q9<;1>v*91d85?!06l3<0qpsr;h36e?6=,;hi6<;7;W0a`?7|D;hm6m6X>5081!06m3<0(;?k:79~yx{6=4+2c`950><^;ho62wvqp5`1g394?"5jk0:j<5Y2cf95~J5jo0:w[j=:3y'5c>=9o;0Z<;>:3y'24c=>2.==i49;|~y>{e;?>1<7:50;2x 7de2>:0D?oi;h36=?6=,;hi6<;7;I0ae>P5jm0:wA589U507=:r.==h4>2:&55a<6:2wvqp5f14c94?"5jk0:955Y2cf95~J5jo0:w[j=:3y'5c>=9:3y'24c=9;1/:6=4+2c`950><@;hj7[i6n80;6)P5jm0:wAf09U507=:r.==h49;%42`?01<729q/>ol5719K6d`<^;ho6m6X>5081!06m3;97)8>d;31?x{zu2c::84?:%0af?7202B9nl5Y2cf95~J5jo0:w[j=:3y'5c>=9??0Z<;>:3y'24c=9;1/:2wvqp5rb245>5<4290;w)N5io1b=8750;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:950?<^8?:6?u+60g92>"19m0=7psr}:k21d<72-8in7?:8:T1fa<6sE8ij7?tVe096~"6n10:9l5Y14396~"19l0=7)8>d;48yx{z3f;m=7>5$3`a>4`63_8ih7?tL3`e>4}Ql;09w)?i8;3e5>P6=809w)8>e;48 37c2?1vqps4}c1:e?6=:3:1N5io1b=8650;&1fg<6=110c:18'6gd=9o;0Z?lk:0yO6g`=9r\o>74`63_;>=73=#>8n1:6sr}|9~f6g229086=4?{%0af?4e02B9mk5f14;94?"5jk0:955Y2cf95~J5jo0:w[j=:3y'5c>=9<30Z<;>:3y'24c=>2.==i49;|~y>o6=h0;6)P5jm0:wA5`9U507=:r.==h4i;%42`?`50z&1fg<6=?1C>lh4$05:>42<^;ho6P6=809w)8>e;48 37c2?1vqps4ib`94?"5jk0hn6X=be82I4en3;pZ=kk1]=8?52z&55`<13-<:h784}|~?led290/>ol5cb9U6gb=:rF9nk4>{W3f4?4|,8l36nm4V072>7}#>8o146*91e8;?x{zD;hn69uY2`a97~Pc838p(;?l:ba8 6`72?;n7pXk1;0x 37d28?37)=i0;42a>{#>hn1i6sY2`a97~Pc838p(;?l:ba8 6`72?;n7pXk1;0x 37d28?37)=i0;42`>{#>hn1i6sY2`a97~Pc838p(;?l:ba8 6`72?;n7pXk1;0x 37d2jh0(>h?:73f?x"1im0n7pX>f681Sb72;q/:8o0q)8nd;30?x{z3`8jn7>5$3`a>7ge3_8ih74}Q9l:1>v*>f981eg=Q9<;1>v*91d826>"19m0:>6sr}M0aa?7|^;h?6?uYd181!06k38jn6*ol528a8R7dc2;qG>oh51zT2a5<5s-;m47<6c:T214<5s-<:i7?=;%42`?753twv@?lj:0yU6g2=:r\o<77?d3-9m<78>e:'2db=9;1vqp5`1g394?"5jk0:j<54}c1:g?6=;3:1743>3_;>=73=#>8n1:6sr}|9j50g=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=8o4V072>7}#>8o1:6*91e85?x{zu2e:j<4?:%0af?7a92\9ni4>{M0ab?7|^m81>v*>f982b4=Q9<;1>v*91d85?!06l3<0qpsr;|`0=a<7280;6=u+2c`96f4<@;km7b?i1;29 7de28l:76sm38d94?3=83:p(?lm:628L7ga3`;>57>5$3`a>43?3_8ih7?tL3`e>4}Ql;09w)?i8;36=>P6=809w)8>e;32?!06l3;:7psr}:k21d<72-8in7?:8:T1fa<6sE8ij7?tVe096~"6n10:9l5Y14396~"19l0:=6*91e825>{zut1b=;;50;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:9533<^8?:6?u+60g954=#>8n1=<5r}|8m417290/>ol514:8R7dc28qG>oh51zTg6?4|,8l36<9?;W365?4|,?;n64750z&1fg<082B9mk5f14;94?"5jk0:955Y2cf95~J5jo0:w[j=:3y'5c>=9<30Z<;>:3y'24c=981/:<^;ho6P5jm0:wA649U507=:r.==h4>1:&55a<692wvqp5f16294?"5jk0:955Y2cf95~J5jo0:w[j=:3y'5c>=9>:0Z<;>:3y'24c=981/:2wvqp5rb2c2>5<2290;w){M0ab?7|^m81>v*>f9821<=Q9<;1>v*91d825>"19m0:=6sr}|9j50g=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=8o4V072>7}#>8o1=<5+60f954=zutw0e<8::18'6gd=9<20Z?lk:0yO6g`=9r\o>74023_;>=747<,?;o6{M0ab?7|^m81>v*>f98235=Q9<;1>v*91d825>"19m0:=6sr}|9l5c7=83.9no4>f09U6gb=9rF9nk4>{Wf1>7}#9o21=k?4V072>7}#>8o1:6*91e85?x{zu2wi?l<50;794?6|,;hi6:>4H3ce?l7213:1(?lm:07;?S4el3;p@?li:0yU`7<5s-;m47?:9:T214<5s-<:i7?>;%42`?763twvq6g>5`83>!4ej3;>46F=b`9U6gb=9rF9nk4>{Wf1>7}#9o21=8o4V072>7}#>8o1=<5+60f954=zutw0e<8::18'6gd=9<20Z?lk:0yO6g`=9r\o>74023_;>=747<,?;o6;%42`?763twvq6a>f083>!4ej3;m=6X=be82I4en3;pZi<52z&2b=<6n81]=8?52z&55`<13-<:h784}|~?xd41l0;684?:1y'6gd=9o:0D?oi;h36=?6=,;hi6<;7;W0a`?7|D;hm656X>5081!06m3<0(;?k:79~yx{<^;ho62wvqp5f17794?"5jk0:955Y2cf95~J5jo0:w[j=:3y'5c>=9??0Z<;>:3y'24c=>2.==i49;|~y>o6?90;6)P5jm0:wA719U507=:r.==h49;%42`?0j3:1?7>50z&1fg<082B9mk5f14;94?"5jk0:955G2cc8R7dc28qG>oh51zTg6?4|,8l36<;6;W365?4|,?;n647;|~y>i6n80;6)P5jm0:wAf09U507=:r.==h49;%42`?07<729q/>ol5b89K6d`<3f;m=7>5$3`a>4`63_8ih7?tL3`e>4}Ql;09w)?i8;3e5>P6=809w)8>e;48 37c2?1vqps4}c4bg?6=>3:1ol514:8R7dc28qG>oh51zT2a5<5s-;m47?:8:T214<5s-<:i784$73g>3=zutw0enl50;&1fg{M0ab?7|^8o;6?u+1g:9gg=Q9<;1>v*91d85?!06l3<0qpsr;ha`>5<#:kh1on5Y2cf96~J5jo0:w[?j0;0x 4`?2ji0Z<;>:3y'24c=02.==i47;|~H7db2=q]>lm53zTg4?4|,?;h6nm4$2d3>37b3t\o=743?3-9m<78>e:'2db=m2w]>lm53zTg4?4|,?;h6nm4$2d3>37b3t\o=743?3-9m<78>d:'2db=m2w]>lm53zTg4?4|,?;h6nm4$2d3>37b3t\o=7fd<,:l;6;?j;|&5ea<03t\:j:4={Wf3>7}#>8i1on5+3g2924c2:&55a<6:2wvqA5<#:kh1>4m4V3`g>7}K:kl1=vX>e181!7a0382o6X>5081!06m3;97)8>d;31?x{zD;hn6<@;hj7[ol514:8R7dc28qG>oh51zTg6?4|,8l36<;n;W365?4|,?;n6;5+60f92>{zut1d=k?50;&1fg<6n81]>oj51zN1fc<6s_n96?u+1g:95c7<^8?:6?u+60g92>"19m0=7psr}:a2d1=83;1<7>t$3`a>7e53A8jj6a>f083>!4ej3;m=65rb7c:>5<4290;w)=9<30Z<;>:3y'24c=981/:<@;hj7[;|~y>i6n80;6)P5jm0:wAf09U507=:r.==h49;%42`?02<729q/>ol5719K6d`<@;hj7[;|~y>o6=h0;6)N5jh1]>oj51zN1fc<6s_n96?u+1g:950g<^8?:6?u+60g954=#>8n1=<5r}|8m402290/>ol514:8L7df3_8ih7?tL3`e>4}Ql;09w)?i8;351>P6=809w)8>e;32?!06l3;:7psr}:k235<72-8in7?:8:J1fd=Q:kn1=vB=bg82Sb52;q/=k651628R4362;q/:5<#:kh1=864H3`b?S4el3;p@?li:0yU`7<5s-;m47?83:T214<5s-<:i7?>;%42`?763twvq6g>7583>!4ej3;>46F=b`9U6gb=9rF9nk4>{Wf1>7}#9o21=::4V072>7}#>8o1=<5+60f954=zutw0c:18'6gd=9o;0Z?lk:0yO6g`=9r\o>74`63_;>=73=#>8n1:6sr}|9~f3g?29086=4?{%0af?7a82B9mk5f14;94?"5jk0:955Y2cf95~J5jo0:w[j=:3y'5c>=9<30Z<;>:3y'24c=>2.==i49;|~y>o6=h0;6)P5jm0:wA5`9U507=:r.==h49;%42`?07>50z&1fg5<#:kh1=k?4V3`g>4}K:kl1=vXk2;0x 4`?28l:7[?:1;0x 37b2?1/:599U6gb=9rF9nk4>{Wf1>7}#9o21=874V072>7}#>8o1:6*91e85?x{zu2c:9l4?:%0af?7202\9ni4>{M0ab?7|^m81>v*>f9821d=Q9<;1>v*91d8e?!06l3l0qpsr;n3e5?6=,;hi6;W0a`?7|D;hm65081!06m3<0(;?k:79~yx{56;294~"5jk0:9;5G2`d8 41>28>0e<;7:18'6gd=9<20Z?lk:0yO6g`=9r\:i=4={%3e7}#9o21oo5Y14396~"19l0=7)8>d;48yx{z3`ih6=4+2c`9gf=Q:kn1>vB=bg82S7b838p(fe<,:l;6;?j;|Tg5?4|,?;h6<;7;%1e4?06m2w/:lj5e:U6de=;r\o<7fe<,:l;6;?j;|Tg5?4|,?;h6<;7;%1e4?06l2w/:lj5e:U6de=;r\o<7fe<,:l;6;?j;|Tg5?4|,?;h6nl4$2d3>37b3t.=mi48;|T2b2<5s_n;6?u+60a9gf=#;o:1:52z&2b=<5ik1]=8?52z&55`<6:2.==i4>2:~yI4em3;pZ?l;:3yU`5<5s-<:o7P6=809w)8>e;31?!06l3;97psrL3`f>4}Q:k>1>vXk0;0x 37d2;3h7)=i0;42a>{#>hn1=?5r}|9l5c7=83.9no4>f098yg4an3:1?7>50z&1fg<5j11C>lh4i07:>5<#:kh1=864V3`g>4}K:kl1=vXk2;0x 4`?28?27[?:1;0x 37b2?1/:f083>!4ej3;m=6X=be82I4en3;pZi<52z&2b=<6n81]=8?52z&55`<13-<:h784}|~?xd4890;6<4?:1y'6gd=:j80D?oi;n3e5?6=,;hi6;:a754=83>1<7>t$3`a>26<@;km7d?:9;29 7de28?37[;|~y>o6=h0;6)N5jh1]>oj51zN1fc<6s_n96?u+1g:950g<^8?:6?u+60g954=#>8n1=<5r}|8m402290/>ol514:8R7dc28qG>oh51zTg6?4|,8l36<8:;W365?4|,?;n647lh4i07:>5<#:kh1=864H3`b?S4el3;p@?li:0yU`7<5s-;m47?:9:T214<5s-<:i7?>;%42`?763twvq6g>5`83>!4ej3;>46X=be82I4en3;pZi<52z&2b=<6=h1]=8?52z&55`<692.==i4>1:~yx=n9??1<7*=bc821==Q:kn1=vB=bg82Sb52;q/=k651778R4362;q/:5<#:kh1=864H3`b?S4el3;p@?li:0yU`7<5s-;m47?80:T214<5s-<:i7?>;%42`?763twvq6g>7283>!4ej3;>46X=be82I4en3;pZi<52z&2b=<6?:1]=8?52z&55`<692.==i4>1:~yx=n9>>1<7*=bc821==Q:kn1=vB=bg82Sb52;q/=k651668R4362;q/:5<#:kh1=864V3`g>4}K:kl1=vXk2;0x 4`?28=>7[?:1;0x 37b28;0(;?k:038yx{z3`;<:7>5$3`a>43?3_8ih7?tL3`e>4}Ql;09w)?i8;342>P6=809w)8>e;32?!06l3;:7psr}:k232<72-8in7?:8:T1fa<6sE8ij7?tVe096~"6n10:;:5Y14396~"19l0:=6*91e825>{zut1b=:650;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:952><^8?:6?u+60g954=#>8n1=<5r}|8m43e290/>ol514:8R7dc28qG>oh51zTg6?4|,8l36<;m;W365?4|,?;n647;|~y>o6=m0;6)P5jm0:wA5e9U507=:r.==h4>1:&55a<692wvqp5f14g94?"5jk0:955Y2cf95~J5jo0:w[j=:3y'5c>=9:3y'24c=981/:<^;ho65081!06m3<0(;?k:79~yx{2wvqp5rb227>5<693:1N5io1b=8750;&1fg<6=11C>oo4V3`g>4}K:kl1=vXk2;0x 4`?28?27[?:1;0x 37b28;0(;?k:038yx{z3`;>m7>5$3`a>43?3_8ih7?tL3`e>4}Ql;09w)?i8;36e>P6=809w)8>e;32?!06l3;:7psr}:k220<72-8in7?:8:T1fa<6sE8ij7?tVe096~"6n10::85Y14396~"19l0:=6*91e825>{zut1b=:>50;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:9526<^8?:6?u+60g954=#>8n1=<5r}|8m414290/>ol514:8R7dc28qG>oh51zTg6?4|,8l36<9<;W365?4|,?;n647;|~y>o6?<0;6)P5jm0:wA749U507=:r.==h4>1:&55a<692wvqp5f16494?"5jk0:955Y2cf95~J5jo0:w[j=:3y'5c>=9><0Z<;>:3y'24c=981/:<^;ho65081!06m3;:7)8>d;32?x{zu2c:9o4?:%0af?7202\9ni4>{M0ab?7|^m81>v*>f9821g=Q9<;1>v*91d825>"19m0:=6sr}|9j50e=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=8m4V072>7}#>8o1=<5+60f954=zutw0e<;k:18'6gd=9<20Z?lk:0yO6g`=9r\o>743c3_;>=747<,?;o6;%42`?763twvq6g>5g83>!4ej3;>46X=be82I4en3;pZi<52z&2b=<6=o1]=8?52z&55`<692.==i4>1:~yx=n9?:1<7*=bc821==Q:kn1=vB=bg82Sb52;q/=k651728R4362;q/:f083>!4ej3;m=6X=be82I4en3;pZi<52z&2b=<6n81]=8?52z&55`<13-<:h784}|~?xd4880;694?:1y'6gd=9o:0D?oi;h36=?6=,;hi6<;7;W0a`?7|D;hm656X>5081!06m3<0(;?k:79~yx{<^;ho62wvqp5f17794?"5jk0:955Y2cf95~J5jo0:w[j=:3y'5c>=9??0Z<;>:3y'24c=>2.==i49;|~y>i6n80;6)P5jm0:wAf09U507=:r.==h49;%42`?07<729q/>ol5b89K6d`<3f;m=7>5$3`a>4`63_8ih7?tL3`e>4}Ql;09w)?i8;3e5>P6=809w)8>e;48 37c2?1vqps4}c1bg?6=>3:1ol514:8R7dc28qG>oh51zT2a5<5s-;m47?:8:T214<5s-<:i784$73g>3=zutw0enl50;&1fg{M0ab?7|^8o;6?u+1g:9gg=Q9<;1>v*91d85?!06l3<0qpsr;ha`>5<#:kh1on5Y2cf96~J5jo0:w[?j0;0x 4`?2ji0Z<;>:3y'24c=02.==i47;|~H7db2=q]>lm53zTg4?4|,?;h6nm4$2d3>37b3t\o=743?3-9m<78>e:'2db=m2w]>lm53zTg4?4|,?;h6nm4$2d3>37b3t\o=743?3-9m<78>d:'2db=m2w]>lm53zTg4?4|,?;h6nm4$2d3>37b3t\o=7fd<,:l;6;?j;|&5ea7}#>8i1on5+3g2924c2:&55a<6:2wvqA5<#:kh1>4m4V3`g>7}K:kl1=vX>e181!7a0382o6X>5081!06m3;97)8>d;31?x{zD;hn6<^;ho62wvqp5f14c94?"5jk0:955Y2cf95~J5jo0:w[j=:3y'5c>=9:3y'24c=>2.==i49;|~y>i6n80;6)P5jm0:wAf09U507=:r.==h49;%42`?04<729q/>ol52b08L7ga3f;m=7>5$3`a>4`632wi?lo50;794?6|,;hi6:>4H3ce?l7213:1(?lm:07;?M4ei2\9ni4>{M0ab?7|^m81>v*>f9821<=Q9<;1>v*91d825>"19m0:=6sr}|9j50g=83.9no4>599K6gg<^;ho65081!06m3;:7)8>d;32?x{zu2c:;=4?:%0af?7202\9ni4>{M0ab?7|^m81>v*>f98235=Q9<;1>v*91d825>"19m0:=6sr}|9l5c7=83.9no4>f09U6gb=9rF9nk4>{Wf1>7}#9o21=k?4V072>7}#>8o1:6*91e85?x{zu2wi?l950;194?6|,;hi6:>4H3ce?l7213:1(?lm:07;?M4ei2\9ni4>{M0ab?7|^m81>v*>f9821<=Q9<;1>v*91d825>"19m0:=6sr}|9j50g=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=8o4V072>7}#>8o1=<5+60f954=zutw0c:18'6gd=9o;0Z?lk:0yO6g`=9r\o>74`63_;>=73=#>8n1:6sr}|9~f6c129096=4?{%0af?d>3A8jj6g>5983>!4ej3;>465`1g394?"5jk0:j<5Y2cf95~J5jo0:w[j=:3y'5c>=9o;0Z<;>:3y'24c=>2.==i49;|~y>{e;ll1<7=50;2x 7de2;h37Ed;48yx{z3`;>m7>5$3`a>43?3_8ih7?tL3`e>4}Ql;09w)?i8;36e>P6=809w)8>e;d8 37c2o1vqps4o0d2>5<#:kh1=k?4V3`g>4}K:kl1=vXk2;0x 4`?28l:7[?:1;0x 37b2?1/::6F=ag9'52?=9=1b=8650;&1fg<6=11]>oj51zN1fc<6s_;n<743?3_;>=73=#>8n1:6sr}|9jgg<72-8in7mm;W0a`?7|D;hm65081!06m3<0(;?k:79~yx{P5jm09wAok54zT1ef<4s_n;6?u+60a9gf=#;o:1:7}#>8i1=864$2d3>37b3t.=mi4j;|T1ef<4s_n;6?u+60a9gf=#;o:1:7}#>8i1=864$2d3>37c3t.=mi4j;|T1ef<4s_n;6?u+60a9gf=#;o:1:7}#>8i1oo5+3g2924cv*91b8`g>"4n90==h5r$7cg>45o:52zTg4?4|,?;h6?om;%1e4?06m2w/:lj5139~yx=n:0i1<7*=bc81=f=Q:kn1>vB=bg82S7b838p(h?:73f?x"1im0:>6sr}:m2b4<72-8in7?i1:9~f6c029086=4?{%0af?4e02B9mk5f14;94?"5jk0:955Y2cf95~J5jo0:w[j=:3y'5c>=9<30Z<;>:3y'24c=>2.==i49;|~y>o6=h0;6)P5jm0:wA5`9U507=:r.==h49;%42`?050z&1fg<5k;1C>lh4o0d2>5<#:kh1=k?4;|`0ad<72<0;6=u+2c`935=O:hl0e<;6:18'6gd=9<20Z?lk:0yO6g`=9r\o>743>3_;>=7g=#>8n1n6sr}|9j50g=83.9no4>599K6gg<^;ho6P5jm0:wA649U507=:r.==h4m;%42`?d;|~y>i6n80;6)P5jm0:wAf09U507=:r.==h49;%42`?02<729q/>ol5719K6d`<@;hj7[ol514:8R7dc28qG>oh51zTg6?4|,8l36<;n;W365?4|,?;n6o5+60f9f>{zut1b=;;50;&1fg<6=11C>oo4V3`g>4}K:kl1=vXk2;0x 4`?28<>7[?:1;0x 37b2k1/::1<7*=bc821==O:kk0Z?lk:0yO6g`=9r\o>74173_;>=7g=#>8n1n6sr}|9j525=83.9no4>599K6gg<^;ho6oh51zTg6?4|,8l36<9;;W365?4|,?;n64750z&1fg<082B9mk5f14;94?"5jk0:955G2cc8R7dc28qG>oh51zTg6?4|,8l36<;6;W365?4|,?;n6o5+60f9f>{zut1b=8o50;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:950g<^8?:6?u+60g9f>"19m0i7psr}:k220<72-8in7?:8:J1fd=Q:kn1=vB=bg82Sb52;q/=k651778R4362;q/:7183>!4ej3;>46F=b`9U6gb=9rF9nk4>{Wf1>7}#9o21=:>4V072>7}#>8o1n6*91e8a?x{zu2c:;>4?:%0af?7202\9ni4>{M0ab?7|^m81>v*>f98236=Q9<;1>v*91d825>"19m0:=6sr}|9j522=83.9no4>599K6gg<^;ho6;W0a`?7|D;hm65081!06m3<0(;?k:79~yx{54;294~"5jk0:j=5G2`d8m43>290/>ol514:8R7dc28qG>oh51zTg6?4|,8l36<;6;W365?4|,?;n6;5+60f92>{zut1b=8o50;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:950g<^8?:6?u+60g92>"19m0=7psr}:k220<72-8in7?:8:T1fa<6sE8ij7?tVe096~"6n10::85Y14396~"19l0=7)8>d;48yx{z3f;m=7>5$3`a>4`63_8ih7?tL3`e>4}Ql;09w)?i8;3e5>P6=809w)8>e;48 37c2?1vqps4}c6b7?6=:3:1N5io1b=8650;&1fg<6=110c:18'6gd=9o;0Z?lk:0yO6g`=9r\o>74`63_;>=73=#>8n1:6sr}|9~f1gd29096=4?{%0af?d>3A8jj6g>5983>!4ej3;>465`1g394?"5jk0:j<5Y2cf95~J5jo0:w[j=:3y'5c>=9o;0Z<;>:3y'24c=>2.==i49;|~y>{ed;48yx{z3`;>m7>5$3`a>43?3_8ih7?tL3`e>4}Ql;09w)?i8;36e>P6=809w)8>e;d8 37c2o1vqps4o0d2>5<#:kh1=k?4V3`g>4}K:kl1=vXk2;0x 4`?28l:7[?:1;0x 37b2?1/::6F=ag9'52?=9=1b=8650;&1fg<6=11]>oj51zN1fc<6s_;n<743?3_;>=73=#>8n1:6sr}|9jgg<72-8in7mm;W0a`?7|D;hm65081!06m3<0(;?k:79~yx{P5jm09wAok54zT1ef<4s_n;6?u+60a9gf=#;o:1:7}#>8i1=864$2d3>37b3t.=mi4j;|T1ef<4s_n;6?u+60a9gf=#;o:1:7}#>8i1=864$2d3>37c3t.=mi4j;|T1ef<4s_n;6?u+60a9gf=#;o:1:7}#>8i1oo5+3g2924cv*91b8`g>"4n90==h5r$7cg>45o:52zTg4?4|,?;h6?om;%1e4?06m2w/:lj5139~yx=n:0i1<7*=bc81=f=Q:kn1>vB=bg82S7b838p(h?:73f?x"1im0:>6sr}:m2b4<72-8in7?i1:9~f1g329086=4?{%0af?4e02B9mk5f14;94?"5jk0:955Y2cf95~J5jo0:w[j=:3y'5c>=9<30Z<;>:3y'24c=>2.==i49;|~y>o6=h0;6)P5jm0:wA5`9U507=:r.==h49;%42`?050z&1fg<5k;1C>lh4o0d2>5<#:kh1=k?4;|`7e2<72:0;6=u+2c`935=O:hl0e<;6:18'6gd=9<20Z?lk:0yO6g`=9r\o>743>3_;>=73=#>8n1:6sr}|9j50g=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=8o4V072>7}#>8o1:6*91e85?x{zu2e:j<4?:%0af?7a92\9ni4>{M0ab?7|^m81>v*>f982b4=Q9<;1>v*91d85?!06l3<0qpsr;|`7e=<728;1<7>t$3`a>26<@;km7d?:9;29 7de28?37Ed;`8yx{z3`;>m7>5$3`a>43?3A8im6X=be82I4en3;pZi<52z&2b=<6=h1]=8?52z&55`g=zutw0e<9?:18'6gd=9<20Z?lk:0yO6g`=9r\o>74173_;>=7g=#>8n1n6sr}|9j525=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=:=4V072>7}#>8o1n6*91e8a?x{zu2c:;94?:%0af?7202\9ni4>{M0ab?7|^m81>v*>f98231=Q9<;1>v*91d8a?!06l3h0qpsr;h341?6=,;hi6<;7;W0a`?7|D;hm65081!06m3h0(;?k:c9~yx{<^;ho6=9>=0Z<;>:3y'24c=j2.==i4m;|~y>o6?10;6)N5jh1]>oj51zN1fc<6s_n96?u+1g:952><^8?:6?u+60g9f>"19m0i7psr}:k21g<72-8in7?:8:J1fd=Q:kn1=vB=bg82Sb52;q/=k6514`8R4362;q/:5b83>!4ej3;>46F=b`9U6gb=9rF9nk4>{Wf1>7}#9o21=8m4V072>7}#>8o1=<5+60f954=zutw0e<;k:18'6gd=9<20Z?lk:0yO6g`=9r\o>743c3_;>=747<,?;o6;%42`?763twvq6g>5g83>!4ej3;>46X=be82I4en3;pZi<52z&2b=<6=o1]=8?52z&55`<692.==i4>1:~yx=n9?:1<7*=bc821==Q:kn1=vB=bg82Sb52;q/=k651728R4362;q/:f083>!4ej3;m=6X=be82I4en3;pZi<52z&2b=<6n81]=8?52z&55`<13-<:h784}|~?xd3i00;6:0D?oi;h36=?6=,;hi6<;7;I0ae>P5jm0:wA589U507=:r.==h4m;%42`?dd;`8yx{z3`;=97>5$3`a>43?3_8ih7?tL3`e>4}Ql;09w)?i8;351>P6=809w)8>e;`8 37c2k1vqps4i053>5<#:kh1=864V3`g>4}K:kl1=vXk2;0x 4`?28=;7[?:1;0x 37b2k1/:91<7*=bc821==Q:kn1=vB=bg82Sb52;q/=k651618R4362;q/:7583>!4ej3;>46X=be82I4en3;pZi<52z&2b=<6?=1]=8?52z&55`g=zutw0e<99:18'6gd=9<20Z?lk:0yO6g`=9r\o>74113_;>=7g=#>8n1n6sr}|9j521=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=:94V072>7}#>8o1n6*91e8a?x{zu2c:;54?:%0af?7202B9nl5Y2cf95~J5jo0:w[j=:3y'5c>=9>20Z<;>:3y'24c=j2.==i4m;|~y>o6=k0;6)N5jh1]>oj51zN1fc<6s_n96?u+1g:950d<^8?:6?u+60g9f>"19m0i7psr}:k21f<72-8in7?:8:J1fd=Q:kn1=vB=bg82Sb52;q/=k6514a8R4362;q/:5<#:kh1=864V3`g>4}K:kl1=vXk2;0x 4`?28?o7[?:1;0x 37b28;0(;?k:038yx{z3`;>i7>5$3`a>43?3_8ih7?tL3`e>4}Ql;09w)?i8;36a>P6=809w)8>e;32?!06l3;:7psr}:k21c<72-8in7?:8:T1fa<6sE8ij7?tVe096~"6n10:9k5Y14396~"19l0:=6*91e825>{zut1b=;>50;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:9536<^8?:6?u+60g92>"19m0=7psr}:m2b4<72-8in7?i1:T1fa<6sE8ij7?tVe096~"6n10:j<5Y14396~"19l0=7)8>d;48yx{z3th?ml4?:0394?6|,;hi6:>4H3ce?l7213:1(?lm:07;?M4ei2\9ni4>{M0ab?7|^m81>v*>f9821<=Q9<;1>v*91d8a?!06l3h0qpsr;h36e?6=,;hi6<;7;I0ae>P5jm0:wA5`9U507=:r.==h4m;%42`?dol514:8R7dc28qG>oh51zTg6?4|,8l36<9?;W365?4|,?;n6o5+60f9f>{zut1b=:=50;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:9525<^8?:6?u+60g9f>"19m0i7psr}:k231<72-8in7?:8:T1fa<6sE8ij7?tVe096~"6n10:;95Y14396~"19l0i7)8>d;`8yx{z3`;<97>5$3`a>43?3_8ih7?tL3`e>4}Ql;09w)?i8;341>P6=809w)8>e;`8 37c2k1vqps4i055>5<#:kh1=864V3`g>4}K:kl1=vXk2;0x 4`?28==7[?:1;0x 37b2k1/:=1<7*=bc821==Q:kn1=vB=bg82Sb52;q/=k651658R4362;q/:7983>!4ej3;>46F=b`9U6gb=9rF9nk4>{Wf1>7}#9o21=:64V072>7}#>8o1n6*91e8a?x{zu2c:9o4?:%0af?7202B9nl5Y2cf95~J5jo0:w[j=:3y'5c>=9:3y'24c=j2.==i4m;|~y>o6=j0;6)N5jh1]>oj51zN1fc<6s_n96?u+1g:950e<^8?:6?u+60g954=#>8n1=<5r}|8m43c290/>ol514:8R7dc28qG>oh51zTg6?4|,8l36<;k;W365?4|,?;n647;|~y>o6=o0;6)P5jm0:wA5g9U507=:r.==h4>1:&55a<692wvqp5f17294?"5jk0:955Y2cf95~J5jo0:w[j=:3y'5c>=9?:0Z<;>:3y'24c=>2.==i49;|~y>i6n80;6)P5jm0:wAf09U507=:r.==h49;%42`?047=83:p(?lm:628L7ga3`;>57>5$3`a>43?3A8im6X=be82I4en3;pZi<52z&2b=<6=01]=8?52z&55`{M0ab?7|^m81>v*>f9821d=Q9<;1>v*91d8a?!06l3h0qpsr;h351?6=,;hi6<;7;W0a`?7|D;hm65081!06m3h0(;?k:c9~yx{<^;ho6=9>90Z<;>:3y'24c=j2.==i4m;|~y>o6?=0;6)P5jm0:wA759U507=:r.==h4m;%42`?dol514:8R7dc28qG>oh51zTg6?4|,8l36<99;W365?4|,?;n6o5+60f9f>{zut1b=:950;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:9521<^8?:6?u+60g9f>"19m0i7psr}:k23=<72-8in7?:8:J1fd=Q:kn1=vB=bg82Sb52;q/=k6516:8R4362;q/:5c83>!4ej3;>46F=b`9U6gb=9rF9nk4>{Wf1>7}#9o21=8l4V072>7}#>8o1n6*91e8a?x{zu2c:9n4?:%0af?7202B9nl5Y2cf95~J5jo0:w[j=:3y'5c>=9:3y'24c=981/:<^;ho6i6X>5081!06m3;:7)8>d;32?x{zu2c:9k4?:%0af?7202\9ni4>{M0ab?7|^m81>v*>f9821c=Q9<;1>v*91d825>"19m0:=6sr}|9j536=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=;>4V072>7}#>8o1:6*91e85?x{zu2e:j<4?:%0af?7a92\9ni4>{M0ab?7|^m81>v*>f982b4=Q9<;1>v*91d85?!06l3<0qpsr;|`7e3<72?0;6=u+2c`95c6<@;km7d?:9;29 7de28?37[ol514:8R7dc28qG>oh51zTg6?4|,8l36<;n;W365?4|,?;n6;5+60f92>{zut1b=;;50;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:9533<^8?:6?u+60g92>"19m0=7psr}:k235<72-8in7?:8:T1fa<6sE8ij7?tVe096~"6n10:;=5Y14396~"19l0=7)8>d;48yx{z3`;5$3`a>43?3_8ih7?tL3`e>4}Ql;09w)?i8;347>P6=809w)8>e;48 37c2?1vqps4o0d2>5<#:kh1=k?4V3`g>4}K:kl1=vXk2;0x 4`?28l:7[?:1;0x 37b2?1/:5`83>!4ej3;>46F=b`9U6gb=9rF9nk4>{Wf1>7}#9o21=8o4V072>7}#>8o1o6*91e8`?x{zu2c::84?:%0af?7202B9nl5Y2cf95~J5jo0:w[j=:3y'5c>=9??0Z<;>:3y'24c=k2.==i4l;|~y>o6?90;6)N5jh1]>oj51zN1fc<6s_n96?u+1g:9526<^8?:6?u+60g9g>"19m0h7psr}:k236<72-8in7?:8:J1fd=Q:kn1=vB=bg82Sb52;q/=k651618R4362;q/:5<#:kh1=864V3`g>4}K:kl1=vXk2;0x 4`?28=?7[?:1;0x 37b2880(;?k:008yx{z3f;m=7>5$3`a>4`63_8ih7?tL3`e>4}Ql;09w)?i8;3e5>P6=809w)8>e;48 37c2?1vqps4}c3b=?6=:3:1N5io1b=8650;&1fg<6=110c:18'6gd=9o;0Z?lk:0yO6g`=9r\o>74`63_;>=73=#>8n1:6sr}|9~f4d729096=4?{%0af?d>3A8jj6g>5983>!4ej3;>465`1g394?"5jk0:j<5Y2cf95~J5jo0:w[j=:3y'5c>=9o;0Z<;>:3y'24c=>2.==i49;|~y>{e9k<1<7850;2x 7de28?=7E{M0ab?7|^8o;6?u+1g:950><^8?:6?u+60g92>"19m0=7psr}:k`f?6=,;hi6nl4V3`g>4}K:kl1=vX>e181!7a03ii7[?:1;0x 37b2?1/:==zutF9nh4;{W0bg?5|^m:1>v*91b8`g>"4n90==h5rVe396~"19j0:955+3g2924cv*91b8`g>"4n90==h5rVe396~"19j0:955+3g2924bv*91b8`g>"4n90==h5rVe396~"19j0hn6*7}Ql909w)8>c;a`?!5a83<:i6s+6`f956=zut1b>ll50;&1fg<5ik1]>oj52zN1fc<6s_;n<77ge3_;>=744<,?;o6<<4}|O6gc=9r\9n94={Wf3>7}#>8i1>ll4$2d3>37b3t.=mi4>2:~y>o51j0;6)P5jm09wA52z&55f<51j1/?k>560g8y!0fl3;97psr;n3e5?6=,;hi6;:a5dg=8391<7>t$3`a>7d?3A8jj6g>5883>!4ej3;>46F=b`9U6gb=9rF9nk4>{Wf1>7}#9o21=874V072>7}#>8o1:6*91e85?x{zu2c:9l4?:%0af?7202\9ni4>{M0ab?7|^m81>v*>f9821d=Q9<;1>v*91d85?!06l3<0qpsr;n3e5?6=,;hi6;W0a`?7|D;hm65081!06m3<0(;?k:79~yx{51;294~"5jk09o?5G2`d8k4`6290/>ol51g38?xd6im0;6>4?:1y'6gd=?91C>lh4i07:>5<#:kh1=864V3`g>4}K:kl1=vXk2;0x 4`?28?27[?:1;0x 37b2?1/:f083>!4ej3;m=6X=be82I4en3;pZi<52z&2b=<6n81]=8?52z&55`<13-<:h784}|~?xd6il0;6:0D?oi;h36=?6=,;hi6<;7;I0ae>P5jm0:wA589U507=:r.==h4m;%42`?dd;`8yx{z3`;=97>5$3`a>43?3_8ih7?tL3`e>4}Ql;09w)?i8;351>P6=809w)8>e;`8 37c2k1vqps4i053>5<#:kh1=864V3`g>4}K:kl1=vXk2;0x 4`?28=;7[?:1;0x 37b2k1/:91<7*=bc821==Q:kn1=vB=bg82Sb52;q/=k651618R4362;q/:7583>!4ej3;>46X=be82I4en3;pZi<52z&2b=<6?=1]=8?52z&55`g=zutw0e<99:18'6gd=9<20Z?lk:0yO6g`=9r\o>74113_;>=7g=#>8n1n6sr}|9j521=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=:94V072>7}#>8o1n6*91e8a?x{zu2c:;54?:%0af?7202B9nl5Y2cf95~J5jo0:w[j=:3y'5c>=9>20Z<;>:3y'24c=j2.==i4m;|~y>o6=k0;6)N5jh1]>oj51zN1fc<6s_n96?u+1g:950d<^8?:6?u+60g954=#>8n1=<5r}|8m43d290/>ol514:8R7dc28qG>oh51zTg6?4|,8l36<;l;W365?4|,?;n6;5+60f92>{zut1b=8j50;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:950b<^8?:6?u+60g92>"19m0=7psr}:k21`<72-8in7?:8:T1fa<6sE8ij7?tVe096~"6n10:9h5Y14396~"19l0=7)8>d;48yx{z3`;>j7>5$3`a>43?3_8ih7?tL3`e>4}Ql;09w)?i8;36b>P6=809w)8>e;48 37c2?1vqps4i043>5<#:kh1=864V3`g>4}K:kl1=vXk2;0x 4`?28<;7[?:1;0x 37b2?1/:=9<30Z<;>:3y'24c=j2.==i4m;|~y>o6=h0;6)N5jh1]>oj51zN1fc<6s_n96?u+1g:950g<^8?:6?u+60g9f>"19m0i7psr}:k220<72-8in7?:8:T1fa<6sE8ij7?tVe096~"6n10::85Y14396~"19l0i7)8>d;`8yx{z3`;<<7>5$3`a>43?3_8ih7?tL3`e>4}Ql;09w)?i8;344>P6=809w)8>e;`8 37c2k1vqps4i050>5<#:kh1=864V3`g>4}K:kl1=vXk2;0x 4`?28=87[?:1;0x 37b2k1/:>1<7*=bc821==Q:kn1=vB=bg82Sb52;q/=k651668R4362;q/:7483>!4ej3;>46X=be82I4en3;pZi<52z&2b=<6?<1]=8?52z&55`3:1(?lm:07;?S4el3;p@?li:0yU`7<5s-;m47?86:T214<5s-<:i7l4$73g>g=zutw0e<98:18'6gd=9<20Z?lk:0yO6g`=9r\o>74103_;>=7g=#>8n1n6sr}|9j52>=83.9no4>599K6gg<^;ho6oh51zTg6?4|,8l36<;m;W365?4|,?;n647ol514:8R7dc28qG>oh51zTg6?4|,8l36<;k;W365?4|,?;n6;5+60f92>{zut1b=8k50;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:950c<^8?:6?u+60g92>"19m0=7psr}:k21c<72-8in7?:8:T1fa<6sE8ij7?tVe096~"6n10:9k5Y14396~"19l0=7)8>d;48yx{z3`;=<7>5$3`a>43?3_8ih7?tL3`e>4}Ql;09w)?i8;354>P6=809w)8>e;48 37c2?1vqps4o0d2>5<#:kh1=k?4V3`g>4}K:kl1=vXk2;0x 4`?28l:7[?:1;0x 37b2?1/:599U6gb=9rF9nk4>{Wf1>7}#9o21=874V072>7}#>8o1:6*91e85?x{zu2c:9l4?:%0af?7202\9ni4>{M0ab?7|^m81>v*>f9821d=Q9<;1>v*91d85?!06l3<0qpsr;h351?6=,;hi6<;7;W0a`?7|D;hm65081!06m3<0(;?k:79~yx{2wvqp5rb0`2>5<593:1N5io1b=8750;&1fg<6=11C>oo4V3`g>4}K:kl1=vXk2;0x 4`?28?27[?:1;0x 37b2j1/:743f3_;>=7f=#>8n1o6sr}|9j533=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=;;4V072>7}#>8o1o6*91e8`?x{zu2c:;=4?:%0af?7202\9ni4>{M0ab?7|^m81>v*>f98235=Q9<;1>v*91d8`?!06l3i0qpsr;h347?6=,;hi6<;7;W0a`?7|D;hm65081!06m3i0(;?k:b9~yx{<^;ho6=9>?0Z<;>:3y'24c=k2.==i4l;|~y>o6??0;6)P5jm0:wA779U507=:r.==h4l;%42`?eol514:8R7dc28qG>oh51zTg6?4|,8l36<97;W365?4|,?;n6n5+60f9g>{zut1b=8l50;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:950d<^8?:6?u+60g9g>"19m0h7psr}:k21f<72-8in7?:8:T1fa<6sE8ij7?tVe096~"6n10:9n5Y14396~"19l0h7)8>d;a8yx{z3`;>h7>5$3`a>43?3_8ih7?tL3`e>4}Ql;09w)?i8;36`>P6=809w)8>e;a8 37c2j1vqps4i07f>5<#:kh1=864V3`g>4}K:kl1=vXk2;0x 4`?28?n7[?:1;0x 37b2j1/:743a3_;>=7f=#>8n1o6sr}|9j536=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=;>4V072>7}#>8o1o6*91e8`?x{zu2c::<4?:%0af?7202\9ni4>{M0ab?7|^m81>v*>f98224=Q9<;1>v*91d826>"19m0:>6sr}|9j534=83.9no4>599K6gg<^;ho65081!06m3;97)8>d;31?x{zu2c::94?:%0af?7202\9ni4>{M0ab?7|^m81>v*>f98221=Q9<;1>v*91d85?!06l3<0qpsr;h352?6=,;hi6<;7;W0a`?7|D;hm65081!06m3<0(;?k:79~yx{<^;ho62wvqp5f17:94?"5jk0:955Y2cf95~J5jo0:w[j=:3y'5c>=9?20Z<;>:3y'24c=>2.==i49;|~y>o6>00;6)P5jm0:wA689U507=:r.==h49;%42`?0ol514:8R7dc28qG>oh51zTg6?4|,8l36<8m;W365?4|,?;n6;5+60f92>{zut1b=;m50;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:953e<^8?:6?u+60g92>"19m0=7psr}:k22a<72-8in7?:8:T1fa<6sE8ij7?tVe096~"6n10::i5Y14396~"19l0=7)8>d;48yx{z3`;=i7>5$3`a>43?3_8ih7?tL3`e>4}Ql;09w)?i8;35a>P6=809w)8>e;48 37c2?1vqps4i04e>5<#:kh1=864V3`g>4}K:kl1=vXk2;0x 4`?28;1<7*=bc821==Q:kn1=vB=bg82Sb52;q/=k651638R4362;q/:7383>!4ej3;>46X=be82I4en3;pZi<52z&2b=<6?;1]=8?52z&55`<13-<:h784}|~?j7a93:1(?lm:0d2?S4el3;p@?li:0yU`7<5s-;m47?i1:T214<5s-<:i784$73g>3=zutw0qo?m2;2964<729q/>ol5719K6d`<@;hj7[ol514:8L7df3_8ih7?tL3`e>4}Ql;09w)?i8;36e>P6=809w)8>e;a8 37c2j1vqps4i046>5<#:kh1=864V3`g>4}K:kl1=vXk2;0x 4`?28<>7[?:1;0x 37b2j1/::1<7*=bc821==Q:kn1=vB=bg82Sb52;q/=k651628R4362;q/:7283>!4ej3;>46X=be82I4en3;pZi<52z&2b=<6?:1]=8?52z&55`f=zutw0e<9::18'6gd=9<20Z?lk:0yO6g`=9r\o>74123_;>=7f=#>8n1o6sr}|9j520=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=:84V072>7}#>8o1o6*91e8`?x{zu2c:;:4?:%0af?7202\9ni4>{M0ab?7|^m81>v*>f98232=Q9<;1>v*91d8`?!06l3i0qpsr;h345081!06m3i0(;?k:b9~yx{<@;hj7[ol514:8L7df3_8ih7?tL3`e>4}Ql;09w)?i8;36g>P6=809w)8>e;a8 37c2j1vqps4i07g>5<#:kh1=864H3`b?S4el3;p@?li:0yU`7<5s-;m47?:d:T214<5s-<:i7m4$73g>f=zutw0e<;j:18'6gd=9<20D?ln;W0a`?7|D;hm6i6X>5081!06m3i0(;?k:b9~yx{<@;hj7[ol514:8R7dc28qG>oh51zTg6?4|,8l36<8?;W365?4|,?;n6n5+60f9g>{zut1b=;?50;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:9537<^8?:6?u+60g957=#>8n1=?5r}|8m405290/>ol514:8L7df3_8ih7?tL3`e>4}Ql;09w)?i8;356>P6=809w)8>e;31?!06l3;97psr}:k226<72-8in7?:8:T1fa<6sE8ij7?tVe096~"6n10::>5Y14396~"19l0:>6*91e826>{zut1b=;:50;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:9532<^8?:6?u+60g92>"19m0=7psr}:k223<72-8in7?:8:T1fa<6sE8ij7?tVe096~"6n10::;5Y14396~"19l0=7)8>d;48yx{z3`;=;7>5$3`a>43?3_8ih7?tL3`e>4}Ql;09w)?i8;353>P6=809w)8>e;48 37c2?1vqps4i04;>5<#:kh1=864V3`g>4}K:kl1=vXk2;0x 4`?28<37[?:1;0x 37b2?1/:6`83>!4ej3;>46X=be82I4en3;pZi<52z&2b=<6>h1]=8?52z&55`<13-<:h784}|~?l71j3:1(?lm:07;?S4el3;p@?li:0yU`7<5s-;m47?9b:T214<5s-<:i784$73g>3=zutw0e<8l:18'6gd=9<20Z?lk:0yO6g`=9r\o>740d3_;>=73=#>8n1:6sr}|9j53b=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=;j4V072>7}#>8o1:6*91e85?x{zu2c::h4?:%0af?7202\9ni4>{M0ab?7|^m81>v*>f9822`=Q9<;1>v*91d85?!06l3<0qpsr;h35b?6=,;hi6<;7;W0a`?7|D;hm65081!06m3<0(;?k:79~yx{<^;ho62wvqp5f16094?"5jk0:955Y2cf95~J5jo0:w[j=:3y'5c>=9>80Z<;>:3y'24c=>2.==i49;|~y>i6n80;6)P5jm0:wAf09U507=:r.==h49;%42`?0b283>77=83:p(?lm:628L7ga3`;>57>5$3`a>43?3A8im6X=be82I4en3;pZi<52z&2b=<6=01]=8?52z&55`{M0ab?7|^m81>v*>f9821d=Q9<;1>v*91d8`?!06l3i0qpsr;h351?6=,;hi6<;7;W0a`?7|D;hm65081!06m3i0(;?k:b9~yx{<^;ho6=9>90Z<;>:3y'24c=k2.==i4l;|~y>o6?=0;6)P5jm0:wA759U507=:r.==h4l;%42`?eol514:8R7dc28qG>oh51zTg6?4|,8l36<99;W365?4|,?;n6n5+60f9g>{zut1b=:950;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:9521<^8?:6?u+60g9g>"19m0h7psr}:k23=<72-8in7?:8:T1fa<6sE8ij7?tVe096~"6n10:;55Y14396~"19l0h7)8>d;a8yx{z3`;>n7>5$3`a>43?3A8im6X=be82I4en3;pZi<52z&2b=<6=k1]=8?52z&55`{M0ab?7|^m81>v*>f9821f=Q9<;1>v*91d8`?!06l3i0qpsr;h36`?6=,;hi6<;7;I0ae>P5jm0:wA5e9U507=:r.==h4l;%42`?ed;a8yx{z3`;>j7>5$3`a>43?3A8im6X=be82I4en3;pZi<52z&2b=<6=o1]=8?52z&55`f=zutw0e<8>:18'6gd=9<20Z?lk:0yO6g`=9r\o>74063_;>=744<,?;o6<<4}|~?l71:3:1(?lm:07;?M4ei2\9ni4>{M0ab?7|^m81>v*>f98227=Q9<;1>v*91d826>"19m0:>6sr}|9j535=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=;=4V072>7}#>8o1=?5+60f957=zutw0e<8;:18'6gd=9<20Z?lk:0yO6g`=9r\o>74033_;>=73=#>8n1:6sr}|9j530=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=;84V072>7}#>8o1:6*91e85?x{zu2c:::4?:%0af?7202\9ni4>{M0ab?7|^m81>v*>f98222=Q9<;1>v*91d85?!06l3<0qpsr;h355081!06m3<0(;?k:79~yx{<^;ho62wvqp5f17c94?"5jk0:955Y2cf95~J5jo0:w[j=:3y'5c>=9?k0Z<;>:3y'24c=>2.==i49;|~y>o6>k0;6)P5jm0:wA6c9U507=:r.==h49;%42`?0ol514:8R7dc28qG>oh51zTg6?4|,8l36<8k;W365?4|,?;n6;5+60f92>{zut1b=;k50;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:953c<^8?:6?u+60g92>"19m0=7psr}:k22c<72-8in7?:8:T1fa<6sE8ij7?tVe096~"6n10::k5Y14396~"19l0=7)8>d;48yx{z3`;<=7>5$3`a>43?3_8ih7?tL3`e>4}Ql;09w)?i8;345>P6=809w)8>e;48 37c2?1vqps4i051>5<#:kh1=864V3`g>4}K:kl1=vXk2;0x 4`?28=97[?:1;0x 37b2?1/:{M0ab?7|^m81>v*>f9821<=Q9<;1>v*91d85?!06l3<0qpsr;h36e?6=,;hi6<;7;W0a`?7|D;hm6m6X>5081!06m3<0(;?k:79~yx{6=4+2c`950><^;ho62wvqp5`1g394?"5jk0:j<5Y2cf95~J5jo0:w[j=:3y'5c>=9o;0Z<;>:3y'24c=>2.==i49;|~y>{e<0i1<7<50;2x 7de2k30D?oi;h36d;48yx{z3th?m?4?:783>5}#:kh1=884H3ce?!7013;?7d?:8;29 7de28?37[52z&2b=<6=11]=8?52z&55`<13-<:h784}|~?lee290/>ol5cc9U6gb=9rF9nk4>{W3f4?4|,8l36nl4V072>7}#>8o1:6*91e85?x{zu2cho7>5$3`a>fe<^;ho6?uC2cd95~P6m909w)?i8;a`?S72938p(;?j:99'24b=02wvqAe:U`4<5s-<:o7?:8:&0b5<19l1v(;ok:d9~R7gd2:q]h=4={%42g?ed3-9m<78>e:U`4<5s-<:o7?:8:&0b5<19m1v(;ok:d9~R7gd2:q]h=4={%42g?ed3-9m<78>e:U`4<5s-<:o7mm;%1e4?06m2w/:lj5e:U5c1=:r\o<7fe<,:l;6;?j;|&5ea<6;2wvq6g=ac83>!4ej38jn6X=be81I4en3;pZ=:hh0Z<;>:3y'24c=9;1/:8o0q)8nd;31?x{z3`82o7>5$3`a>7?d3_8ih74}Q9l:1>v*>f981=f=Q9<;1>v*91d826>"19m0:>6sr}M0aa?7|^;h?6?uYd181!06k382o6*ol51g38?xd31l0;6>4?:1y'6gd=:k20D?oi;h36=?6=,;hi6<;7;W0a`?7|D;hm656X>5081!06m3<0(;?k:79~yx{<^;ho62wvqp5`1g394?"5jk0:j<5Y2cf95~J5jo0:w[j=:3y'5c>=9o;0Z<;>:3y'24c=>2.==i49;|~y>{e<0l1<7?50;2x 7de2;i97E5883>!4ej3;>46F=b`9U6gb=9rF9nk4>{Wf1>7}#9o21=874V072>7}#>8o1n6*91e8a?x{zu2c:9l4?:%0af?7202B9nl5Y2cf95~J5jo0:w[j=:3y'5c>=9:3y'24c=j2.==i4m;|~y>i6n80;6)P5jm0:wAf09U507=:r.==h49;%42`?06<729q/>ol5719K6d`<^;ho6m6X>5081!06m3;:7)8>d;32?x{zu2e:j<4?:%0af?7a92\9ni4>{M0ab?7|^m81>v*>f982b4=Q9<;1>v*91d85?!06l3<0qpsr;|`7f4<72;0;6=u+2c`9f<=O:hl0e<;7:18'6gd=9<207b?i1;29 7de28l:7[50z&1fg<6=?1C>lh4$05:>42<^;ho6P6=809w)8>e;48 37c2?1vqps4ib`94?"5jk0hn6X=be82I4en3;pZ=kk1]=8?52z&55`<13-<:h784}|~?led290/>ol5cb9U6gb=:rF9nk4>{W3f4?4|,8l36nm4V072>7}#>8o146*91e8;?x{zD;hn69uY2`a97~Pc838p(;?l:ba8 6`72?;n7pXk1;0x 37d28?37)=i0;42a>{#>hn1i6sY2`a97~Pc838p(;?l:ba8 6`72?;n7pXk1;0x 37d28?37)=i0;42`>{#>hn1i6sY2`a97~Pc838p(;?l:ba8 6`72?;n7pXk1;0x 37d2jh0(>h?:73f?x"1im0n7pX>f681Sb72;q/:8o0q)8nd;30?x{z3`8jn7>5$3`a>7ge3_8ih74}Q9l:1>v*>f981eg=Q9<;1>v*91d826>"19m0:>6sr}M0aa?7|^;h?6?uYd181!06k38jn6*ol528a8R7dc2;qG>oh51zT2a5<5s-;m47<6c:T214<5s-<:i7?=;%42`?753twv@?lj:0yU6g2=:r\o<77?d3-9m<78>e:'2db=9;1vqp5`1g394?"5jk0:j<54}c6a7?6=;3:1743>3_;>=73=#>8n1:6sr}|9j50g=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=8o4V072>7}#>8o1:6*91e85?x{zu2e:j<4?:%0af?7a92\9ni4>{M0ab?7|^m81>v*>f982b4=Q9<;1>v*91d85?!06l3<0qpsr;|`7f1<7280;6=u+2c`96f4<@;km7b?i1;29 7de28l:76sm4c794?5=83:p(?lm:628L7ga3`;>57>5$3`a>43?3A8im6X=be82I4en3;pZi<52z&2b=<6=01]=8?52z&55`{M0ab?7|^m81>v*>f9821d=Q9<;1>v*91d8a?!06l3h0qpsr;n3e5?6=,;hi6;W0a`?7|D;hm65081!06m3<0(;?k:79~yx{i>7>53;294~"5jk0<<6F=ag9j50?=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=874V072>7}#>8o1=<5+60f954=zutw0e<;n:18'6gd=9<20Z?lk:0yO6g`=9r\o>743f3_;>=747<,?;o63=zutw0qo;>c;296?6=8r.9no4m9:J1ec=n9<21<7*=bc821==2wvqp5rb40b>5<5290;w)oj51zN1fc<6s_n96?u+1g:95c7<^8?:6?u+60g92>"19m0=7psr}:a175=8381<7>t$3`a>g?<@;km7d?:8;29 7de28?376a>f083>!4ej3;m=6X=be82I4en3;pZi<52z&2b=<6n81]=8?52z&55`<13-<:h784}|~?xd2:00;6;4?:1y'6gd=9<<0D?oi;%34=?733`;>47>5$3`a>43?3_8ih7?tL3`e>4}Q9l:1>v*>f9821==Q9<;1>v*91d85?!06l3<0qpsr;haa>5<#:kh1oo5Y2cf95~J5jo0:w[?j0;0x 4`?2jh0Z<;>:3y'24c=>2.==i49;|~y>odk3:1(?lm:ba8R7dc2;qG>oh51zT2a5<5s-;m47ml;W365?4|,?;n655+60f9<>{zuE8ii7:tV3c`>6}Ql909w)8>c;a`?!5a83<:i6sYd081!06k3;>46*6}Ql909w)8>c;a`?!5a83<:i6sYd081!06k3;>46*6}Ql909w)8>c;a`?!5a83<:i6sYd081!06k3ii7)=i0;42a>{#>hn1;6sY1g596~Pc838p(;?l:ba8 6`72?;n7p*9ae827>{zu2c9mo4?:%0af?4fj2\9ni4={M0ab?7|^8o;6?u+1g:96dd<^8?:6?u+60g957=#>8n1=?5r}|N1f`<6s_8i87k3:1(?lm:3;`?S4el38p@?li:0yU5`6=:r.:j54=9b9U507=:r.==h4>2:&55a<6:2wvqAk2.8j=491d9~ 3gc2880qps4o0d2>5<#:kh1=k?4;|`65a<72:0;6=u+2c`96g><@;km7d?:9;29 7de28?37[ol514:8R7dc28qG>oh51zTg6?4|,8l36<;n;W365?4|,?;n6;5+60f92>{zut1d=k?50;&1fg<6n81]>oj51zN1fc<6s_n96?u+1g:95c7<^8?:6?u+60g92>"19m0=7psr}:a14c=83;1<7>t$3`a>7e53A8jj6a>f083>!4ej3;m=65rb403>5<4290;w){M0ab?7|^m81>v*>f9821<=Q9<;1>v*91d85?!06l3<0qpsr;h36e?6=,;hi6<;7;W0a`?7|D;hm6m6X>5081!06m3<0(;?k:79~yx{2wvqp5rb402>5<4290;w){M0ab?7|^m81>v*>f9821<=Q9<;1>v*91d8a?!06l3h0qpsr;h36e?6=,;hi6<;7;I0ae>P5jm0:wA5`9U507=:r.==h4>1:&55a<692wvqp5`1g394?"5jk0:j<5Y2cf95~J5jo0:w[j=:3y'5c>=9o;0Z<;>:3y'24c=>2.==i49;|~y>{e=;81<7=50;2x 7de2>:0D?oi;h36=?6=,;hi6<;7;I0ae>P5jm0:wA589U507=:r.==h4>1:&55a<692wvqp5f14c94?"5jk0:955Y2cf95~J5jo0:w[j=:3y'5c>=9:3y'24c=981/:2wvqp5rb43e>5<3290;w)N5io1b=8750;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:950?<^8?:6?u+60g92>"19m0=7psr}:k21d<72-8in7?:8:T1fa<6sE8ij7?tVe096~"6n10:9l5Y14396~"19l0=7)8>d;48yx{z3`;=97>5$3`a>43?3_8ih7?tL3`e>4}Ql;09w)?i8;351>P6=809w)8>e;48 37c2?1vqps4o0d2>5<#:kh1=k?4V3`g>4}K:kl1=vXk2;0x 4`?28l:7[?:1;0x 37b2?1/:5`83>!4ej3;>46F=b`9U6gb=9rF9nk4>{Wf1>7}#9o21=8o4V072>7}#>8o1o6*91e8`?x{zu2c::84?:%0af?7202B9nl5Y2cf95~J5jo0:w[j=:3y'5c>=9??0Z<;>:3y'24c=k2.==i4l;|~y>o6?90;6)N5jh1]>oj51zN1fc<6s_n96?u+1g:9526<^8?:6?u+60g957=#>8n1=?5r}|8m414290/>ol514:8R7dc28qG>oh51zTg6?4|,8l36<9<;W365?4|,?;n6<<4$73g>4450z&1fg<082B9mk5f14;94?"5jk0:955G2cc8R7dc28qG>oh51zTg6?4|,8l36<;6;W365?4|,?;n6n5+60f9g>{zut1b=8o50;&1fg<6=11C>oo4V3`g>4}K:kl1=vXk2;0x 4`?28?j7[?:1;0x 37b2j1/:74023_;>=7f=#>8n1o6sr}|9j526=83.9no4>599K6gg<^;ho6oh51zTg6?4|,8l36<9<;W365?4|,?;n6<<4$73g>44i6n80;6)P5jm0:wAf09U507=:r.==h49;%42`?02<729q/>ol5719K6d`<@;hj7[ol514:8L7df3_8ih7?tL3`e>4}Ql;09w)?i8;36e>P6=809w)8>e;a8 37c2j1vqps4i046>5<#:kh1=864H3`b?S4el3;p@?li:0yU`7<5s-;m47?95:T214<5s-<:i7m4$73g>f=zutw0e<9?:18'6gd=9<20D?ln;W0a`?7|D;hm65081!06m3;97)8>d;31?x{zu2c:;>4?:%0af?7202\9ni4>{M0ab?7|^m81>v*>f98236=Q9<;1>v*91d826>"19m0:>6sr}|9j522=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=::4V072>7}#>8o1=?5+60f957=zutw0c:18'6gd=9o;0Z?lk:0yO6g`=9r\o>74`63_;>=73=#>8n1:6sr}|9~f040290?6=4?{%0af?7a82B9mk5f14;94?"5jk0:955Y2cf95~J5jo0:w[j=:3y'5c>=9<30Z<;>:3y'24c=>2.==i49;|~y>o6=h0;6)P5jm0:wA5`9U507=:r.==h49;%42`?0ol51g38R7dc28qG>oh51zTg6?4|,8l36;W365?4|,?;n6;5+60f92>{zut1vn;>7:181>5<7s-8in7l6;I0bb>o6=10;6)=h9o;1<7*=bc82b4=Q:kn1=vB=bg82Sb52;q/=k651g38R4362;q/:47>5$3`a>43?32e:j<4?:%0af?7a92\9ni4>{M0ab?7|^m81>v*>f982b4=Q9<;1>v*91d85?!06l3<0qpsr;|`551<72?0;6=u+2c`9500<@;km7)?89;37?l7203:1(?lm:07;?S4el3;p@?li:0yU5`6=:r.:j54>599U507=:r.==h49;%42`?0oj51zN1fc<6s_;n<7fd<^8?:6?u+60g92>"19m0=7psr}:k`g?6=,;hi6nm4V3`g>7}K:kl1=vX>e181!7a03ih7[?:1;0x 37b211/:pZ?ol:2yU`5<5s-<:o7ml;%1e4?06m2w]h<4={%42g?7202.8j=491d9~ 3gc2l1vZ?ol:2yU`5<5s-<:o7ml;%1e4?06m2w]h<4={%42g?7202.8j=491e9~ 3gc2l1vZ?ol:2yU`5<5s-<:o7ml;%1e4?06m2w]h<4={%42g?ee3-9m<78>e:'2db=?2w]=k952zTg4?4|,?;h6nm4$2d3>37b3t.=mi4>3:~y>o5ik0;6)P5jm09wA52z&55f<5ik1/?k>560g8y!0fl3;97psr;h0:g?6=,;hi6?7l;W0a`?4|D;hm66*91e826>{zuE8ii7?tV3`7>7}Ql909w)8>c;0:g>"4n90==h5r$7cg>44:18'6gd=9o;07pl90883>6<729q/>ol52c:8L7ga3`;>57>5$3`a>43?3A8im6X=be82I4en3;pZi<52z&2b=<6=01]=8?52z&55`<13-<:h784}|~?l72i3:1(?lm:07;?S4el3;p@?li:0yU`7<5s-;m47?:a:T214<5s-<:i784$73g>3=zutw0c:18'6gd=9o;0Z?lk:0yO6g`=9r\o>74`63_;>=73=#>8n1:6sr}|9~f36f290:6=4?{%0af?4d:2B9mk5`1g394?"5jk0:j<54}c43g?6=;3:1N5io1b=8750;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:950?<^8?:6?u+60g92>"19m0=7psr}:k21d<72-8in7?:8:T1fa<6sE8ij7?tVe096~"6n10:9l5Y14396~"19l0=7)8>d;48yx{z3f;m=7>5$3`a>4`63_8ih7?tL3`e>4}Ql;09w)?i8;3e5>P6=809w)8>e;48 37c2?1vqps4}c43`?6=980;6=u+2c`935=O:hl0e<;6:18'6gd=9<20Z?lk:0yO6g`=9r\o>743>3_;>=7g=#>8n1n6sr}|9j50g=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=8o4V072>7}#>8o1n6*91e8a?x{zu2c::84?:%0af?7202\9ni4>{M0ab?7|^m81>v*>f98220=Q9<;1>v*91d8a?!06l3h0qpsr;h344?6=,;hi6<;7;W0a`?7|D;hm65081!06m3h0(;?k:c9~yx{<^;ho6=9>>0Z<;>:3y'24c=j2.==i4m;|~y>o6?<0;6)P5jm0:wA749U507=:r.==h4m;%42`?d2\:9<4={%42a?d<,?;o6o5r}|8m410290/>ol514:8L7df3_8ih7?tL3`e>4}Ql;09w)?i8;343>P6=809w)8>e;`8 37c2k1vqps4i05;>5<#:kh1=864V3`g>4}K:kl1=vXk2;0x 4`?28=37[?:1;0x 37b28;0(;?k:038yx{z3`;>n7>5$3`a>43?3_8ih7?tL3`e>4}Ql;09w)?i8;36f>P6=809w)8>e;48 37c2?1vqps4i07`>5<#:kh1=864V3`g>4}K:kl1=vXk2;0x 4`?28?h7[?:1;0x 37b2?1/:5d83>!4ej3;>46X=be82I4en3;pZi<52z&2b=<6=l1]=8?52z&55`<13-<:h784}|~?l72n3:1(?lm:07;?S4el3;p@?li:0yU`7<5s-;m47?:f:T214<5s-<:i784$73g>3=zutw0e<8?:18'6gd=9<20Z?lk:0yO6g`=9r\o>74073_;>=73=#>8n1:6sr}|9l5c7=83.9no4>f09U6gb=9rF9nk4>{Wf1>7}#9o21=k?4V072>7}#>8o1:6*91e85?x{zu2wi:=l50;194?6|,;hi6o6=00;6)P5jm0:wA589U507=:r.==h49;%42`?0ol51g38R7dc28qG>oh51zTg6?4|,8l36;W365?4|,?;n6;5+60f92>{zut1vn;?::180>5<7s-8in79?;I0bb>o6=00;6)P5jm0:wA589U507=:r.==h4m;%42`?dol51g38R7dc28qG>oh51zTg6?4|,8l36;W365?4|,?;n6;5+60f92>{zut1vn;>i:180>5<7s-8in79?;I0bb>o6=00;6)P5jm0:wA589U507=:r.==h4l;%42`?e6*91e826>{zut1d=k?50;&1fg<6n81]>oj51zN1fc<6s_n96?u+1g:95c7<^8?:6?u+60g92>"19m0=7psr}:a246=8391<7>t$3`a>26<@;km7d?:9;29 7de28?37E6*91e826>{zut1b=8o50;&1fg<6=11C>oo4V3`g>4}K:kl1=vXk2;0x 4`?28?j7[?:1;0x 37b2880(;?k:008yx{z3f;m=7>5$3`a>4`63_8ih7?tL3`e>4}Ql;09w)?i8;3e5>P6=809w)8>e;48 37c2?1vqps4}c425?6=;3:1N5io1b=8750;&1fg<6=11C>oo4V3`g>4}K:kl1=vXk2;0x 4`?28?27[?:1;0x 37b2880(;?k:008yx{z3`;>m7>5$3`a>43?3A8im6X=be82I4en3;pZi<52z&2b=<6=h1]=8?52z&55`<6:2.==i4>2:~yx=h9o;1<7*=bc82b4=Q:kn1=vB=bg82Sb52;q/=k651g38R4362;q/:{M0ab?7|^m81>v*>f9821<=Q9<;1>v*91d85?!06l3<0qpsr;h36e?6=,;hi6<;7;W0a`?7|D;hm6m6X>5081!06m3<0(;?k:79~yx{6=4+2c`950><^;ho62wvqp5`1g394?"5jk0:j<5Y2cf95~J5jo0:w[j=:3y'5c>=9o;0Z<;>:3y'24c=>2.==i49;|~y>{e=k91<7<50;2x 7de2k30D?oi;h36d;48yx{z3th>n:4?:383>5}#:kh1n45G2`d8m43?290/>ol514:8?j7a93:1(?lm:0d2?S4el3;p@?li:0yU`7<5s-;m47?i1:T214<5s-<:i784$73g>3=zutw0qo;me;296?6=8r.9no4m9:J1ec=n9<21<7*=bc821==5<4290;w)N5io1b=8750;&1fg<6=11C>oo4V3`g>4}K:kl1=vXk2;0x 4`?28?27[?:1;0x 37b2?1/:f083>!4ej3;m=6X=be82I4en3;pZi<52z&2b=<6n81]=8?52z&55`<13-<:h784}|~?xd2j<0;6<4?:1y'6gd=:j80D?oi;n3e5?6=,;hi6;:a1g0=8391<7>t$3`a>26<@;km7d?:9;29 7de28?37[ol514:8R7dc28qG>oh51zTg6?4|,8l36<;n;W365?4|,?;n6;5+60f92>{zut1d=k?50;&1fg<6n81]>oj51zN1fc<6s_n96?u+1g:95c7<^8?:6?u+60g92>"19m0=7psr}:a1g>=83<1<7>t$3`a>26<@;km7d?:9;29 7de28?37Ed;a8yx{z3`;>m7>5$3`a>43?3A8im6X=be82I4en3;pZi<52z&2b=<6=h1]=8?52z&55`{M0ab?7|^m81>v*>f98220=Q9<;1>v*91d8`?!06l3i0qpsr;h344?6=,;hi6<;7;I0ae>P5jm0:wA719U507=:r.==h4>2:&55a<6:2wvqp5f16194?"5jk0:955Y2cf95~J5jo0:w[j=:3y'5c>=9>90Z<;>:3y'24c=9;1/:2wvqp5rb4`:>5<1290;w)=9<30Z<;>:3y'24c=k2.==i4l;|~y>o6=h0;6)N5jh1]>oj51zN1fc<6s_n96?u+1g:950g<^8?:6?u+60g9g>"19m0h7psr}:k220<72-8in7?:8:J1fd=Q:kn1=vB=bg82Sb52;q/=k651778R4362;q/:7183>!4ej3;>46F=b`9U6gb=9rF9nk4>{Wf1>7}#9o21=:>4V072>7}#>8o1=?5+60f957=zutw0e<9<:18'6gd=9<20Z?lk:0yO6g`=9r\o>74143_;>=744<,?;o6<<4}|~?j7a93:1(?lm:0d2?S4el3;p@?li:0yU`7<5s-;m47?i1:T214<5s-<:i784$73g>3=zutw0qo;ma;292?6=8r.9no480:J1ec=n9<31<7*=bc821==O:kk0Z?lk:0yO6g`=9r\o>743>3_;>=7f=#>8n1o6sr}|9j50g=83.9no4>599K6gg<^;ho6oh51zTg6?4|,8l36<8:;W365?4|,?;n6n5+60f9g>{zut1b=:>50;&1fg<6=11C>oo4V3`g>4}K:kl1=vXk2;0x 4`?28=;7[?:1;0x 37b2880(;?k:008yx{z3`;5$3`a>43?3_8ih7?tL3`e>4}Ql;09w)?i8;347>P6=809w)8>e;31?!06l3;97psr}:m2b4<72-8in7?i1:T1fa<6sE8ij7?tVe096~"6n10:j<5Y14396~"19l0=7)8>d;48yx{z3th>no4?:683>5}#:kh1;=5G2`d8m43>290/>ol514:8L7df3_8ih7?tL3`e>4}Ql;09w)?i8;36=>P6=809w)8>e;a8 37c2j1vqps4i07b>5<#:kh1=864H3`b?S4el3;p@?li:0yU`7<5s-;m47?:a:T214<5s-<:i7m4$73g>f=zutw0e<8::18'6gd=9<20D?ln;W0a`?7|D;hm65081!06m3i0(;?k:b9~yx{<@;hj7[ol514:8L7df3_8ih7?tL3`e>4}Ql;09w)?i8;347>P6=809w)8>e;31?!06l3;97psr}:k231<72-8in7?:8:T1fa<6sE8ij7?tVe096~"6n10:;95Y14396~"19l0:>6*91e826>{zut1d=k?50;&1fg<6n81]>oj51zN1fc<6s_n96?u+1g:95c7<^8?:6?u+60g92>"19m0=7psr}:a1ge=83=1<7>t$3`a>26<@;km7d?:9;29 7de28?37Ed;a8yx{z3`;>m7>5$3`a>43?3A8im6X=be82I4en3;pZi<52z&2b=<6=h1]=8?52z&55`{M0ab?7|^m81>v*>f98220=Q9<;1>v*91d8`?!06l3i0qpsr;h344?6=,;hi6<;7;I0ae>P5jm0:wA719U507=:r.==h4>2:&55a<6:2wvqp5f16194?"5jk0:955Y2cf95~J5jo0:w[j=:3y'5c>=9>90Z<;>:3y'24c=9;1/:<^;ho6;W0a`?7|D;hm65081!06m3<0(;?k:79~yx{56;294~"5jk0:j=5G2`d8m43>290/>ol514:8R7dc28qG>oh51zTg6?4|,8l36<;6;W365?4|,?;n6;5+60f92>{zut1b=8o50;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:950g<^8?:6?u+60g92>"19m0=7psr}:k220<72-8in7?:8:T1fa<6sE8ij7?tVe096~"6n10::85Y14396~"19l0=7)8>d;48yx{z3`;<<7>5$3`a>43?3_8ih7?tL3`e>4}Ql;09w)?i8;344>P6=809w)8>e;48 37c2?1vqps4i050>5<#:kh1=864V3`g>4}K:kl1=vXk2;0x 4`?28=87[?:1;0x 37b2?1/:47>5$3`a>43?32e:j<4?:%0af?7a92\9ni4>{M0ab?7|^m81>v*>f982b4=Q9<;1>v*91d85?!06l3<0qpsr;|`675<72;0;6=u+2c`9f<=O:hl0e<;7:18'6gd=9<207b?i1;29 7de28l:7[7>50z&1fg5<#:kh1=k?4V3`g>4}K:kl1=vXk2;0x 4`?28l:7[?:1;0x 37b2?1/:599U6gb=9rF9nk4>{Wf1>7}#9o21=874V072>7}#>8o1:6*91e85?x{zu2c:9l4?:%0af?7202\9ni4>{M0ab?7|^m81>v*>f9821d=Q9<;1>v*91d8e?!06l3l0qpsr;n3e5?6=,;hi6;W0a`?7|D;hm65081!06m3<0(;?k:79~yx{56;294~"5jk0:9;5G2`d8 41>28>0e<;7:18'6gd=9<20Z?lk:0yO6g`=9r\:i=4={%3e7}#9o21oo5Y14396~"19l0=7)8>d;48yx{z3`ih6=4+2c`9gf=Q:kn1>vB=bg82S7b838p(fe<,:l;6;?j;|Tg5?4|,?;h6<;7;%1e4?06m2w/:lj5e:U6de=;r\o<7fe<,:l;6;?j;|Tg5?4|,?;h6<;7;%1e4?06l2w/:lj5e:U6de=;r\o<7fe<,:l;6;?j;|Tg5?4|,?;h6nl4$2d3>37b3t.=mi48;|T2b2<5s_n;6?u+60a9gf=#;o:1:52z&2b=<5ik1]=8?52z&55`<6:2.==i4>2:~yI4em3;pZ?l;:3yU`5<5s-<:o7P6=809w)8>e;31?!06l3;97psrL3`f>4}Q:k>1>vXk0;0x 37d2;3h7)=i0;42a>{#>hn1=?5r}|9l5c7=83.9no4>f098yg34:3:1?7>50z&1fg<5j11C>lh4i07:>5<#:kh1=864V3`g>4}K:kl1=vXk2;0x 4`?28?27[?:1;0x 37b2?1/:f083>!4ej3;m=6X=be82I4en3;pZi<52z&2b=<6n81]=8?52z&55`<13-<:h784}|~?xd2;:0;6<4?:1y'6gd=:j80D?oi;n3e5?6=,;hi6;:a163=83>1<7>t$3`a>26<@;km7d?:9;29 7de28?37E{zut1b=8o50;&1fg<6=11C>oo4V3`g>4}K:kl1=vXk2;0x 4`?28?j7[?:1;0x 37b28;0(;?k:038yx{z3`;=97>5$3`a>43?3_8ih7?tL3`e>4}Ql;09w)?i8;351>P6=809w)8>e;32?!06l3;:7psr}:m2b4<72-8in7?i1:T1fa<6sE8ij7?tVe096~"6n10:j<5Y14396~"19l0=7)8>d;48yx{z3th>?;4?:483>5}#:kh1;=5G2`d8m43>290/>ol514:8L7df3_8ih7?tL3`e>4}Ql;09w)?i8;36=>P6=809w)8>e;32?!06l3;:7psr}:k21d<72-8in7?:8:T1fa<6sE8ij7?tVe096~"6n10:9l5Y14396~"19l0:=6*91e825>{zut1b=;;50;&1fg<6=11C>oo4V3`g>4}K:kl1=vXk2;0x 4`?28<>7[?:1;0x 37b28;0(;?k:038yx{z3`;<<7>5$3`a>43?3A8im6X=be82I4en3;pZi<52z&2b=<6?91]=8?52z&55`<692.==i4>1:~yx=h9o;1<7*=bc82b4=Q:kn1=vB=bg82Sb52;q/=k651g38R4362;q/:{M0ab?7|^m81>v*>f9821<=Q9<;1>v*91d85?!06l3<0qpsr;h36e?6=,;hi6<;7;W0a`?7|D;hm6m6X>5081!06m3<0(;?k:79~yx{2wvqp5rb41;>5<1290;w)=9<30Z<;>:3y'24c=k2.==i4l;|~y>o6=h0;6)N5jh1]>oj51zN1fc<6s_n96?u+1g:950g<^8?:6?u+60g9g>"19m0h7psr}:k220<72-8in7?:8:J1fd=Q:kn1=vB=bg82Sb52;q/=k651778R4362;q/:7183>!4ej3;>46F=b`9U6gb=9rF9nk4>{Wf1>7}#9o21=:>4V072>7}#>8o1=?5+60f957=zutw0e<9<:18'6gd=9<20Z?lk:0yO6g`=9r\o>74143_;>=744<,?;o6<<4}|~?j7a93:1(?lm:0d2?S4el3;p@?li:0yU`7<5s-;m47?i1:T214<5s-<:i784$73g>3=zutw0qo;<9;292?6=8r.9no480:J1ec=n9<31<7*=bc821==O:kk0Z?lk:0yO6g`=9r\o>743>3_;>=7f=#>8n1o6sr}|9j50g=83.9no4>599K6gg<^;ho6oh51zTg6?4|,8l36<8:;W365?4|,?;n6n5+60f9g>{zut1b=:>50;&1fg<6=11C>oo4V3`g>4}K:kl1=vXk2;0x 4`?28=;7[?:1;0x 37b2880(;?k:008yx{z3`;5$3`a>43?3_8ih7?tL3`e>4}Ql;09w)?i8;347>P6=809w)8>e;31?!06l3;97psr}:m2b4<72-8in7?i1:T1fa<6sE8ij7?tVe096~"6n10:j<5Y14396~"19l0=7)8>d;48yx{z3th>?l4?:783>5}#:kh1;=5G2`d8m43>290/>ol514:8L7df3_8ih7?tL3`e>4}Ql;09w)?i8;36=>P6=809w)8>e;a8 37c2j1vqps4i07b>5<#:kh1=864H3`b?S4el3;p@?li:0yU`7<5s-;m47?:a:T214<5s-<:i7m4$73g>f=zutw0e<8::18'6gd=9<20D?ln;W0a`?7|D;hm65081!06m3i0(;?k:b9~yx{<@;hj7[o6?:0;6)P5jm0:wA729U507=:r.==h4>2:&55a<6:2wvqp5`1g394?"5jk0:j<5Y2cf95~J5jo0:w[j=:3y'5c>=9o;0Z<;>:3y'24c=>2.==i49;|~y>{e=:h1<7:50;2x 7de28l;7Ed;48yx{z3`;>m7>5$3`a>43?3_8ih7?tL3`e>4}Ql;09w)?i8;36e>P6=809w)8>e;48 37c2?1vqps4i046>5<#:kh1=864V3`g>4}K:kl1=vXk2;0x 4`?28<>7[?:1;0x 37b2?1/:47>5$3`a>43?32e:j<4?:%0af?7a92\9ni4>{M0ab?7|^m81>v*>f982b4=Q9<;1>v*91d85?!06l3<0qpsr;|`10f<72;0;6=u+2c`9f<=O:hl0e<;7:18'6gd=9<207b?i1;29 7de28l:7[7>50z&1fg5<#:kh1=k?4V3`g>4}K:kl1=vXk2;0x 4`?28l:7[?:1;0x 37b2h1/:<6=4<:183!4ej38i46F=ag9j50?=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=874V072>7}#>8o1:6*91e85?x{zu2c:9l4?:%0af?7202\9ni4>{M0ab?7|^m81>v*>f9821d=Q9<;1>v*91d85?!06l3<0qpsr;n3e5?6=,;hi6;W0a`?7|D;hm65081!06m3<0(;?k:79~yx{51;294~"5jk09o?5G2`d8k4`6290/>ol51g38?xd54?:1y'6gd=?91C>lh4i07:>5<#:kh1=864V3`g>4}K:kl1=vXk2;0x 4`?28?27[?:1;0x 37b2k1/:5<#:kh1=k?4V3`g>4}K:kl1=vXk2;0x 4`?28l:7[?:1;0x 37b2?1/:i6=4<:183!4ej3=;7Ed;`8yx{z3`;>m7>5$3`a>43?3A8im6X=be82I4en3;pZi<52z&2b=<6=h1]=8?52z&55`<692.==i4>1:~yx=h9o;1<7*=bc82b4=Q:kn1=vB=bg82Sb52;q/=k651g38R4362;q/:{M0ab?7|^m81>v*>f9821<=Q9<;1>v*91d85?!06l3<0qpsr;h36e?6=,;hi6<;7;W0a`?7|D;hm6m6X>5081!06m3<0(;?k:79~yx{2wvqp5rb36g>5<4290;w){M0ab?7|^m81>v*>f9821<=Q9<;1>v*91d8`?!06l3i0qpsr;h36e?6=,;hi6<;7;I0ae>P5jm0:wA5`9U507=:r.==h4>2:&55a<6:2wvqp5`1g394?"5jk0:j<5Y2cf95~J5jo0:w[j=:3y'5c>=9o;0Z<;>:3y'24c=>2.==i49;|~y>{e:=o1<7=50;2x 7de2>:0D?oi;h36=?6=,;hi6<;7;W0a`?7|D;hm656X>5081!06m3;97)8>d;31?x{zu2c:9l4?:%0af?7202\9ni4>{M0ab?7|^m81>v*>f9821d=Q9<;1>v*91d8`?!06l3i0qpsr;n3e5?6=,;hi6;W0a`?7|D;hm65081!06m3<0(;?k:79~yx{53;294~"5jk0:j=5G2`d8m43>290/>ol514:8R7dc28qG>oh51zTg6?4|,8l36<;6;W365?4|,?;n6;5+60f92>{zut1b=8o50;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:950g<^8?:6?u+60g92>"19m0=7psr}:m2b4<72-8in7?i1:T1fa<6sE8ij7?tVe096~"6n10:j<5Y14396~"19l0=7)8>d;48yx{z3th=??4?:383>5}#:kh1n45G2`d8m43?290/>ol514:8?j7a93:1(?lm:0d2?S4el3;p@?li:0yU`7<5s-;m47?i1:T214<5s-<:i784$73g>3=zutw0qo8<9;296?6=8r.9no4m9:J1ec=n9<21<7*=bc821==2wvqp5rb71f>5<1290;w)N5io1/=:75159j50>=83.9no4>599U6gb=9rF9nk4>{W3f4?4|,8l36<;7;W365?4|,?;n6;5+60f92>{zut1boo4?:%0af?ee3_8ih7?tL3`e>4}Q9l:1>v*>f98`f>P6=809w)8>e;48 37c2?1vqps4iba94?"5jk0ho6X=be81I4en3;pZ=kj1]=8?52z&55`7}#>8i1on5+3g2924c<,:l;6;?j;|&5ea7}#>8i1on5+3g2924c<,:l;6;?k;|&5ea7}#>8i1on5+3g2924col52``8R7dc2;qG>oh51zT2a5<5s-;m477ge3-9m<78>e:'2db=9;1vqp5f28a94?"5jk095n5Y2cf96~J5jo0:w[?j0;0x 4`?2;3h7[?:1;0x 37b2880(;?k:008yx{K:ko1=vX=b581Sb72;q/:{zu2e:j<4?:%0af?7a921vn;=<:180>5<7s-8in75`83>!4ej3;>46X=be82I4en3;pZi<52z&2b=<6=h1]=8?52z&55`<13-<:h784}|~?j7a93:1(?lm:0d2?S4el3;p@?li:0yU`7<5s-;m47?i1:T214<5s-<:i784$73g>3=zutw0qo8<4;295?6=8r.9no4=c39K6d`5}#:kh1;=5G2`d8m43>290/>ol514:8R7dc28qG>oh51zTg6?4|,8l36<;6;W365?4|,?;n647;|~y>i6n80;6)P5jm0:wAf09U507=:r.==h49;%42`?02<729q/>ol5719K6d`<@;hj7[ol514:8L7df3_8ih7?tL3`e>4}Ql;09w)?i8;36e>P6=809w)8>e;`8 37c2k1vqps4i046>5<#:kh1=864H3`b?S4el3;p@?li:0yU`7<5s-;m47?95:T214<5s-<:i7l4$73g>g=zutw0e<9?:18'6gd=9<20Z?lk:0yO6g`=9r\o>74173_;>=747<,?;o6;%42`?763twvq6g>7583>!4ej3;>46X=be82I4en3;pZi<52z&2b=<6?=1]=8?52z&55`<692.==i4>1:~yx=h9o;1<7*=bc82b4=Q:kn1=vB=bg82Sb52;q/=k651g38R4362;q/:57>5$3`a>43?3A8im6X=be82I4en3;pZi<52z&2b=<6=01]=8?52z&55`{M0ab?7|^m81>v*>f9821d=Q9<;1>v*91d8a?!06l3h0qpsr;h351?6=,;hi6<;7;W0a`?7|D;hm65081!06m3;:7)8>d;32?x{zu2c:;=4?:%0af?7202\9ni4>{M0ab?7|^m81>v*>f98235=Q9<;1>v*91d825>"19m0:=6sr}|9j525=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=:=4V072>7}#>8o1=<5+60f954=zutw0e<9;:18'6gd=9<20Z?lk:0yO6g`=9r\o>74133_;>=747<,?;o63=zutw0qo8<5;290?6=8r.9no4>f19K6d`<^;ho62wvqp5f14c94?"5jk0:955Y2cf95~J5jo0:w[j=:3y'5c>=9:3y'24c=>2.==i49;|~y>o6><0;6)P5jm0:wA649U507=:r.==h49;%42`?050z&1fg<082B9mk5f14;94?"5jk0:955G2cc8R7dc28qG>oh51zTg6?4|,8l36<;6;W365?4|,?;n6n5+60f9g>{zut1b=8o50;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:950g<^8?:6?u+60g9g>"19m0h7psr}:k220<72-8in7?:8:J1fd=Q:kn1=vB=bg82Sb52;q/=k651778R4362;q/:7183>!4ej3;>46F=b`9U6gb=9rF9nk4>{Wf1>7}#9o21=:>4V072>7}#>8o1o6*91e8`?x{zu2e:j<4?:%0af?7a92\9ni4>{M0ab?7|^m81>v*>f982b4=Q9<;1>v*91d85?!06l3<0qpsr;|`57g<72<0;6=u+2c`935=O:hl0e<;6:18'6gd=9<20D?ln;W0a`?7|D;hm656X>5081!06m3i0(;?k:b9~yx{<@;hj7[ol514:8L7df3_8ih7?tL3`e>4}Ql;09w)?i8;351>P6=809w)8>e;a8 37c2j1vqps4i053>5<#:kh1=864H3`b?S4el3;p@?li:0yU`7<5s-;m47?80:T214<5s-<:i7?=;%42`?753twvq6a>f083>!4ej3;m=6X=be82I4en3;pZi<52z&2b=<6n81]=8?52z&55`<13-<:h784}|~?xd1;j0;6>4?:1y'6gd=9o:0D?oi;h36=?6=,;hi6<;7;W0a`?7|D;hm656X>5081!06m3<0(;?k:79~yx{<^;ho62wvqp5`1g394?"5jk0:j<5Y2cf95~J5jo0:w[j=:3y'5c>=9o;0Z<;>:3y'24c=>2.==i49;|~y>{ed;48yx{z3th?h44?:783>5}#:kh1=884H3ce?!7013;?7d?:8;29 7de28?37[52z&2b=<6=11]=8?52z&55`<13-<:h784}|~?lee290/>ol5cc9U6gb=9rF9nk4>{W3f4?4|,8l36nl4V072>7}#>8o1:6*91e85?x{zu2cho7>5$3`a>fe<^;ho6?uC2cd95~P6m909w)?i8;a`?S72938p(;?j:99'24b=02wvqAe:U`4<5s-<:o7?:8:&0b5<19l1v(;ok:d9~R7gd2:q]h=4={%42g?ed3-9m<78>e:U`4<5s-<:o7?:8:&0b5<19m1v(;ok:d9~R7gd2:q]h=4={%42g?ed3-9m<78>e:U`4<5s-<:o7mm;%1e4?06m2w/:lj57:U5c1=:r\o<7fe<,:l;6;?j;|&5ea<6;2wvq6g=ac83>!4ej38jn6X=be81I4en3;pZ=:hh0Z<;>:3y'24c=9;1/:8o0q)8nd;31?x{z3`82o7>5$3`a>7?d3_8ih74}Q9l:1>v*>f981=f=Q9<;1>v*91d826>"19m0:>6sr}M0aa?7|^;h?6?uYd181!06k382o6*ol51g38?xd3l:0;6>4?:1y'6gd=:k20D?oi;h36=?6=,;hi6<;7;W0a`?7|D;hm656X>5081!06m3<0(;?k:79~yx{<^;ho62wvqp5`1g394?"5jk0:j<5Y2cf95~J5jo0:w[j=:3y'5c>=9o;0Z<;>:3y'24c=>2.==i49;|~y>{e1<7?50;2x 7de2;i97E5883>!4ej3;>46X=be82I4en3;pZi<52z&2b=<6=01]=8?52z&55`<692.==i4>1:~yx=n95<#:kh1=k?4V3`g>4}K:kl1=vXk2;0x 4`?28l:7[?:1;0x 37b2?1/:{zut1b=8o50;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:950g<^8?:6?u+60g954=#>8n1=<5r}|8k4`6290/>ol51g38R7dc28qG>oh51zTg6?4|,8l36;W365?4|,?;n6;5+60f92>{zut1vn9j::180>5<7s-8in7?i0:J1ec=n9<31<7*=bc821==Q:kn1=vB=bg82Sb52;q/=k6514;8R4362;q/:5`83>!4ej3;>46X=be82I4en3;pZi<52z&2b=<6=h1]=8?52z&55`<13-<:h784}|~?j7a93:1(?lm:0d2?S4el3;p@?li:0yU`7<5s-;m47?i1:T214<5s-<:i784$73g>3=zutw0qo:ka;296?6=8r.9no4m9:J1ec=n9<21<7*=bc821==2wvqp5rb5g1>5<5290;w)oj51zN1fc<6s_n96?u+1g:95c7<^8?:6?u+60g92>"19m0=7psr}:a0`1=83<1<7>t$3`a>4313A8jj6*>78820>o6=10;6)P5jm0:wA=73=#>8n1:6sr}|9jgf<72-8in7ml;W0a`?4|D;hm65081!06m320(;?k:99~yxJ5jl0?w[560g8y!0fl3o0q[560f8y!0fl3o0q[1vZvB=bg82S7b838p(h?:73f?x"1im0:>6sr}:k1=f<72-8in7<6c:T1fa<5sE8ij7?tV0g3>7}#9o21>4m4V072>7}#>8o1=?5+60f957=zutF9nh4>{W0a0?4|^m:1>v*91b81=f=#;o:1:=9<30Z<;>:3y'24c=>2.==i49;|~y>o6=h0;6)P5jm0:wA5`9U507=:r.==h49;%42`?050z&1fg<5k;1C>lh4o0d2>5<#:kh1=k?4;|`7``<72:0;6=u+2c`935=O:hl0e<;6:18'6gd=9<20Z?lk:0yO6g`=9r\o>743>3_;>=73=#>8n1:6sr}|9j50g=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=8o4V072>7}#>8o1:6*91e85?x{zu2e:j<4?:%0af?7a92\9ni4>{M0ab?7|^m81>v*>f982b4=Q9<;1>v*91d85?!06l3<0qpsr;|`7`c<72:0;6=u+2c`935=O:hl0e<;6:18'6gd=9<20Z?lk:0yO6g`=9r\o>743>3_;>=747<,?;o6{M0ab?7|^m81>v*>f9821d=Q9<;1>v*91d825>"19m0:=6sr}|9l5c7=83.9no4>f09U6gb=9rF9nk4>{Wf1>7}#9o21=k?4V072>7}#>8o1:6*91e85?x{zu2wi8h>50;194?6|,;hi6:>4H3ce?l7213:1(?lm:07;?S4el3;p@?li:0yU`7<5s-;m47?:9:T214<5s-<:i7?>;%42`?763twvq6g>5`83>!4ej3;>46X=be82I4en3;pZi<52z&2b=<6=h1]=8?52z&55`<692.==i4>1:~yx=h9o;1<7*=bc82b4=Q:kn1=vB=bg82Sb52;q/=k651g38R4362;q/:57>5$3`a>43?3_8ih7?tL3`e>4}Ql;09w)?i8;36=>P6=809w)8>e;32?!06l3;:7psr}:k21d<72-8in7?:8:J1fd=Q:kn1=vB=bg82Sb52;q/=k6514c8R4362;q/:5<#:kh1=864V3`g>4}K:kl1=vXk2;0x 4`?28<>7[?:1;0x 37b28;0(;?k:038yx{z3f;m=7>5$3`a>4`63_8ih7?tL3`e>4}Ql;09w)?i8;3e5>P6=809w)8>e;48 37c2?1vqps4}c6g`?6==3:1743>3_;>=73=#>8n1:6sr}|9j50g=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=8o4V072>7}#>8o1:6*91e85?x{zu2c::84?:%0af?7202\9ni4>{M0ab?7|^m81>v*>f98220=Q9<;1>v*91d85?!06l3<0qpsr;h344?6=,;hi6<;7;W0a`?7|D;hm65081!06m3<0(;?k:79~yx{2wvqp5rb5g0>5<4290;w){M0ab?7|^m81>v*>f9821<=Q9<;1>v*91d8`?!06l3i0qpsr;h36e?6=,;hi6<;7;W0a`?7|D;hm6m6X>5081!06m3;97)8>d;31?x{zu2e:j<4?:%0af?7a92\9ni4>{M0ab?7|^m81>v*>f982b4=Q9<;1>v*91d85?!06l3<0qpsr;|`7a1<72:0;6=u+2c`935=O:hl0e<;6:18'6gd=9<20Z?lk:0yO6g`=9r\o>743>3_;>=7f=#>8n1o6sr}|9j50g=83.9no4>599K6gg<^;ho6;W0a`?7|D;hm65081!06m3<0(;?k:79~yx{n97>53;294~"5jk0:j=5G2`d8m43>290/>ol514:8R7dc28qG>oh51zTg6?4|,8l36<;6;W365?4|,?;n6;5+60f92>{zut1b=8o50;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:950g<^8?:6?u+60g92>"19m0=7psr}:m2b4<72-8in7?i1:T1fa<6sE8ij7?tVe096~"6n10:j<5Y14396~"19l0=7)8>d;48yx{z3th=5:4?:383>5}#:kh1n45G2`d8m43?290/>ol514:8?j7a93:1(?lm:0d2?S4el3;p@?li:0yU`7<5s-;m47?i1:T214<5s-<:i784$73g>3=zutw0qo86f;296?6=8r.9no4m9:J1ec=n9<21<7*=bc821==2wvqp5rb7c7>5<1290;w)N5io1/=:75149j50>=83.9no4>599U6gb=9rF9nk4>{W3f4?4|,8l36<;7;W365?4|,?;n6;5+60f92>{zut1boo4?:%0af?ee3_8ih7?tL3`e>4}Q9l:1>v*>f98`f>P6=809w)8>e;48 37c2?1vqps4iba94?"5jk0ho6X=be81I4en3;pZ=kj1]=8?52z&55`7}#>8i1on5+3g2924c<,:l;6;?j;|&5ea7}#>8i1on5+3g2924c<,:l;6;?k;|&5ea7}#>8i1on5+3g2924col52``8R7dc2;qG>oh51zT2a5<5s-;m477ge3-9m<78>e:'2db=9;1vqp5f28a94?"5jk095n5Y2cf96~J5jo0:w[?j0;0x 4`?2;3h7[?:1;0x 37b2880(;?k:008yx{K:ko1=vX=b581Sb72;q/:{zu2e:j<4?:%0af?7a921vn;77:180>5<7s-8in75`83>!4ej3;>46X=be82I4en3;pZi<52z&2b=<6=h1]=8?52z&55`<13-<:h784}|~?j7a93:1(?lm:0d2?S4el3;p@?li:0yU`7<5s-;m47?i1:T214<5s-<:i784$73g>3=zutw0qo869;295?6=8r.9no4=c39K6d`5}#:kh1;=5G2`d8m43>290/>ol514:8R7dc28qG>oh51zTg6?4|,8l36<;6;W365?4|,?;n647;|~y>i6n80;6)P5jm0:wAf09U507=:r.==h49;%42`?06<729q/>ol5719K6d`<^;ho62wvqp5f14c94?"5jk0:955Y2cf95~J5jo0:w[j=:3y'5c>=9:3y'24c=>2.==i49;|~y>i6n80;6)P5jm0:wAf09U507=:r.==h49;%42`?00<729q/>ol5719K6d`<^;ho6=9:3y'24c=981/:6=4+2c`950><^;ho65081!06m3;:7)8>d;32?x{zu2e:j<4?:%0af?7a92\9ni4>{M0ab?7|^m81>v*>f982b4=Q9<;1>v*91d85?!06l3<0qpsr;|`5=`<72<0;6=u+2c`935=O:hl0e<;6:18'6gd=9<20Z?lk:0yO6g`=9r\o>743>3_;>=7g=#>8n1n6sr}|9j50g=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=8o4V072>7}#>8o1=<5+60f954=zutw0e<8::18'6gd=9<20Z?lk:0yO6g`=9r\o>74023_;>=747<,?;o6;%42`?763twvq6a>f083>!4ej3;m=6X=be82I4en3;pZi<52z&2b=<6n81]=8?52z&55`<13-<:h784}|~?xd11h0;684?:1y'6gd=9o:0D?oi;h36=?6=,;hi6<;7;W0a`?7|D;hm656X>5081!06m3<0(;?k:79~yx{<^;ho62wvqp5f17794?"5jk0:955Y2cf95~J5jo0:w[j=:3y'5c>=9??0Z<;>:3y'24c=>2.==i49;|~y>o6?90;6)P5jm0:wA719U507=:r.==h49;%42`?050z&1fg<082B9mk5f14;94?"5jk0:955Y2cf95~J5jo0:w[j=:3y'5c>=9<30Z<;>:3y'24c=k2.==i4l;|~y>o6=h0;6)N5jh1]>oj51zN1fc<6s_n96?u+1g:950g<^8?:6?u+60g9g>"19m0h7psr}:k220<72-8in7?:8:T1fa<6sE8ij7?tVe096~"6n10::85Y14396~"19l0:>6*91e826>{zut1b=:>50;&1fg<6=11C>oo4V3`g>4}K:kl1=vXk2;0x 4`?28=;7[?:1;0x 37b2880(;?k:008yx{z3`;5$3`a>43?3_8ih7?tL3`e>4}Ql;09w)?i8;347>P6=809w)8>e;31?!06l3;97psr}:k231<72-8in7?:8:J1fd=Q:kn1=vB=bg82Sb52;q/=k651668R4362;q/:5<#:kh1=k?4V3`g>4}K:kl1=vXk2;0x 4`?28l:7[?:1;0x 37b2?1/:d;a8yx{z3`;>m7>5$3`a>43?3_8ih7?tL3`e>4}Ql;09w)?i8;36e>P6=809w)8>e;31?!06l3;97psr}:k220<72-8in7?:8:T1fa<6sE8ij7?tVe096~"6n10::85Y14396~"19l0:>6*91e826>{zut1b=:>50;&1fg<6=11C>oo4V3`g>4}K:kl1=vXk2;0x 4`?28=;7[?:1;0x 37b2880(;?k:008yx{z3`;5$3`a>43?3_8ih7?tL3`e>4}Ql;09w)?i8;347>P6=809w)8>e;31?!06l3;97psr}:k231<72-8in7?:8:J1fd=Q:kn1=vB=bg82Sb52;q/=k651668R4362;q/:5<#:kh1=k?4V3`g>4}K:kl1=vXk2;0x 4`?28l:7[?:1;0x 37b2?1/:599U6gb=9rF9nk4>{Wf1>7}#9o21=874V072>7}#>8o1:6*91e85?x{zu2c:9l4?:%0af?7202\9ni4>{M0ab?7|^m81>v*>f9821d=Q9<;1>v*91d85?!06l3<0qpsr;n3e5?6=,;hi6;W0a`?7|D;hm65081!06m3<0(;?k:79~yx{<97>52;294~"5jk0i56F=ag9j50>=83.9no4>5998k4`6290/>ol51g38R7dc28qG>oh51zTg6?4|,8l36;W365?4|,?;n6;5+60f92>{zut1vn99k:185>5<7s-8in7?:6:J1ec=#9>31=85f14:94?"5jk0:955Y2cf95~J5jo0:w[?j0;0x 4`?28?37[?:1;0x 37b2?1/:3=zutw0enm50;&1fgv*91d8;?!06l320qpsC2cg90~P5ij08w[j?:3y'24e=kj1/?k>560g8ySb62;q/:560g8ySb62;q/:560g8ySb62;q/:8o0q)8nd;58yS7a?38pZi>52z&55f5<#:kh1>ll4V3`g>7}K:kl1=vX>e181!7a038jn6X>5081!06m3;97)8>d;31?x{zD;hn64m50;&1fg<51j1]>oj52zN1fc<6s_;n<77?d3_;>=744<,?;o6<<4}|O6gc=9r\9n94={Wf3>7}#>8i1>4m4$2d3>37b3t.=mi4>2:~y>i6n80;6)=zj===6=4<:183!4ej38i46F=ag9j50?=83.9no4>599K6gg<^;ho62wvqp5f14c94?"5jk0:955Y2cf95~J5jo0:w[j=:3y'5c>=9:3y'24c=>2.==i49;|~y>i6n80;6)P5jm0:wAf09U507=:r.==h49;%42`?04<729q/>ol52b08L7ga3f;m=7>5$3`a>4`632wi8:750;194?6|,;hi6:>4H3ce?l7213:1(?lm:07;?M4ei2\9ni4>{M0ab?7|^m81>v*>f9821<=Q9<;1>v*91d825>"19m0:=6sr}|9j50g=83.9no4>599K6gg<^;ho6;W0a`?7|D;hm65081!06m3<0(;?k:79~yx{55;294~"5jk0<<6F=ag9j50?=83.9no4>599K6gg<^;ho6P5jm0:wA5`9U507=:r.==h4>1:&55a<692wvqp5f17794?"5jk0:955Y2cf95~J5jo0:w[j=:3y'5c>=9??0Z<;>:3y'24c=981/:<@;hj7[;|~y>i6n80;6)P5jm0:wAf09U507=:r.==h49;%42`?00<729q/>ol5719K6d`<@;hj7[;|~y>o6=h0;6)N5jh1]>oj51zN1fc<6s_n96?u+1g:950g<^8?:6?u+60g954=#>8n1=<5r}|8m402290/>ol514:8R7dc28qG>oh51zTg6?4|,8l36<8:;W365?4|,?;n647{zut1d=k?50;&1fg<6n81]>oj51zN1fc<6s_n96?u+1g:95c7<^8?:6?u+60g92>"19m0=7psr}:a02>=83>1<7>t$3`a>4`73A8jj6g>5883>!4ej3;>46X=be82I4en3;pZi<52z&2b=<6=01]=8?52z&55`<13-<:h784}|~?l72i3:1(?lm:07;?S4el3;p@?li:0yU`7<5s-;m47?:a:T214<5s-<:i784$73g>3=zutw0e<8::18'6gd=9<20Z?lk:0yO6g`=9r\o>74023_;>=73=#>8n1:6sr}|9l5c7=83.9no4>f09U6gb=9rF9nk4>{Wf1>7}#9o21=k?4V072>7}#>8o1:6*91e85?x{zu2wi88650;094?6|,;hi6o74H3ce?l7203:1(?lm:07;?>i6n80;6)P5jm0:wAf09U507=:r.==h49;%42`?03<729q/>ol51448L7ga3-;<57?;;h36d;48yx{z3`ii6=4+2c`9gg=Q:kn1=vB=bg82S7b838p(=7==#>8n146sr}M0aa?2|^;kh6>uYd181!06k3ih7)=i0;42a>{Ql809w)8>c;36<>"4n90==h5r$7cg>`=z^;kh6>uYd181!06k3ih7)=i0;42a>{Ql809w)8>c;36<>"4n90==i5r$7cg>`=z^;kh6>uYd181!06k3ih7)=i0;42a>{Ql809w)8>c;aa?!5a83<:i6s+6`f93>{Q9o=1>vXk0;0x 37d2ji0(>h?:73f?x"1im0:?6sr}:k1eg<72-8in77}#9o21>ll4V072>7}#>8o1=?5+60f957=zutF9nh4>{W0a0?4|^m:1>v*91b81eg=#;o:1:52z&2b=<51j1]=8?52z&55`<6:2.==i4>2:~yI4em3;pZ?l;:3yU`5<5s-<:o7<6c:&0b5<19l1v(;ok:008yx{5}#:kh1>o64H3ce?l7213:1(?lm:07;?S4el3;p@?li:0yU`7<5s-;m47?:9:T214<5s-<:i784$73g>3=zutw0e<;n:18'6gd=9<20Z?lk:0yO6g`=9r\o>743f3_;>=73=#>8n1:6sr}|9l5c7=83.9no4>f09U6gb=9rF9nk4>{Wf1>7}#9o21=k?4V072>7}#>8o1:6*91e85?x{zu2wi88o50;394?6|,;hi6?m=;I0bb>i6n80;6)=zj=?h6=4<:183!4ej3=;7E{zut1b=8o50;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:950g<^8?:6?u+60g954=#>8n1=<5r}|8k4`6290/>ol51g38R7dc28qG>oh51zTg6?4|,8l36;W365?4|,?;n6;5+60f92>{zut1vn9;k:180>5<7s-8in79?;I0bb>o6=00;6)P5jm0:wA589U507=:r.==h4>1:&55a<692wvqp5f14c94?"5jk0:955Y2cf95~J5jo0:w[j=:3y'5c>=9:3y'24c=981/:2wvqp5rb57f>5<3290;w){M0ab?7|^m81>v*>f9821<=Q9<;1>v*91d825>"19m0:=6sr}|9j50g=83.9no4>599K6gg<^;ho6P5jm0:wA649U507=:r.==h4>1:&55a<692wvqp5`1g394?"5jk0:j<5Y2cf95~J5jo0:w[j=:3y'5c>=9o;0Z<;>:3y'24c=>2.==i49;|~y>{e<d;48yx{z3`;>m7>5$3`a>43?3_8ih7?tL3`e>4}Ql;09w)?i8;36e>P6=809w)8>e;48 37c2?1vqps4i046>5<#:kh1=864V3`g>4}K:kl1=vXk2;0x 4`?28<>7[?:1;0x 37b2?1/:47>5$3`a>43?32e:j<4?:%0af?7a92\9ni4>{M0ab?7|^m81>v*>f982b4=Q9<;1>v*91d85?!06l3<0qpsr;|`0bf<72?0;6=u+2c`9500<@;km7)?89;37?l7203:1(?lm:07;?S4el3;p@?li:0yU5`6=:r.:j54>599U507=:r.==h49;%42`?0oj51zN1fc<6s_;n<7fd<^8?:6?u+60g92>"19m0=7psr}:k`g?6=,;hi6nm4V3`g>7}K:kl1=vX>e181!7a03ih7[?:1;0x 37b211/:pZ?ol:2yU`5<5s-<:o7ml;%1e4?06m2w]h<4={%42g?7202.8j=491d9~ 3gc2l1vZ?ol:2yU`5<5s-<:o7ml;%1e4?06m2w]h<4={%42g?7202.8j=491e9~ 3gc2l1vZ?ol:2yU`5<5s-<:o7ml;%1e4?06m2w]h<4={%42g?ee3-9m<78>e:'2db=?2w]=k952zTg4?4|,?;h6nm4$2d3>37b3t.=mi4>3:~y>o5ik0;6)P5jm09wA52z&55f<5ik1/?k>560g8y!0fl3;97psr;h0:g?6=,;hi6?7l;W0a`?4|D;hm66*91e826>{zuE8ii7?tV3`7>7}Ql909w)8>c;0:g>"4n90==h5r$7cg>44:18'6gd=9o;07pl6<729q/>ol52c:8L7ga3`;>57>5$3`a>43?3_8ih7?tL3`e>4}Ql;09w)?i8;36=>P6=809w)8>e;48 37c2?1vqps4i07b>5<#:kh1=864V3`g>4}K:kl1=vXk2;0x 4`?28?j7[?:1;0x 37b2?1/:hn:180>5<7s-8in79?;I0bb>o6=00;6)P5jm0:wA589U507=:r.==h4m;%42`?dol51g38R7dc28qG>oh51zTg6?4|,8l36;W365?4|,?;n6;5+60f92>{zut1vn9>n:181>5<7s-8in7l6;I0bb>o6=10;6)=h9o;1<7*=bc82b4=Q:kn1=vB=bg82Sb52;q/=k651g38R4362;q/:{M0ab?7|^m81>v*>f9821<=Q9<;1>v*91d85?!06l3<0qpsr;h36e?6=,;hi6<;7;W0a`?7|D;hm6m6X>5081!06m3l0(;?k:g9~yx{2wvqp5rb531>5<1290;w)N5io1/=:75159j50>=83.9no4>599U6gb=9rF9nk4>{W3f4?4|,8l36<;7;W365?4|,?;n6;5+60f92>{zut1boo4?:%0af?ee3_8ih7?tL3`e>4}Q9l:1>v*>f98`f>P6=809w)8>e;48 37c2?1vqps4iba94?"5jk0ho6X=be81I4en3;pZ=kj1]=8?52z&55`7}#>8i1on5+3g2924c<,:l;6;?j;|&5ea7}#>8i1on5+3g2924c<,:l;6;?k;|&5ea7}#>8i1on5+3g2924col52``8R7dc2;qG>oh51zT2a5<5s-;m477ge3-9m<78>e:'2db=9;1vqp5f28a94?"5jk095n5Y2cf96~J5jo0:w[?j0;0x 4`?2;3h7[?:1;0x 37b2880(;?k:008yx{K:ko1=vX=b581Sb72;q/:{zu2e:j<4?:%0af?7a921vn9>m:180>5<7s-8in7743>3_;>=73=#>8n1:6sr}|9j50g=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=8o4V072>7}#>8o1:6*91e85?x{zu2e:j<4?:%0af?7a92\9ni4>{M0ab?7|^m81>v*>f982b4=Q9<;1>v*91d85?!06l3<0qpsr;|`74f<7280;6=u+2c`96f4<@;km7b?i1;29 7de28l:76sm41g94?2=83:p(?lm:628L7ga3`;>57>5$3`a>43?3_8ih7?tL3`e>4}Ql;09w)?i8;36=>P6=809w)8>e;32?!06l3;:7psr}:k21d<72-8in7?:8:J1fd=Q:kn1=vB=bg82Sb52;q/=k6514c8R4362;q/:6483>!4ej3;>46X=be82I4en3;pZi<52z&2b=<6><1]=8?52z&55`<692.==i4>1:~yx=h9o;1<7*=bc82b4=Q:kn1=vB=bg82Sb52;q/=k651g38R4362;q/:57>5$3`a>43?3A8im6X=be82I4en3;pZi<52z&2b=<6=01]=8?52z&55`<692.==i4>1:~yx=n9743f3_;>=747<,?;o6{M0ab?7|^m81>v*>f98220=Q9<;1>v*91d825>"19m0:=6sr}|9j526=83.9no4>599K6gg<^;ho65081!06m3h0(;?k:c9~yx{2wvqp5rb533>5<1290;w)=9<30Z<;>:3y'24c=981/:<@;hj7[;|~y>o6><0;6)N5jh1]>oj51zN1fc<6s_n96?u+1g:9533<^8?:6?u+60g954=#>8n1=<5r}|8m417290/>ol514:8L7df3_8ih7?tL3`e>4}Ql;09w)?i8;344>P6=809w)8>e;32?!06l3;:7psr}:k236<72-8in7?:8:J1fd=Q:kn1=vB=bg82Sb52;q/=k651618R4362;q/:5<#:kh1=k?4V3`g>4}K:kl1=vXk2;0x 4`?28l:7[?:1;0x 37b2?1/:599U6gb=9rF9nk4>{Wf1>7}#9o21=874V072>7}#>8o1:6*91e85?x{zu2c:9l4?:%0af?7202\9ni4>{M0ab?7|^m81>v*>f9821d=Q9<;1>v*91d85?!06l3<0qpsr;h351?6=,;hi6<;7;W0a`?7|D;hm65081!06m3<0(;?k:79~yx{2wvqp5rb542>5<5290;w)oj51zN1fc<6s_n96?u+1g:95c7<^8?:6?u+60g92>"19m0=7psr}:a030=83<1<7>t$3`a>4313A8jj6*>78820>o6=10;6)P5jm0:wA=73=#>8n1:6sr}|9jgf<72-8in7ml;W0a`?4|D;hm65081!06m320(;?k:99~yxJ5jl0?w[560g8y!0fl3o0q[560f8y!0fl3o0q[1vZvB=bg82S7b838p(h?:73f?x"1im0:>6sr}:k1=f<72-8in7<6c:T1fa<5sE8ij7?tV0g3>7}#9o21>4m4V072>7}#>8o1=?5+60f957=zutF9nh4>{W0a0?4|^m:1>v*91b81=f=#;o:1:{M0ab?7|^m81>v*>f9821<=Q9<;1>v*91d85?!06l3<0qpsr;h36e?6=,;hi6<;7;W0a`?7|D;hm6m6X>5081!06m3<0(;?k:79~yx{2wvqp5rb540>5<6290;w)N5io1d=k?50;&1fg<6n810qo:94;297?6=8r.9no480:J1ec=n9<31<7*=bc821==Q:kn1=vB=bg82Sb52;q/=k6514;8R4362;q/:5<#:kh1=864V3`g>4}K:kl1=vXk2;0x 4`?28?j7[?:1;0x 37b28;0(;?k:038yx{z3f;m=7>5$3`a>4`63_8ih7?tL3`e>4}Ql;09w)?i8;3e5>P6=809w)8>e;48 37c2?1vqps4}c62a?6=:3:1N5io1b=8650;&1fg<6=110c:18'6gd=9o;0Z?lk:0yO6g`=9r\o>74`63_;>=73=#>8n1:6sr}|9~f14029086=4?{%0af?4e02B9mk5f14;94?"5jk0:955Y2cf95~J5jo0:w[j=:3y'5c>=9<30Z<;>:3y'24c=>2.==i49;|~y>o6=h0;6)P5jm0:wA5`9U507=:r.==h4i;%42`?`3:1:7>50z&1fg<6=?1C>lh4$05:>42<^;ho6P6=809w)8>e;48 37c2?1vqps4ib`94?"5jk0hn6X=be82I4en3;pZ=kk1]=8?52z&55`<13-<:h784}|~?led290/>ol5cb9U6gb=:rF9nk4>{W3f4?4|,8l36nm4V072>7}#>8o146*91e8;?x{zD;hn69uY2`a97~Pc838p(;?l:ba8 6`72?;n7pXk1;0x 37d28?37)=i0;42a>{#>hn1i6sY2`a97~Pc838p(;?l:ba8 6`72?;n7pXk1;0x 37d28?37)=i0;42`>{#>hn1i6sY2`a97~Pc838p(;?l:ba8 6`72?;n7pXk1;0x 37d2jh0(>h?:73f?x"1im0<7pX>f681Sb72;q/:8o0q)8nd;30?x{z3`8jn7>5$3`a>7ge3_8ih74}Q9l:1>v*>f981eg=Q9<;1>v*91d826>"19m0:>6sr}M0aa?7|^;h?6?uYd181!06k38jn6*ol528a8R7dc2;qG>oh51zT2a5<5s-;m47<6c:T214<5s-<:i7?=;%42`?753twv@?lj:0yU6g2=:r\o<77?d3-9m<78>e:'2db=9;1vqp5`1g394?"5jk0:j<54}c62b?6=;3:1743>3_;>=73=#>8n1:6sr}|9j50g=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=8o4V072>7}#>8o1:6*91e85?x{zu2e:j<4?:%0af?7a92\9ni4>{M0ab?7|^m81>v*>f982b4=Q9<;1>v*91d85?!06l3<0qpsr;|`765<7280;6=u+2c`96f4<@;km7b?i1;29 7de28l:76sm43094?2=83:p(?lm:628L7ga3`;>57>5$3`a>43?3_8ih7?tL3`e>4}Ql;09w)?i8;36=>P6=809w)8>e;32?!06l3;:7psr}:k21d<72-8in7?:8:T1fa<6sE8ij7?tVe096~"6n10:9l5Y14396~"19l0:=6*91e825>{zut1b=;;50;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:9533<^8?:6?u+60g9f>"19m0i7psr}:m2b4<72-8in7?i1:T1fa<6sE8ij7?tVe096~"6n10:j<5Y14396~"19l0=7)8>d;48yx{z3th?>>4?:583>5}#:kh1;=5G2`d8m43>290/>ol514:8R7dc28qG>oh51zTg6?4|,8l36<;6;W365?4|,?;n647;|~y>o6><0;6)N5jh1]>oj51zN1fc<6s_n96?u+1g:9533<^8?:6?u+60g954=#>8n1=<5r}|8k4`6290/>ol51g38R7dc28qG>oh51zTg6?4|,8l36;W365?4|,?;n6;5+60f92>{zut1vn9<;:187>5<7s-8in79?;I0bb>o6=00;6)P5jm0:wA589U507=:r.==h4>1:&55a<692wvqp5f14c94?"5jk0:955G2cc8R7dc28qG>oh51zTg6?4|,8l36<;n;W365?4|,?;n6o5+60f9f>{zut1b=;;50;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:9533<^8?:6?u+60g954=#>8n1=<5r}|8k4`6290/>ol51g38R7dc28qG>oh51zTg6?4|,8l36;W365?4|,?;n6;5+60f92>{zut1vn9<>:187>5<7s-8in7?i0:J1ec=n9<31<7*=bc821==Q:kn1=vB=bg82Sb52;q/=k6514;8R4362;q/:5`83>!4ej3;>46X=be82I4en3;pZi<52z&2b=<6=h1]=8?52z&55`<13-<:h784}|~?l71=3:1(?lm:07;?S4el3;p@?li:0yU`7<5s-;m47?95:T214<5s-<:i784$73g>3=zutw0c:18'6gd=9o;0Z?lk:0yO6g`=9r\o>74`63_;>=73=#>8n1:6sr}|9~f10029096=4?{%0af?d>3A8jj6g>5983>!4ej3;>465`1g394?"5jk0:j<5Y2cf95~J5jo0:w[j=:3y'5c>=9o;0Z<;>:3y'24c=>2.==i49;|~y>{e{M0ab?7|^8o;6?u+1g:950><^8?:6?u+60g92>"19m0=7psr}:k`f?6=,;hi6nl4V3`g>4}K:kl1=vX>e181!7a03ii7[?:1;0x 37b2?1/:==zutF9nh4;{W0bg?5|^m:1>v*91b8`g>"4n90==h5rVe396~"19j0:955+3g2924cv*91b8`g>"4n90==h5rVe396~"19j0:955+3g2924bv*91b8`g>"4n90==h5rVe396~"19j0hn6*7}Ql909w)8>c;a`?!5a83<:i6s+6`f956=zut1b>ll50;&1fg<5ik1]>oj52zN1fc<6s_;n<77ge3_;>=744<,?;o6<<4}|O6gc=9r\9n94={Wf3>7}#>8i1>ll4$2d3>37b3t.=mi4>2:~y>o51j0;6)P5jm09wA52z&55f<51j1/?k>560g8y!0fl3;97psr;n3e5?6=,;hi6;:a03>=8391<7>t$3`a>7d?3A8jj6g>5883>!4ej3;>46X=be82I4en3;pZi<52z&2b=<6=01]=8?52z&55`<13-<:h784}|~?l72i3:1(?lm:07;?S4el3;p@?li:0yU`7<5s-;m47?:a:T214<5s-<:i784$73g>3=zutw0c:18'6gd=9o;0Z?lk:0yO6g`=9r\o>74`63_;>=73=#>8n1:6sr}|9~f10>290:6=4?{%0af?4d:2B9mk5`1g394?"5jk0:j<54}c65e?6=;3:1N5io1b=8750;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:950?<^8?:6?u+60g954=#>8n1=<5r}|8m43f290/>ol514:8R7dc28qG>oh51zTg6?4|,8l36<;n;W365?4|,?;n6477>50z&1fg5<#:kh1=k?4V3`g>4}K:kl1=vXk2;0x 4`?28l:7[?:1;0x 37b2?1/::6F=ag9'52?=9=1b=8650;&1fg<6=11]>oj51zN1fc<6s_;n<743?3_;>=73=#>8n1:6sr}|9jgg<72-8in7mm;W0a`?7|D;hm65081!06m3<0(;?k:79~yx{P5jm09wAok54zT1ef<4s_n;6?u+60a9gf=#;o:1:7}#>8i1=864$2d3>37b3t.=mi4j;|T1ef<4s_n;6?u+60a9gf=#;o:1:7}#>8i1=864$2d3>37c3t.=mi4j;|T1ef<4s_n;6?u+60a9gf=#;o:1:7}#>8i1oo5+3g2924cv*91b8`g>"4n90==h5r$7cg>45o:52zTg4?4|,?;h6?om;%1e4?06m2w/:lj5139~yx=n:0i1<7*=bc81=f=Q:kn1>vB=bg82S7b838p(h?:73f?x"1im0:>6sr}:m2b4<72-8in7?i1:9~f10b29086=4?{%0af?4e02B9mk5f14;94?"5jk0:955Y2cf95~J5jo0:w[j=:3y'5c>=9<30Z<;>:3y'24c=>2.==i49;|~y>o6=h0;6)P5jm0:wA5`9U507=:r.==h49;%42`?050z&1fg<5k;1C>lh4o0d2>5<#:kh1=k?4;|`734<72<0;6=u+2c`935=O:hl0e<;6:18'6gd=9<20D?ln;W0a`?7|D;hm656X>5081!06m3;:7)8>d;32?x{zu2c:9l4?:%0af?7202B9nl5Y2cf95~J5jo0:w[j=:3y'5c>=9:3y'24c=981/:6=4+2c`950><^;ho65081!06m3;:7)8>d;32?x{zu2e:j<4?:%0af?7a92\9ni4>{M0ab?7|^m81>v*>f982b4=Q9<;1>v*91d85?!06l3<0qpsr;|`737<72<0;6=u+2c`935=O:hl0e<;6:18'6gd=9<20D?ln;W0a`?7|D;hm656X>5081!06m3;:7)8>d;32?x{zu2c:9l4?:%0af?7202B9nl5Y2cf95~J5jo0:w[j=:3y'5c>=9:3y'24c=981/:6=4+2c`950><^;ho65081!06m3;:7)8>d;32?x{zu2e:j<4?:%0af?7a92\9ni4>{M0ab?7|^m81>v*>f982b4=Q9<;1>v*91d85?!06l3<0qpsr;|`735<72:0;6=u+2c`95c6<@;km7d?:9;29 7de28?37[ol514:8R7dc28qG>oh51zTg6?4|,8l36<;n;W365?4|,?;n6;5+60f92>{zut1d=k?50;&1fg<6n81]>oj51zN1fc<6s_n96?u+1g:95c7<^8?:6?u+60g92>"19m0=7psr}:a6f`=8381<7>t$3`a>g?<@;km7d?:8;29 7de28?376a>f083>!4ej3;m=6X=be82I4en3;pZi<52z&2b=<6n81]=8?52z&55`<13-<:h784}|~?xd5l:0;6?4?:1y'6gd=j01C>lh4i07;>5<#:kh1=864;n3e5?6=,;hi6;W0a`?7|D;hm65081!06m3<0(;?k:79~yx{53;294~"5jk09n55G2`d8m43>290/>ol514:8R7dc28qG>oh51zTg6?4|,8l36<;6;W365?4|,?;n6;5+60f92>{zut1b=8o50;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:950g<^8?:6?u+60g9b>"19m0m7psr}:m2b4<72-8in7?i1:T1fa<6sE8ij7?tVe096~"6n10:j<5Y14396~"19l0=7)8>d;48yx{z3th9hl4?:783>5}#:kh1=884H3ce?!7013;?7d?:8;29 7de28?37[52z&2b=<6=11]=8?52z&55`<13-<:h784}|~?lee290/>ol5cc9U6gb=9rF9nk4>{W3f4?4|,8l36nl4V072>7}#>8o1:6*91e85?x{zu2cho7>5$3`a>fe<^;ho6?uC2cd95~P6m909w)?i8;a`?S72938p(;?j:99'24b=02wvqAe:U`4<5s-<:o7?:8:&0b5<19l1v(;ok:d9~R7gd2:q]h=4={%42g?ed3-9m<78>e:U`4<5s-<:o7?:8:&0b5<19m1v(;ok:d9~R7gd2:q]h=4={%42g?ed3-9m<78>e:U`4<5s-<:o7mm;%1e4?06m2w/:lj57:U5c1=:r\o<7fe<,:l;6;?j;|&5ea<6;2wvq6g=ac83>!4ej38jn6X=be81I4en3;pZ=:hh0Z<;>:3y'24c=9;1/:8o0q)8nd;31?x{z3`82o7>5$3`a>7?d3_8ih74}Q9l:1>v*>f981=f=Q9<;1>v*91d826>"19m0:>6sr}M0aa?7|^;h?6?uYd181!06k382o6*ol51g38?xd5l90;6>4?:1y'6gd=:k20D?oi;h36=?6=,;hi6<;7;W0a`?7|D;hm656X>5081!06m3<0(;?k:79~yx{<^;ho62wvqp5`1g394?"5jk0:j<5Y2cf95~J5jo0:w[j=:3y'5c>=9o;0Z<;>:3y'24c=>2.==i49;|~y>{e:m;1<7?50;2x 7de2;i97E5883>!4ej3;>46F=b`9U6gb=9rF9nk4>{Wf1>7}#9o21=874V072>7}#>8o1=<5+60f954=zutw0e<;n:18'6gd=9<20Z?lk:0yO6g`=9r\o>743f3_;>=747<,?;o6{M0ab?7|^m81>v*>f98220=Q9<;1>v*91d825>"19m0:=6sr}|9j526=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=:>4V072>7}#>8o1=<5+60f954=zutw0e<9<:18'6gd=9<20Z?lk:0yO6g`=9r\o>74143_;>=747<,?;o6;%42`?763twvq6g>7483>!4ej3;>46X=be82I4en3;pZi<52z&2b=<6?<1]=8?52z&55`<692.==i4>1:~yx=h9o;1<7*=bc82b4=Q:kn1=vB=bg82Sb52;q/=k651g38R4362;q/:=9<30Z<;>:3y'24c=k2.==i4l;|~y>o6=h0;6)N5jh1]>oj51zN1fc<6s_n96?u+1g:950g<^8?:6?u+60g9g>"19m0h7psr}:k220<72-8in7?:8:T1fa<6sE8ij7?tVe096~"6n10::85Y14396~"19l0h7)8>d;a8yx{z3`;<<7>5$3`a>43?3_8ih7?tL3`e>4}Ql;09w)?i8;344>P6=809w)8>e;a8 37c2j1vqps4i050>5<#:kh1=864V3`g>4}K:kl1=vXk2;0x 4`?28=87[?:1;0x 37b2j1/:>1<7*=bc821==Q:kn1=vB=bg82Sb52;q/=k651668R4362;q/:7483>!4ej3;>46X=be82I4en3;pZi<52z&2b=<6?<1]=8?52z&55`3:1(?lm:07;?S4el3;p@?li:0yU`7<5s-;m47?86:T214<5s-<:i7m4$73g>f=zutw0e<98:18'6gd=9<20Z?lk:0yO6g`=9r\o>74103_;>=7f=#>8n1o6sr}|9j52>=83.9no4>599K6gg<^;ho6oh51zTg6?4|,8l36<;m;W365?4|,?;n6<<4$73g>44o6=m0;6)P5jm0:wA5e9U507=:r.==h4>2:&55a<6:2wvqp5f14g94?"5jk0:955Y2cf95~J5jo0:w[j=:3y'5c>=9:3y'24c=9;1/:<^;ho65081!06m3<0(;?k:79~yx{2wvqp5rb3f6>5<693:1N5io1b=8750;&1fg<6=11C>oo4V3`g>4}K:kl1=vXk2;0x 4`?28?27[?:1;0x 37b2j1/:743f3_;>=7f=#>8n1o6sr}|9j533=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=;;4V072>7}#>8o1o6*91e8`?x{zu2c:;=4?:%0af?7202\9ni4>{M0ab?7|^m81>v*>f98235=Q9<;1>v*91d8`?!06l3i0qpsr;h347?6=,;hi6<;7;W0a`?7|D;hm65081!06m3i0(;?k:b9~yx{<^;ho6=9>?0Z<;>:3y'24c=k2.==i4l;|~y>o6??0;6)P5jm0:wA779U507=:r.==h4l;%42`?eol514:8L7df3_8ih7?tL3`e>4}Ql;09w)?i8;34<>P6=809w)8>e;a8 37c2j1vqps4i07a>5<#:kh1=864H3`b?S4el3;p@?li:0yU`7<5s-;m47?:b:T214<5s-<:i7?=;%42`?753twvq6g>5b83>!4ej3;>46X=be82I4en3;pZi<52z&2b=<6=j1]=8?52z&55`<6:2.==i4>2:~yx=n95<#:kh1=864V3`g>4}K:kl1=vXk2;0x 4`?28?n7[?:1;0x 37b2880(;?k:008yx{z3`;>j7>5$3`a>43?3_8ih7?tL3`e>4}Ql;09w)?i8;36b>P6=809w)8>e;31?!06l3;97psr}:k225<72-8in7?:8:T1fa<6sE8ij7?tVe096~"6n10::=5Y14396~"19l0=7)8>d;48yx{z3f;m=7>5$3`a>4`63_8ih7?tL3`e>4}Ql;09w)?i8;3e5>P6=809w)8>e;48 37c2?1vqps4}c0g2?6=980;6=u+2c`935=O:hl0e<;6:18'6gd=9<20D?ln;W0a`?7|D;hm656X>5081!06m3i0(;?k:b9~yx{<@;hj7[ol514:8R7dc28qG>oh51zTg6?4|,8l36<8:;W365?4|,?;n6n5+60f9g>{zut1b=:>50;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:9526<^8?:6?u+60g9g>"19m0h7psr}:k236<72-8in7?:8:T1fa<6sE8ij7?tVe096~"6n10:;>5Y14396~"19l0h7)8>d;a8yx{z3`;<87>5$3`a>43?3_8ih7?tL3`e>4}Ql;09w)?i8;340>P6=809w)8>e;a8 37c2j1vqps4i056>5<#:kh1=864V3`g>4}K:kl1=vXk2;0x 4`?28=>7[?:1;0x 37b2j1/:<1<7*=bc821==Q:kn1=vB=bg82Sb52;q/=k651648R4362;q/:7683>!4ej3;>46X=be82I4en3;pZi<52z&2b=<6?>1]=8?52z&55`{M0ab?7|^m81>v*>f9823==Q9<;1>v*91d8`?!06l3i0qpsr;h36f?6=,;hi6<;7;I0ae>P5jm0:wA5c9U507=:r.==h4>2:&55a<6:2wvqp5f14a94?"5jk0:955Y2cf95~J5jo0:w[j=:3y'5c>=9:3y'24c=9;1/:<^;ho6i6X>5081!06m3;97)8>d;31?x{zu2c:9k4?:%0af?7202\9ni4>{M0ab?7|^m81>v*>f9821c=Q9<;1>v*91d826>"19m0:>6sr}|9j536=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=;>4V072>7}#>8o1:6*91e85?x{zu2e:j<4?:%0af?7a92\9ni4>{M0ab?7|^m81>v*>f982b4=Q9<;1>v*91d85?!06l3<0qpsr;|`1`2<728;1<7>t$3`a>26<@;km7d?:9;29 7de28?37Ed;a8yx{z3`;>m7>5$3`a>43?3A8im6X=be82I4en3;pZi<52z&2b=<6=h1]=8?52z&55`f=zutw0e<9?:18'6gd=9<20Z?lk:0yO6g`=9r\o>74173_;>=7f=#>8n1o6sr}|9j525=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=:=4V072>7}#>8o1o6*91e8`?x{zu2c:;94?:%0af?7202\9ni4>{M0ab?7|^m81>v*>f98231=Q9<;1>v*91d8`?!06l3i0qpsr;h341?6=,;hi6<;7;W0a`?7|D;hm65081!06m3i0(;?k:b9~yx{<^;ho6=9>=0Z<;>:3y'24c=k2.==i4l;|~y>o6?10;6)N5jh1]>oj51zN1fc<6s_n96?u+1g:952><^8?:6?u+60g9g>"19m0h7psr}:k21g<72-8in7?:8:J1fd=Q:kn1=vB=bg82Sb52;q/=k6514`8R4362;q/:5<#:kh1=864V3`g>4}K:kl1=vXk2;0x 4`?28?h7[?:1;0x 37b2880(;?k:008yx{z3`;>h7>5$3`a>43?3_8ih7?tL3`e>4}Ql;09w)?i8;36`>P6=809w)8>e;31?!06l3;97psr}:k21`<72-8in7?:8:T1fa<6sE8ij7?tVe096~"6n10:9h5Y14396~"19l0:>6*91e826>{zut1b=8h50;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:950`<^8?:6?u+60g957=#>8n1=?5r}|8m407290/>ol514:8R7dc28qG>oh51zTg6?4|,8l36<8?;W365?4|,?;n6;5+60f92>{zut1d=k?50;&1fg<6n81]>oj51zN1fc<6s_n96?u+1g:95c7<^8?:6?u+60g92>"19m0=7psr}:a6a>=83?1<7>t$3`a>4`73A8jj6g>5883>!4ej3;>46X=be82I4en3;pZi<52z&2b=<6=01]=8?52z&55`<13-<:h784}|~?l72i3:1(?lm:07;?S4el3;p@?li:0yU`7<5s-;m47?:a:T214<5s-<:i784$73g>3=zutw0e<8::18'6gd=9<20Z?lk:0yO6g`=9r\o>74023_;>=73=#>8n1:6sr}|9j526=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=:>4V072>7}#>8o1:6*91e85?x{zu2e:j<4?:%0af?7a92\9ni4>{M0ab?7|^m81>v*>f982b4=Q9<;1>v*91d85?!06l3<0qpsr;|`1`f<72;0;6=u+2c`9f<=O:hl0e<;7:18'6gd=9<207b?i1;29 7de28l:7[50z&1fg<5j11C>lh4i07:>5<#:kh1=864V3`g>4}K:kl1=vXk2;0x 4`?28?27[?:1;0x 37b2?1/:f083>!4ej3;m=6X=be82I4en3;pZi<52z&2b=<6n81]=8?52z&55`<13-<:h784}|~?xd5m:0;6;4?:1y'6gd=9<<0D?oi;%34=?733`;>47>5$3`a>43?3_8ih7?tL3`e>4}Q9l:1>v*>f9821==Q9<;1>v*91d85?!06l3<0qpsr;haa>5<#:kh1oo5Y2cf95~J5jo0:w[?j0;0x 4`?2jh0Z<;>:3y'24c=>2.==i49;|~y>odk3:1(?lm:ba8R7dc2;qG>oh51zT2a5<5s-;m47ml;W365?4|,?;n655+60f9<>{zuE8ii7:tV3c`>6}Ql909w)8>c;a`?!5a83<:i6sYd081!06k3;>46*6}Ql909w)8>c;a`?!5a83<:i6sYd081!06k3;>46*6}Ql909w)8>c;a`?!5a83<:i6sYd081!06k3ii7)=i0;42a>{#>hn1;6sY1g596~Pc838p(;?l:ba8 6`72?;n7p*9ae827>{zu2c9mo4?:%0af?4fj2\9ni4={M0ab?7|^8o;6?u+1g:96dd<^8?:6?u+60g957=#>8n1=?5r}|N1f`<6s_8i87k3:1(?lm:3;`?S4el38p@?li:0yU5`6=:r.:j54=9b9U507=:r.==h4>2:&55a<6:2wvqAk2.8j=491d9~ 3gc2880qps4o0d2>5<#:kh1=k?4;|`1`a<72:0;6=u+2c`96g><@;km7d?:9;29 7de28?37[ol514:8R7dc28qG>oh51zTg6?4|,8l36<;n;W365?4|,?;n6;5+60f92>{zut1d=k?50;&1fg<6n81]>oj51zN1fc<6s_n96?u+1g:95c7<^8?:6?u+60g92>"19m0=7psr}:a6ac=83;1<7>t$3`a>7e53A8jj6a>f083>!4ej3;m=65rb3g3>5<0290;w)=9<30Z<;>:3y'24c=981/:<^;ho65081!06m3;:7)8>d;32?x{zu2c:;=4?:%0af?7202\9ni4>{M0ab?7|^m81>v*>f98235=Q9<;1>v*91d825>"19m0:=6sr}|9j525=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=:=4V072>7}#>8o1=<5+60f954=zutw0e<9;:18'6gd=9<20Z?lk:0yO6g`=9r\o>74133_;>=747<,?;o63=zutw0qo743>3_;>=747<,?;o6;%42`?763twvq6g>6483>!4ej3;>46F=b`9U6gb=9rF9nk4>{Wf1>7}#9o21=;;4V072>7}#>8o1=<5+60f954=zutw0e<9?:18'6gd=9<20D?ln;W0a`?7|D;hm65081!06m3;:7)8>d;32?x{zu2c:;>4?:%0af?7202\9ni4>{M0ab?7|^m81>v*>f98236=Q9<;1>v*91d825>"19m0:=6sr}|9j522=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=::4V072>7}#>8o1=<5+60f954=zutw0c:18'6gd=9o;0Z?lk:0yO6g`=9r\o>74`63_;>=73=#>8n1:6sr}|9~f7ba29086=4?{%0af?7a82B9mk5f14;94?"5jk0:955Y2cf95~J5jo0:w[j=:3y'5c>=9<30Z<;>:3y'24c=>2.==i49;|~y>o6=h0;6)P5jm0:wA5`9U507=:r.==h49;%42`?07>50z&1fg5<#:kh1=k?4V3`g>4}K:kl1=vXk2;0x 4`?28l:7[?:1;0x 37b2?1/::6F=ag9'52?=9=1b=8650;&1fg<6=11]>oj51zN1fc<6s_;n<743?3_;>=73=#>8n1:6sr}|9jgg<72-8in7mm;W0a`?7|D;hm65081!06m3<0(;?k:79~yx{P5jm09wAok54zT1ef<4s_n;6?u+60a9gf=#;o:1:7}#>8i1=864$2d3>37b3t.=mi4j;|T1ef<4s_n;6?u+60a9gf=#;o:1:7}#>8i1=864$2d3>37c3t.=mi4j;|T1ef<4s_n;6?u+60a9gf=#;o:1:7}#>8i1oo5+3g2924cv*91b8`g>"4n90==h5r$7cg>45o:52zTg4?4|,?;h6?om;%1e4?06m2w/:lj5139~yx=n:0i1<7*=bc81=f=Q:kn1>vB=bg82S7b838p(h?:73f?x"1im0:>6sr}:m2b4<72-8in7?i1:9~f17229086=4?{%0af?4e02B9mk5f14;94?"5jk0:955G2cc8R7dc28qG>oh51zTg6?4|,8l36<;6;W365?4|,?;n6;5+60f92>{zut1b=8o50;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:950g<^8?:6?u+60g92>"19m0=7psr}:m2b4<72-8in7?i1:T1fa<6sE8ij7?tVe096~"6n10:j<5Y14396~"19l0=7)8>d;48yx{z3th?=;4?:083>5}#:kh1>n<4H3ce?j7a93:1(?lm:0d2?>{e<821<7=50;2x 7de2>:0D?oi;h36=?6=,;hi6<;7;W0a`?7|D;hm656X>5081!06m3;:7)8>d;32?x{zu2c:9l4?:%0af?7202\9ni4>{M0ab?7|^m81>v*>f9821d=Q9<;1>v*91d825>"19m0:=6sr}|9l5c7=83.9no4>f09U6gb=9rF9nk4>{Wf1>7}#9o21=k?4V072>7}#>8o1:6*91e85?x{zu2wi8<750;194?6|,;hi6:>4H3ce?l7213:1(?lm:07;?M4ei2\9ni4>{M0ab?7|^m81>v*>f9821<=Q9<;1>v*91d825>"19m0:=6sr}|9j50g=83.9no4>599K6gg<^;ho6;W0a`?7|D;hm65081!06m3<0(;?k:79~yx{:m7>53;294~"5jk0<<6F=ag9j50?=83.9no4>599K6gg<^;ho6=9:3y'24c=981/:2wvqp5rb53a>5<3290;w){M0ab?7|^m81>v*>f9821<=Q9<;1>v*91d825>"19m0:=6sr}|9j50g=83.9no4>599K6gg<^;ho65081!06m3;:7)8>d;32?x{zu2e:j<4?:%0af?7a92\9ni4>{M0ab?7|^m81>v*>f982b4=Q9<;1>v*91d85?!06l3<0qpsr;|`752<72<0;6=u+2c`95c6<@;km7d?:9;29 7de28?37[ol514:8R7dc28qG>oh51zTg6?4|,8l36<;n;W365?4|,?;n6;5+60f92>{zut1b=;;50;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:9533<^8?:6?u+60g92>"19m0=7psr}:k235<72-8in7?:8:T1fa<6sE8ij7?tVe096~"6n10:;=5Y14396~"19l0=7)8>d;48yx{z3f;m=7>5$3`a>4`63_8ih7?tL3`e>4}Ql;09w)?i8;3e5>P6=809w)8>e;48 37c2?1vqps4}c61N5io1b=8650;&1fg<6=110c:18'6gd=9o;0Z?lk:0yO6g`=9r\o>74`63_;>=73=#>8n1:6sr}|9~f15529086=4?{%0af?4e02B9mk5f14;94?"5jk0:955Y2cf95~J5jo0:w[j=:3y'5c>=9<30Z<;>:3y'24c=>2.==i49;|~y>o6=h0;6)P5jm0:wA5`9U507=:r.==h4i;%42`?`50z&1fg<6=?1C>lh4$05:>42<^;ho6P6=809w)8>e;48 37c2?1vqps4ib`94?"5jk0hn6X=be82I4en3;pZ=kk1]=8?52z&55`<13-<:h784}|~?led290/>ol5cb9U6gb=:rF9nk4>{W3f4?4|,8l36nm4V072>7}#>8o146*91e8;?x{zD;hn69uY2`a97~Pc838p(;?l:ba8 6`72?;n7pXk1;0x 37d28?37)=i0;42a>{#>hn1i6sY2`a97~Pc838p(;?l:ba8 6`72?;n7pXk1;0x 37d28?37)=i0;42`>{#>hn1i6sY2`a97~Pc838p(;?l:ba8 6`72?;n7pXk1;0x 37d2jh0(>h?:73f?x"1im0<7pX>f681Sb72;q/:8o0q)8nd;30?x{z3`8jn7>5$3`a>7ge3_8ih74}Q9l:1>v*>f981eg=Q9<;1>v*91d826>"19m0:>6sr}M0aa?7|^;h?6?uYd181!06k38jn6*ol528a8R7dc2;qG>oh51zT2a5<5s-;m47<6c:T214<5s-<:i7?=;%42`?753twv@?lj:0yU6g2=:r\o<77?d3-9m<78>e:'2db=9;1vqp5`1g394?"5jk0:j<54}c61=?6=;3:1743>3_;>=73=#>8n1:6sr}|9j50g=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=8o4V072>7}#>8o1:6*91e85?x{zu2e:j<4?:%0af?7a92\9ni4>{M0ab?7|^m81>v*>f982b4=Q9<;1>v*91d85?!06l3<0qpsr;|`76d<7280;6=u+2c`96f4<@;km7b?i1;29 7de28l:76sm43a94?2=83:p(?lm:628L7ga3`;>57>5$3`a>43?3_8ih7?tL3`e>4}Ql;09w)?i8;36=>P6=809w)8>e;32?!06l3;:7psr}:k21d<72-8in7?:8:J1fd=Q:kn1=vB=bg82Sb52;q/=k6514c8R4362;q/:6483>!4ej3;>46X=be82I4en3;pZi<52z&2b=<6><1]=8?52z&55`<692.==i4>1:~yx=h9o;1<7*=bc82b4=Q:kn1=vB=bg82Sb52;q/=k651g38R4362;q/:57>5$3`a>43?3_8ih7?tL3`e>4}Ql;09w)?i8;36=>P6=809w)8>e;32?!06l3;:7psr}:k21d<72-8in7?:8:T1fa<6sE8ij7?tVe096~"6n10:9l5Y14396~"19l0:=6*91e825>{zut1b=;;50;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:9533<^8?:6?u+60g954=#>8n1=<5r}|8m417290/>ol514:8R7dc28qG>oh51zTg6?4|,8l36<9?;W365?4|,?;n6o5+60f9f>{zut1d=k?50;&1fg<6n81]>oj51zN1fc<6s_n96?u+1g:95c7<^8?:6?u+60g92>"19m0=7psr}:a07c=83?1<7>t$3`a>26<@;km7d?:9;29 7de28?37[;|~y>o6=h0;6)P5jm0:wA5`9U507=:r.==h4>1:&55a<692wvqp5f17794?"5jk0:955Y2cf95~J5jo0:w[j=:3y'5c>=9??0Z<;>:3y'24c=981/:<@;hj7[;|~y>i6n80;6)P5jm0:wAf09U507=:r.==h49;%42`?00<729q/>ol5719K6d`<^;ho6P5jm0:wA5`9U507=:r.==h4>1:&55a<692wvqp5f17794?"5jk0:955G2cc8R7dc28qG>oh51zTg6?4|,8l36<8:;W365?4|,?;n647;|~y>i6n80;6)P5jm0:wAf09U507=:r.==h49;%42`?00<729q/>ol51g28L7ga3`;>57>5$3`a>43?3_8ih7?tL3`e>4}Ql;09w)?i8;36=>P6=809w)8>e;48 37c2?1vqps4i07b>5<#:kh1=864V3`g>4}K:kl1=vXk2;0x 4`?28?j7[?:1;0x 37b2?1/:7183>!4ej3;>46X=be82I4en3;pZi<52z&2b=<6?91]=8?52z&55`<13-<:h784}|~?j7a93:1(?lm:0d2?S4el3;p@?li:0yU`7<5s-;m47?i1:T214<5s-<:i784$73g>3=zutw0qo884;296?6=8r.9no4m9:J1ec=n9<21<7*=bc821==2wvqp5rb75a>5<1290;w)N5io1/=:75159j50>=83.9no4>599U6gb=9rF9nk4>{W3f4?4|,8l36<;7;W365?4|,?;n6;5+60f92>{zut1boo4?:%0af?ee3_8ih7?tL3`e>4}Q9l:1>v*>f98`f>P6=809w)8>e;48 37c2?1vqps4iba94?"5jk0ho6X=be81I4en3;pZ=kj1]=8?52z&55`7}#>8i1on5+3g2924c<,:l;6;?j;|&5ea7}#>8i1on5+3g2924c<,:l;6;?k;|&5ea7}#>8i1on5+3g2924col52``8R7dc2;qG>oh51zT2a5<5s-;m477ge3-9m<78>e:'2db=9;1vqp5f28a94?"5jk095n5Y2cf96~J5jo0:w[?j0;0x 4`?2;3h7[?:1;0x 37b2880(;?k:008yx{K:ko1=vX=b581Sb72;q/:{zu2e:j<4?:%0af?7a921vn;9::180>5<7s-8in7743>3_;>=73=#>8n1:6sr}|9j50g=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=8o4V072>7}#>8o1:6*91e85?x{zu2e:j<4?:%0af?7a92\9ni4>{M0ab?7|^m81>v*>f982b4=Q9<;1>v*91d85?!06l3<0qpsr;|`533<7280;6=u+2c`96f4<@;km7b?i1;29 7de28l:76sm66:94?5=83:p(?lm:628L7ga3`;>57>5$3`a>43?3A8im6X=be82I4en3;pZi<52z&2b=<6=01]=8?52z&55`<692.==i4>1:~yx=n9743f3_;>=747<,?;o63=zutw0qo889;290?6=8r.9no480:J1ec=n9<31<7*=bc821==Q:kn1=vB=bg82Sb52;q/=k6514;8R4362;q/:5`83>!4ej3;>46F=b`9U6gb=9rF9nk4>{Wf1>7}#9o21=8o4V072>7}#>8o1=<5+60f954=zutw0e<8::18'6gd=9<20D?ln;W0a`?7|D;hm65081!06m3;:7)8>d;32?x{zu2e:j<4?:%0af?7a92\9ni4>{M0ab?7|^m81>v*>f982b4=Q9<;1>v*91d85?!06l3<0qpsr;|`532<72:0;6=u+2c`95c6<@;km7d?:9;29 7de28?37[ol514:8R7dc28qG>oh51zTg6?4|,8l36<;n;W365?4|,?;n6;5+60f92>{zut1d=k?50;&1fg<6n81]>oj51zN1fc<6s_n96?u+1g:95c7<^8?:6?u+60g92>"19m0=7psr}:a26`=8381<7>t$3`a>g?<@;km7d?:8;29 7de28?376a>f083>!4ej3;m=6X=be82I4en3;pZi<52z&2b=<6n81]=8?52z&55`<13-<:h784}|~?xd1<10;6?4?:1y'6gd=j01C>lh4i07;>5<#:kh1=864;n3e5?6=,;hi6;W0a`?7|D;hm65081!06m3<0(;?k:79~yx{56;294~"5jk0:9;5G2`d8 41>28>0e<;7:18'6gd=9<20Z?lk:0yO6g`=9r\:i=4={%3e7}#9o21oo5Y14396~"19l0=7)8>d;48yx{z3`ih6=4+2c`9gf=Q:kn1>vB=bg82S7b838p(fe<,:l;6;?j;|Tg5?4|,?;h6<;7;%1e4?06m2w/:lj5e:U6de=;r\o<7fe<,:l;6;?j;|Tg5?4|,?;h6<;7;%1e4?06l2w/:lj5e:U6de=;r\o<7fe<,:l;6;?j;|Tg5?4|,?;h6nl4$2d3>37b3t.=mi48;|T2b2<5s_n;6?u+60a9gf=#;o:1:52z&2b=<5ik1]=8?52z&55`<6:2.==i4>2:~yI4em3;pZ?l;:3yU`5<5s-<:o7P6=809w)8>e;31?!06l3;97psrL3`f>4}Q:k>1>vXk0;0x 37d2;3h7)=i0;42a>{#>hn1=?5r}|9l5c7=83.9no4>f098yg0383:1?7>50z&1fg<5j11C>lh4i07:>5<#:kh1=864V3`g>4}K:kl1=vXk2;0x 4`?28?27[?:1;0x 37b2?1/:f083>!4ej3;m=6X=be82I4en3;pZi<52z&2b=<6n81]=8?52z&55`<13-<:h784}|~?xd1<80;6<4?:1y'6gd=:j80D?oi;n3e5?6=,;hi6;:a215=8391<7>t$3`a>26<@;km7d?:9;29 7de28?37[;|~y>o6=h0;6)P5jm0:wA5`9U507=:r.==h4>1:&55a<692wvqp5`1g394?"5jk0:j<5Y2cf95~J5jo0:w[j=:3y'5c>=9o;0Z<;>:3y'24c=>2.==i49;|~y>{e>=>1<7=50;2x 7de2>:0D?oi;h36=?6=,;hi6<;7;W0a`?7|D;hm656X>5081!06m3<0(;?k:79~yx{<^;ho62wvqp5`1g394?"5jk0:j<5Y2cf95~J5jo0:w[j=:3y'5c>=9o;0Z<;>:3y'24c=>2.==i49;|~y>{e>=?1<7:50;2x 7de2>:0D?oi;h36=?6=,;hi6<;7;W0a`?7|D;hm656X>5081!06m3;:7)8>d;32?x{zu2c:9l4?:%0af?7202\9ni4>{M0ab?7|^m81>v*>f9821d=Q9<;1>v*91d825>"19m0:=6sr}|9j533=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=;;4V072>7}#>8o1=<5+60f954=zutw0c:18'6gd=9o;0Z?lk:0yO6g`=9r\o>74`63_;>=73=#>8n1:6sr}|9~f321290>6=4?{%0af?173A8jj6g>5883>!4ej3;>46F=b`9U6gb=9rF9nk4>{Wf1>7}#9o21=874V072>7}#>8o1=<5+60f954=zutw0e<;n:18'6gd=9<20Z?lk:0yO6g`=9r\o>743f3_;>=7g=#>8n1n6sr}|9j533=83.9no4>599K6gg<^;ho65081!06m3;:7)8>d;32?x{zu2e:j<4?:%0af?7a92\9ni4>{M0ab?7|^m81>v*>f982b4=Q9<;1>v*91d85?!06l3<0qpsr;|`502<7200;6=u+2c`935=O:hl0e<;6:18'6gd=9<20D?ln;W0a`?7|D;hm656X>5081!06m3;:7)8>d;32?x{zu2c:9l4?:%0af?7202B9nl5Y2cf95~J5jo0:w[j=:3y'5c>=9:3y'24c=981/:6=4+2c`950><@;hj7[;|~y>o6?90;6)N5jh1]>oj51zN1fc<6s_n96?u+1g:9526<^8?:6?u+60g954=#>8n1=<5r}|8m414290/>ol514:8L7df3_8ih7?tL3`e>4}Ql;09w)?i8;347>P6=809w)8>e;32?!06l3;:7psr}:k231<72-8in7?:8:J1fd=Q:kn1=vB=bg82Sb52;q/=k651668R4362;q/:5<#:kh1=864H3`b?S4el3;p@?li:0yU`7<5s-;m47?85:T214<5s-<:i7?>;%42`?763twvq6g>7783>!4ej3;>46F=b`9U6gb=9rF9nk4>{Wf1>7}#9o21=:84V072>7}#>8o1=<5+60f954=zutw0c:18'6gd=9o;0Z?lk:0yO6g`=9r\o>74`63_;>=73=#>8n1:6sr}|9~f325290=6=4?{%0af?7a82B9mk5f14;94?"5jk0:955Y2cf95~J5jo0:w[j=:3y'5c>=9<30Z<;>:3y'24c=>2.==i49;|~y>o6=h0;6)P5jm0:wA5`9U507=:r.==h49;%42`?0ol514:8R7dc28qG>oh51zTg6?4|,8l36<9?;W365?4|,?;n6;5+60f92>{zut1b=:=50;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:9525<^8?:6?u+60g92>"19m0=7psr}:m2b4<72-8in7?i1:T1fa<6sE8ij7?tVe096~"6n10:j<5Y14396~"19l0=7)8>d;48yx{z3th=844?:683>5}#:kh1;=5G2`d8m43>290/>ol514:8R7dc28qG>oh51zTg6?4|,8l36<;6;W365?4|,?;n6n5+60f9g>{zut1b=8o50;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:950g<^8?:6?u+60g957=#>8n1=?5r}|8m402290/>ol514:8R7dc28qG>oh51zTg6?4|,8l36<8:;W365?4|,?;n6<<4$73g>446*91e826>{zut1b=:=50;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:9525<^8?:6?u+60g957=#>8n1=?5r}|8m413290/>ol514:8L7df3_8ih7?tL3`e>4}Ql;09w)?i8;340>P6=809w)8>e;31?!06l3;97psr}:m2b4<72-8in7?i1:T1fa<6sE8ij7?tVe096~"6n10:j<5Y14396~"19l0=7)8>d;48yx{z3th=4?4?:383>5}#:kh1n45G2`d8m43?290/>ol514:8?j7a93:1(?lm:0d2?S4el3;p@?li:0yU`7<5s-;m47?i1:T214<5s-<:i784$73g>3=zutw0qo879;292?6=8r.9no4>579K6d`<,8=26<:4i07;>5<#:kh1=864V3`g>4}K:kl1=vX>e181!7a03;>46X>5081!06m3<0(;?k:79~yx{P5jm0:wAoj52zN1fc<6s_;n<7fe<^8?:6?u+60g9<>"19m037psrL3`f>1}Q:hi1?vXk0;0x 37d2ji0(>h?:73f?xPc938p(;?l:07;?!5a83<:i6s+6`f9a>{Q:hi1?vXk0;0x 37d2ji0(>h?:73f?xPc938p(;?l:07;?!5a83<:h6s+6`f9a>{Q:hi1?vXk0;0x 37d2ji0(>h?:73f?xPc938p(;?l:b`8 6`72?;n7p*9ae84?xP6n>09w[j?:3y'24e=kj1/?k>560g8y!0fl3;87psr;h0bf?6=,;hi6?om;W0a`?4|D;hm66*91e826>{zuE8ii7?tV3`7>7}Ql909w)8>c;0bf>"4n90==h5r$7cg>44k2\:9<4={%42a?753-<:h7?=;|~H7db28q]>o:52zTg4?4|,?;h6?7l;%1e4?06m2w/:lj5139~yx=h9o;1<7*=bc82b4=53;294~"5jk09n55G2`d8m43>290/>ol514:8R7dc28qG>oh51zTg6?4|,8l36<;6;W365?4|,?;n6;5+60f92>{zut1b=8o50;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:950g<^8?:6?u+60g92>"19m0=7psr}:m2b4<72-8in7?i1:T1fa<6sE8ij7?tVe096~"6n10:j<5Y14396~"19l0=7)8>d;48yx{z3th=494?:083>5}#:kh1>n<4H3ce?j7a93:1(?lm:0d2?>{e>1<1<7950;2x 7de2>:0D?oi;h36=?6=,;hi6<;7;I0ae>P5jm0:wA589U507=:r.==h4m;%42`?dd;`8yx{z3`;=97>5$3`a>43?3A8im6X=be82I4en3;pZi<52z&2b=<6><1]=8?52z&55`;%42`?763twvq6g>7283>!4ej3;>46X=be82I4en3;pZi<52z&2b=<6?:1]=8?52z&55`<692.==i4>1:~yx=n9>>1<7*=bc821==Q:kn1=vB=bg82Sb52;q/=k651668R4362;q/:5<#:kh1=k?4V3`g>4}K:kl1=vXk2;0x 4`?28l:7[?:1;0x 37b2?1/:5`83>!4ej3;>46F=b`9U6gb=9rF9nk4>{Wf1>7}#9o21=8o4V072>7}#>8o1n6*91e8a?x{zu2c::84?:%0af?7202\9ni4>{M0ab?7|^m81>v*>f98220=Q9<;1>v*91d825>"19m0:=6sr}|9j526=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=:>4V072>7}#>8o1=<5+60f954=zutw0e<9<:18'6gd=9<20Z?lk:0yO6g`=9r\o>74143_;>=747<,?;o6;%42`?763twvq6a>f083>!4ej3;m=6X=be82I4en3;pZi<52z&2b=<6n81]=8?52z&55`<13-<:h784}|~?xd10<0;6>4?:1y'6gd=9o:0D?oi;h36=?6=,;hi6<;7;W0a`?7|D;hm656X>5081!06m3<0(;?k:79~yx{<^;ho62wvqp5`1g394?"5jk0:j<5Y2cf95~J5jo0:w[j=:3y'5c>=9o;0Z<;>:3y'24c=>2.==i49;|~y>{e>d;48yx{z3th=:<4?:783>5}#:kh1=884H3ce?!7013;?7d?:8;29 7de28?37[52z&2b=<6=11]=8?52z&55`<13-<:h784}|~?lee290/>ol5cc9U6gb=9rF9nk4>{W3f4?4|,8l36nl4V072>7}#>8o1:6*91e85?x{zu2cho7>5$3`a>fe<^;ho6?uC2cd95~P6m909w)?i8;a`?S72938p(;?j:99'24b=02wvqAe:U`4<5s-<:o7?:8:&0b5<19l1v(;ok:d9~R7gd2:q]h=4={%42g?ed3-9m<78>e:U`4<5s-<:o7?:8:&0b5<19m1v(;ok:d9~R7gd2:q]h=4={%42g?ed3-9m<78>e:U`4<5s-<:o7mm;%1e4?06m2w/:lj57:U5c1=:r\o<7fe<,:l;6;?j;|&5ea<6;2wvq6g=ac83>!4ej38jn6X=be81I4en3;pZ=:hh0Z<;>:3y'24c=9;1/:8o0q)8nd;31?x{z3`82o7>5$3`a>7?d3_8ih74}Q9l:1>v*>f981=f=Q9<;1>v*91d826>"19m0:>6sr}M0aa?7|^;h?6?uYd181!06k382o6*ol51g38?xd1=m0;6>4?:1y'6gd=:k20D?oi;h36=?6=,;hi6<;7;W0a`?7|D;hm656X>5081!06m3<0(;?k:79~yx{<^;ho62wvqp5`1g394?"5jk0:j<5Y2cf95~J5jo0:w[j=:3y'5c>=9o;0Z<;>:3y'24c=>2.==i49;|~y>{e>5883>!4ej3;>46X=be82I4en3;pZi<52z&2b=<6=01]=8?52z&55`<692.==i4>1:~yx=n95<#:kh1=k?4V3`g>4}K:kl1=vXk2;0x 4`?28l:7[?:1;0x 37b2?1/:f09U6gb=9rF9nk4>{Wf1>7}#9o21=k?4V072>7}#>8o1:6*91e85?x{zu2wi:4=50;094?6|,;hi6o74H3ce?l7203:1(?lm:07;?>i6n80;6)P5jm0:wAf09U507=:r.==h49;%42`?03<729q/>ol51448L7ga3-;<57?;;h36d;48yx{z3`ii6=4+2c`9gg=Q:kn1=vB=bg82S7b838p(=7==#>8n146sr}M0aa?2|^;kh6>uYd181!06k3ih7)=i0;42a>{Ql809w)8>c;36<>"4n90==h5r$7cg>`=z^;kh6>uYd181!06k3ih7)=i0;42a>{Ql809w)8>c;36<>"4n90==i5r$7cg>`=z^;kh6>uYd181!06k3ih7)=i0;42a>{Ql809w)8>c;aa?!5a83<:i6s+6`f93>{Q9o=1>vXk0;0x 37d2ji0(>h?:73f?x"1im0:?6sr}:k1eg<72-8in77}#9o21>ll4V072>7}#>8o1=?5+60f957=zutF9nh4>{W0a0?4|^m:1>v*91b81eg=#;o:1:52z&2b=<51j1]=8?52z&55`<6:2.==i4>2:~yI4em3;pZ?l;:3yU`5<5s-<:o7<6c:&0b5<19l1v(;ok:008yx{5}#:kh1>o64H3ce?l7213:1(?lm:07;?S4el3;p@?li:0yU`7<5s-;m47?:9:T214<5s-<:i784$73g>3=zutw0e<;n:18'6gd=9<20Z?lk:0yO6g`=9r\o>743f3_;>=73=#>8n1:6sr}|9l5c7=83.9no4>f09U6gb=9rF9nk4>{Wf1>7}#9o21=k?4V072>7}#>8o1:6*91e85?x{zu2wi:5m50;394?6|,;hi6?m=;I0bb>i6n80;6)=zj?2n6=4<:183!4ej3=;7Ed;48yx{z3`;>m7>5$3`a>43?3_8ih7?tL3`e>4}Ql;09w)?i8;36e>P6=809w)8>e;48 37c2?1vqps4o0d2>5<#:kh1=k?4V3`g>4}K:kl1=vXk2;0x 4`?28l:7[?:1;0x 37b2?1/:{zut1b=8o50;&1fg<6=11C>oo4V3`g>4}K:kl1=vXk2;0x 4`?28?j7[?:1;0x 37b28;0(;?k:038yx{z3f;m=7>5$3`a>4`63_8ih7?tL3`e>4}Ql;09w)?i8;3e5>P6=809w)8>e;48 37c2?1vqps4}c4:4?6==3:1N5io1b=8750;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:950?<^8?:6?u+60g9f>"19m0i7psr}:k21d<72-8in7?:8:T1fa<6sE8ij7?tVe096~"6n10:9l5Y14396~"19l0:=6*91e825>{zut1b=;;50;&1fg<6=11C>oo4V3`g>4}K:kl1=vXk2;0x 4`?28<>7[?:1;0x 37b28;0(;?k:038yx{z3`;<<7>5$3`a>43?3_8ih7?tL3`e>4}Ql;09w)?i8;344>P6=809w)8>e;32?!06l3;:7psr}:m2b4<72-8in7?i1:T1fa<6sE8ij7?tVe096~"6n10:j<5Y14396~"19l0=7)8>d;48yx{z3th=5<4?:483>5}#:kh1;=5G2`d8m43>290/>ol514:8R7dc28qG>oh51zTg6?4|,8l36<;6;W365?4|,?;n6o5+60f9f>{zut1b=8o50;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:950g<^8?:6?u+60g954=#>8n1=<5r}|8m402290/>ol514:8L7df3_8ih7?tL3`e>4}Ql;09w)?i8;351>P6=809w)8>e;32?!06l3;:7psr}:k235<72-8in7?:8:T1fa<6sE8ij7?tVe096~"6n10:;=5Y14396~"19l0:=6*91e825>{zut1d=k?50;&1fg<6n81]>oj51zN1fc<6s_n96?u+1g:95c7<^8?:6?u+60g92>"19m0=7psr}:a2<4=83<1<7>t$3`a>26<@;km7d?:9;29 7de28?37[;|~y>o6=h0;6)P5jm0:wA5`9U507=:r.==h4m;%42`?d{zut1b=:>50;&1fg<6=11C>oo4V3`g>4}K:kl1=vXk2;0x 4`?28=;7[?:1;0x 37b28;0(;?k:038yx{z3`;5$3`a>43?3_8ih7?tL3`e>4}Ql;09w)?i8;347>P6=809w)8>e;32?!06l3;:7psr}:m2b4<72-8in7?i1:T1fa<6sE8ij7?tVe096~"6n10:j<5Y14396~"19l0=7)8>d;48yx{z3th=4i4?:783>5}#:kh1=k>4H3ce?l7213:1(?lm:07;?S4el3;p@?li:0yU`7<5s-;m47?:9:T214<5s-<:i784$73g>3=zutw0e<;n:18'6gd=9<20Z?lk:0yO6g`=9r\o>743f3_;>=73=#>8n1:6sr}|9j533=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=;;4V072>7}#>8o1:6*91e85?x{zu2c:;=4?:%0af?7202\9ni4>{M0ab?7|^m81>v*>f98235=Q9<;1>v*91d85?!06l3<0qpsr;h347?6=,;hi6<;7;W0a`?7|D;hm65081!06m3<0(;?k:79~yx{2wvqp5rb7;7>5<1290;w)=9<30Z<;>:3y'24c=k2.==i4l;|~y>o6=h0;6)N5jh1]>oj51zN1fc<6s_n96?u+1g:950g<^8?:6?u+60g9g>"19m0h7psr}:k220<72-8in7?:8:T1fa<6sE8ij7?tVe096~"6n10::85Y14396~"19l0:>6*91e826>{zut1b=:>50;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:9526<^8?:6?u+60g957=#>8n1=?5r}|8m414290/>ol514:8R7dc28qG>oh51zTg6?4|,8l36<9<;W365?4|,?;n6<<4$73g>447>50z&1fg5<#:kh1=k?4V3`g>4}K:kl1=vXk2;0x 4`?28l:7[?:1;0x 37b2?1/:6=49:183!4ej3;>:6F=ag9'52?=9=1b=8650;&1fg<6=11]>oj51zN1fc<6s_;n<743?3_;>=73=#>8n1:6sr}|9jgg<72-8in7mm;W0a`?7|D;hm65081!06m3<0(;?k:79~yx{P5jm09wAok54zT1ef<4s_n;6?u+60a9gf=#;o:1:7}#>8i1=864$2d3>37b3t.=mi4j;|T1ef<4s_n;6?u+60a9gf=#;o:1:7}#>8i1=864$2d3>37c3t.=mi4j;|T1ef<4s_n;6?u+60a9gf=#;o:1:7}#>8i1oo5+3g2924cv*91b8`g>"4n90==h5r$7cg>45o:52zTg4?4|,?;h6?om;%1e4?06m2w/:lj5139~yx=n:0i1<7*=bc81=f=Q:kn1>vB=bg82S7b838p(h?:73f?x"1im0:>6sr}:m2b4<72-8in7?i1:9~f41e29086=4?{%0af?4e02B9mk5f14;94?"5jk0:955Y2cf95~J5jo0:w[j=:3y'5c>=9<30Z<;>:3y'24c=>2.==i49;|~y>o6=h0;6)P5jm0:wA5`9U507=:r.==h49;%42`?050z&1fg<5k;1C>lh4o0d2>5<#:kh1=k?4;|`23`<72:0;6=u+2c`935=O:hl0e<;6:18'6gd=9<20Z?lk:0yO6g`=9r\o>743>3_;>=747<,?;o6;%42`?763twvq6a>f083>!4ej3;m=6X=be82I4en3;pZi<52z&2b=<6n81]=8?52z&55`<13-<:h784}|~?xd6?o0;6>4?:1y'6gd=?91C>lh4i07:>5<#:kh1=864V3`g>4}K:kl1=vXk2;0x 4`?28?27[?:1;0x 37b28;0(;?k:038yx{z3`;>m7>5$3`a>43?3_8ih7?tL3`e>4}Ql;09w)?i8;36e>P6=809w)8>e;32?!06l3;:7psr}:m2b4<72-8in7?i1:T1fa<6sE8ij7?tVe096~"6n10:j<5Y14396~"19l0=7)8>d;48yx{z3th:4=4?:283>5}#:kh1;=5G2`d8m43>290/>ol514:8R7dc28qG>oh51zTg6?4|,8l36<;6;W365?4|,?;n647;|~y>i6n80;6)P5jm0:wAf09U507=:r.==h49;%42`?08083>6<729q/>ol5719K6d`<^;ho62wvqp5f14c94?"5jk0:955Y2cf95~J5jo0:w[j=:3y'5c>=9:3y'24c=>2.==i49;|~y>i6n80;6)P5jm0:wAf09U507=:r.==h49;%42`?08383>6<729q/>ol5719K6d`<^;ho6m6X>5081!06m3;:7)8>d;32?x{zu2e:j<4?:%0af?7a92\9ni4>{M0ab?7|^m81>v*>f982b4=Q9<;1>v*91d85?!06l3<0qpsr;|`2<6<72=0;6=u+2c`935=O:hl0e<;6:18'6gd=9<20D?ln;W0a`?7|D;hm656X>5081!06m3;:7)8>d;32?x{zu2c:9l4?:%0af?7202\9ni4>{M0ab?7|^m81>v*>f9821d=Q9<;1>v*91d825>"19m0:=6sr}|9j533=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=;;4V072>7}#>8o1=<5+60f954=zutw0c:18'6gd=9o;0Z?lk:0yO6g`=9r\o>74`63_;>=73=#>8n1:6sr}|9~f41c290<6=4?{%0af?7a82B9mk5f14;94?"5jk0:955Y2cf95~J5jo0:w[j=:3y'5c>=9<30Z<;>:3y'24c=>2.==i49;|~y>o6=h0;6)P5jm0:wA5`9U507=:r.==h49;%42`?0ol514:8R7dc28qG>oh51zTg6?4|,8l36<9?;W365?4|,?;n6;5+60f92>{zut1b=:=50;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:9525<^8?:6?u+60g92>"19m0=7psr}:k231<72-8in7?:8:T1fa<6sE8ij7?tVe096~"6n10:;95Y14396~"19l0=7)8>d;48yx{z3f;m=7>5$3`a>4`63_8ih7?tL3`e>4}Ql;09w)?i8;3e5>P6=809w)8>e;48 37c2?1vqps4}c1b`?6=:3:1N5io1b=8650;&1fg<6=110c:18'6gd=9o;0Z?lk:0yO6g`=9r\o>74`63_;>=73=#>8n1:6sr}|9~f6d629096=4?{%0af?d>3A8jj6g>5983>!4ej3;>465`1g394?"5jk0:j<5Y2cf95~J5jo0:w[j=:3y'5c>=9o;0Z<;>:3y'24c=>2.==i49;|~y>{e;k31<7=50;2x 7de2;h37Ed;48yx{z3`;>m7>5$3`a>43?3_8ih7?tL3`e>4}Ql;09w)?i8;36e>P6=809w)8>e;d8 37c2o1vqps4o0d2>5<#:kh1=k?4V3`g>4}K:kl1=vXk2;0x 4`?28l:7[?:1;0x 37b2?1/::6F=ag9'52?=9=1b=8650;&1fg<6=11]>oj51zN1fc<6s_;n<743?3_;>=73=#>8n1:6sr}|9jgg<72-8in7mm;W0a`?7|D;hm65081!06m3<0(;?k:79~yx{P5jm09wAok54zT1ef<4s_n;6?u+60a9gf=#;o:1:7}#>8i1=864$2d3>37b3t.=mi4j;|T1ef<4s_n;6?u+60a9gf=#;o:1:7}#>8i1=864$2d3>37c3t.=mi4j;|T1ef<4s_n;6?u+60a9gf=#;o:1:7}#>8i1oo5+3g2924cv*91b8`g>"4n90==h5r$7cg>45o:52zTg4?4|,?;h6?om;%1e4?06m2w/:lj5139~yx=n:0i1<7*=bc81=f=Q:kn1>vB=bg82S7b838p(h?:73f?x"1im0:>6sr}:m2b4<72-8in7?i1:9~f6gb29086=4?{%0af?4e02B9mk5f14;94?"5jk0:955G2cc8R7dc28qG>oh51zTg6?4|,8l36<;6;W365?4|,?;n6;5+60f92>{zut1b=8o50;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:950g<^8?:6?u+60g92>"19m0=7psr}:m2b4<72-8in7?i1:T1fa<6sE8ij7?tVe096~"6n10:j<5Y14396~"19l0=7)8>d;48yx{z3th8mk4?:083>5}#:kh1>n<4H3ce?j7a93:1(?lm:0d2?>{e;k:1<7:50;2x 7de2>:0D?oi;h36=?6=,;hi6<;7;W0a`?7|D;hm656X>5081!06m3h0(;?k:c9~yx{<@;hj7[;|~y>o6><0;6)N5jh1]>oj51zN1fc<6s_n96?u+1g:9533<^8?:6?u+60g954=#>8n1=<5r}|8k4`6290/>ol51g38R7dc28qG>oh51zTg6?4|,8l36;W365?4|,?;n6;5+60f92>{zut1vn>l=:1825?6=8r.9no480:J1ec=n9<31<7*=bc821==Q:kn1=vB=bg82Sb52;q/=k6514;8R4362;q/:5`83>!4ej3;>46F=b`9U6gb=9rF9nk4>{Wf1>7}#9o21=8o4V072>7}#>8o1o6*91e8`?x{zu2c::84?:%0af?7202\9ni4>{M0ab?7|^m81>v*>f98220=Q9<;1>v*91d826>"19m0:>6sr}|9j526=83.9no4>599K6gg<^;ho6P5jm0:wA729U507=:r.==h4>2:&55a<6:2wvqp5f16694?"5jk0:955G2cc8R7dc28qG>oh51zTg6?4|,8l36<9;;W365?4|,?;n6<<4$73g>44o6??0;6)N5jh1]>oj51zN1fc<6s_n96?u+1g:9520<^8?:6?u+60g957=#>8n1=?5r}|8m410290/>ol514:8L7df3_8ih7?tL3`e>4}Ql;09w)?i8;343>P6=809w)8>e;31?!06l3;97psr}:k23=<72-8in7?:8:J1fd=Q:kn1=vB=bg82Sb52;q/=k6516:8R4362;q/:5<#:kh1=864V3`g>4}K:kl1=vXk2;0x 4`?28?i7[?:1;0x 37b2?1/:5e83>!4ej3;>46X=be82I4en3;pZi<52z&2b=<6=m1]=8?52z&55`<13-<:h784}|~?l72m3:1(?lm:07;?S4el3;p@?li:0yU`7<5s-;m47?:e:T214<5s-<:i784$73g>3=zutw0e<;i:18'6gd=9<20Z?lk:0yO6g`=9r\o>743a3_;>=73=#>8n1:6sr}|9j536=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=;>4V072>7}#>8o1:6*91e85?x{zu2e:j<4?:%0af?7a92\9ni4>{M0ab?7|^m81>v*>f982b4=Q9<;1>v*91d85?!06l3<0qpsr;|`0f6<728;1<7>t$3`a>26<@;km7d?:9;29 7de28?37[ol514:8R7dc28qG>oh51zTg6?4|,8l36<;n;W365?4|,?;n6<<4$73g>44o6?90;6)N5jh1]>oj51zN1fc<6s_n96?u+1g:9526<^8?:6?u+60g957=#>8n1=?5r}|8m414290/>ol514:8L7df3_8ih7?tL3`e>4}Ql;09w)?i8;347>P6=809w)8>e;31?!06l3;97psr}:k231<72-8in7?:8:J1fd=Q:kn1=vB=bg82Sb52;q/=k651668R4362;q/:5<#:kh1=864V3`g>4}K:kl1=vXk2;0x 4`?28=>7[?:1;0x 37b2880(;?k:008yx{z3`;<:7>5$3`a>43?3A8im6X=be82I4en3;pZi<52z&2b=<6??1]=8?52z&55`<6:2.==i4>2:~yx=n9>=1<7*=bc821==O:kk0Z?lk:0yO6g`=9r\o>74103_;>=744<,?;o6<<4}|~?l7003:1(?lm:07;?M4ei2\9ni4>{M0ab?7|^m81>v*>f9823==Q9<;1>v*91d826>"19m0:>6sr}|9j50d=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=8l4V072>7}#>8o1:6*91e85?x{zu2c:9n4?:%0af?7202\9ni4>{M0ab?7|^m81>v*>f9821f=Q9<;1>v*91d85?!06l3<0qpsr;h36`?6=,;hi6<;7;W0a`?7|D;hm6h6X>5081!06m3<0(;?k:79~yx{<^;ho62wvqp5f14d94?"5jk0:955Y2cf95~J5jo0:w[j=:3y'5c>=9:3y'24c=>2.==i49;|~y>o6>90;6)P5jm0:wA619U507=:r.==h49;%42`?0lh4i07:>5<#:kh1=864V3`g>4}K:kl1=vXk2;0x 4`?28?27[?:1;0x 37b2j1/:743f3_;>=7f=#>8n1o6sr}|9j533=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=;;4V072>7}#>8o1=?5+60f957=zutw0e<9?:18'6gd=9<20D?ln;W0a`?7|D;hm65081!06m3;97)8>d;31?x{zu2c:;>4?:%0af?7202B9nl5Y2cf95~J5jo0:w[j=:3y'5c>=9>90Z<;>:3y'24c=9;1/:<@;hj7[o6?<0;6)P5jm0:wA749U507=:r.==h4>2:&55a<6:2wvqp5f16494?"5jk0:955G2cc8R7dc28qG>oh51zTg6?4|,8l36<99;W365?4|,?;n6<<4$73g>446*91e826>{zut1b=:650;&1fg<6=11C>oo4V3`g>4}K:kl1=vXk2;0x 4`?28=37[?:1;0x 37b2880(;?k:008yx{z3`;>n7>5$3`a>43?3_8ih7?tL3`e>4}Ql;09w)?i8;36f>P6=809w)8>e;48 37c2?1vqps4i07`>5<#:kh1=864V3`g>4}K:kl1=vXk2;0x 4`?28?h7[?:1;0x 37b2?1/:5d83>!4ej3;>46X=be82I4en3;pZi<52z&2b=<6=l1]=8?52z&55`<13-<:h784}|~?l72n3:1(?lm:07;?S4el3;p@?li:0yU`7<5s-;m47?:f:T214<5s-<:i784$73g>3=zutw0e<8?:18'6gd=9<20Z?lk:0yO6g`=9r\o>74073_;>=73=#>8n1:6sr}|9l5c7=83.9no4>f09U6gb=9rF9nk4>{Wf1>7}#9o21=k?4V072>7}#>8o1:6*91e85?x{zu2wi?o;50;32>5<7s-8in79?;I0bb>o6=00;6)P5jm0:wA589U507=:r.==h4l;%42`?eo6><0;6)P5jm0:wA649U507=:r.==h4>2:&55a<6:2wvqp5f16294?"5jk0:955G2cc8R7dc28qG>oh51zTg6?4|,8l36<9?;W365?4|,?;n6<<4$73g>445Y14396~"19l0:>6*91e826>{zut1b=::50;&1fg<6=11C>oo4V3`g>4}K:kl1=vXk2;0x 4`?28=?7[?:1;0x 37b2880(;?k:008yx{z3`;<97>5$3`a>43?3_8ih7?tL3`e>4}Ql;09w)?i8;341>P6=809w)8>e;31?!06l3;97psr}:k233<72-8in7?:8:J1fd=Q:kn1=vB=bg82Sb52;q/=k651648R4362;q/:5<#:kh1=864H3`b?S4el3;p@?li:0yU`7<5s-;m47?87:T214<5s-<:i7?=;%42`?753twvq6g>7983>!4ej3;>46F=b`9U6gb=9rF9nk4>{Wf1>7}#9o21=:64V072>7}#>8o1=?5+60f957=zutw0e<;m:18'6gd=9<20Z?lk:0yO6g`=9r\o>743e3_;>=73=#>8n1:6sr}|9j50e=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=8m4V072>7}#>8o1:6*91e85?x{zu2c:9i4?:%0af?7202\9ni4>{M0ab?7|^m81>v*>f9821a=Q9<;1>v*91d85?!06l3<0qpsr;h36a?6=,;hi6<;7;W0a`?7|D;hm6i6X>5081!06m3<0(;?k:79~yx{<^;ho62wvqp5f17294?"5jk0:955Y2cf95~J5jo0:w[j=:3y'5c>=9?:0Z<;>:3y'24c=>2.==i49;|~y>i6n80;6)P5jm0:wAf09U507=:r.==h49;%42`?00<729q/>ol51g28L7ga3`;>57>5$3`a>43?3_8ih7?tL3`e>4}Ql;09w)?i8;36=>P6=809w)8>e;48 37c2?1vqps4i07b>5<#:kh1=864V3`g>4}K:kl1=vXk2;0x 4`?28?j7[?:1;0x 37b2?1/:7183>!4ej3;>46X=be82I4en3;pZi<52z&2b=<6?91]=8?52z&55`<13-<:h784}|~?j7a93:1(?lm:0d2?S4el3;p@?li:0yU`7<5s-;m47?i1:T214<5s-<:i784$73g>3=zutw0qo:;a;296?6=8r.9no4m9:J1ec=n9<21<7*=bc821==2wvqp5rb56e>5<1290;w)N5io1/=:75159j50>=83.9no4>599U6gb=9rF9nk4>{W3f4?4|,8l36<;7;W365?4|,?;n6;5+60f92>{zut1boo4?:%0af?ee3_8ih7?tL3`e>4}Q9l:1>v*>f98`f>P6=809w)8>e;48 37c2?1vqps4iba94?"5jk0ho6X=be81I4en3;pZ=kj1]=8?52z&55`7}#>8i1on5+3g2924c<,:l;6;?j;|&5ea7}#>8i1on5+3g2924c<,:l;6;?k;|&5ea7}#>8i1on5+3g2924col52``8R7dc2;qG>oh51zT2a5<5s-;m477ge3-9m<78>e:'2db=9;1vqp5f28a94?"5jk095n5Y2cf96~J5jo0:w[?j0;0x 4`?2;3h7[?:1;0x 37b2880(;?k:008yx{K:ko1=vX=b581Sb72;q/:{zu2e:j<4?:%0af?7a921vn9:m:180>5<7s-8in75`83>!4ej3;>46X=be82I4en3;pZi<52z&2b=<6=h1]=8?52z&55`<13-<:h784}|~?j7a93:1(?lm:0d2?S4el3;p@?li:0yU`7<5s-;m47?i1:T214<5s-<:i784$73g>3=zutw0qo:;c;295?6=8r.9no4=c39K6d`5}#:kh1;=5G2`d8m43>290/>ol514:8R7dc28qG>oh51zTg6?4|,8l36<;6;W365?4|,?;n647;|~y>i6n80;6)P5jm0:wAf09U507=:r.==h49;%42`?07<729q/>ol5b89K6d`<3f;m=7>5$3`a>4`63_8ih7?tL3`e>4}Ql;09w)?i8;3e5>P6=809w)8>e;48 37c2?1vqps4}c663?6=>3:1ol514:8R7dc28qG>oh51zT2a5<5s-;m47?:8:T214<5s-<:i784$73g>3=zutw0enl50;&1fg{M0ab?7|^8o;6?u+1g:9gg=Q9<;1>v*91d85?!06l3<0qpsr;ha`>5<#:kh1on5Y2cf96~J5jo0:w[?j0;0x 4`?2ji0Z<;>:3y'24c=02.==i47;|~H7db2=q]>lm53zTg4?4|,?;h6nm4$2d3>37b3t\o=743?3-9m<78>e:'2db=m2w]>lm53zTg4?4|,?;h6nm4$2d3>37b3t\o=743?3-9m<78>d:'2db=m2w]>lm53zTg4?4|,?;h6nm4$2d3>37b3t\o=7fd<,:l;6;?j;|&5ea<03t\:j:4={Wf3>7}#>8i1on5+3g2924c2:&55a<6:2wvqA5<#:kh1>4m4V3`g>7}K:kl1=vX>e181!7a0382o6X>5081!06m3;97)8>d;31?x{zD;hn6<^;ho62wvqp5f14c94?"5jk0:955Y2cf95~J5jo0:w[j=:3y'5c>=9:3y'24c=>2.==i49;|~y>i6n80;6)P5jm0:wAf09U507=:r.==h49;%42`?04<729q/>ol52b08L7ga3f;m=7>5$3`a>4`632wi88:50;694?6|,;hi6:>4H3ce?l7213:1(?lm:07;?S4el3;p@?li:0yU`7<5s-;m47?:9:T214<5s-<:i7?>;%42`?763twvq6g>5`83>!4ej3;>46F=b`9U6gb=9rF9nk4>{Wf1>7}#9o21=8o4V072>7}#>8o1=<5+60f954=zutw0e<8::18'6gd=9<20Z?lk:0yO6g`=9r\o>74023_;>=747<,?;o63=zutw0qo::5;290?6=8r.9no480:J1ec=n9<31<7*=bc821==Q:kn1=vB=bg82Sb52;q/=k6514;8R4362;q/:5<#:kh1=864H3`b?S4el3;p@?li:0yU`7<5s-;m47?:a:T214<5s-<:i7?>;%42`?763twvq6g>6483>!4ej3;>46X=be82I4en3;pZi<52z&2b=<6><1]=8?52z&55`<692.==i4>1:~yx=h9o;1<7*=bc82b4=Q:kn1=vB=bg82Sb52;q/=k651g38R4362;q/:{M0ab?7|^m81>v*>f9821<=Q9<;1>v*91d85?!06l3<0qpsr;h36e?6=,;hi6<;7;W0a`?7|D;hm6m6X>5081!06m3<0(;?k:79~yx{2wvqp5rb5;3>5<5290;w)oj51zN1fc<6s_n96?u+1g:95c7<^8?:6?u+60g92>"19m0=7psr}:a0<3=83<1<7>t$3`a>4313A8jj6*>78820>o6=10;6)P5jm0:wA=73=#>8n1:6sr}|9jgf<72-8in7ml;W0a`?4|D;hm65081!06m320(;?k:99~yxJ5jl0?w[560g8y!0fl3o0q[560f8y!0fl3o0q[1vZvB=bg82S7b838p(h?:73f?x"1im0:>6sr}:k1=f<72-8in7<6c:T1fa<5sE8ij7?tV0g3>7}#9o21>4m4V072>7}#>8o1=?5+60f957=zutF9nh4>{W0a0?4|^m:1>v*91b81=f=#;o:1:{M0ab?7|^m81>v*>f9821<=Q9<;1>v*91d85?!06l3<0qpsr;h36e?6=,;hi6<;7;W0a`?7|D;hm6m6X>5081!06m3<0(;?k:79~yx{2wvqp5rb5;1>5<6290;w)N5io1d=k?50;&1fg<6n810qo:63;297?6=8r.9no480:J1ec=n9<31<7*=bc821==Q:kn1=vB=bg82Sb52;q/=k6514;8R4362;q/:5<#:kh1=864V3`g>4}K:kl1=vXk2;0x 4`?28?j7[?:1;0x 37b28;0(;?k:038yx{z3f;m=7>5$3`a>4`63_8ih7?tL3`e>4}Ql;09w)?i8;3e5>P6=809w)8>e;48 37c2?1vqps4}c6aN5io1b=8650;&1fg<6=110c:18'6gd=9o;0Z?lk:0yO6g`=9r\o>74`63_;>=73=#>8n1:6sr}|9~f1e4290=6=4?{%0af?72>2B9mk5+16;951=n9<21<7*=bc821==Q:kn1=vB=bg82S7b838p(2wvqp5fcc83>!4ej3ii7[52z&2b=7}#9o21on5Y14396~"19l037)8>d;:8yx{K:ko18vX=ab80Sb72;q/:8o0q[j>:3y'24e=9<20(>h?:73f?x"1im0n7pX=ab80Sb72;q/:8o0q[j>:3y'24e=9<20(>h?:73g?x"1im0n7pX=ab80Sb72;q/:8o0q[j>:3y'24e=kk1/?k>560g8y!0fl3=0q[?i7;0xRa6=:r.==n4lc:&0b5<19l1v(;ok:018yx{P6=809w)8>e;31?!06l3;97psrL3`f>4}Q:k>1>vXk0;0x 37d2;ki7)=i0;42a>{#>hn1=?5r}|9j6{W3f4?4|,8l36?7l;W365?4|,?;n6<<4$73g>44ok51zT1f1<5s_n;6?u+60a96f083>!4ej3;m=65rb5`:>5<4290;w)N5io1b=8750;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:950?<^8?:6?u+60g92>"19m0=7psr}:k21d<72-8in7?:8:T1fa<6sE8ij7?tVe096~"6n10:9l5Y14396~"19l0=7)8>d;48yx{z3f;m=7>5$3`a>4`63_8ih7?tL3`e>4}Ql;09w)?i8;3e5>P6=809w)8>e;48 37c2?1vqps4}c6ae?6=93:1:18'6gd=9o;07pl;bb83>6<729q/>ol5719K6d`<^;ho62wvqp5f14c94?"5jk0:955Y2cf95~J5jo0:w[j=:3y'5c>=9:3y'24c=>2.==i49;|~y>i6n80;6)P5jm0:wAf09U507=:r.==h49;%42`?047=83:p(?lm:628L7ga3`;>57>5$3`a>43?3A8im6X=be82I4en3;pZi<52z&2b=<6=01]=8?52z&55`{M0ab?7|^m81>v*>f9821d=Q9<;1>v*91d8a?!06l3h0qpsr;h351?6=,;hi6<;7;W0a`?7|D;hm65081!06m3h0(;?k:c9~yx{<^;ho6=9>90Z<;>:3y'24c=j2.==i4m;|~y>o6?=0;6)P5jm0:wA759U507=:r.==h4m;%42`?dol514:8R7dc28qG>oh51zTg6?4|,8l36<99;W365?4|,?;n6o5+60f9f>{zut1b=:950;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:9521<^8?:6?u+60g9f>"19m0i7psr}:k23=<72-8in7?:8:J1fd=Q:kn1=vB=bg82Sb52;q/=k6516:8R4362;q/:5c83>!4ej3;>46F=b`9U6gb=9rF9nk4>{Wf1>7}#9o21=8l4V072>7}#>8o1n6*91e8a?x{zu2c:9n4?:%0af?7202B9nl5Y2cf95~J5jo0:w[j=:3y'5c>=9:3y'24c=981/:<^;ho6i6X>5081!06m3;:7)8>d;32?x{zu2c:9k4?:%0af?7202\9ni4>{M0ab?7|^m81>v*>f9821c=Q9<;1>v*91d85?!06l3<0qpsr;h354?6=,;hi6<;7;W0a`?7|D;hm65081!06m3<0(;?k:79~yx{2wvqp5rb5`f>5<693:1N5io1b=8750;&1fg<6=11C>oo4V3`g>4}K:kl1=vXk2;0x 4`?28?27[?:1;0x 37b2k1/:743f3_;>=7g=#>8n1n6sr}|9j533=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=;;4V072>7}#>8o1n6*91e8a?x{zu2c:;=4?:%0af?7202\9ni4>{M0ab?7|^m81>v*>f98235=Q9<;1>v*91d8a?!06l3h0qpsr;h347?6=,;hi6<;7;W0a`?7|D;hm65081!06m3h0(;?k:c9~yx{<^;ho6=9>?0Z<;>:3y'24c=j2.==i4m;|~y>o6??0;6)P5jm0:wA779U507=:r.==h4m;%42`?dol514:8L7df3_8ih7?tL3`e>4}Ql;09w)?i8;34<>P6=809w)8>e;`8 37c2k1vqps4i07a>5<#:kh1=864H3`b?S4el3;p@?li:0yU`7<5s-;m47?:b:T214<5s-<:i7l4$73g>g=zutw0e<;l:18'6gd=9<20D?ln;W0a`?7|D;hm6o6X>5081!06m3;:7)8>d;32?x{zu2c:9i4?:%0af?7202\9ni4>{M0ab?7|^m81>v*>f9821a=Q9<;1>v*91d825>"19m0:=6sr}|9j50c=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=8k4V072>7}#>8o1=<5+60f954=zutw0e<;i:18'6gd=9<20Z?lk:0yO6g`=9r\o>743a3_;>=73=#>8n1:6sr}|9j536=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=;>4V072>7}#>8o1:6*91e85?x{zu2e:j<4?:%0af?7a92\9ni4>{M0ab?7|^m81>v*>f982b4=Q9<;1>v*91d85?!06l3<0qpsr;|`7fc<728;1<7>t$3`a>26<@;km7d?:9;29 7de28?37Ed;`8yx{z3`;>m7>5$3`a>43?3A8im6X=be82I4en3;pZi<52z&2b=<6=h1]=8?52z&55`g=zutw0e<9?:18'6gd=9<20Z?lk:0yO6g`=9r\o>74173_;>=7g=#>8n1n6sr}|9j525=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=:=4V072>7}#>8o1n6*91e8a?x{zu2c:;94?:%0af?7202\9ni4>{M0ab?7|^m81>v*>f98231=Q9<;1>v*91d8a?!06l3h0qpsr;h341?6=,;hi6<;7;W0a`?7|D;hm65081!06m3h0(;?k:c9~yx{<^;ho6=9>=0Z<;>:3y'24c=j2.==i4m;|~y>o6?10;6)N5jh1]>oj51zN1fc<6s_n96?u+1g:952><^8?:6?u+60g9f>"19m0i7psr}:k21g<72-8in7?:8:J1fd=Q:kn1=vB=bg82Sb52;q/=k6514`8R4362;q/:5b83>!4ej3;>46F=b`9U6gb=9rF9nk4>{Wf1>7}#9o21=8m4V072>7}#>8o1=<5+60f954=zutw0e<;k:18'6gd=9<20Z?lk:0yO6g`=9r\o>743c3_;>=747<,?;o6;%42`?763twvq6g>5g83>!4ej3;>46X=be82I4en3;pZi<52z&2b=<6=o1]=8?52z&55`<13-<:h784}|~?l7183:1(?lm:07;?S4el3;p@?li:0yU`7<5s-;m47?90:T214<5s-<:i784$73g>3=zutw0c:18'6gd=9o;0Z?lk:0yO6g`=9r\o>74`63_;>=73=#>8n1:6sr}|9~f1e7290:=7>50z&1fg<082B9mk5f14;94?"5jk0:955G2cc8R7dc28qG>oh51zTg6?4|,8l36<;6;W365?4|,?;n6o5+60f9f>{zut1b=8o50;&1fg<6=11C>oo4V3`g>4}K:kl1=vXk2;0x 4`?28?j7[?:1;0x 37b2k1/:7183>!4ej3;>46X=be82I4en3;pZi<52z&2b=<6?91]=8?52z&55`g=zutw0e<9;:18'6gd=9<20Z?lk:0yO6g`=9r\o>74133_;>=7g=#>8n1n6sr}|9j523=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=:;4V072>7}#>8o1n6*91e8a?x{zu2c:;;4?:%0af?7202\9ni4>{M0ab?7|^m81>v*>f98233=Q9<;1>v*91d8a?!06l3h0qpsr;h343?6=,;hi6<;7;W0a`?7|D;hm65081!06m3h0(;?k:c9~yx{<@;hj7[ol514:8L7df3_8ih7?tL3`e>4}Ql;09w)?i8;36f>P6=809w)8>e;`8 37c2k1vqps4i07`>5<#:kh1=864H3`b?S4el3;p@?li:0yU`7<5s-;m47?:c:T214<5s-<:i7?>;%42`?763twvq6g>5e83>!4ej3;>46X=be82I4en3;pZi<52z&2b=<6=m1]=8?52z&55`<692.==i4>1:~yx=n95<#:kh1=864V3`g>4}K:kl1=vXk2;0x 4`?28?m7[?:1;0x 37b2?1/:f083>!4ej3;m=6X=be82I4en3;pZi<52z&2b=<6n81]=8?52z&55`<13-<:h784}|~?xd3k80;6:0D?oi;h36=?6=,;hi6<;7;I0ae>P5jm0:wA589U507=:r.==h4m;%42`?dd;`8yx{z3`;=97>5$3`a>43?3_8ih7?tL3`e>4}Ql;09w)?i8;351>P6=809w)8>e;`8 37c2k1vqps4i053>5<#:kh1=864V3`g>4}K:kl1=vXk2;0x 4`?28=;7[?:1;0x 37b2k1/:91<7*=bc821==Q:kn1=vB=bg82Sb52;q/=k651618R4362;q/:7583>!4ej3;>46X=be82I4en3;pZi<52z&2b=<6?=1]=8?52z&55`g=zutw0e<99:18'6gd=9<20Z?lk:0yO6g`=9r\o>74113_;>=7g=#>8n1n6sr}|9j521=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=:94V072>7}#>8o1n6*91e8a?x{zu2c:;54?:%0af?7202B9nl5Y2cf95~J5jo0:w[j=:3y'5c>=9>20Z<;>:3y'24c=j2.==i4m;|~y>o6=k0;6)N5jh1]>oj51zN1fc<6s_n96?u+1g:950d<^8?:6?u+60g954=#>8n1=<5r}|8m43d290/>ol514:8R7dc28qG>oh51zTg6?4|,8l36<;l;W365?4|,?;n647;|~y>o6=l0;6)P5jm0:wA5d9U507=:r.==h4>1:&55a<692wvqp5f14d94?"5jk0:955Y2cf95~J5jo0:w[j=:3y'5c>=9:3y'24c=>2.==i49;|~y>o6>90;6)P5jm0:wA619U507=:r.==h49;%42`?050z&1fg<6n91C>lh4i07:>5<#:kh1=864V3`g>4}K:kl1=vXk2;0x 4`?28?27[?:1;0x 37b2?1/:6483>!4ej3;>46X=be82I4en3;pZi<52z&2b=<6><1]=8?52z&55`<13-<:h784}|~?l7083:1(?lm:07;?S4el3;p@?li:0yU`7<5s-;m47?80:T214<5s-<:i784$73g>3=zutw0e<9<:18'6gd=9<20Z?lk:0yO6g`=9r\o>74143_;>=73=#>8n1:6sr}|9j522=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=::4V072>7}#>8o1:6*91e85?x{zu2e:j<4?:%0af?7a92\9ni4>{M0ab?7|^m81>v*>f982b4=Q9<;1>v*91d85?!06l3<0qpsr;|`55c<72;0;6=u+2c`9f<=O:hl0e<;7:18'6gd=9<207b?i1;29 7de28l:7[50z&1fg<6=?1C>lh4$05:>42<^;ho6P6=809w)8>e;48 37c2?1vqps4ib`94?"5jk0hn6X=be82I4en3;pZ=kk1]=8?52z&55`<13-<:h784}|~?led290/>ol5cb9U6gb=:rF9nk4>{W3f4?4|,8l36nm4V072>7}#>8o146*91e8;?x{zD;hn69uY2`a97~Pc838p(;?l:ba8 6`72?;n7pXk1;0x 37d28?37)=i0;42a>{#>hn1i6sY2`a97~Pc838p(;?l:ba8 6`72?;n7pXk1;0x 37d28?37)=i0;42`>{#>hn1i6sY2`a97~Pc838p(;?l:ba8 6`72?;n7pXk1;0x 37d2jh0(>h?:73f?x"1im0<7pX>f681Sb72;q/:8o0q)8nd;30?x{z3`8jn7>5$3`a>7ge3_8ih74}Q9l:1>v*>f981eg=Q9<;1>v*91d826>"19m0:>6sr}M0aa?7|^;h?6?uYd181!06k38jn6*ol528a8R7dc2;qG>oh51zT2a5<5s-;m47<6c:T214<5s-<:i7?=;%42`?753twv@?lj:0yU6g2=:r\o<77?d3-9m<78>e:'2db=9;1vqp5`1g394?"5jk0:j<54}c414?6=;3:156X>5081!06m3<0(;?k:79~yx{<^;ho62wvqp5`1g394?"5jk0:j<5Y2cf95~J5jo0:w[j=:3y'5c>=9o;0Z<;>:3y'24c=>2.==i49;|~y>{e>;;1<7?50;2x 7de2;i97E5883>!4ej3;>46X=be82I4en3;pZi<52z&2b=<6=01]=8?52z&55`{M0ab?7|^m81>v*>f9821d=Q9<;1>v*91d825>"19m0:=6sr}|9l5c7=83.9no4>f09U6gb=9rF9nk4>{Wf1>7}#9o21=k?4V072>7}#>8o1:6*91e85?x{zu2wi:;k50;094?6|,;hi6o74H3ce?l7203:1(?lm:07;?>i6n80;6)P5jm0:wAf09U507=:r.==h49;%42`?03<729q/>ol51448L7ga3-;<57?;;h36d;48yx{z3`ii6=4+2c`9gg=Q:kn1=vB=bg82S7b838p(=7==#>8n146sr}M0aa?2|^;kh6>uYd181!06k3ih7)=i0;42a>{Ql809w)8>c;36<>"4n90==h5r$7cg>`=z^;kh6>uYd181!06k3ih7)=i0;42a>{Ql809w)8>c;36<>"4n90==i5r$7cg>`=z^;kh6>uYd181!06k3ih7)=i0;42a>{Ql809w)8>c;aa?!5a83<:i6s+6`f93>{Q9o=1>vXk0;0x 37d2ji0(>h?:73f?x"1im0:?6sr}:k1eg<72-8in77}#9o21>ll4V072>7}#>8o1=?5+60f957=zutF9nh4>{W0a0?4|^m:1>v*91b81eg=#;o:1:52z&2b=<51j1]=8?52z&55`<6:2.==i4>2:~yI4em3;pZ?l;:3yU`5<5s-<:o7<6c:&0b5<19l1v(;ok:008yx{5}#:kh1>o64H3ce?l7213:1(?lm:07;?S4el3;p@?li:0yU`7<5s-;m47?:9:T214<5s-<:i784$73g>3=zutw0e<;n:18'6gd=9<20Z?lk:0yO6g`=9r\o>743f3_;>=73=#>8n1:6sr}|9l5c7=83.9no4>f09U6gb=9rF9nk4>{Wf1>7}#9o21=k?4V072>7}#>8o1:6*91e85?x{zu2wi::>50;394?6|,;hi6?m=;I0bb>i6n80;6)=zj?=:6=4<:183!4ej3=;7E{zut1b=8o50;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:950g<^8?:6?u+60g954=#>8n1=<5r}|8k4`6290/>ol51g38R7dc28qG>oh51zTg6?4|,8l36;W365?4|,?;n6;5+60f92>{zut1vn<7m:181>5<7s-8in7l6;I0bb>o6=10;6)=h9o;1<7*=bc82b4=Q:kn1=vB=bg82Sb52;q/=k651g38R4362;q/:{M0ab?7|^m81>v*>f9821<=Q9<;1>v*91d85?!06l3<0qpsr;h36e?6=,;hi6<;7;W0a`?7|D;hm6m6X>5081!06m3l0(;?k:g9~yx{2wvqp5rb0c4>5<1290;w)N5io1/=:75159j50>=83.9no4>599U6gb=9rF9nk4>{W3f4?4|,8l36<;7;W365?4|,?;n6;5+60f92>{zut1boo4?:%0af?ee3_8ih7?tL3`e>4}Q9l:1>v*>f98`f>P6=809w)8>e;48 37c2?1vqps4iba94?"5jk0ho6X=be81I4en3;pZ=kj1]=8?52z&55`7}#>8i1on5+3g2924c<,:l;6;?j;|&5ea7}#>8i1on5+3g2924c<,:l;6;?k;|&5ea7}#>8i1on5+3g2924col52``8R7dc2;qG>oh51zT2a5<5s-;m477ge3-9m<78>e:'2db=9;1vqp5f28a94?"5jk095n5Y2cf96~J5jo0:w[?j0;0x 4`?2;3h7[?:1;0x 37b2880(;?k:008yx{K:ko1=vX=b581Sb72;q/:{zu2e:j<4?:%0af?7a921vn<7l:180>5<7s-8in7743>3_;>=73=#>8n1:6sr}|9j50g=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=8o4V072>7}#>8o1:6*91e85?x{zu2e:j<4?:%0af?7a92\9ni4>{M0ab?7|^m81>v*>f982b4=Q9<;1>v*91d85?!06l3<0qpsr;|`2=a<7280;6=u+2c`96f4<@;km7b?i1;29 7de28l:76sm18d94?5=83:p(?lm:628L7ga3`;>57>5$3`a>43?3_8ih7?tL3`e>4}Ql;09w)?i8;36=>P6=809w)8>e;32?!06l3;:7psr}:k21d<72-8in7?:8:T1fa<6sE8ij7?tVe096~"6n10:9l5Y14396~"19l0:=6*91e825>{zut1d=k?50;&1fg<6n81]>oj51zN1fc<6s_n96?u+1g:95c7<^8?:6?u+60g92>"19m0=7psr}:a5d6=8391<7>t$3`a>26<@;km7d?:9;29 7de28?37[ol514:8R7dc28qG>oh51zTg6?4|,8l36<;n;W365?4|,?;n6;5+60f92>{zut1d=k?50;&1fg<6n81]>oj51zN1fc<6s_n96?u+1g:95c7<^8?:6?u+60g92>"19m0=7psr}:a5d7=8391<7>t$3`a>26<@;km7d?:9;29 7de28?37[ol514:8R7dc28qG>oh51zTg6?4|,8l36<;n;W365?4|,?;n6;5+60f92>{zut1d=k?50;&1fg<6n81]>oj51zN1fc<6s_n96?u+1g:95c7<^8?:6?u+60g92>"19m0=7psr}:a5d4=83>1<7>t$3`a>26<@;km7d?:9;29 7de28?37[;|~y>o6=h0;6)P5jm0:wA5`9U507=:r.==h4>1:&55a<692wvqp5f17794?"5jk0:955G2cc8R7dc28qG>oh51zTg6?4|,8l36<8:;W365?4|,?;n6o5+60f9f>{zut1d=k?50;&1fg<6n81]>oj51zN1fc<6s_n96?u+1g:95c7<^8?:6?u+60g92>"19m0=7psr}:a5d5=83>1<7>t$3`a>26<@;km7d?:9;29 7de28?37[;|~y>o6=h0;6)N5jh1]>oj51zN1fc<6s_n96?u+1g:950g<^8?:6?u+60g954=#>8n1=<5r}|8m402290/>ol514:8R7dc28qG>oh51zTg6?4|,8l36<8:;W365?4|,?;n64750z&1fg<082B9mk5f14;94?"5jk0:955G2cc8R7dc28qG>oh51zTg6?4|,8l36<;6;W365?4|,?;n647;|~y>o6><0;6)P5jm0:wA649U507=:r.==h4m;%42`?d50z&1fg<082B9mk5f14;94?"5jk0:955Y2cf95~J5jo0:w[j=:3y'5c>=9<30Z<;>:3y'24c=981/:<@;hj7[;|~y>o6><0;6)P5jm0:wA649U507=:r.==h4>1:&55a<692wvqp5`1g394?"5jk0:j<5Y2cf95~J5jo0:w[j=:3y'5c>=9o;0Z<;>:3y'24c=>2.==i49;|~y>{e90o1<7650;2x 7de28l;7Ed;48yx{z3`;>m7>5$3`a>43?3_8ih7?tL3`e>4}Ql;09w)?i8;36e>P6=809w)8>e;48 37c2?1vqps4i046>5<#:kh1=864V3`g>4}K:kl1=vXk2;0x 4`?28<>7[?:1;0x 37b2?1/::1<7*=bc821==Q:kn1=vB=bg82Sb52;q/=k651628R4362;q/:7283>!4ej3;>46X=be82I4en3;pZi<52z&2b=<6?:1]=8?52z&55`<13-<:h784}|~?l70<3:1(?lm:07;?S4el3;p@?li:0yU`7<5s-;m47?84:T214<5s-<:i784$73g>3=zutw0e<9::18'6gd=9<20Z?lk:0yO6g`=9r\o>74123_;>=73=#>8n1:6sr}|9l5c7=83.9no4>f09U6gb=9rF9nk4>{Wf1>7}#9o21=k?4V072>7}#>8o1:6*91e85?x{zu2wi=n;50;094?6|,;hi6o74H3ce?l7203:1(?lm:07;?>i6n80;6)P5jm0:wAf09U507=:r.==h49;%42`?0d383>6<729q/>ol52c:8L7ga3`;>57>5$3`a>43?3_8ih7?tL3`e>4}Ql;09w)?i8;36=>P6=809w)8>e;48 37c2?1vqps4i07b>5<#:kh1=864V3`g>4}K:kl1=vXk2;0x 4`?28?j7[?:1;0x 37b2o1/:4:k21=<72-8in7?:8:T1fa<6sE8ij7?tV0g3>7}#9o21=864V072>7}#>8o1:6*91e85?x{zu2chn7>5$3`a>fd<^;ho62wvqp5fcb83>!4ej3ih7[52z&2b=<,?;o655r}|N1f`<3s_8jo7=tVe296~"19j0ho6*v*91b821==#;o:1:v*91b821==#;o:1:v*91b8`f>"4n90==h5r$7cg>2=z^8l<6?uYd181!06k3ih7)=i0;42a>{#>hn1=>5r}|9j6dd=83.9no4=ac9U6gb=:rF9nk4>{W3f4?4|,8l36?om;W365?4|,?;n6<<4$73g>44ok51zT1f1<5s_n;6?u+60a96dd<,:l;6;?j;|&5ea<6:2wvq6g=9b83>!4ej382o6X=be81I4en3;pZ=:0i0Z<;>:3y'24c=9;1/:8o0q)8nd;31?x{z3f;m=7>5$3`a>4`632wi=n850;194?6|,;hi6?l7;I0bb>o6=00;6)N5jh1]>oj51zN1fc<6s_n96?u+1g:950?<^8?:6?u+60g92>"19m0=7psr}:k21d<72-8in7?:8:T1fa<6sE8ij7?tVe096~"6n10:9l5Y14396~"19l0=7)8>d;48yx{z3f;m=7>5$3`a>4`63_8ih7?tL3`e>4}Ql;09w)?i8;3e5>P6=809w)8>e;48 37c2?1vqps4}c3`3?6=93:1:18'6gd=9o;07pl>c883>6<729q/>ol5719K6d`<^;ho6m6X>5081!06m3;:7)8>d;32?x{zu2e:j<4?:%0af?7a92\9ni4>{M0ab?7|^m81>v*>f982b4=Q9<;1>v*91d85?!06l3<0qpsr;|`2gd<72:0;6=u+2c`935=O:hl0e<;6:18'6gd=9<20Z?lk:0yO6g`=9r\o>743>3_;>=73=#>8n1:6sr}|9j50g=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=8o4V072>7}#>8o1:6*91e85?x{zu2e:j<4?:%0af?7a92\9ni4>{M0ab?7|^m81>v*>f982b4=Q9<;1>v*91d85?!06l3<0qpsr;|`2gg<72:0;6=u+2c`935=O:hl0e<;6:18'6gd=9<20Z?lk:0yO6g`=9r\o>743>3_;>=73=#>8n1:6sr}|9j50g=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=8o4V072>7}#>8o1:6*91e85?x{zu2e:j<4?:%0af?7a92\9ni4>{M0ab?7|^m81>v*>f982b4=Q9<;1>v*91d85?!06l3<0qpsr;|`2gf<72=0;6=u+2c`935=O:hl0e<;6:18'6gd=9<20Z?lk:0yO6g`=9r\o>743>3_;>=747<,?;o6;%42`?763twvq6g>6483>!4ej3;>46F=b`9U6gb=9rF9nk4>{Wf1>7}#9o21=;;4V072>7}#>8o1n6*91e8a?x{zu2e:j<4?:%0af?7a92\9ni4>{M0ab?7|^m81>v*>f982b4=Q9<;1>v*91d85?!06l3<0qpsr;|`2ga<72=0;6=u+2c`935=O:hl0e<;6:18'6gd=9<20Z?lk:0yO6g`=9r\o>743>3_;>=747<,?;o6{M0ab?7|^m81>v*>f9821d=Q9<;1>v*91d825>"19m0:=6sr}|9j533=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=;;4V072>7}#>8o1=<5+60f954=zutw0c:18'6gd=9o;0Z?lk:0yO6g`=9r\o>74`63_;>=73=#>8n1:6sr}|9~f4eb290?6=4?{%0af?173A8jj6g>5883>!4ej3;>46F=b`9U6gb=9rF9nk4>{Wf1>7}#9o21=874V072>7}#>8o1=<5+60f954=zutw0e<;n:18'6gd=9<20Z?lk:0yO6g`=9r\o>743f3_;>=747<,?;o6g=zutw0c:18'6gd=9o;0Z?lk:0yO6g`=9r\o>74`63_;>=73=#>8n1:6sr}|9~f4ea290?6=4?{%0af?173A8jj6g>5883>!4ej3;>46X=be82I4en3;pZi<52z&2b=<6=01]=8?52z&55`<692.==i4>1:~yx=n9743f3_;>=747<,?;o6;%42`?763twvq6a>f083>!4ej3;m=6X=be82I4en3;pZi<52z&2b=<6n81]=8?52z&55`<13-<:h784}|~?xd6k10;654?:1y'6gd=9o:0D?oi;h36=?6=,;hi6<;7;W0a`?7|D;hm656X>5081!06m3<0(;?k:79~yx{<^;ho62wvqp5f17794?"5jk0:955Y2cf95~J5jo0:w[j=:3y'5c>=9??0Z<;>:3y'24c=>2.==i49;|~y>o6?90;6)P5jm0:wA719U507=:r.==h49;%42`?0ol514:8R7dc28qG>oh51zTg6?4|,8l36<9;;W365?4|,?;n6;5+60f92>{zut1b=:;50;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:9523<^8?:6?u+60g92>"19m0=7psr}:m2b4<72-8in7?i1:T1fa<6sE8ij7?tVe096~"6n10:j<5Y14396~"19l0=7)8>d;48yx{z3th8nl4?:383>5}#:kh1n45G2`d8m43?290/>ol514:8?j7a93:1(?lm:0d2?S4el3;p@?li:0yU`7<5s-;m47?i1:T214<5s-<:i784$73g>3=zutw0qo=l0;296?6=8r.9no4m9:J1ec=n9<21<7*=bc821==2wvqp5rb2a4>5<1290;w)N5io1/=:75159j50>=83.9no4>599U6gb=9rF9nk4>{W3f4?4|,8l36<;7;W365?4|,?;n6;5+60f92>{zut1boo4?:%0af?ee3_8ih7?tL3`e>4}Q9l:1>v*>f98`f>P6=809w)8>e;48 37c2?1vqps4iba94?"5jk0ho6X=be81I4en3;pZ=kj1]=8?52z&55`7}#>8i1on5+3g2924c<,:l;6;?j;|&5ea7}#>8i1on5+3g2924c<,:l;6;?k;|&5ea7}#>8i1on5+3g2924col52``8R7dc2;qG>oh51zT2a5<5s-;m477ge3-9m<78>e:'2db=9;1vqp5f28a94?"5jk095n5Y2cf96~J5jo0:w[?j0;0x 4`?2;3h7[?:1;0x 37b2880(;?k:008yx{K:ko1=vX=b581Sb72;q/:{zu2e:j<4?:%0af?7a921vn>lm:180>5<7s-8in7743>3_;>=73=#>8n1:6sr}|9j50g=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=8o4V072>7}#>8o1:6*91e85?x{zu2e:j<4?:%0af?7a92\9ni4>{M0ab?7|^m81>v*>f982b4=Q9<;1>v*91d85?!06l3<0qpsr;|`0ff<7280;6=u+2c`96f4<@;km7b?i1;29 7de28l:76sm3cg94?5=83:p(?lm:628L7ga3`;>57>5$3`a>43?3_8ih7?tL3`e>4}Ql;09w)?i8;36=>P6=809w)8>e;48 37c2?1vqps4i07b>5<#:kh1=864V3`g>4}K:kl1=vXk2;0x 4`?28?j7[?:1;0x 37b2?1/:57>5$3`a>43?3_8ih7?tL3`e>4}Ql;09w)?i8;36=>P6=809w)8>e;32?!06l3;:7psr}:k21d<72-8in7?:8:T1fa<6sE8ij7?tVe096~"6n10:9l5Y14396~"19l0:=6*91e825>{zut1d=k?50;&1fg<6n81]>oj51zN1fc<6s_n96?u+1g:95c7<^8?:6?u+60g92>"19m0=7psr}:a7gb=8391<7>t$3`a>4`73A8jj6g>5883>!4ej3;>46X=be82I4en3;pZi<52z&2b=<6=01]=8?52z&55`<13-<:h784}|~?l72i3:1(?lm:07;?S4el3;p@?li:0yU`7<5s-;m47?:a:T214<5s-<:i784$73g>3=zutw0c:18'6gd=9o;0Z?lk:0yO6g`=9r\o>74`63_;>=73=#>8n1:6sr}|9~f6e6290?6=4?{%0af?173A8jj6g>5883>!4ej3;>46F=b`9U6gb=9rF9nk4>{Wf1>7}#9o21=874V072>7}#>8o1o6*91e8`?x{zu2c:9l4?:%0af?7202B9nl5Y2cf95~J5jo0:w[j=:3y'5c>=9:3y'24c=9;1/:6=4+2c`950><@;hj7[i6n80;6)P5jm0:wAf09U507=:r.==h49;%42`?0<<729q/>ol5719K6d`<^;ho6=9:3y'24c=k2.==i4l;|~y>o6><0;6)P5jm0:wA649U507=:r.==h4l;%42`?eol514:8R7dc28qG>oh51zTg6?4|,8l36<9<;W365?4|,?;n6n5+60f9g>{zut1b=::50;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:9522<^8?:6?u+60g9g>"19m0h7psr}:k230<72-8in7?:8:T1fa<6sE8ij7?tVe096~"6n10:;85Y14396~"19l0h7)8>d;a8yx{z3`;<:7>5$3`a>43?3_8ih7?tL3`e>4}Ql;09w)?i8;342>P6=809w)8>e;a8 37c2j1vqps4o0d2>5<#:kh1=k?4V3`g>4}K:kl1=vXk2;0x 4`?28l:7[?:1;0x 37b2?1/:1;294~"5jk0<<6F=ag9j50?=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=874V072>7}#>8o1o6*91e8`?x{zu2c:9l4?:%0af?7202\9ni4>{M0ab?7|^m81>v*>f9821d=Q9<;1>v*91d8`?!06l3i0qpsr;h351?6=,;hi6<;7;W0a`?7|D;hm65081!06m3i0(;?k:b9~yx{<^;ho6=9>90Z<;>:3y'24c=k2.==i4l;|~y>o6?=0;6)P5jm0:wA759U507=:r.==h4l;%42`?eol514:8L7df3_8ih7?tL3`e>4}Ql;09w)?i8;342>P6=809w)8>e;a8 37c2j1vqps4i054>5<#:kh1=864H3`b?S4el3;p@?li:0yU`7<5s-;m47?87:T214<5s-<:i7?=;%42`?753twvq6g>7983>!4ej3;>46F=b`9U6gb=9rF9nk4>{Wf1>7}#9o21=:64V072>7}#>8o1=?5+60f957=zutw0e<;m:18'6gd=9<20Z?lk:0yO6g`=9r\o>743e3_;>=73=#>8n1:6sr}|9j50e=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=8m4V072>7}#>8o1:6*91e85?x{zu2c:9i4?:%0af?7202\9ni4>{M0ab?7|^m81>v*>f9821a=Q9<;1>v*91d85?!06l3<0qpsr;h36a?6=,;hi6<;7;W0a`?7|D;hm6i6X>5081!06m3<0(;?k:79~yx{<^;ho62wvqp5f17294?"5jk0:955Y2cf95~J5jo0:w[j=:3y'5c>=9?:0Z<;>:3y'24c=>2.==i49;|~y>i6n80;6)P5jm0:wAf09U507=:r.==h49;%42`?047=83:p(?lm:628L7ga3`;>57>5$3`a>43?3_8ih7?tL3`e>4}Ql;09w)?i8;36=>P6=809w)8>e;a8 37c2j1vqps4i07b>5<#:kh1=864V3`g>4}K:kl1=vXk2;0x 4`?28?j7[?:1;0x 37b2j1/:7183>!4ej3;>46X=be82I4en3;pZi<52z&2b=<6?91]=8?52z&55`f=zutw0e<9;:18'6gd=9<20Z?lk:0yO6g`=9r\o>74133_;>=7f=#>8n1o6sr}|9j523=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=:;4V072>7}#>8o1o6*91e8`?x{zu2c:;;4?:%0af?7202B9nl5Y2cf95~J5jo0:w[j=:3y'5c>=9><0Z<;>:3y'24c=k2.==i4l;|~y>o6?>0;6)N5jh1]>oj51zN1fc<6s_n96?u+1g:9521<^8?:6?u+60g957=#>8n1=?5r}|8m41?290/>ol514:8L7df3_8ih7?tL3`e>4}Ql;09w)?i8;34<>P6=809w)8>e;31?!06l3;97psr}:k21g<72-8in7?:8:T1fa<6sE8ij7?tVe096~"6n10:9o5Y14396~"19l0=7)8>d;48yx{z3`;>o7>5$3`a>43?3_8ih7?tL3`e>4}Ql;09w)?i8;36g>P6=809w)8>e;48 37c2?1vqps4i07g>5<#:kh1=864V3`g>4}K:kl1=vXk2;0x 4`?28?o7[?:1;0x 37b2?1/:5g83>!4ej3;>46X=be82I4en3;pZi<52z&2b=<6=o1]=8?52z&55`<13-<:h784}|~?l7183:1(?lm:07;?S4el3;p@?li:0yU`7<5s-;m47?90:T214<5s-<:i784$73g>3=zutw0c:18'6gd=9o;0Z?lk:0yO6g`=9r\o>74`63_;>=73=#>8n1:6sr}|9~f6e2290>6=4?{%0af?7a82B9mk5f14;94?"5jk0:955Y2cf95~J5jo0:w[j=:3y'5c>=9<30Z<;>:3y'24c=>2.==i49;|~y>o6=h0;6)P5jm0:wA5`9U507=:r.==h49;%42`?0ol514:8R7dc28qG>oh51zTg6?4|,8l36<9?;W365?4|,?;n6;5+60f92>{zut1d=k?50;&1fg<6n81]>oj51zN1fc<6s_n96?u+1g:95c7<^8?:6?u+60g92>"19m0=7psr}:a7f>=8381<7>t$3`a>g?<@;km7d?:8;29 7de28?376a>f083>!4ej3;m=6X=be82I4en3;pZi<52z&2b=<6n81]=8?52z&55`<13-<:h784}|~?xd4ko0;6?4?:1y'6gd=j01C>lh4i07;>5<#:kh1=864;n3e5?6=,;hi6;W0a`?7|D;hm65081!06m3<0(;?k:79~yx{53;294~"5jk09n55G2`d8m43>290/>ol514:8R7dc28qG>oh51zTg6?4|,8l36<;6;W365?4|,?;n6;5+60f92>{zut1b=8o50;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:950g<^8?:6?u+60g9b>"19m0m7psr}:m2b4<72-8in7?i1:T1fa<6sE8ij7?tVe096~"6n10:j<5Y14396~"19l0=7)8>d;48yx{z3th8h94?:783>5}#:kh1=884H3ce?!7013;?7d?:8;29 7de28?37[52z&2b=<6=11]=8?52z&55`<13-<:h784}|~?lee290/>ol5cc9U6gb=9rF9nk4>{W3f4?4|,8l36nl4V072>7}#>8o1:6*91e85?x{zu2cho7>5$3`a>fe<^;ho6?uC2cd95~P6m909w)?i8;a`?S72938p(;?j:99'24b=02wvqAe:U`4<5s-<:o7?:8:&0b5<19l1v(;ok:d9~R7gd2:q]h=4={%42g?ed3-9m<78>e:U`4<5s-<:o7?:8:&0b5<19m1v(;ok:d9~R7gd2:q]h=4={%42g?ed3-9m<78>e:U`4<5s-<:o7mm;%1e4?06m2w/:lj57:U5c1=:r\o<7fe<,:l;6;?j;|&5ea<6;2wvq6g=ac83>!4ej38jn6X=be81I4en3;pZ=:hh0Z<;>:3y'24c=9;1/:8o0q)8nd;31?x{z3`82o7>5$3`a>7?d3_8ih74}Q9l:1>v*>f981=f=Q9<;1>v*91d826>"19m0:>6sr}M0aa?7|^;h?6?uYd181!06k382o6*ol51g38?xd4k00;6>4?:1y'6gd=:k20D?oi;h36=?6=,;hi6<;7;W0a`?7|D;hm656X>5081!06m3<0(;?k:79~yx{<^;ho62wvqp5`1g394?"5jk0:j<5Y2cf95~J5jo0:w[j=:3y'5c>=9o;0Z<;>:3y'24c=>2.==i49;|~y>{e;jk1<7?50;2x 7de2;i97E5883>!4ej3;>46X=be82I4en3;pZi<52z&2b=<6=01]=8?52z&55`{M0ab?7|^m81>v*>f9821d=Q9<;1>v*91d825>"19m0:=6sr}|9j533=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=;;4V072>7}#>8o1=<5+60f954=zutw0c:18'6gd=9o;0Z?lk:0yO6g`=9r\o>74`63_;>=73=#>8n1:6sr}|9~f6ec290?6=4?{%0af?173A8jj6g>5883>!4ej3;>46F=b`9U6gb=9rF9nk4>{Wf1>7}#9o21=874V072>7}#>8o1n6*91e8a?x{zu2c:9l4?:%0af?7202\9ni4>{M0ab?7|^m81>v*>f9821d=Q9<;1>v*91d825>"19m0:=6sr}|9j533=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=;;4V072>7}#>8o1=<5+60f954=zutw0c:18'6gd=9o;0Z?lk:0yO6g`=9r\o>74`63_;>=73=#>8n1:6sr}|9~f6eb290?6=4?{%0af?173A8jj6g>5883>!4ej3;>46X=be82I4en3;pZi<52z&2b=<6=01]=8?52z&55`<692.==i4>1:~yx=n95<#:kh1=864V3`g>4}K:kl1=vXk2;0x 4`?28<>7[?:1;0x 37b28;0(;?k:038yx{z3f;m=7>5$3`a>4`63_8ih7?tL3`e>4}Ql;09w)?i8;3e5>P6=809w)8>e;48 37c2?1vqps4}c1`f?6=<3:1743>3_;>=73=#>8n1:6sr}|9j50g=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=8o4V072>7}#>8o1:6*91e85?x{zu2c::84?:%0af?7202\9ni4>{M0ab?7|^m81>v*>f98220=Q9<;1>v*91d85?!06l3<0qpsr;n3e5?6=,;hi6;W0a`?7|D;hm65081!06m3<0(;?k:79~yx{53;294~"5jk0<<6F=ag9j50?=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=874V072>7}#>8o1o6*91e8`?x{zu2c:9l4?:%0af?7202B9nl5Y2cf95~J5jo0:w[j=:3y'5c>=9:3y'24c=9;1/:2wvqp5rb2f2>5<4290;w)=9<30Z<;>:3y'24c=9;1/:<@;hj7[i6n80;6)P5jm0:wAf09U507=:r.==h49;%42`?06<729q/>ol51g28L7ga3`;>57>5$3`a>43?3_8ih7?tL3`e>4}Ql;09w)?i8;36=>P6=809w)8>e;48 37c2?1vqps4i07b>5<#:kh1=864V3`g>4}K:kl1=vXk2;0x 4`?28?j7[?:1;0x 37b2?1/:47>5$3`a>43?32e:j<4?:%0af?7a92\9ni4>{M0ab?7|^m81>v*>f982b4=Q9<;1>v*91d85?!06l3<0qpsr;|`77`<72?0;6=u+2c`9500<@;km7)?89;37?l7203:1(?lm:07;?S4el3;p@?li:0yU5`6=:r.:j54>599U507=:r.==h49;%42`?0oj51zN1fc<6s_;n<7fd<^8?:6?u+60g92>"19m0=7psr}:k`g?6=,;hi6nm4V3`g>7}K:kl1=vX>e181!7a03ih7[?:1;0x 37b211/:pZ?ol:2yU`5<5s-<:o7ml;%1e4?06m2w]h<4={%42g?7202.8j=491d9~ 3gc2l1vZ?ol:2yU`5<5s-<:o7ml;%1e4?06m2w]h<4={%42g?7202.8j=491e9~ 3gc2l1vZ?ol:2yU`5<5s-<:o7ml;%1e4?06m2w]h<4={%42g?ee3-9m<78>e:'2db=?2w]=k952zTg4?4|,?;h6nm4$2d3>37b3t.=mi4>3:~y>o5ik0;6)P5jm09wA52z&55f<5ik1/?k>560g8y!0fl3;97psr;h0:g?6=,;hi6?7l;W0a`?4|D;hm66*91e826>{zuE8ii7?tV3`7>7}Ql909w)8>c;0:g>"4n90==h5r$7cg>44:18'6gd=9o;07pl;3`83>6<729q/>ol52c:8L7ga3`;>57>5$3`a>43?3_8ih7?tL3`e>4}Ql;09w)?i8;36=>P6=809w)8>e;48 37c2?1vqps4i07b>5<#:kh1=864V3`g>4}K:kl1=vXk2;0x 4`?28?j7[?:1;0x 37b2?1/:5<7s-8in79?;I0bb>o6=00;6)P5jm0:wA589U507=:r.==h4>1:&55a<692wvqp5f14c94?"5jk0:955Y2cf95~J5jo0:w[j=:3y'5c>=9:3y'24c=981/:2wvqp5rb741>5<5290;w)oj51zN1fc<6s_n96?u+1g:95c7<^8?:6?u+60g92>"19m0=7psr}:a231=83<1<7>t$3`a>4313A8jj6*>78820>o6=10;6)P5jm0:wA=73=#>8n1:6sr}|9jgf<72-8in7ml;W0a`?4|D;hm65081!06m320(;?k:99~yxJ5jl0?w[560g8y!0fl3o0q[560f8y!0fl3o0q[1vZvB=bg82S7b838p(h?:73f?x"1im0:>6sr}:k1=f<72-8in7<6c:T1fa<5sE8ij7?tV0g3>7}#9o21>4m4V072>7}#>8o1=?5+60f957=zutF9nh4>{W0a0?4|^m:1>v*91b81=f=#;o:1:{M0ab?7|^m81>v*>f9821<=Q9<;1>v*91d85?!06l3<0qpsr;h36e?6=,;hi6<;7;W0a`?7|D;hm6m6X>5081!06m3<0(;?k:79~yx{2wvqp5rb747>5<6290;w)N5io1d=k?50;&1fg<6n810qo895;297?6=8r.9no480:J1ec=n9<31<7*=bc821==Q:kn1=vB=bg82Sb52;q/=k6514;8R4362;q/:5<#:kh1=864V3`g>4}K:kl1=vXk2;0x 4`?28?j7[?:1;0x 37b28;0(;?k:038yx{z3f;m=7>5$3`a>4`63_8ih7?tL3`e>4}Ql;09w)?i8;3e5>P6=809w)8>e;48 37c2?1vqps4}c45N5io1b=8650;&1fg<6=110c:18'6gd=9o;0Z?lk:0yO6g`=9r\o>74`63_;>=73=#>8n1:6sr}|9~f30c290=6=4?{%0af?72>2B9mk5+16;951=n9<21<7*=bc821==Q:kn1=vB=bg82S7b838p(2wvqp5fcc83>!4ej3ii7[52z&2b=7}#9o21on5Y14396~"19l037)8>d;:8yx{K:ko18vX=ab80Sb72;q/:8o0q[j>:3y'24e=9<20(>h?:73f?x"1im0n7pX=ab80Sb72;q/:8o0q[j>:3y'24e=9<20(>h?:73g?x"1im0n7pX=ab80Sb72;q/:8o0q[j>:3y'24e=kk1/?k>560g8y!0fl3=0q[?i7;0xRa6=:r.==n4lc:&0b5<19l1v(;ok:018yx{P6=809w)8>e;31?!06l3;97psrL3`f>4}Q:k>1>vXk0;0x 37d2;ki7)=i0;42a>{#>hn1=?5r}|9j6{W3f4?4|,8l36?7l;W365?4|,?;n6<<4$73g>44ok51zT1f1<5s_n;6?u+60a96f083>!4ej3;m=65rb74:>5<4290;w)N5io1b=8750;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:950?<^8?:6?u+60g92>"19m0=7psr}:k21d<72-8in7?:8:T1fa<6sE8ij7?tVe096~"6n10:9l5Y14396~"19l0=7)8>d;48yx{z3f;m=7>5$3`a>4`63_8ih7?tL3`e>4}Ql;09w)?i8;3e5>P6=809w)8>e;48 37c2?1vqps4}c45e?6=93:1:18'6gd=9o;07pl96c83>6<729q/>ol5719K6d`<^;ho6m6X>5081!06m3;:7)8>d;32?x{zu2e:j<4?:%0af?7a92\9ni4>{M0ab?7|^m81>v*>f982b4=Q9<;1>v*91d85?!06l3<0qpsr;|`53f<72;0;6=u+2c`9f<=O:hl0e<;7:18'6gd=9<207b?i1;29 7de28l:7[50z&1fg<6=?1C>lh4$05:>42<^;ho6P6=809w)8>e;48 37c2?1vqps4ib`94?"5jk0hn6X=be82I4en3;pZ=kk1]=8?52z&55`<13-<:h784}|~?led290/>ol5cb9U6gb=:rF9nk4>{W3f4?4|,8l36nm4V072>7}#>8o146*91e8;?x{zD;hn69uY2`a97~Pc838p(;?l:ba8 6`72?;n7pXk1;0x 37d28?37)=i0;42a>{#>hn1i6sY2`a97~Pc838p(;?l:ba8 6`72?;n7pXk1;0x 37d28?37)=i0;42`>{#>hn1i6sY2`a97~Pc838p(;?l:ba8 6`72?;n7pXk1;0x 37d2jh0(>h?:73f?x"1im0<7pX>f681Sb72;q/:8o0q)8nd;30?x{z3`8jn7>5$3`a>7ge3_8ih74}Q9l:1>v*>f981eg=Q9<;1>v*91d826>"19m0:>6sr}M0aa?7|^;h?6?uYd181!06k38jn6*ol528a8R7dc2;qG>oh51zT2a5<5s-;m47<6c:T214<5s-<:i7?=;%42`?753twv@?lj:0yU6g2=:r\o<77?d3-9m<78>e:'2db=9;1vqp5`1g394?"5jk0:j<54}c44`?6=;3:1743>3_;>=73=#>8n1:6sr}|9j50g=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=8o4V072>7}#>8o1:6*91e85?x{zu2e:j<4?:%0af?7a92\9ni4>{M0ab?7|^m81>v*>f982b4=Q9<;1>v*91d85?!06l3<0qpsr;|`53`<7280;6=u+2c`96f4<@;km7b?i1;29 7de28l:76sm66d94?5=83:p(?lm:628L7ga3`;>57>5$3`a>43?3_8ih7?tL3`e>4}Ql;09w)?i8;36=>P6=809w)8>e;32?!06l3;:7psr}:k21d<72-8in7?:8:T1fa<6sE8ij7?tVe096~"6n10:9l5Y14396~"19l0:=6*91e825>{zut1d=k?50;&1fg<6n81]>oj51zN1fc<6s_n96?u+1g:95c7<^8?:6?u+60g92>"19m0=7psr}:a1`<72;0;6=u+2c`9f<=O:hl0e<;7:18'6gd=9<207b?i1;29 7de28l:7[2B9mk5+16;951=n9<21<7*=bc821==Q:kn1=vB=bg82S7b838p(2wvqp5fcc83>!4ej3ii7[52z&2b=7}#9o21on5Y14396~"19l037)8>d;:8yx{K:ko18vX=ab80Sb72;q/:8o0q[j>:3y'24e=9<20(>h?:73f?x"1im0n7pX=ab80Sb72;q/:8o0q[j>:3y'24e=9<20(>h?:73g?x"1im0n7pX=ab80Sb72;q/:8o0q[j>:3y'24e=kk1/?k>560g8y!0fl3=0q[?i7;0xRa6=:r.==n4lc:&0b5<19l1v(;ok:018yx{P6=809w)8>e;31?!06l3;97psrL3`f>4}Q:k>1>vXk0;0x 37d2;ki7)=i0;42a>{#>hn1=?5r}|9j6{W3f4?4|,8l36?7l;W365?4|,?;n6<<4$73g>44ok51zT1f1<5s_n;6?u+60a96f083>!4ej3;m=65rb4d94?5=83:p(?lm:3`;?M4fn2c:944?:%0af?7202B9nl5Y2cf95~J5jo0:w[j=:3y'5c>=9<30Z<;>:3y'24c=>2.==i49;|~y>o6=h0;6)P5jm0:wA5`9U507=:r.==h49;%42`?05<0290;w){M0ab?7|^m81>v*>f9821<=Q9<;1>v*91d825>"19m0:=6sr}|9j50g=83.9no4>599K6gg<^;ho6P5jm0:wA649U507=:r.==h4>1:&55a<692wvqp5f16294?"5jk0:955G2cc8R7dc28qG>oh51zTg6?4|,8l36<9?;W365?4|,?;n6475Y14396~"19l0:=6*91e825>{zut1b=::50;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:9522<^8?:6?u+60g954=#>8n1=<5r}|8k4`6290/>ol51g38R7dc28qG>oh51zTg6?4|,8l36;W365?4|,?;n6;5+60f92>{zut1vn;=50;594?6|,;hi6:>4H3ce?l7213:1(?lm:07;?M4ei2\9ni4>{M0ab?7|^m81>v*>f9821<=Q9<;1>v*91d825>"19m0:=6sr}|9j50g=83.9no4>599K6gg<^;ho6P5jm0:wA649U507=:r.==h4>1:&55a<692wvqp5f16294?"5jk0:955G2cc8R7dc28qG>oh51zTg6?4|,8l36<9?;W365?4|,?;n6475Y14396~"19l0:=6*91e825>{zut1b=::50;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:9522<^8?:6?u+60g954=#>8n1=<5r}|8k4`6290/>ol51g38R7dc28qG>oh51zTg6?4|,8l36;W365?4|,?;n6;5+60f92>{zut1vn;:50;594?6|,;hi6:>4H3ce?l7213:1(?lm:07;?M4ei2\9ni4>{M0ab?7|^m81>v*>f9821<=Q9<;1>v*91d825>"19m0:=6sr}|9j50g=83.9no4>599K6gg<^;ho6P5jm0:wA649U507=:r.==h4>1:&55a<692wvqp5f16294?"5jk0:955G2cc8R7dc28qG>oh51zTg6?4|,8l36<9?;W365?4|,?;n6475Y14396~"19l0:=6*91e825>{zut1b=::50;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:9522<^8?:6?u+60g954=#>8n1=<5r}|8k4`6290/>ol51g38R7dc28qG>oh51zTg6?4|,8l36;W365?4|,?;n6;5+60f92>{zut1vn;;50;594?6|,;hi6:>4H3ce?l7213:1(?lm:07;?M4ei2\9ni4>{M0ab?7|^m81>v*>f9821<=Q9<;1>v*91d825>"19m0:=6sr}|9j50g=83.9no4>599K6gg<^;ho6P5jm0:wA649U507=:r.==h4>1:&55a<692wvqp5f16294?"5jk0:955G2cc8R7dc28qG>oh51zTg6?4|,8l36<9?;W365?4|,?;n6475Y14396~"19l0:=6*91e825>{zut1b=::50;&1fg<6=11C>oo4V3`g>4}K:kl1=vXk2;0x 4`?28=?7[?:1;0x 37b28;0(;?k:038yx{z3f;m=7>5$3`a>4`63_8ih7?tL3`e>4}Ql;09w)?i8;3e5>P6=809w)8>e;48 37c2?1vqps4}c45>5=9<30Z<;>:3y'24c=981/:<@;hj7[;|~y>o6><0;6)N5jh1]>oj51zN1fc<6s_n96?u+1g:9533<^8?:6?u+60g954=#>8n1=<5r}|8m417290/>ol514:8L7df3_8ih7?tL3`e>4}Ql;09w)?i8;344>P6=809w)8>e;32?!06l3;:7psr}:k236<72-8in7?:8:T1fa<6sE8ij7?tVe096~"6n10:;>5Y14396~"19l0:=6*91e825>{zut1b=::50;&1fg<6=11C>oo4V3`g>4}K:kl1=vXk2;0x 4`?28=?7[?:1;0x 37b28;0(;?k:038yx{z3`;<97>5$3`a>43?3A8im6X=be82I4en3;pZi<52z&2b=<6?<1]=8?52z&55`<692.==i4>1:~yx=h9o;1<7*=bc82b4=Q:kn1=vB=bg82Sb52;q/=k651g38R4362;q/:3<729q/>ol51g28L7ga3`;>57>5$3`a>43?3_8ih7?tL3`e>4}Ql;09w)?i8;36=>P6=809w)8>e;48 37c2?1vqps4i07b>5<#:kh1=864V3`g>4}K:kl1=vXk2;0x 4`?28?j7[?:1;0x 37b2?1/:7183>!4ej3;>46X=be82I4en3;pZi<52z&2b=<6?91]=8?52z&55`<13-<:h784}|~?l70;3:1(?lm:07;?S4el3;p@?li:0yU`7<5s-;m47?83:T214<5s-<:i784$73g>3=zutw0c:18'6gd=9o;0Z?lk:0yO6g`=9r\o>74`63_;>=73=#>8n1:6sr}|9~f4d029096=4?{%0af?d>3A8jj6g>5983>!4ej3;>465`1g394?"5jk0:j<5Y2cf95~J5jo0:w[j=:3y'5c>=9o;0Z<;>:3y'24c=>2.==i49;|~y>{e9ko1<7<50;2x 7de2k30D?oi;h36d;48yx{z3th:o94?:783>5}#:kh1=884H3ce?!7013;?7d?:8;29 7de28?37[52z&2b=<6=11]=8?52z&55`<13-<:h784}|~?lee290/>ol5cc9U6gb=9rF9nk4>{W3f4?4|,8l36nl4V072>7}#>8o1:6*91e85?x{zu2cho7>5$3`a>fe<^;ho6?uC2cd95~P6m909w)?i8;a`?S72938p(;?j:99'24b=02wvqAe:U`4<5s-<:o7?:8:&0b5<19l1v(;ok:d9~R7gd2:q]h=4={%42g?ed3-9m<78>e:U`4<5s-<:o7?:8:&0b5<19m1v(;ok:d9~R7gd2:q]h=4={%42g?ed3-9m<78>e:U`4<5s-<:o7mm;%1e4?06m2w/:lj57:U5c1=:r\o<7fe<,:l;6;?j;|&5ea<6;2wvq6g=ac83>!4ej38jn6X=be81I4en3;pZ=:hh0Z<;>:3y'24c=9;1/:8o0q)8nd;31?x{z3`82o7>5$3`a>7?d3_8ih74}Q9l:1>v*>f981=f=Q9<;1>v*91d826>"19m0:>6sr}M0aa?7|^;h?6?uYd181!06k382o6*ol51g38?xd6j10;6>4?:1y'6gd=:k20D?oi;h36=?6=,;hi6<;7;I0ae>P5jm0:wA589U507=:r.==h49;%42`?0ol51g38R7dc28qG>oh51zTg6?4|,8l36;W365?4|,?;n6;5+60f92>{zut1vn5<7s-8in753;294~"5jk0<<6F=ag9j50?=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=874V072>7}#>8o1:6*91e85?x{zu2c:9l4?:%0af?7202\9ni4>{M0ab?7|^m81>v*>f9821d=Q9<;1>v*91d85?!06l3<0qpsr;n3e5?6=,;hi6;W0a`?7|D;hm65081!06m3<0(;?k:79~yx{53;294~"5jk0<<6F=ag9j50?=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=874V072>7}#>8o1=<5+60f954=zutw0e<;n:18'6gd=9<20D?ln;W0a`?7|D;hm6m6X>5081!06m3;:7)8>d;32?x{zu2e:j<4?:%0af?7a92\9ni4>{M0ab?7|^m81>v*>f982b4=Q9<;1>v*91d85?!06l3<0qpsr;|`2fa<72:0;6=u+2c`935=O:hl0e<;6:18'6gd=9<20Z?lk:0yO6g`=9r\o>743>3_;>=747<,?;o6;%42`?763twvq6a>f083>!4ej3;m=6X=be82I4en3;pZi<52z&2b=<6n81]=8?52z&55`<13-<:h784}|~?xd6jh0;694?:1y'6gd=9o:0D?oi;h36=?6=,;hi6<;7;W0a`?7|D;hm656X>5081!06m3<0(;?k:79~yx{<^;ho62wvqp5f17794?"5jk0:955Y2cf95~J5jo0:w[j=:3y'5c>=9??0Z<;>:3y'24c=>2.==i49;|~y>i6n80;6)P5jm0:wAf09U507=:r.==h49;%42`?0bg83>1<729q/>ol5719K6d`<^;ho6oh51zTg6?4|,8l36<;n;W365?4|,?;n6n5+60f9g>{zut1b=;;50;&1fg<6=11C>oo4V3`g>4}K:kl1=vXk2;0x 4`?28<>7[?:1;0x 37b2880(;?k:008yx{z3f;m=7>5$3`a>4`63_8ih7?tL3`e>4}Ql;09w)?i8;3e5>P6=809w)8>e;48 37c2?1vqps4}c3`4?6==3:1N5io1b=8750;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:950?<^8?:6?u+60g9g>"19m0h7psr}:k21d<72-8in7?:8:J1fd=Q:kn1=vB=bg82Sb52;q/=k6514c8R4362;q/:6483>!4ej3;>46X=be82I4en3;pZi<52z&2b=<6><1]=8?52z&55`{M0ab?7|^m81>v*>f98235=Q9<;1>v*91d826>"19m0:>6sr}|9l5c7=83.9no4>f09U6gb=9rF9nk4>{Wf1>7}#9o21=k?4V072>7}#>8o1:6*91e85?x{zu2wi=n?50;494?6|,;hi6:>4H3ce?l7213:1(?lm:07;?S4el3;p@?li:0yU`7<5s-;m47?:9:T214<5s-<:i7?=;%42`?753twvq6g>5`83>!4ej3;>46X=be82I4en3;pZi<52z&2b=<6=h1]=8?52z&55`<6:2.==i4>2:~yx=n9??1<7*=bc821==Q:kn1=vB=bg82Sb52;q/=k651778R4362;q/:5<#:kh1=864H3`b?S4el3;p@?li:0yU`7<5s-;m47?80:T214<5s-<:i7?=;%42`?753twvq6g>7283>!4ej3;>46X=be82I4en3;pZi<52z&2b=<6?:1]=8?52z&55`<6:2.==i4>2:~yx=h9o;1<7*=bc82b4=Q:kn1=vB=bg82Sb52;q/=k651g38R4362;q/:{M0ab?7|^m81>v*>f9821<=Q9<;1>v*91d85?!06l3<0qpsr;h36e?6=,;hi6<;7;W0a`?7|D;hm6m6X>5081!06m3<0(;?k:79~yx{6=4+2c`950><^;ho62wvqp5`1g394?"5jk0:j<5Y2cf95~J5jo0:w[j=:3y'5c>=9o;0Z<;>:3y'24c=>2.==i49;|~y>{e9m91<7<50;2x 7de2k30D?oi;h36d;48yx{z3th:hk4?:783>5}#:kh1=884H3ce?!7013;?7d?:8;29 7de28?37[52z&2b=<6=11]=8?52z&55`<13-<:h784}|~?lee290/>ol5cc9U6gb=9rF9nk4>{W3f4?4|,8l36nl4V072>7}#>8o1:6*91e85?x{zu2cho7>5$3`a>fe<^;ho6?uC2cd95~P6m909w)?i8;a`?S72938p(;?j:99'24b=02wvqAe:U`4<5s-<:o7?:8:&0b5<19l1v(;ok:d9~R7gd2:q]h=4={%42g?ed3-9m<78>e:U`4<5s-<:o7?:8:&0b5<19m1v(;ok:d9~R7gd2:q]h=4={%42g?ed3-9m<78>e:U`4<5s-<:o7mm;%1e4?06m2w/:lj57:U5c1=:r\o<7fe<,:l;6;?j;|&5ea<6;2wvq6g=ac83>!4ej38jn6X=be81I4en3;pZ=:hh0Z<;>:3y'24c=9;1/:8o0q)8nd;31?x{z3`82o7>5$3`a>7?d3_8ih74}Q9l:1>v*>f981=f=Q9<;1>v*91d826>"19m0:>6sr}M0aa?7|^;h?6?uYd181!06k382o6*ol51g38?xd6l=0;6>4?:1y'6gd=:k20D?oi;h36=?6=,;hi6<;7;W0a`?7|D;hm656X>5081!06m3<0(;?k:79~yx{<^;ho62wvqp5`1g394?"5jk0:j<5Y2cf95~J5jo0:w[j=:3y'5c>=9o;0Z<;>:3y'24c=>2.==i49;|~y>{e9m?1<7?50;2x 7de2;i97E5883>!4ej3;>46X=be82I4en3;pZi<52z&2b=<6=01]=8?52z&55`<13-<:h784}|~?l72i3:1(?lm:07;?S4el3;p@?li:0yU`7<5s-;m47?:a:T214<5s-<:i784$73g>3=zutw0c:18'6gd=9o;0Z?lk:0yO6g`=9r\o>74`63_;>=73=#>8n1:6sr}|9~f4b?29086=4?{%0af?173A8jj6g>5883>!4ej3;>46X=be82I4en3;pZi<52z&2b=<6=01]=8?52z&55`<13-<:h784}|~?l72i3:1(?lm:07;?S4el3;p@?li:0yU`7<5s-;m47?:a:T214<5s-<:i784$73g>3=zutw0c:18'6gd=9o;0Z?lk:0yO6g`=9r\o>74`63_;>=73=#>8n1:6sr}|9~f4b>290?6=4?{%0af?173A8jj6g>5883>!4ej3;>46F=b`9U6gb=9rF9nk4>{Wf1>7}#9o21=874V072>7}#>8o1=<5+60f954=zutw0e<;n:18'6gd=9<20Z?lk:0yO6g`=9r\o>743f3_;>=747<,?;o6;%42`?763twvq6a>f083>!4ej3;m=6X=be82I4en3;pZi<52z&2b=<6n81]=8?52z&55`<13-<:h784}|~?xd6lh0;694?:1y'6gd=?91C>lh4i07:>5<#:kh1=864V3`g>4}K:kl1=vXk2;0x 4`?28?27[?:1;0x 37b28;0(;?k:038yx{z3`;>m7>5$3`a>43?3A8im6X=be82I4en3;pZi<52z&2b=<6=h1]=8?52z&55`<692.==i4>1:~yx=n9??1<7*=bc821==Q:kn1=vB=bg82Sb52;q/=k651778R4362;q/:5<#:kh1=k?4V3`g>4}K:kl1=vXk2;0x 4`?28l:7[?:1;0x 37b2?1/:5<#:kh1=864H3`b?S4el3;p@?li:0yU`7<5s-;m47?:a:T214<5s-<:i7?>;%42`?763twvq6g>6483>!4ej3;>46F=b`9U6gb=9rF9nk4>{Wf1>7}#9o21=;;4V072>7}#>8o1=<5+60f954=zutw0e<9?:18'6gd=9<20D?ln;W0a`?7|D;hm65081!06m3;:7)8>d;32?x{zu2e:j<4?:%0af?7a92\9ni4>{M0ab?7|^m81>v*>f982b4=Q9<;1>v*91d85?!06l3<0qpsr;|`2`f<7210;6=u+2c`935=O:hl0e<;6:18'6gd=9<20Z?lk:0yO6g`=9r\o>743>3_;>=7g=#>8n1n6sr}|9j50g=83.9no4>599K6gg<^;ho6oh51zTg6?4|,8l36<8:;W365?4|,?;n6o5+60f9f>{zut1b=:>50;&1fg<6=11C>oo4V3`g>4}K:kl1=vXk2;0x 4`?28=;7[?:1;0x 37b28;0(;?k:038yx{z3`;5$3`a>43?3A8im6X=be82I4en3;pZi<52z&2b=<6?:1]=8?52z&55`<692.==i4>1:~yx=n9>>1<7*=bc821==O:kk0Z?lk:0yO6g`=9r\o>74133_;>=747<,?;o6;%42`?763twvq6a>f083>!4ej3;m=6X=be82I4en3;pZi<52z&2b=<6n81]=8?52z&55`<13-<:h784}|~?xd6lm0;654?:1y'6gd=?91C>lh4i07:>5<#:kh1=864V3`g>4}K:kl1=vXk2;0x 4`?28?27[?:1;0x 37b2k1/:743f3_;>=7g=#>8n1n6sr}|9j533=83.9no4>599K6gg<^;ho65081!06m3;:7)8>d;32?x{zu2c:;>4?:%0af?7202B9nl5Y2cf95~J5jo0:w[j=:3y'5c>=9>90Z<;>:3y'24c=981/:<@;hj7[;|~y>o6?<0;6)P5jm0:wA749U507=:r.==h4>1:&55a<692wvqp5`1g394?"5jk0:j<5Y2cf95~J5jo0:w[j=:3y'5c>=9o;0Z<;>:3y'24c=>2.==i49;|~y>{e9m<1<7650;2x 7de28l;7Ed;48yx{z3`;>m7>5$3`a>43?3_8ih7?tL3`e>4}Ql;09w)?i8;36e>P6=809w)8>e;48 37c2?1vqps4i046>5<#:kh1=864V3`g>4}K:kl1=vXk2;0x 4`?28<>7[?:1;0x 37b2?1/::1<7*=bc821==Q:kn1=vB=bg82Sb52;q/=k651628R4362;q/:7283>!4ej3;>46X=be82I4en3;pZi<52z&2b=<6?:1]=8?52z&55`<13-<:h784}|~?l70<3:1(?lm:07;?S4el3;p@?li:0yU`7<5s-;m47?84:T214<5s-<:i784$73g>3=zutw0e<9::18'6gd=9<20Z?lk:0yO6g`=9r\o>74123_;>=73=#>8n1:6sr}|9l5c7=83.9no4>f09U6gb=9rF9nk4>{Wf1>7}#9o21=k?4V072>7}#>8o1:6*91e85?x{zu2wi=k750;094?6|,;hi6o74H3ce?l7203:1(?lm:07;?>i6n80;6)P5jm0:wAf09U507=:r.==h49;%42`?03<729q/>ol51448L7ga3-;<57?;;h36d;48yx{z3`ii6=4+2c`9gg=Q:kn1=vB=bg82S7b838p(=7==#>8n146sr}M0aa?2|^;kh6>uYd181!06k3ih7)=i0;42a>{Ql809w)8>c;36<>"4n90==h5r$7cg>`=z^;kh6>uYd181!06k3ih7)=i0;42a>{Ql809w)8>c;36<>"4n90==i5r$7cg>`=z^;kh6>uYd181!06k3ih7)=i0;42a>{Ql809w)8>c;aa?!5a83<:i6s+6`f93>{Q9o=1>vXk0;0x 37d2ji0(>h?:73f?x"1im0:?6sr}:k1eg<72-8in77}#9o21>ll4V072>7}#>8o1=?5+60f957=zutF9nh4>{W0a0?4|^m:1>v*91b81eg=#;o:1:52z&2b=<51j1]=8?52z&55`<6:2.==i4>2:~yI4em3;pZ?l;:3yU`5<5s-<:o7<6c:&0b5<19l1v(;ok:008yx{5}#:kh1>o64H3ce?l7213:1(?lm:07;?S4el3;p@?li:0yU`7<5s-;m47?:9:T214<5s-<:i784$73g>3=zutw0e<;n:18'6gd=9<20Z?lk:0yO6g`=9r\o>743f3_;>=73=#>8n1:6sr}|9l5c7=83.9no4>f09U6gb=9rF9nk4>{Wf1>7}#9o21=k?4V072>7}#>8o1:6*91e85?x{zu2wi=kl50;394?6|,;hi6?m=;I0bb>i6n80;6)=zj8lo6=4<:183!4ej3=;7Ed;48yx{z3`;>m7>5$3`a>43?3_8ih7?tL3`e>4}Ql;09w)?i8;36e>P6=809w)8>e;48 37c2?1vqps4o0d2>5<#:kh1=k?4V3`g>4}K:kl1=vXk2;0x 4`?28l:7[?:1;0x 37b2?1/:d;48yx{z3`;>m7>5$3`a>43?3_8ih7?tL3`e>4}Ql;09w)?i8;36e>P6=809w)8>e;48 37c2?1vqps4o0d2>5<#:kh1=k?4V3`g>4}K:kl1=vXk2;0x 4`?28l:7[?:1;0x 37b2?1/:d;`8yx{z3`;>m7>5$3`a>43?3A8im6X=be82I4en3;pZi<52z&2b=<6=h1]=8?52z&55`<692.==i4>1:~yx=h9o;1<7*=bc82b4=Q:kn1=vB=bg82Sb52;q/=k651g38R4362;q/:57>5$3`a>43?3A8im6X=be82I4en3;pZi<52z&2b=<6=01]=8?52z&55`{M0ab?7|^m81>v*>f9821d=Q9<;1>v*91d825>"19m0:=6sr}|9l5c7=83.9no4>f09U6gb=9rF9nk4>{Wf1>7}#9o21=k?4V072>7}#>8o1:6*91e85?x{zu2wi>=?50;194?6|,;hi6:>4H3ce?l7213:1(?lm:07;?M4ei2\9ni4>{M0ab?7|^m81>v*>f9821<=Q9<;1>v*91d825>"19m0:=6sr}|9j50g=83.9no4>599K6gg<^;ho6;W0a`?7|D;hm65081!06m3<0(;?k:79~yx{7>53;294~"5jk0<<6F=ag9j50?=83.9no4>599K6gg<^;ho6m6X>5081!06m3;:7)8>d;32?x{zu2e:j<4?:%0af?7a92\9ni4>{M0ab?7|^m81>v*>f982b4=Q9<;1>v*91d85?!06l3<0qpsr;|`146<72=0;6=u+2c`935=O:hl0e<;6:18'6gd=9<20Z?lk:0yO6g`=9r\o>743>3_;>=7g=#>8n1n6sr}|9j50g=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=8o4V072>7}#>8o1n6*91e8a?x{zu2c::84?:%0af?7202B9nl5Y2cf95~J5jo0:w[j=:3y'5c>=9??0Z<;>:3y'24c=981/:2wvqp5rb0d`>5N5io1b=8750;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:950?<^8?:6?u+60g92>"19m0=7psr}:k21d<72-8in7?:8:T1fa<6sE8ij7?tVe096~"6n10:9l5Y14396~"19l0=7)8>d;48yx{z3`;=97>5$3`a>43?3_8ih7?tL3`e>4}Ql;09w)?i8;351>P6=809w)8>e;48 37c2?1vqps4i053>5<#:kh1=864V3`g>4}K:kl1=vXk2;0x 4`?28=;7[?:1;0x 37b2?1/:91<7*=bc821==Q:kn1=vB=bg82Sb52;q/=k651618R4362;q/:7583>!4ej3;>46X=be82I4en3;pZi<52z&2b=<6?=1]=8?52z&55`<13-<:h784}|~?l70=3:1(?lm:07;?S4el3;p@?li:0yU`7<5s-;m47?85:T214<5s-<:i784$73g>3=zutw0c:18'6gd=9o;0Z?lk:0yO6g`=9r\o>74`63_;>=73=#>8n1:6sr}|9~f7e429096=4?{%0af?d>3A8jj6g>5983>!4ej3;>465`1g394?"5jk0:j<5Y2cf95~J5jo0:w[j=:3y'5c>=9o;0Z<;>:3y'24c=>2.==i49;|~y>{e:j21<7850;2x 7de28?=7E{M0ab?7|^8o;6?u+1g:950><^8?:6?u+60g92>"19m0=7psr}:k`f?6=,;hi6nl4V3`g>4}K:kl1=vX>e181!7a03ii7[?:1;0x 37b2?1/:==zutF9nh4;{W0bg?5|^m:1>v*91b8`g>"4n90==h5rVe396~"19j0:955+3g2924cv*91b8`g>"4n90==h5rVe396~"19j0:955+3g2924bv*91b8`g>"4n90==h5rVe396~"19j0hn6*7}Ql909w)8>c;a`?!5a83<:i6s+6`f956=zut1b>ll50;&1fg<5ik1]>oj52zN1fc<6s_;n<77ge3_;>=744<,?;o6<<4}|O6gc=9r\9n94={Wf3>7}#>8i1>ll4$2d3>37b3t.=mi4>2:~y>o51j0;6)P5jm09wA52z&55f<51j1/?k>560g8y!0fl3;97psr;n3e5?6=,;hi6;:a6f2=8391<7>t$3`a>7d?3A8jj6g>5883>!4ej3;>46X=be82I4en3;pZi<52z&2b=<6=01]=8?52z&55`<13-<:h784}|~?l72i3:1(?lm:07;?S4el3;p@?li:0yU`7<5s-;m47?:a:T214<5s-<:i784$73g>3=zutw0c:18'6gd=9o;0Z?lk:0yO6g`=9r\o>74`63_;>=73=#>8n1:6sr}|9~f7e2290:6=4?{%0af?4d:2B9mk5`1g394?"5jk0:j<54}c0`2?6=;3:1N5io1b=8750;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:950?<^8?:6?u+60g9f>"19m0i7psr}:k21d<72-8in7?:8:T1fa<6sE8ij7?tVe096~"6n10:9l5Y14396~"19l0i7)8>d;`8yx{z3f;m=7>5$3`a>4`63_8ih7?tL3`e>4}Ql;09w)?i8;3e5>P6=809w)8>e;48 37c2?1vqps4}c1g2?6=:3:1N5io1b=8650;&1fg<6=110c:18'6gd=9o;0Z?lk:0yO6g`=9r\o>74`63_;>=73=#>8n1:6sr}|9~f6ba29086=4?{%0af?4e02B9mk5f14;94?"5jk0:955Y2cf95~J5jo0:w[j=:3y'5c>=9<30Z<;>:3y'24c=>2.==i49;|~y>o6=h0;6)P5jm0:wA5`9U507=:r.==h4i;%42`?`50z&1fg<6=?1C>lh4$05:>42<^;ho6P6=809w)8>e;48 37c2?1vqps4ib`94?"5jk0hn6X=be82I4en3;pZ=kk1]=8?52z&55`<13-<:h784}|~?led290/>ol5cb9U6gb=:rF9nk4>{W3f4?4|,8l36nm4V072>7}#>8o146*91e8;?x{zD;hn69uY2`a97~Pc838p(;?l:ba8 6`72?;n7pXk1;0x 37d28?37)=i0;42a>{#>hn1i6sY2`a97~Pc838p(;?l:ba8 6`72?;n7pXk1;0x 37d28?37)=i0;42`>{#>hn1i6sY2`a97~Pc838p(;?l:ba8 6`72?;n7pXk1;0x 37d2jh0(>h?:73f?x"1im0<7pX>f681Sb72;q/:8o0q)8nd;30?x{z3`8jn7>5$3`a>7ge3_8ih74}Q9l:1>v*>f981eg=Q9<;1>v*91d826>"19m0:>6sr}M0aa?7|^;h?6?uYd181!06k38jn6*ol528a8R7dc2;qG>oh51zT2a5<5s-;m47<6c:T214<5s-<:i7?=;%42`?753twv@?lj:0yU6g2=:r\o<77?d3-9m<78>e:'2db=9;1vqp5`1g394?"5jk0:j<54}c1g3?6=;3:1743>3_;>=73=#>8n1:6sr}|9j50g=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=8o4V072>7}#>8o1:6*91e85?x{zu2e:j<4?:%0af?7a92\9ni4>{M0ab?7|^m81>v*>f982b4=Q9<;1>v*91d85?!06l3<0qpsr;|`0`=<7280;6=u+2c`96f4<@;km7b?i1;29 7de28l:76sm3ec94?2=83:p(?lm:628L7ga3`;>57>5$3`a>43?3_8ih7?tL3`e>4}Ql;09w)?i8;36=>P6=809w)8>e;`8 37c2k1vqps4i07b>5<#:kh1=864H3`b?S4el3;p@?li:0yU`7<5s-;m47?:a:T214<5s-<:i7?>;%42`?763twvq6g>6483>!4ej3;>46X=be82I4en3;pZi<52z&2b=<6><1]=8?52z&55`<692.==i4>1:~yx=h9o;1<7*=bc82b4=Q:kn1=vB=bg82Sb52;q/=k651g38R4362;q/:57>5$3`a>43?3A8im6X=be82I4en3;pZi<52z&2b=<6=01]=8?52z&55`;%42`?763twvq6g>6483>!4ej3;>46X=be82I4en3;pZi<52z&2b=<6><1]=8?52z&55`<692.==i4>1:~yx=n9>:1<7*=bc821==Q:kn1=vB=bg82Sb52;q/=k651628R4362;q/:5<#:kh1=k?4V3`g>4}K:kl1=vXk2;0x 4`?28l:7[?:1;0x 37b2?1/:{zut1b=8o50;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:950g<^8?:6?u+60g954=#>8n1=<5r}|8m402290/>ol514:8R7dc28qG>oh51zTg6?4|,8l36<8:;W365?4|,?;n647;|~y>i6n80;6)P5jm0:wAf09U507=:r.==h49;%42`?01<729q/>ol51g28L7ga3`;>57>5$3`a>43?3_8ih7?tL3`e>4}Ql;09w)?i8;36=>P6=809w)8>e;48 37c2?1vqps4i07b>5<#:kh1=864V3`g>4}K:kl1=vXk2;0x 4`?28?j7[?:1;0x 37b2?1/:f083>!4ej3;m=6X=be82I4en3;pZi<52z&2b=<6n81]=8?52z&55`<13-<:h784}|~?xd4m90;6?4?:1y'6gd=j01C>lh4i07;>5<#:kh1=864;n3e5?6=,;hi6;W0a`?7|D;hm65081!06m3<0(;?k:79~yx{56;294~"5jk0:9;5G2`d8 41>28>0e<;7:18'6gd=9<20Z?lk:0yO6g`=9r\:i=4={%3e7}#9o21oo5Y14396~"19l0=7)8>d;48yx{z3`ih6=4+2c`9gf=Q:kn1>vB=bg82S7b838p(fe<,:l;6;?j;|Tg5?4|,?;h6<;7;%1e4?06m2w/:lj5e:U6de=;r\o<7fe<,:l;6;?j;|Tg5?4|,?;h6<;7;%1e4?06l2w/:lj5e:U6de=;r\o<7fe<,:l;6;?j;|Tg5?4|,?;h6nl4$2d3>37b3t.=mi48;|T2b2<5s_n;6?u+60a9gf=#;o:1:52z&2b=<5ik1]=8?52z&55`<6:2.==i4>2:~yI4em3;pZ?l;:3yU`5<5s-<:o7P6=809w)8>e;31?!06l3;97psrL3`f>4}Q:k>1>vXk0;0x 37d2;3h7)=i0;42a>{#>hn1=?5r}|9l5c7=83.9no4>f098yg5b93:1?7>50z&1fg<5j11C>lh4i07:>5<#:kh1=864V3`g>4}K:kl1=vXk2;0x 4`?28?27[?:1;0x 37b2?1/:f083>!4ej3;m=6X=be82I4en3;pZi<52z&2b=<6n81]=8?52z&55`<13-<:h784}|~?xd4m;0;6<4?:1y'6gd=:j80D?oi;n3e5?6=,;hi6;:a7`5=8391<7>t$3`a>26<@;km7d?:9;29 7de28?37[ol514:8R7dc28qG>oh51zTg6?4|,8l36<;n;W365?4|,?;n6o5+60f9f>{zut1d=k?50;&1fg<6n81]>oj51zN1fc<6s_n96?u+1g:95c7<^8?:6?u+60g92>"19m0=7psr}:a7cb=8381<7>t$3`a>g?<@;km7d?:8;29 7de28?376a>f083>!4ej3;m=6X=be82I4en3;pZi<52z&2b=<6n81]=8?52z&55`<13-<:h784}|~?xd38<0;6?4?:1y'6gd=j01C>lh4i07;>5<#:kh1=864;n3e5?6=,;hi6;W0a`?7|D;hm65081!06m3<0(;?k:79~yx{;57>53;294~"5jk09n55G2`d8m43>290/>ol514:8R7dc28qG>oh51zTg6?4|,8l36<;6;W365?4|,?;n6;5+60f92>{zut1b=8o50;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:950g<^8?:6?u+60g9b>"19m0m7psr}:m2b4<72-8in7?i1:T1fa<6sE8ij7?tVe096~"6n10:j<5Y14396~"19l0=7)8>d;48yx{z3th?<54?:783>5}#:kh1=884H3ce?!7013;?7d?:8;29 7de28?37[52z&2b=<6=11]=8?52z&55`<13-<:h784}|~?lee290/>ol5cc9U6gb=9rF9nk4>{W3f4?4|,8l36nl4V072>7}#>8o1:6*91e85?x{zu2cho7>5$3`a>fe<^;ho6?uC2cd95~P6m909w)?i8;a`?S72938p(;?j:99'24b=02wvqAe:U`4<5s-<:o7?:8:&0b5<19l1v(;ok:d9~R7gd2:q]h=4={%42g?ed3-9m<78>e:U`4<5s-<:o7?:8:&0b5<19m1v(;ok:d9~R7gd2:q]h=4={%42g?ed3-9m<78>e:U`4<5s-<:o7mm;%1e4?06m2w/:lj57:U5c1=:r\o<7fe<,:l;6;?j;|&5ea<6;2wvq6g=ac83>!4ej38jn6X=be81I4en3;pZ=:hh0Z<;>:3y'24c=9;1/:8o0q)8nd;31?x{z3`82o7>5$3`a>7?d3_8ih74}Q9l:1>v*>f981=f=Q9<;1>v*91d826>"19m0:>6sr}M0aa?7|^;h?6?uYd181!06k382o6*ol51g38?xd4nl0;6>4?:1y'6gd=:k20D?oi;h36=?6=,;hi6<;7;W0a`?7|D;hm656X>5081!06m3<0(;?k:79~yx{<^;ho62wvqp5`1g394?"5jk0:j<5Y2cf95~J5jo0:w[j=:3y'5c>=9o;0Z<;>:3y'24c=>2.==i49;|~y>{e;ol1<7?50;2x 7de2;i97E6=4?{%0af?173A8jj6g>5883>!4ej3;>46F=b`9U6gb=9rF9nk4>{Wf1>7}#9o21=874V072>7}#>8o1=<5+60f954=zutw0e<;n:18'6gd=9<20Z?lk:0yO6g`=9r\o>743f3_;>=747<,?;o6;%42`?763twvq6g>7183>!4ej3;>46F=b`9U6gb=9rF9nk4>{Wf1>7}#9o21=:>4V072>7}#>8o1=<5+60f954=zutw0c:18'6gd=9o;0Z?lk:0yO6g`=9r\o>74`63_;>=73=#>8n1:6sr}|9~f165290<6=4?{%0af?173A8jj6g>5883>!4ej3;>46F=b`9U6gb=9rF9nk4>{Wf1>7}#9o21=874V072>7}#>8o1=<5+60f954=zutw0e<;n:18'6gd=9<20Z?lk:0yO6g`=9r\o>743f3_;>=747<,?;o6{M0ab?7|^m81>v*>f98220=Q9<;1>v*91d825>"19m0:=6sr}|9j526=83.9no4>599K6gg<^;ho6P5jm0:wA729U507=:r.==h4>1:&55a<692wvqp5f16694?"5jk0:955Y2cf95~J5jo0:w[j=:3y'5c>=9>>0Z<;>:3y'24c=981/:2wvqp5rb520>5<0290;w)=9<30Z<;>:3y'24c=981/:<@;hj7[;|~y>o6><0;6)N5jh1]>oj51zN1fc<6s_n96?u+1g:9533<^8?:6?u+60g954=#>8n1=<5r}|8m417290/>ol514:8L7df3_8ih7?tL3`e>4}Ql;09w)?i8;344>P6=809w)8>e;32?!06l3;:7psr}:k236<72-8in7?:8:J1fd=Q:kn1=vB=bg82Sb52;q/=k651618R4362;q/:5<#:kh1=864V3`g>4}K:kl1=vXk2;0x 4`?28=?7[?:1;0x 37b28;0(;?k:038yx{z3f;m=7>5$3`a>4`63_8ih7?tL3`e>4}Ql;09w)?i8;3e5>P6=809w)8>e;48 37c2?1vqps4}c630?6=?3:1N5io1b=8750;&1fg<6=11C>oo4V3`g>4}K:kl1=vXk2;0x 4`?28?27[?:1;0x 37b28;0(;?k:038yx{z3`;>m7>5$3`a>43?3A8im6X=be82I4en3;pZi<52z&2b=<6=h1]=8?52z&55`<692.==i4>1:~yx=n9??1<7*=bc821==O:kk0Z?lk:0yO6g`=9r\o>74023_;>=747<,?;o6{M0ab?7|^m81>v*>f98235=Q9<;1>v*91d825>"19m0:=6sr}|9j525=83.9no4>599K6gg<^;ho65081!06m3;:7)8>d;32?x{zu2e:j<4?:%0af?7a92\9ni4>{M0ab?7|^m81>v*>f982b4=Q9<;1>v*91d85?!06l3<0qpsr;|`745<72<0;6=u+2c`95c6<@;km7d?:9;29 7de28?37[ol514:8R7dc28qG>oh51zTg6?4|,8l36<;n;W365?4|,?;n6;5+60f92>{zut1b=;;50;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:9533<^8?:6?u+60g92>"19m0=7psr}:k235<72-8in7?:8:T1fa<6sE8ij7?tVe096~"6n10:;=5Y14396~"19l0=7)8>d;48yx{z3f;m=7>5$3`a>4`63_8ih7?tL3`e>4}Ql;09w)?i8;3e5>P6=809w)8>e;48 37c2?1vqps4}c632?6==3:1N5io1b=8750;&1fg<6=11C>oo4V3`g>4}K:kl1=vXk2;0x 4`?28?27[?:1;0x 37b2880(;?k:008yx{z3`;>m7>5$3`a>43?3_8ih7?tL3`e>4}Ql;09w)?i8;36e>P6=809w)8>e;31?!06l3;97psr}:k220<72-8in7?:8:T1fa<6sE8ij7?tVe096~"6n10::85Y14396~"19l0:>6*91e826>{zut1b=:>50;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:9526<^8?:6?u+60g957=#>8n1=?5r}|8k4`6290/>ol51g38R7dc28qG>oh51zTg6?4|,8l36;W365?4|,?;n6;5+60f92>{zut1vn9=<:181>5<7s-8in7l6;I0bb>o6=10;6)=h9o;1<7*=bc82b4=Q:kn1=vB=bg82Sb52;q/=k651g38R4362;q/:4:k21=<72-8in7?:8:T1fa<6sE8ij7?tV0g3>7}#9o21=864V072>7}#>8o1:6*91e85?x{zu2chn7>5$3`a>fd<^;ho62wvqp5fcb83>!4ej3ih7[52z&2b=<,?;o655r}|N1f`<3s_8jo7=tVe296~"19j0ho6*v*91b821==#;o:1:v*91b821==#;o:1:v*91b8`f>"4n90==h5r$7cg>2=z^8l<6?uYd181!06k3ih7)=i0;42a>{#>hn1=>5r}|9j6dd=83.9no4=ac9U6gb=:rF9nk4>{W3f4?4|,8l36?om;W365?4|,?;n6<<4$73g>44ok51zT1f1<5s_n;6?u+60a96dd<,:l;6;?j;|&5ea<6:2wvq6g=9b83>!4ej382o6X=be81I4en3;pZ=:0i0Z<;>:3y'24c=9;1/:8o0q)8nd;31?x{z3f;m=7>5$3`a>4`632wi8>:50;194?6|,;hi6?l7;I0bb>o6=00;6)P5jm0:wA589U507=:r.==h49;%42`?0ol51g38R7dc28qG>oh51zTg6?4|,8l36;W365?4|,?;n6;5+60f92>{zut1vn9=::182>5<7s-8in78:7>53;294~"5jk0<<6F=ag9j50?=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=874V072>7}#>8o1n6*91e8a?x{zu2c:9l4?:%0af?7202\9ni4>{M0ab?7|^m81>v*>f9821d=Q9<;1>v*91d8a?!06l3h0qpsr;n3e5?6=,;hi6;W0a`?7|D;hm65081!06m3<0(;?k:79~yx{8j7>52;294~"5jk0i56F=ag9j50>=83.9no4>5998k4`6290/>ol51g38R7dc28qG>oh51zTg6?4|,8l36;W365?4|,?;n6;5+60f92>{zut1vn9:6:185>5<7s-8in7?:6:J1ec=#9>31=95f14:94?"5jk0:955Y2cf95~J5jo0:w[?j0;0x 4`?28?37[?:1;0x 37b2?1/:3=zutw0enm50;&1fgv*91d8;?!06l320qpsC2cg90~P5ij08w[j?:3y'24e=kj1/?k>560g8ySb62;q/:560g8ySb62;q/:560g8ySb62;q/:8o0q)8nd;58yS7a?38pZi>52z&55f5<#:kh1>ll4V3`g>7}K:kl1=vX>e181!7a038jn6X>5081!06m3;97)8>d;31?x{zD;hn64m50;&1fg<51j1]>oj52zN1fc<6s_;n<77?d3_;>=744<,?;o6<<4}|O6gc=9r\9n94={Wf3>7}#>8i1>4m4$2d3>37b3t.=mi4>2:~y>i6n80;6)=zj=>;6=4<:183!4ej38i46F=ag9j50?=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=874V072>7}#>8o1:6*91e85?x{zu2c:9l4?:%0af?7202\9ni4>{M0ab?7|^m81>v*>f9821d=Q9<;1>v*91d85?!06l3<0qpsr;n3e5?6=,;hi6;W0a`?7|D;hm65081!06m3<0(;?k:79~yx{?=7>51;294~"5jk09o?5G2`d8k4`6290/>ol51g38?xd3<:0;6>4?:1y'6gd=?91C>lh4i07:>5<#:kh1=864V3`g>4}K:kl1=vXk2;0x 4`?28?27[?:1;0x 37b28;0(;?k:038yx{z3`;>m7>5$3`a>43?3_8ih7?tL3`e>4}Ql;09w)?i8;36e>P6=809w)8>e;32?!06l3;:7psr}:m2b4<72-8in7?i1:T1fa<6sE8ij7?tVe096~"6n10:j<5Y14396~"19l0=7)8>d;48yx{z3th?894?:283>5}#:kh1;=5G2`d8m43>290/>ol514:8R7dc28qG>oh51zTg6?4|,8l36<;6;W365?4|,?;n647;|~y>i6n80;6)P5jm0:wAf09U507=:r.==h49;%42`?06<729q/>ol5719K6d`<^;ho6m6X>5081!06m3;:7)8>d;32?x{zu2e:j<4?:%0af?7a92\9ni4>{M0ab?7|^m81>v*>f982b4=Q9<;1>v*91d85?!06l3<0qpsr;|`703<72=0;6=u+2c`935=O:hl0e<;6:18'6gd=9<20Z?lk:0yO6g`=9r\o>743>3_;>=747<,?;o6{M0ab?7|^m81>v*>f9821d=Q9<;1>v*91d825>"19m0:=6sr}|9j533=83.9no4>599K6gg<^;ho6;W0a`?7|D;hm65081!06m3<0(;?k:79~yx{?;7>58;294~"5jk0<<6F=ag9j50?=83.9no4>599K6gg<^;ho6P5jm0:wA5`9U507=:r.==h4>1:&55a<692wvqp5f17794?"5jk0:955G2cc8R7dc28qG>oh51zTg6?4|,8l36<8:;W365?4|,?;n647{zut1b=:=50;&1fg<6=11C>oo4V3`g>4}K:kl1=vXk2;0x 4`?28=87[?:1;0x 37b28;0(;?k:038yx{z3`;<87>5$3`a>43?3A8im6X=be82I4en3;pZi<52z&2b=<6?=1]=8?52z&55`<692.==i4>1:~yx=n9>?1<7*=bc821==Q:kn1=vB=bg82Sb52;q/=k651678R4362;q/:5<#:kh1=k?4V3`g>4}K:kl1=vXk2;0x 4`?28l:7[?:1;0x 37b2?1/:96=49:183!4ej3;m<6F=ag9j50?=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=874V072>7}#>8o1:6*91e85?x{zu2c:9l4?:%0af?7202\9ni4>{M0ab?7|^m81>v*>f9821d=Q9<;1>v*91d85?!06l3<0qpsr;h351?6=,;hi6<;7;W0a`?7|D;hm65081!06m3<0(;?k:79~yx{<^;ho62wvqp5f16194?"5jk0:955Y2cf95~J5jo0:w[j=:3y'5c>=9>90Z<;>:3y'24c=>2.==i49;|~y>i6n80;6)P5jm0:wAf09U507=:r.==h49;%42`?07<729q/>ol5b89K6d`<3f;m=7>5$3`a>4`63_8ih7?tL3`e>4}Ql;09w)?i8;3e5>P6=809w)8>e;48 37c2?1vqps4}c6;7?6=>3:1ol514:8R7dc28qG>oh51zT2a5<5s-;m47?:8:T214<5s-<:i784$73g>3=zutw0enl50;&1fg{M0ab?7|^8o;6?u+1g:9gg=Q9<;1>v*91d85?!06l3<0qpsr;ha`>5<#:kh1on5Y2cf96~J5jo0:w[?j0;0x 4`?2ji0Z<;>:3y'24c=02.==i47;|~H7db2=q]>lm53zTg4?4|,?;h6nm4$2d3>37b3t\o=743?3-9m<78>e:'2db=m2w]>lm53zTg4?4|,?;h6nm4$2d3>37b3t\o=743?3-9m<78>d:'2db=m2w]>lm53zTg4?4|,?;h6nm4$2d3>37b3t\o=7fd<,:l;6;?j;|&5ea<03t\:j:4={Wf3>7}#>8i1on5+3g2924c2:&55a<6:2wvqA5<#:kh1>4m4V3`g>7}K:kl1=vX>e181!7a0382o6X>5081!06m3;97)8>d;31?x{zD;hn6<^;ho62wvqp5f14c94?"5jk0:955Y2cf95~J5jo0:w[j=:3y'5c>=9:3y'24c=>2.==i49;|~y>i6n80;6)P5jm0:wAf09U507=:r.==h49;%42`?04<729q/>ol52b08L7ga3f;m=7>5$3`a>4`632wi85?50;194?6|,;hi6:>4H3ce?l7213:1(?lm:07;?S4el3;p@?li:0yU`7<5s-;m47?:9:T214<5s-<:i7?>;%42`?763twvq6g>5`83>!4ej3;>46X=be82I4en3;pZi<52z&2b=<6=h1]=8?52z&55`<692.==i4>1:~yx=h9o;1<7*=bc82b4=Q:kn1=vB=bg82Sb52;q/=k651g38R4362;q/:47>5$3`a>43?32e:j<4?:%0af?7a92\9ni4>{M0ab?7|^m81>v*>f982b4=Q9<;1>v*91d85?!06l3<0qpsr;|`7<<<72?0;6=u+2c`9500<@;km7)?89;37?l7203:1(?lm:07;?S4el3;p@?li:0yU5`6=:r.:j54>599U507=:r.==h49;%42`?0oj51zN1fc<6s_;n<7fd<^8?:6?u+60g92>"19m0=7psr}:k`g?6=,;hi6nm4V3`g>7}K:kl1=vX>e181!7a03ih7[?:1;0x 37b211/:pZ?ol:2yU`5<5s-<:o7ml;%1e4?06m2w]h<4={%42g?7202.8j=491d9~ 3gc2l1vZ?ol:2yU`5<5s-<:o7ml;%1e4?06m2w]h<4={%42g?7202.8j=491e9~ 3gc2l1vZ?ol:2yU`5<5s-<:o7ml;%1e4?06m2w]h<4={%42g?ee3-9m<78>e:'2db=?2w]=k952zTg4?4|,?;h6nm4$2d3>37b3t.=mi4>3:~y>o5ik0;6)P5jm09wA52z&55f<5ik1/?k>560g8y!0fl3;97psr;h0:g?6=,;hi6?7l;W0a`?4|D;hm66*91e826>{zuE8ii7?tV3`7>7}Ql909w)8>c;0:g>"4n90==h5r$7cg>44:18'6gd=9o;07pl;8483>6<729q/>ol52c:8L7ga3`;>57>5$3`a>43?3_8ih7?tL3`e>4}Ql;09w)?i8;36=>P6=809w)8>e;48 37c2?1vqps4i07b>5<#:kh1=864V3`g>4}K:kl1=vXk2;0x 4`?28?j7[?:1;0x 37b2?1/:5<7s-8in79?;I0bb>o6=00;6)N5jh1]>oj51zN1fc<6s_n96?u+1g:950?<^8?:6?u+60g9f>"19m0i7psr}:k21d<72-8in7?:8:J1fd=Q:kn1=vB=bg82Sb52;q/=k6514c8R4362;q/:f083>!4ej3;m=6X=be82I4en3;pZi<52z&2b=<6n81]=8?52z&55`<13-<:h784}|~?xd113:1>7>50z&1fg5<#:kh1=k?4V3`g>4}K:kl1=vXk2;0x 4`?28l:7[?:1;0x 37b2?1/:{M0ab?7|^8o;6?u+1g:950><^8?:6?u+60g92>"19m0=7psr}:k`f?6=,;hi6nl4V3`g>4}K:kl1=vX>e181!7a03ii7[?:1;0x 37b2?1/:==zutF9nh4;{W0bg?5|^m:1>v*91b8`g>"4n90==h5rVe396~"19j0:955+3g2924cv*91b8`g>"4n90==h5rVe396~"19j0:955+3g2924bv*91b8`g>"4n90==h5rVe396~"19j0hn6*7}Ql909w)8>c;a`?!5a83<:i6s+6`f956=zut1b>ll50;&1fg<5ik1]>oj52zN1fc<6s_;n<77ge3_;>=744<,?;o6<<4}|O6gc=9r\9n94={Wf3>7}#>8i1>ll4$2d3>37b3t.=mi4>2:~y>o51j0;6)P5jm09wA52z&55f<51j1/?k>560g8y!0fl3;97psr;n3e5?6=,;hi6;:a2d<72:0;6=u+2c`96g><@;km7d?:9;29 7de28?37[ol514:8R7dc28qG>oh51zTg6?4|,8l36<;n;W365?4|,?;n6;5+60f92>{zut1d=k?50;&1fg<6n81]>oj51zN1fc<6s_n96?u+1g:95c7<^8?:6?u+60g92>"19m0=7psr}:a2g<7280;6=u+2c`96f4<@;km7b?i1;29 7de28l:76sm6b83>6<729q/>ol5719K6d`<^;ho6m6X>5081!06m3;:7)8>d;32?x{zu2e:j<4?:%0af?7a92\9ni4>{M0ab?7|^m81>v*>f982b4=Q9<;1>v*91d85?!06l3<0qpsr;|`15c<72;0;6=u+2c`9f<=O:hl0e<;7:18'6gd=9<207b?i1;29 7de28l:7[7>50z&1fg5<#:kh1=k?4V3`g>4}K:kl1=vXk2;0x 4`?28l:7[?:1;0x 37b2?1/::6F=ag9'52?=9=1b=8650;&1fg<6=11]>oj51zN1fc<6s_;n<743?3_;>=73=#>8n1:6sr}|9jgg<72-8in7mm;W0a`?7|D;hm65081!06m3<0(;?k:79~yx{P5jm09wAok54zT1ef<4s_n;6?u+60a9gf=#;o:1:7}#>8i1=864$2d3>37b3t.=mi4j;|T1ef<4s_n;6?u+60a9gf=#;o:1:7}#>8i1=864$2d3>37c3t.=mi4j;|T1ef<4s_n;6?u+60a9gf=#;o:1:7}#>8i1oo5+3g2924cv*91b8`g>"4n90==h5r$7cg>45o:52zTg4?4|,?;h6?om;%1e4?06m2w/:lj5139~yx=n:0i1<7*=bc81=f=Q:kn1>vB=bg82S7b838p(h?:73f?x"1im0:>6sr}:m2b4<72-8in7?i1:9~f74729086=4?{%0af?4e02B9mk5f14;94?"5jk0:955G2cc8R7dc28qG>oh51zTg6?4|,8l36<;6;W365?4|,?;n6;5+60f92>{zut1b=8o50;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:950g<^8?:6?u+60g92>"19m0=7psr}:m2b4<72-8in7?i1:T1fa<6sE8ij7?tVe096~"6n10:j<5Y14396~"19l0=7)8>d;48yx{z3th9><4?:083>5}#:kh1>n<4H3ce?j7a93:1(?lm:0d2?>{e:;91<7=50;2x 7de2>:0D?oi;h36=?6=,;hi6<;7;W0a`?7|D;hm656X>5081!06m3<0(;?k:79~yx{<^;ho62wvqp5`1g394?"5jk0:j<5Y2cf95~J5jo0:w[j=:3y'5c>=9o;0Z<;>:3y'24c=>2.==i49;|~y>{e:;>1<7950;2x 7de2>:0D?oi;h36=?6=,;hi6<;7;I0ae>P5jm0:wA589U507=:r.==h4>1:&55a<692wvqp5f14c94?"5jk0:955Y2cf95~J5jo0:w[j=:3y'5c>=9:3y'24c=981/:6=4+2c`950><@;hj7[;|~y>o6?90;6)N5jh1]>oj51zN1fc<6s_n96?u+1g:9526<^8?:6?u+60g954=#>8n1=<5r}|8m414290/>ol514:8L7df3_8ih7?tL3`e>4}Ql;09w)?i8;347>P6=809w)8>e;32?!06l3;:7psr}:k231<72-8in7?:8:J1fd=Q:kn1=vB=bg82Sb52;q/=k651668R4362;q/:5<#:kh1=k?4V3`g>4}K:kl1=vXk2;0x 4`?28l:7[?:1;0x 37b2?1/:6=48:183!4ej3=;7E5<#:kh1=864V3`g>4}K:kl1=vXk2;0x 4`?28?j7[?:1;0x 37b28;0(;?k:038yx{z3`;=97>5$3`a>43?3A8im6X=be82I4en3;pZi<52z&2b=<6><1]=8?52z&55`<692.==i4>1:~yx=n9>:1<7*=bc821==O:kk0Z?lk:0yO6g`=9r\o>74173_;>=747<,?;o6{M0ab?7|^m81>v*>f98236=Q9<;1>v*91d825>"19m0:=6sr}|9j522=83.9no4>599K6gg<^;ho6;W0a`?7|D;hm65081!06m3<0(;?k:79~yx{57;294~"5jk0<<6F=ag9j50?=83.9no4>599K6gg<^;ho6P5jm0:wA5`9U507=:r.==h4>1:&55a<692wvqp5f17794?"5jk0:955Y2cf95~J5jo0:w[j=:3y'5c>=9??0Z<;>:3y'24c=981/:<@;hj7[;|~y>o6?:0;6)N5jh1]>oj51zN1fc<6s_n96?u+1g:9525<^8?:6?u+60g954=#>8n1=<5r}|8m413290/>ol514:8L7df3_8ih7?tL3`e>4}Ql;09w)?i8;340>P6=809w)8>e;32?!06l3;:7psr}:m2b4<72-8in7?i1:T1fa<6sE8ij7?tVe096~"6n10:j<5Y14396~"19l0=7)8>d;48yx{z3th9>:4?:883>5}#:kh1;=5G2`d8m43>290/>ol514:8R7dc28qG>oh51zTg6?4|,8l36<;6;W365?4|,?;n647ol514:8L7df3_8ih7?tL3`e>4}Ql;09w)?i8;351>P6=809w)8>e;32?!06l3;:7psr}:k235<72-8in7?:8:J1fd=Q:kn1=vB=bg82Sb52;q/=k651628R4362;q/:5<#:kh1=864H3`b?S4el3;p@?li:0yU`7<5s-;m47?83:T214<5s-<:i7?>;%42`?763twvq6g>7583>!4ej3;>46F=b`9U6gb=9rF9nk4>{Wf1>7}#9o21=::4V072>7}#>8o1=<5+60f954=zutw0e<9::18'6gd=9<20Z?lk:0yO6g`=9r\o>74123_;>=747<,?;o63:1(?lm:07;?M4ei2\9ni4>{M0ab?7|^m81>v*>f98233=Q9<;1>v*91d825>"19m0:=6sr}|9l5c7=83.9no4>f09U6gb=9rF9nk4>{Wf1>7}#9o21=k?4V072>7}#>8o1:6*91e85?x{zu2wi>?<50;494?6|,;hi6o6=00;6)P5jm0:wA589U507=:r.==h49;%42`?0ol514:8R7dc28qG>oh51zTg6?4|,8l36<8:;W365?4|,?;n6;5+60f92>{zut1b=:>50;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:9526<^8?:6?u+60g92>"19m0=7psr}:k236<72-8in7?:8:T1fa<6sE8ij7?tVe096~"6n10:;>5Y14396~"19l0=7)8>d;48yx{z3f;m=7>5$3`a>4`63_8ih7?tL3`e>4}Ql;09w)?i8;3e5>P6=809w)8>e;48 37c2?1vqps4}c01=?6=;3:1N5io1b=8750;&1fg<6=11C>oo4V3`g>4}K:kl1=vXk2;0x 4`?28?27[?:1;0x 37b2j1/:743f3_;>=7f=#>8n1o6sr}|9l5c7=83.9no4>f09U6gb=9rF9nk4>{Wf1>7}#9o21=k?4V072>7}#>8o1:6*91e85?x{zu2wi>n750;094?6|,;hi6o74H3ce?l7203:1(?lm:07;?>i6n80;6)P5jm0:wAf09U507=:r.==h49;%42`?03<729q/>ol51448L7ga3-;<57?;;h36d;48yx{z3`ii6=4+2c`9gg=Q:kn1=vB=bg82S7b838p(=7==#>8n146sr}M0aa?2|^;kh6>uYd181!06k3ih7)=i0;42a>{Ql809w)8>c;36<>"4n90==h5r$7cg>`=z^;kh6>uYd181!06k3ih7)=i0;42a>{Ql809w)8>c;36<>"4n90==i5r$7cg>`=z^;kh6>uYd181!06k3ih7)=i0;42a>{Ql809w)8>c;aa?!5a83<:i6s+6`f93>{Q9o=1>vXk0;0x 37d2ji0(>h?:73f?x"1im0:?6sr}:k1eg<72-8in77}#9o21>ll4V072>7}#>8o1=?5+60f957=zutF9nh4>{W0a0?4|^m:1>v*91b81eg=#;o:1:52z&2b=<51j1]=8?52z&55`<6:2.==i4>2:~yI4em3;pZ?l;:3yU`5<5s-<:o7<6c:&0b5<19l1v(;ok:008yx{5}#:kh1>o64H3ce?l7213:1(?lm:07;?S4el3;p@?li:0yU`7<5s-;m47?:9:T214<5s-<:i784$73g>3=zutw0e<;n:18'6gd=9<20Z?lk:0yO6g`=9r\o>743f3_;>=73=#>8n1:6sr}|9l5c7=83.9no4>f09U6gb=9rF9nk4>{Wf1>7}#9o21=k?4V072>7}#>8o1:6*91e85?x{zu2wi>nl50;394?6|,;hi6?m=;I0bb>i6n80;6)=zj;ih6=4<:183!4ej3=;7E{zut1b=8o50;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:950g<^8?:6?u+60g954=#>8n1=<5r}|8k4`6290/>ol51g38R7dc28qG>oh51zTg6?4|,8l36;W365?4|,?;n6;5+60f92>{zut1vn>8n:181>5<7s-8in7l6;I0bb>o6=10;6)=h9o;1<7*=bc82b4=Q:kn1=vB=bg82Sb52;q/=k651g38R4362;q/:4:k21=<72-8in7?:8:T1fa<6sE8ij7?tV0g3>7}#9o21=864V072>7}#>8o1:6*91e85?x{zu2chn7>5$3`a>fd<^;ho62wvqp5fcb83>!4ej3ih7[52z&2b=<,?;o655r}|N1f`<3s_8jo7=tVe296~"19j0ho6*v*91b821==#;o:1:v*91b821==#;o:1:v*91b8`f>"4n90==h5r$7cg>2=z^8l<6?uYd181!06k3ih7)=i0;42a>{#>hn1=>5r}|9j6dd=83.9no4=ac9U6gb=:rF9nk4>{W3f4?4|,8l36?om;W365?4|,?;n6<<4$73g>44ok51zT1f1<5s_n;6?u+60a96dd<,:l;6;?j;|&5ea<6:2wvq6g=9b83>!4ej382o6X=be81I4en3;pZ=:0i0Z<;>:3y'24c=9;1/:8o0q)8nd;31?x{z3f;m=7>5$3`a>4`632wi?;l50;194?6|,;hi6?l7;I0bb>o6=00;6)P5jm0:wA589U507=:r.==h49;%42`?0ol51g38R7dc28qG>oh51zTg6?4|,8l36;W365?4|,?;n6;5+60f92>{zut1vn>8l:182>5<7s-8in751083>5}#:kh1;=5G2`d8m43>290/>ol514:8L7df3_8ih7?tL3`e>4}Ql;09w)?i8;36=>P6=809w)8>e;32?!06l3;:7psr}:k21d<72-8in7?:8:T1fa<6sE8ij7?tVe096~"6n10:9l5Y14396~"19l0:=6*91e825>{zut1b=;;50;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:9533<^8?:6?u+60g954=#>8n1=<5r}|8m417290/>ol514:8R7dc28qG>oh51zTg6?4|,8l36<9?;W365?4|,?;n647;|~y>o6?=0;6)P5jm0:wA759U507=:r.==h4>1:&55a<692wvqp5f16794?"5jk0:955Y2cf95~J5jo0:w[j=:3y'5c>=9>?0Z<;>:3y'24c=981/:<^;ho65081!06m3;:7)8>d;32?x{zu2c:;54?:%0af?7202\9ni4>{M0ab?7|^m81>v*>f9823==Q9<;1>v*91d825>"19m0:=6sr}|9j50d=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=8l4V072>7}#>8o1=<5+60f954=zutw0e<;l:18'6gd=9<20Z?lk:0yO6g`=9r\o>743d3_;>=747<,?;o63=zutw0e<;j:18'6gd=9<20Z?lk:0yO6g`=9r\o>743b3_;>=73=#>8n1:6sr}|9j50`=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=8h4V072>7}#>8o1:6*91e85?x{zu2c::=4?:%0af?7202\9ni4>{M0ab?7|^m81>v*>f98225=Q9<;1>v*91d85?!06l3<0qpsr;n3e5?6=,;hi6;W0a`?7|D;hm65081!06m3<0(;?k:79~yx{52;294~"5jk0i56F=ag9j50>=83.9no4>5998k4`6290/>ol51g38R7dc28qG>oh51zTg6?4|,8l36;W365?4|,?;n6;5+60f92>{zut1vn>h9:185>5<7s-8in7?:6:J1ec=#9>31=95f14:94?"5jk0:955Y2cf95~J5jo0:w[?j0;0x 4`?28?37[?:1;0x 37b2?1/:3=zutw0enm50;&1fgv*91d8;?!06l320qpsC2cg90~P5ij08w[j?:3y'24e=kj1/?k>560g8ySb62;q/:560g8ySb62;q/:560g8ySb62;q/:8o0q)8nd;58yS7a?38pZi>52z&55f5<#:kh1>ll4V3`g>7}K:kl1=vX>e181!7a038jn6X>5081!06m3;97)8>d;31?x{zD;hn64m50;&1fg<51j1]>oj52zN1fc<6s_;n<77?d3_;>=744<,?;o6<<4}|O6gc=9r\9n94={Wf3>7}#>8i1>4m4$2d3>37b3t.=mi4>2:~y>i6n80;6)=zj:l96=4<:183!4ej38i46F=ag9j50?=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=874V072>7}#>8o1:6*91e85?x{zu2c:9l4?:%0af?7202\9ni4>{M0ab?7|^m81>v*>f9821d=Q9<;1>v*91d85?!06l3<0qpsr;n3e5?6=,;hi6;W0a`?7|D;hm65081!06m3<0(;?k:79~yx{51;294~"5jk09o?5G2`d8k4`6290/>ol51g38?xd4n=0;6>4?:1y'6gd=?91C>lh4i07:>5<#:kh1=864H3`b?S4el3;p@?li:0yU`7<5s-;m47?:9:T214<5s-<:i7l4$73g>g=zutw0e<;n:18'6gd=9<20D?ln;W0a`?7|D;hm6m6X>5081!06m3h0(;?k:c9~yx{2wvqp5rb5:b>5<5290;w)oj51zN1fc<6s_n96?u+1g:95c7<^8?:6?u+60g92>"19m0=7psr}:a0=`=83<1<7>t$3`a>4313A8jj6*>78820>o6=10;6)P5jm0:wA=73=#>8n1:6sr}|9jgf<72-8in7ml;W0a`?4|D;hm65081!06m320(;?k:99~yxJ5jl0?w[560g8y!0fl3o0q[560f8y!0fl3o0q[1vZvB=bg82S7b838p(h?:73f?x"1im0:>6sr}:k1=f<72-8in7<6c:T1fa<5sE8ij7?tV0g3>7}#9o21>4m4V072>7}#>8o1=?5+60f957=zutF9nh4>{W0a0?4|^m:1>v*91b81=f=#;o:1:{M0ab?7|^m81>v*>f9821<=Q9<;1>v*91d85?!06l3<0qpsr;h36e?6=,;hi6<;7;W0a`?7|D;hm6m6X>5081!06m3<0(;?k:79~yx{2wvqp5rb5:`>5<6290;w)N5io1d=k?50;&1fg<6n810qo:7d;297?6=8r.9no480:J1ec=n9<31<7*=bc821==O:kk0Z?lk:0yO6g`=9r\o>743>3_;>=747<,?;o6;%42`?763twvq6a>f083>!4ej3;m=6X=be82I4en3;pZi<52z&2b=<6n81]=8?52z&55`<13-<:h784}|~?xd31?0;6?4?:1y'6gd=j01C>lh4i07;>5<#:kh1=864;n3e5?6=,;hi6;W0a`?7|D;hm65081!06m3<0(;?k:79~yx{2n7>56;294~"5jk0:9;5G2`d8 41>28>0e<;7:18'6gd=9<20Z?lk:0yO6g`=9r\:i=4={%3e7}#9o21oo5Y14396~"19l0=7)8>d;48yx{z3`ih6=4+2c`9gf=Q:kn1>vB=bg82S7b838p(fe<,:l;6;?j;|Tg5?4|,?;h6<;7;%1e4?06m2w/:lj5e:U6de=;r\o<7fe<,:l;6;?j;|Tg5?4|,?;h6<;7;%1e4?06l2w/:lj5e:U6de=;r\o<7fe<,:l;6;?j;|Tg5?4|,?;h6nl4$2d3>37b3t.=mi48;|T2b2<5s_n;6?u+60a9gf=#;o:1:52z&2b=<5ik1]=8?52z&55`<6:2.==i4>2:~yI4em3;pZ?l;:3yU`5<5s-<:o7P6=809w)8>e;31?!06l3;97psrL3`f>4}Q:k>1>vXk0;0x 37d2;3h7)=i0;42a>{#>hn1=?5r}|9l5c7=83.9no4>f098yg2>?3:1?7>50z&1fg<5j11C>lh4i07:>5<#:kh1=864V3`g>4}K:kl1=vXk2;0x 4`?28?27[?:1;0x 37b2?1/:f083>!4ej3;m=6X=be82I4en3;pZi<52z&2b=<6n81]=8?52z&55`<13-<:h784}|~?xd3110;6<4?:1y'6gd=:j80D?oi;n3e5?6=,;hi6;:a0t$3`a>26<@;km7d?:9;29 7de28?37[;|~y>o6=h0;6)P5jm0:wA5`9U507=:r.==h4>1:&55a<692wvqp5`1g394?"5jk0:j<5Y2cf95~J5jo0:w[j=:3y'5c>=9o;0Z<;>:3y'24c=>2.==i49;|~y>{e=j;1<7<50;2x 7de2k30D?oi;h36d;48yx{z3th>o;4?:783>5}#:kh1=884H3ce?!7013;?7d?:8;29 7de28?37[52z&2b=<6=11]=8?52z&55`<13-<:h784}|~?lee290/>ol5cc9U6gb=9rF9nk4>{W3f4?4|,8l36nl4V072>7}#>8o1:6*91e85?x{zu2cho7>5$3`a>fe<^;ho6?uC2cd95~P6m909w)?i8;a`?S72938p(;?j:99'24b=02wvqAe:U`4<5s-<:o7?:8:&0b5<19l1v(;ok:d9~R7gd2:q]h=4={%42g?ed3-9m<78>e:U`4<5s-<:o7?:8:&0b5<19m1v(;ok:d9~R7gd2:q]h=4={%42g?ed3-9m<78>e:U`4<5s-<:o7mm;%1e4?06m2w/:lj57:U5c1=:r\o<7fe<,:l;6;?j;|&5ea<6;2wvq6g=ac83>!4ej38jn6X=be81I4en3;pZ=:hh0Z<;>:3y'24c=9;1/:8o0q)8nd;31?x{z3`82o7>5$3`a>7?d3_8ih74}Q9l:1>v*>f981=f=Q9<;1>v*91d826>"19m0:>6sr}M0aa?7|^;h?6?uYd181!06k382o6*ol51g38?xd2k;0;6>4?:1y'6gd=:k20D?oi;h36=?6=,;hi6<;7;W0a`?7|D;hm656X>5081!06m3<0(;?k:79~yx{<^;ho62wvqp5`1g394?"5jk0:j<5Y2cf95~J5jo0:w[j=:3y'5c>=9o;0Z<;>:3y'24c=>2.==i49;|~y>{e=j91<7?50;2x 7de2;i97E5883>!4ej3;>46X=be82I4en3;pZi<52z&2b=<6=01]=8?52z&55`<692.==i4>1:~yx=n9743f3_;>=747<,?;o63=zutw0qo8=5;296?6=8r.9no4m9:J1ec=n9<21<7*=bc821==2wvqp5rb712>5<1290;w)N5io1/=:75159j50>=83.9no4>599U6gb=9rF9nk4>{W3f4?4|,8l36<;7;W365?4|,?;n6;5+60f92>{zut1boo4?:%0af?ee3_8ih7?tL3`e>4}Q9l:1>v*>f98`f>P6=809w)8>e;48 37c2?1vqps4iba94?"5jk0ho6X=be81I4en3;pZ=kj1]=8?52z&55`7}#>8i1on5+3g2924c<,:l;6;?j;|&5ea7}#>8i1on5+3g2924c<,:l;6;?k;|&5ea7}#>8i1on5+3g2924col52``8R7dc2;qG>oh51zT2a5<5s-;m477ge3-9m<78>e:'2db=9;1vqp5f28a94?"5jk095n5Y2cf96~J5jo0:w[?j0;0x 4`?2;3h7[?:1;0x 37b2880(;?k:008yx{K:ko1=vX=b581Sb72;q/:{zu2e:j<4?:%0af?7a921vn;<9:180>5<7s-8in75`83>!4ej3;>46X=be82I4en3;pZi<52z&2b=<6=h1]=8?52z&55`<13-<:h784}|~?j7a93:1(?lm:0d2?S4el3;p@?li:0yU`7<5s-;m47?i1:T214<5s-<:i784$73g>3=zutw0qo8=7;295?6=8r.9no4=c39K6d`44?:283>5}#:kh1;=5G2`d8m43>290/>ol514:8R7dc28qG>oh51zTg6?4|,8l36<;6;W365?4|,?;n647;|~y>i6n80;6)P5jm0:wAf09U507=:r.==h49;%42`?06<729q/>ol5719K6d`<^;ho6m6X>5081!06m3;:7)8>d;32?x{zu2e:j<4?:%0af?7a92\9ni4>{M0ab?7|^m81>v*>f982b4=Q9<;1>v*91d85?!06l3<0qpsr;|`56g<72:0;6=u+2c`935=O:hl0e<;6:18'6gd=9<20Z?lk:0yO6g`=9r\o>743>3_;>=73=#>8n1:6sr}|9j50g=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=8o4V072>7}#>8o1:6*91e85?x{zu2e:j<4?:%0af?7a92\9ni4>{M0ab?7|^m81>v*>f982b4=Q9<;1>v*91d85?!06l3<0qpsr;|`56f<72:0;6=u+2c`935=O:hl0e<;6:18'6gd=9<20Z?lk:0yO6g`=9r\o>743>3_;>=73=#>8n1:6sr}|9j50g=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=8o4V072>7}#>8o1:6*91e85?x{zu2e:j<4?:%0af?7a92\9ni4>{M0ab?7|^m81>v*>f982b4=Q9<;1>v*91d85?!06l3<0qpsr;|`56a<72:0;6=u+2c`935=O:hl0e<;6:18'6gd=9<20Z?lk:0yO6g`=9r\o>743>3_;>=747<,?;o6{M0ab?7|^m81>v*>f9821d=Q9<;1>v*91d825>"19m0:=6sr}|9l5c7=83.9no4>f09U6gb=9rF9nk4>{Wf1>7}#9o21=k?4V072>7}#>8o1:6*91e85?x{zu2wi:?k50;794?6|,;hi6:>4H3ce?l7213:1(?lm:07;?S4el3;p@?li:0yU`7<5s-;m47?:9:T214<5s-<:i7l4$73g>g=zutw0e<;n:18'6gd=9<20Z?lk:0yO6g`=9r\o>743f3_;>=747<,?;o6{M0ab?7|^m81>v*>f98220=Q9<;1>v*91d825>"19m0:=6sr}|9j526=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=:>4V072>7}#>8o1=<5+60f954=zutw0c:18'6gd=9o;0Z?lk:0yO6g`=9r\o>74`63_;>=73=#>8n1:6sr}|9~f34a290>6=4?{%0af?173A8jj6g>5883>!4ej3;>46X=be82I4en3;pZi<52z&2b=<6=01]=8?52z&55`;%42`?763twvq6g>6483>!4ej3;>46F=b`9U6gb=9rF9nk4>{Wf1>7}#9o21=;;4V072>7}#>8o1=<5+60f954=zutw0e<9?:18'6gd=9<20Z?lk:0yO6g`=9r\o>74173_;>=747<,?;o63=zutw0qo8=8;29f19K6d`<^;ho62wvqp5f14c94?"5jk0:955Y2cf95~J5jo0:w[j=:3y'5c>=9:3y'24c=>2.==i49;|~y>o6><0;6)P5jm0:wA649U507=:r.==h49;%42`?0ol514:8R7dc28qG>oh51zTg6?4|,8l36<9<;W365?4|,?;n6;5+60f92>{zut1b=::50;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:9522<^8?:6?u+60g92>"19m0=7psr}:k230<72-8in7?:8:T1fa<6sE8ij7?tVe096~"6n10:;85Y14396~"19l0=7)8>d;48yx{z3f;m=7>5$3`a>4`63_8ih7?tL3`e>4}Ql;09w)?i8;3e5>P6=809w)8>e;48 37c2?1vqps4}c47g?6=:3:1N5io1b=8650;&1fg<6=110c:18'6gd=9o;0Z?lk:0yO6g`=9r\o>74`63_;>=73=#>8n1:6sr}|9~f336290=6=4?{%0af?72>2B9mk5+16;951=n9<21<7*=bc821==Q:kn1=vB=bg82S7b838p(2wvqp5fcc83>!4ej3ii7[52z&2b=7}#9o21on5Y14396~"19l037)8>d;:8yx{K:ko18vX=ab80Sb72;q/:8o0q[j>:3y'24e=9<20(>h?:73f?x"1im0n7pX=ab80Sb72;q/:8o0q[j>:3y'24e=9<20(>h?:73g?x"1im0n7pX=ab80Sb72;q/:8o0q[j>:3y'24e=kk1/?k>560g8y!0fl3=0q[?i7;0xRa6=:r.==n4lc:&0b5<19l1v(;ok:018yx{P6=809w)8>e;31?!06l3;97psrL3`f>4}Q:k>1>vXk0;0x 37d2;ki7)=i0;42a>{#>hn1=?5r}|9j6{W3f4?4|,8l36?7l;W365?4|,?;n6<<4$73g>44ok51zT1f1<5s_n;6?u+60a96f083>!4ej3;m=65rb76g>5<4290;w)N5io1b=8750;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:950?<^8?:6?u+60g92>"19m0=7psr}:k21d<72-8in7?:8:T1fa<6sE8ij7?tVe096~"6n10:9l5Y14396~"19l0=7)8>d;48yx{z3f;m=7>5$3`a>4`63_8ih7?tL3`e>4}Ql;09w)?i8;3e5>P6=809w)8>e;48 37c2?1vqps4}c47a?6=93:1:18'6gd=9o;07pl94g83>6<729q/>ol5719K6d`<^;ho6m6X>5081!06m3;:7)8>d;32?x{zu2e:j<4?:%0af?7a92\9ni4>{M0ab?7|^m81>v*>f982b4=Q9<;1>v*91d85?!06l3<0qpsr;|`517<72;0;6=u+2c`9f<=O:hl0e<;7:18'6gd=9<207b?i1;29 7de28l:7[50z&1fg<6=?1C>lh4$05:>42<^;ho6P6=809w)8>e;48 37c2?1vqps4ib`94?"5jk0hn6X=be82I4en3;pZ=kk1]=8?52z&55`<13-<:h784}|~?led290/>ol5cb9U6gb=:rF9nk4>{W3f4?4|,8l36nm4V072>7}#>8o146*91e8;?x{zD;hn69uY2`a97~Pc838p(;?l:ba8 6`72?;n7pXk1;0x 37d28?37)=i0;42a>{#>hn1i6sY2`a97~Pc838p(;?l:ba8 6`72?;n7pXk1;0x 37d28?37)=i0;42`>{#>hn1i6sY2`a97~Pc838p(;?l:ba8 6`72?;n7pXk1;0x 37d2jh0(>h?:73f?x"1im0<7pX>f681Sb72;q/:8o0q)8nd;30?x{z3`8jn7>5$3`a>7ge3_8ih74}Q9l:1>v*>f981eg=Q9<;1>v*91d826>"19m0:>6sr}M0aa?7|^;h?6?uYd181!06k38jn6*ol528a8R7dc2;qG>oh51zT2a5<5s-;m47<6c:T214<5s-<:i7?=;%42`?753twv@?lj:0yU6g2=:r\o<77?d3-9m<78>e:'2db=9;1vqp5`1g394?"5jk0:j<54}c467?6=;3:1743>3_;>=73=#>8n1:6sr}|9j50g=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=8o4V072>7}#>8o1:6*91e85?x{zu2e:j<4?:%0af?7a92\9ni4>{M0ab?7|^m81>v*>f982b4=Q9<;1>v*91d85?!06l3<0qpsr;|`511<7280;6=u+2c`96f4<@;km7b?i1;29 7de28l:76sm64494?5=83:p(?lm:628L7ga3`;>57>5$3`a>43?3_8ih7?tL3`e>4}Ql;09w)?i8;36=>P6=809w)8>e;32?!06l3;:7psr}:k21d<72-8in7?:8:T1fa<6sE8ij7?tVe096~"6n10:9l5Y14396~"19l0:=6*91e825>{zut1d=k?50;&1fg<6n81]>oj51zN1fc<6s_n96?u+1g:95c7<^8?:6?u+60g92>"19m0=7psr}:a201=8391<7>t$3`a>26<@;km7d?:9;29 7de28?37[;|~y>o6=h0;6)P5jm0:wA5`9U507=:r.==h4>1:&55a<692wvqp5`1g394?"5jk0:j<5Y2cf95~J5jo0:w[j=:3y'5c>=9o;0Z<;>:3y'24c=>2.==i49;|~y>{e><21<7950;2x 7de2>:0D?oi;h36=?6=,;hi6<;7;I0ae>P5jm0:wA589U507=:r.==h4m;%42`?dd;`8yx{z3`;=97>5$3`a>43?3A8im6X=be82I4en3;pZi<52z&2b=<6><1]=8?52z&55`;%42`?763twvq6g>7283>!4ej3;>46X=be82I4en3;pZi<52z&2b=<6?:1]=8?52z&55`<692.==i4>1:~yx=n9>>1<7*=bc821==Q:kn1=vB=bg82Sb52;q/=k651668R4362;q/:5<#:kh1=k?4V3`g>4}K:kl1=vXk2;0x 4`?28l:7[?:1;0x 37b2?1/:5`83>!4ej3;>46F=b`9U6gb=9rF9nk4>{Wf1>7}#9o21=8o4V072>7}#>8o1n6*91e8a?x{zu2c::84?:%0af?7202\9ni4>{M0ab?7|^m81>v*>f98220=Q9<;1>v*91d825>"19m0:=6sr}|9j526=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=:>4V072>7}#>8o1=<5+60f954=zutw0e<9<:18'6gd=9<20Z?lk:0yO6g`=9r\o>74143_;>=747<,?;o6;%42`?763twvq6a>f083>!4ej3;m=6X=be82I4en3;pZi<52z&2b=<6n81]=8?52z&55`<13-<:h784}|~?xd1=<0;684?:1y'6gd=9o:0D?oi;h36=?6=,;hi6<;7;W0a`?7|D;hm656X>5081!06m3<0(;?k:79~yx{<^;ho62wvqp5f17794?"5jk0:955Y2cf95~J5jo0:w[j=:3y'5c>=9??0Z<;>:3y'24c=>2.==i49;|~y>o6?90;6)P5jm0:wA719U507=:r.==h49;%42`?07>50z&1fg5<#:kh1=k?4V3`g>4}K:kl1=vXk2;0x 4`?28l:7[?:1;0x 37b2?1/:f09U6gb=9rF9nk4>{Wf1>7}#9o21=k?4V072>7}#>8o1m6*91e8b?x{zu2wi>?j50;194?6|,;hi6?l7;I0bb>o6=00;6)P5jm0:wA589U507=:r.==h49;%42`?0ol51g38R7dc28qG>oh51zTg6?4|,8l36;W365?4|,?;n6;5+60f92>{zut1vn?5<7s-8in753;294~"5jk0<<6F=ag9j50?=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=874V072>7}#>8o1n6*91e8a?x{zu2c:9l4?:%0af?7202B9nl5Y2cf95~J5jo0:w[j=:3y'5c>=9:3y'24c=981/:2wvqp5rb312>5<4290;w){M0ab?7|^m81>v*>f9821<=Q9<;1>v*91d825>"19m0:=6sr}|9j50g=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=8o4V072>7}#>8o1n6*91e8a?x{zu2e:j<4?:%0af?7a92\9ni4>{M0ab?7|^m81>v*>f982b4=Q9<;1>v*91d85?!06l3<0qpsr;|`16c<72:0;6=u+2c`95c6<@;km7d?:9;29 7de28?37[ol514:8R7dc28qG>oh51zTg6?4|,8l36<;n;W365?4|,?;n6;5+60f92>{zut1d=k?50;&1fg<6n81]>oj51zN1fc<6s_n96?u+1g:95c7<^8?:6?u+60g92>"19m0=7psr}:a665=8381<7>t$3`a>g?<@;km7d?:8;29 7de28?376a>f083>!4ej3;m=6X=be82I4en3;pZi<52z&2b=<6n81]=8?52z&55`<13-<:h784}|~?xd5;00;6?4?:1y'6gd=j01C>lh4i07;>5<#:kh1=864;n3e5?6=,;hi6;W0a`?7|D;hm65081!06m3k0(;?k:`9~yx{53;294~"5jk09n55G2`d8m43>290/>ol514:8R7dc28qG>oh51zTg6?4|,8l36<;6;W365?4|,?;n6;5+60f92>{zut1b=8o50;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:950g<^8?:6?u+60g92>"19m0=7psr}:m2b4<72-8in7?i1:T1fa<6sE8ij7?tVe096~"6n10:j<5Y14396~"19l0=7)8>d;48yx{z3th9?84?:083>5}#:kh1>n<4H3ce?j7a93:1(?lm:0d2?>{e::=1<7=50;2x 7de2>:0D?oi;h36=?6=,;hi6<;7;W0a`?7|D;hm656X>5081!06m3h0(;?k:c9~yx{<@;hj7[;|~y>i6n80;6)P5jm0:wAf09U507=:r.==h49;%42`?06<729q/>ol5719K6d`<^;ho6m6X>5081!06m3h0(;?k:c9~yx{2wvqp5rb315>5<4290;w)N5io1b=8750;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:950?<^8?:6?u+60g92>"19m0=7psr}:k21d<72-8in7?:8:T1fa<6sE8ij7?tVe096~"6n10:9l5Y14396~"19l0=7)8>d;48yx{z3f;m=7>5$3`a>4`63_8ih7?tL3`e>4}Ql;09w)?i8;3e5>P6=809w)8>e;48 37c2?1vqps4}c065?6=:3:1N5io1b=8650;&1fg<6=110c:18'6gd=9o;0Z?lk:0yO6g`=9r\o>74`63_;>=73=#>8n1:6sr}|9~f73029096=4?{%0af?d>3A8jj6g>5983>!4ej3;>465`1g394?"5jk0:j<5Y2cf95~J5jo0:w[j=:3y'5c>=9o;0Z<;>:3y'24c=i2.==i4n;|~y>{e:<81<7=50;2x 7de2;h37Ed;48yx{z3`;>m7>5$3`a>43?3_8ih7?tL3`e>4}Ql;09w)?i8;36e>P6=809w)8>e;48 37c2?1vqps4o0d2>5<#:kh1=k?4V3`g>4}K:kl1=vXk2;0x 4`?28l:7[?:1;0x 37b2?1/::183!4ej38h>6F=ag9l5c7=83.9no4>f098yg42=3:1?7>50z&1fg<082B9mk5f14;94?"5jk0:955Y2cf95~J5jo0:w[j=:3y'5c>=9<30Z<;>:3y'24c=j2.==i4m;|~y>o6=h0;6)N5jh1]>oj51zN1fc<6s_n96?u+1g:950g<^8?:6?u+60g954=#>8n1=<5r}|8k4`6290/>ol51g38R7dc28qG>oh51zTg6?4|,8l36;W365?4|,?;n6;5+60f92>{zut1vn?;9:180>5<7s-8in79?;I0bb>o6=00;6)P5jm0:wA589U507=:r.==h4>1:&55a<692wvqp5f14c94?"5jk0:955Y2cf95~J5jo0:w[j=:3y'5c>=9:3y'24c=j2.==i4m;|~y>i6n80;6)P5jm0:wAf09U507=:r.==h49;%42`?06<729q/>ol51g28L7ga3`;>57>5$3`a>43?3_8ih7?tL3`e>4}Ql;09w)?i8;36=>P6=809w)8>e;48 37c2?1vqps4i07b>5<#:kh1=864V3`g>4}K:kl1=vXk2;0x 4`?28?j7[?:1;0x 37b2?1/:47>5$3`a>43?32e:j<4?:%0af?7a92\9ni4>{M0ab?7|^m81>v*>f982b4=Q9<;1>v*91d85?!06l3<0qpsr;|`11`<72;0;6=u+2c`9f<=O:hl0e<;7:18'6gd=9<207b?i1;29 7de28l:7[7>50z&1fg5<#:kh1=k?4V3`g>4}K:kl1=vXk2;0x 4`?28l:7[?:1;0x 37b2h1/:599U6gb=9rF9nk4>{Wf1>7}#9o21=874V072>7}#>8o1:6*91e85?x{zu2c:9l4?:%0af?7202\9ni4>{M0ab?7|^m81>v*>f9821d=Q9<;1>v*91d85?!06l3<0qpsr;n3e5?6=,;hi6;W0a`?7|D;hm65081!06m3<0(;?k:79~yx{m7>51;294~"5jk09o?5G2`d8k4`6290/>ol51g38?xd5=j0;6>4?:1y'6gd=?91C>lh4i07:>5<#:kh1=864V3`g>4}K:kl1=vXk2;0x 4`?28?27[?:1;0x 37b2k1/:743f3_;>=747<,?;o63=zutw0qo<:d;297?6=8r.9no480:J1ec=n9<31<7*=bc821==Q:kn1=vB=bg82Sb52;q/=k6514;8R4362;q/:5<#:kh1=864V3`g>4}K:kl1=vXk2;0x 4`?28?j7[?:1;0x 37b2k1/:{M0ab?7|^m81>v*>f9821<=Q9<;1>v*91d85?!06l3<0qpsr;h36e?6=,;hi6<;7;W0a`?7|D;hm6m6X>5081!06m3<0(;?k:79~yx{2wvqp5rb37e>5<693:1N5io1b=8750;&1fg<6=11C>oo4V3`g>4}K:kl1=vXk2;0x 4`?28?27[?:1;0x 37b2j1/:743f3_;>=7f=#>8n1o6sr}|9j533=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=;;4V072>7}#>8o1o6*91e8`?x{zu2c:;=4?:%0af?7202\9ni4>{M0ab?7|^m81>v*>f98235=Q9<;1>v*91d8`?!06l3i0qpsr;h347?6=,;hi6<;7;W0a`?7|D;hm65081!06m3i0(;?k:b9~yx{<^;ho6=9>?0Z<;>:3y'24c=k2.==i4l;|~y>o6??0;6)P5jm0:wA779U507=:r.==h4l;%42`?eol514:8L7df3_8ih7?tL3`e>4}Ql;09w)?i8;34<>P6=809w)8>e;a8 37c2j1vqps4i07a>5<#:kh1=864H3`b?S4el3;p@?li:0yU`7<5s-;m47?:b:T214<5s-<:i7m4$73g>f=zutw0e<;l:18'6gd=9<20D?ln;W0a`?7|D;hm6o6X>5081!06m3;97)8>d;31?x{zu2c:9i4?:%0af?7202\9ni4>{M0ab?7|^m81>v*>f9821a=Q9<;1>v*91d826>"19m0:>6sr}|9j50c=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=8k4V072>7}#>8o1:6*91e85?x{zu2c:9k4?:%0af?7202\9ni4>{M0ab?7|^m81>v*>f9821c=Q9<;1>v*91d85?!06l3<0qpsr;h354?6=,;hi6<;7;W0a`?7|D;hm65081!06m3<0(;?k:79~yx{2wvqp5rb343>5<693:1N5io1b=8750;&1fg<6=11C>oo4V3`g>4}K:kl1=vXk2;0x 4`?28?27[?:1;0x 37b2j1/:743f3_;>=7f=#>8n1o6sr}|9j533=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=;;4V072>7}#>8o1o6*91e8`?x{zu2c:;=4?:%0af?7202\9ni4>{M0ab?7|^m81>v*>f98235=Q9<;1>v*91d8`?!06l3i0qpsr;h347?6=,;hi6<;7;W0a`?7|D;hm65081!06m3i0(;?k:b9~yx{<^;ho6=9>?0Z<;>:3y'24c=k2.==i4l;|~y>o6??0;6)P5jm0:wA779U507=:r.==h4l;%42`?eol514:8L7df3_8ih7?tL3`e>4}Ql;09w)?i8;34<>P6=809w)8>e;a8 37c2j1vqps4i07a>5<#:kh1=864H3`b?S4el3;p@?li:0yU`7<5s-;m47?:b:T214<5s-<:i7?=;%42`?753twvq6g>5b83>!4ej3;>46X=be82I4en3;pZi<52z&2b=<6=j1]=8?52z&55`<6:2.==i4>2:~yx=n95<#:kh1=864V3`g>4}K:kl1=vXk2;0x 4`?28?n7[?:1;0x 37b2?1/:6183>!4ej3;>46X=be82I4en3;pZi<52z&2b=<6>91]=8?52z&55`<13-<:h784}|~?j7a93:1(?lm:0d2?S4el3;p@?li:0yU`7<5s-;m47?i1:T214<5s-<:i784$73g>3=zutw0qo<91;2954<729q/>ol5719K6d`<@;hj7[ol514:8L7df3_8ih7?tL3`e>4}Ql;09w)?i8;36e>P6=809w)8>e;a8 37c2j1vqps4i046>5<#:kh1=864V3`g>4}K:kl1=vXk2;0x 4`?28<>7[?:1;0x 37b2j1/::1<7*=bc821==Q:kn1=vB=bg82Sb52;q/=k651628R4362;q/:7283>!4ej3;>46X=be82I4en3;pZi<52z&2b=<6?:1]=8?52z&55`f=zutw0e<9::18'6gd=9<20Z?lk:0yO6g`=9r\o>74123_;>=7f=#>8n1o6sr}|9j520=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=:84V072>7}#>8o1o6*91e8`?x{zu2c:;:4?:%0af?7202\9ni4>{M0ab?7|^m81>v*>f98232=Q9<;1>v*91d8`?!06l3i0qpsr;h34P5jm0:wA799U507=:r.==h4l;%42`?e6*91e826>{zut1b=8m50;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:950e<^8?:6?u+60g957=#>8n1=?5r}|8m43c290/>ol514:8R7dc28qG>oh51zTg6?4|,8l36<;k;W365?4|,?;n6<<4$73g>44ol514:8R7dc28qG>oh51zTg6?4|,8l36<;i;W365?4|,?;n6;5+60f92>{zut1b=;>50;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:9536<^8?:6?u+60g92>"19m0=7psr}:m2b4<72-8in7?i1:T1fa<6sE8ij7?tVe096~"6n10:j<5Y14396~"19l0=7)8>d;48yx{z3th9:?4?:583>5}#:kh1=k>4H3ce?l7213:1(?lm:07;?S4el3;p@?li:0yU`7<5s-;m47?:9:T214<5s-<:i784$73g>3=zutw0e<;n:18'6gd=9<20Z?lk:0yO6g`=9r\o>743f3_;>=73=#>8n1:6sr}|9j533=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=;;4V072>7}#>8o1:6*91e85?x{zu2e:j<4?:%0af?7a92\9ni4>{M0ab?7|^m81>v*>f982b4=Q9<;1>v*91d85?!06l3<0qpsr;|`121<72;0;6=u+2c`9f<=O:hl0e<;7:18'6gd=9<207b?i1;29 7de28l:7[7>50z&1fg5<#:kh1=k?4V3`g>4}K:kl1=vXk2;0x 4`?28l:7[?:1;0x 37b2?1/:f09U6gb=9rF9nk4>{Wf1>7}#9o21=k?4V072>7}#>8o1m6*91e8b?x{zu2wi>;;50;194?6|,;hi6?l7;I0bb>o6=00;6)P5jm0:wA589U507=:r.==h49;%42`?0ol51g38R7dc28qG>oh51zTg6?4|,8l36;W365?4|,?;n6;5+60f92>{zut1vn?89:182>5<7s-8in753;294~"5jk0<<6F=ag9j50?=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=874V072>7}#>8o1n6*91e8a?x{zu2c:9l4?:%0af?7202B9nl5Y2cf95~J5jo0:w[j=:3y'5c>=9:3y'24c=981/:2wvqp5rb34:>5<4290;w){M0ab?7|^m81>v*>f9821<=Q9<;1>v*91d825>"19m0:=6sr}|9j50g=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=8o4V072>7}#>8o1n6*91e8a?x{zu2e:j<4?:%0af?7a92\9ni4>{M0ab?7|^m81>v*>f982b4=Q9<;1>v*91d85?!06l3<0qpsr;|`122<72:0;6=u+2c`95c6<@;km7d?:9;29 7de28?37[ol514:8R7dc28qG>oh51zTg6?4|,8l36<;n;W365?4|,?;n6;5+60f92>{zut1d=k?50;&1fg<6n81]>oj51zN1fc<6s_n96?u+1g:95c7<^8?:6?u+60g92>"19m0=7psr}:a63d=83;:6=4?{%0af?173A8jj6g>5883>!4ej3;>46F=b`9U6gb=9rF9nk4>{Wf1>7}#9o21=874V072>7}#>8o1o6*91e8`?x{zu2c:9l4?:%0af?7202B9nl5Y2cf95~J5jo0:w[j=:3y'5c>=9:3y'24c=k2.==i4l;|~y>o6><0;6)P5jm0:wA649U507=:r.==h4l;%42`?eol514:8R7dc28qG>oh51zTg6?4|,8l36<9<;W365?4|,?;n6n5+60f9g>{zut1b=::50;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:9522<^8?:6?u+60g9g>"19m0h7psr}:k230<72-8in7?:8:T1fa<6sE8ij7?tVe096~"6n10:;85Y14396~"19l0h7)8>d;a8yx{z3`;<:7>5$3`a>43?3_8ih7?tL3`e>4}Ql;09w)?i8;342>P6=809w)8>e;a8 37c2j1vqps4i054>5<#:kh1=864V3`g>4}K:kl1=vXk2;0x 4`?28=<7[?:1;0x 37b2j1/:21<7*=bc821==O:kk0Z?lk:0yO6g`=9r\o>741?3_;>=7f=#>8n1o6sr}|9j50d=83.9no4>599K6gg<^;ho6o6X>5081!06m3;97)8>d;31?x{zu2c:9i4?:%0af?7202\9ni4>{M0ab?7|^m81>v*>f9821a=Q9<;1>v*91d826>"19m0:>6sr}|9j50c=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=8k4V072>7}#>8o1=?5+60f957=zutw0e<;i:18'6gd=9<20Z?lk:0yO6g`=9r\o>743a3_;>=73=#>8n1:6sr}|9j536=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=;>4V072>7}#>8o1:6*91e85?x{zu2e:j<4?:%0af?7a92\9ni4>{M0ab?7|^m81>v*>f982b4=Q9<;1>v*91d85?!06l3<0qpsr;|`12f<728;1<7>t$3`a>26<@;km7d?:9;29 7de28?37Ed;a8yx{z3`;>m7>5$3`a>43?3A8im6X=be82I4en3;pZi<52z&2b=<6=h1]=8?52z&55`f=zutw0e<9?:18'6gd=9<20Z?lk:0yO6g`=9r\o>74173_;>=7f=#>8n1o6sr}|9j525=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=:=4V072>7}#>8o1o6*91e8`?x{zu2c:;94?:%0af?7202\9ni4>{M0ab?7|^m81>v*>f98231=Q9<;1>v*91d8`?!06l3i0qpsr;h341?6=,;hi6<;7;W0a`?7|D;hm65081!06m3i0(;?k:b9~yx{<^;ho6=9>=0Z<;>:3y'24c=k2.==i4l;|~y>o6?10;6)N5jh1]>oj51zN1fc<6s_n96?u+1g:952><^8?:6?u+60g9g>"19m0h7psr}:k21g<72-8in7?:8:J1fd=Q:kn1=vB=bg82Sb52;q/=k6514`8R4362;q/:5<#:kh1=864V3`g>4}K:kl1=vXk2;0x 4`?28?h7[?:1;0x 37b2880(;?k:008yx{z3`;>h7>5$3`a>43?3_8ih7?tL3`e>4}Ql;09w)?i8;36`>P6=809w)8>e;31?!06l3;97psr}:k21`<72-8in7?:8:T1fa<6sE8ij7?tVe096~"6n10:9h5Y14396~"19l0:>6*91e826>{zut1b=8h50;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:950`<^8?:6?u+60g92>"19m0=7psr}:k225<72-8in7?:8:T1fa<6sE8ij7?tVe096~"6n10::=5Y14396~"19l0=7)8>d;48yx{z3f;m=7>5$3`a>4`63_8ih7?tL3`e>4}Ql;09w)?i8;3e5>P6=809w)8>e;48 37c2?1vqps4}c05`?6=980;6=u+2c`935=O:hl0e<;6:18'6gd=9<20D?ln;W0a`?7|D;hm656X>5081!06m3i0(;?k:b9~yx{<@;hj7[ol514:8R7dc28qG>oh51zTg6?4|,8l36<8:;W365?4|,?;n6n5+60f9g>{zut1b=:>50;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:9526<^8?:6?u+60g9g>"19m0h7psr}:k236<72-8in7?:8:T1fa<6sE8ij7?tVe096~"6n10:;>5Y14396~"19l0h7)8>d;a8yx{z3`;<87>5$3`a>43?3_8ih7?tL3`e>4}Ql;09w)?i8;340>P6=809w)8>e;a8 37c2j1vqps4i056>5<#:kh1=864V3`g>4}K:kl1=vXk2;0x 4`?28=>7[?:1;0x 37b2j1/:<1<7*=bc821==Q:kn1=vB=bg82Sb52;q/=k651648R4362;q/:7683>!4ej3;>46X=be82I4en3;pZi<52z&2b=<6?>1]=8?52z&55`{M0ab?7|^m81>v*>f9823==Q9<;1>v*91d8`?!06l3i0qpsr;h36f?6=,;hi6<;7;I0ae>P5jm0:wA5c9U507=:r.==h4>2:&55a<6:2wvqp5f14a94?"5jk0:955Y2cf95~J5jo0:w[j=:3y'5c>=9:3y'24c=9;1/:<^;ho6i6X>5081!06m3;97)8>d;31?x{zu2c:9k4?:%0af?7202\9ni4>{M0ab?7|^m81>v*>f9821c=Q9<;1>v*91d85?!06l3<0qpsr;h354?6=,;hi6<;7;W0a`?7|D;hm65081!06m3<0(;?k:79~yx{2wvqp5rb34f>5<3290;w)N5io1b=8750;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:950?<^8?:6?u+60g92>"19m0=7psr}:k21d<72-8in7?:8:T1fa<6sE8ij7?tVe096~"6n10:9l5Y14396~"19l0=7)8>d;48yx{z3`;=97>5$3`a>43?3_8ih7?tL3`e>4}Ql;09w)?i8;351>P6=809w)8>e;48 37c2?1vqps4o0d2>5<#:kh1=k?4V3`g>4}K:kl1=vXk2;0x 4`?28l:7[?:1;0x 37b2?1/:f09U6gb=9rF9nk4>{Wf1>7}#9o21=k?4V072>7}#>8o1:6*91e85?x{zu2wi>::50;094?6|,;hi6o74H3ce?l7203:1(?lm:07;?>i6n80;6)P5jm0:wAf09U507=:r.==h49;%42`?07<729q/>ol5b89K6d`<3f;m=7>5$3`a>4`63_8ih7?tL3`e>4}Ql;09w)?i8;3e5>P6=809w)8>e;c8 37c2h1vqps4}c045?6=;3:1743>3_;>=73=#>8n1:6sr}|9j50g=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=8o4V072>7}#>8o1:6*91e85?x{zu2e:j<4?:%0af?7a92\9ni4>{M0ab?7|^m81>v*>f982b4=Q9<;1>v*91d85?!06l3<0qpsr;|`137<7280;6=u+2c`96f4<@;km7b?i1;29 7de28l:76sm26194?5=83:p(?lm:628L7ga3`;>57>5$3`a>43?3_8ih7?tL3`e>4}Ql;09w)?i8;36=>P6=809w)8>e;48 37c2?1vqps4i07b>5<#:kh1=864V3`g>4}K:kl1=vXk2;0x 4`?28?j7[?:1;0x 37b2?1/:=83:p(?lm:628L7ga3`;>57>5$3`a>43?3_8ih7?tL3`e>4}Ql;09w)?i8;36=>P6=809w)8>e;a8 37c2j1vqps4i07b>5<#:kh1=864V3`g>4}K:kl1=vXk2;0x 4`?28?j7[?:1;0x 37b2j1/:74023_;>=744<,?;o6<<4}|~?l7083:1(?lm:07;?S4el3;p@?li:0yU`7<5s-;m47?80:T214<5s-<:i7?=;%42`?753twvq6g>7283>!4ej3;>46F=b`9U6gb=9rF9nk4>{Wf1>7}#9o21=:=4V072>7}#>8o1=?5+60f957=zutw0e<9;:18'6gd=9<20D?ln;W0a`?7|D;hm65081!06m3;97)8>d;31?x{zu2c:;84?:%0af?7202\9ni4>{M0ab?7|^m81>v*>f98230=Q9<;1>v*91d826>"19m0:>6sr}|9l5c7=83.9no4>f09U6gb=9rF9nk4>{Wf1>7}#9o21=k?4V072>7}#>8o1:6*91e85?x{zu2wi>:850;:94?6|,;hi6:>4H3ce?l7213:1(?lm:07;?S4el3;p@?li:0yU`7<5s-;m47?:9:T214<5s-<:i7m4$73g>f=zutw0e<;n:18'6gd=9<20Z?lk:0yO6g`=9r\o>743f3_;>=7f=#>8n1o6sr}|9j533=83.9no4>599K6gg<^;ho65081!06m3;97)8>d;31?x{zu2c:;>4?:%0af?7202B9nl5Y2cf95~J5jo0:w[j=:3y'5c>=9>90Z<;>:3y'24c=9;1/:<@;hj7[o6?<0;6)P5jm0:wA749U507=:r.==h4>2:&55a<6:2wvqp5`1g394?"5jk0:j<5Y2cf95~J5jo0:w[j=:3y'5c>=9o;0Z<;>:3y'24c=>2.==i49;|~y>{e:>=1<7650;2x 7de2>:0D?oi;h36=?6=,;hi6<;7;W0a`?7|D;hm656X>5081!06m3i0(;?k:b9~yx{<@;hj7[ol514:8L7df3_8ih7?tL3`e>4}Ql;09w)?i8;351>P6=809w)8>e;31?!06l3;97psr}:k235<72-8in7?:8:J1fd=Q:kn1=vB=bg82Sb52;q/=k651628R4362;q/:5<#:kh1=864H3`b?S4el3;p@?li:0yU`7<5s-;m47?83:T214<5s-<:i7?=;%42`?753twvq6g>7583>!4ej3;>46X=be82I4en3;pZi<52z&2b=<6?=1]=8?52z&55`<6:2.==i4>2:~yx=n9>?1<7*=bc821==O:kk0Z?lk:0yO6g`=9r\o>74123_;>=744<,?;o6<<4}|~?j7a93:1(?lm:0d2?S4el3;p@?li:0yU`7<5s-;m47?i1:T214<5s-<:i784$73g>3=zutw0qo<88;295`83>!4ej3;>46F=b`9U6gb=9rF9nk4>{Wf1>7}#9o21=8o4V072>7}#>8o1=?5+60f957=zutw0e<8::18'6gd=9<20Z?lk:0yO6g`=9r\o>74023_;>=744<,?;o6<<4}|~?l7083:1(?lm:07;?M4ei2\9ni4>{M0ab?7|^m81>v*>f98235=Q9<;1>v*91d826>"19m0:>6sr}|9j525=83.9no4>599K6gg<^;ho65081!06m3;97)8>d;31?x{zu2c:;84?:%0af?7202B9nl5Y2cf95~J5jo0:w[j=:3y'5c>=9>?0Z<;>:3y'24c=9;1/:2wvqp5rb35:>5<693:1N5io1b=8750;&1fg<6=11C>oo4V3`g>4}K:kl1=vXk2;0x 4`?28?27[?:1;0x 37b2j1/:743f3_;>=7f=#>8n1o6sr}|9j533=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=;;4V072>7}#>8o1o6*91e8`?x{zu2c:;=4?:%0af?7202\9ni4>{M0ab?7|^m81>v*>f98235=Q9<;1>v*91d8`?!06l3i0qpsr;h347?6=,;hi6<;7;W0a`?7|D;hm65081!06m3i0(;?k:b9~yx{<^;ho6=9>?0Z<;>:3y'24c=k2.==i4l;|~y>o6??0;6)P5jm0:wA779U507=:r.==h4l;%42`?eol514:8L7df3_8ih7?tL3`e>4}Ql;09w)?i8;34<>P6=809w)8>e;a8 37c2j1vqps4i07a>5<#:kh1=864H3`b?S4el3;p@?li:0yU`7<5s-;m47?:b:T214<5s-<:i7m4$73g>f=zutw0e<;l:18'6gd=9<20D?ln;W0a`?7|D;hm6o6X>5081!06m3;97)8>d;31?x{zu2c:9i4?:%0af?7202\9ni4>{M0ab?7|^m81>v*>f9821a=Q9<;1>v*91d826>"19m0:>6sr}|9j50c=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=8k4V072>7}#>8o1:6*91e85?x{zu2c:9k4?:%0af?7202\9ni4>{M0ab?7|^m81>v*>f9821c=Q9<;1>v*91d85?!06l3<0qpsr;h354?6=,;hi6<;7;W0a`?7|D;hm65081!06m3<0(;?k:79~yx{2wvqp5rb35b>5<1290;w)N5io1b=8750;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:950?<^8?:6?u+60g92>"19m0=7psr}:k21d<72-8in7?:8:T1fa<6sE8ij7?tVe096~"6n10:9l5Y14396~"19l0=7)8>d;48yx{z3`;=97>5$3`a>43?3_8ih7?tL3`e>4}Ql;09w)?i8;351>P6=809w)8>e;48 37c2?1vqps4i053>5<#:kh1=864V3`g>4}K:kl1=vXk2;0x 4`?28=;7[?:1;0x 37b2?1/:91<7*=bc821==Q:kn1=vB=bg82Sb52;q/=k651618R4362;q/:f083>!4ej3;m=6X=be82I4en3;pZi<52z&2b=<6n81]=8?52z&55`<13-<:h784}|~?xd5?j0;6?4?:1y'6gd=j01C>lh4i07;>5<#:kh1=864;n3e5?6=,;hi6;W0a`?7|D;hm65081!06m3<0(;?k:79~yx{7>52;294~"5jk0i56F=ag9j50>=83.9no4>5998k4`6290/>ol51g38R7dc28qG>oh51zTg6?4|,8l36;W365?4|,?;n6;5+60f92>{zut1vn?69:181>5<7s-8in7l6;I0bb>o6=10;6)=h9o;1<7*=bc82b4=Q:kn1=vB=bg82Sb52;q/=k651g38R4362;q/:{M0ab?7|^m81>v*>f9821<=Q9<;1>v*91d85?!06l3<0qpsr;h36e?6=,;hi6<;7;W0a`?7|D;hm6m6X>5081!06m3<0(;?k:79~yx{2wvqp5rb35f>5<6290;w)N5io1d=k?50;&1fg<6n810qo<70;297?6=8r.9no480:J1ec=n9<31<7*=bc821==Q:kn1=vB=bg82Sb52;q/=k6514;8R4362;q/:5`83>!4ej3;>46F=b`9U6gb=9rF9nk4>{Wf1>7}#9o21=8o4V072>7}#>8o1=<5+60f954=zutw0c:18'6gd=9o;0Z?lk:0yO6g`=9r\o>74`63_;>=73=#>8n1:6sr}|9~f7>629086=4?{%0af?173A8jj6g>5883>!4ej3;>46X=be82I4en3;pZi<52z&2b=<6=01]=8?52z&55`<692.==i4>1:~yx=n9f083>!4ej3;m=6X=be82I4en3;pZi<52z&2b=<6n81]=8?52z&55`<13-<:h784}|~?xd5?o0;6>4?:1y'6gd=9o:0D?oi;h36=?6=,;hi6<;7;W0a`?7|D;hm656X>5081!06m3<0(;?k:79~yx{<^;ho62wvqp5`1g394?"5jk0:j<5Y2cf95~J5jo0:w[j=:3y'5c>=9o;0Z<;>:3y'24c=>2.==i49;|~y>{e:191<7;50;2x 7de2>:0D?oi;h36=?6=,;hi6<;7;W0a`?7|D;hm656X>5081!06m3i0(;?k:b9~yx{<@;hj7[o6><0;6)N5jh1]>oj51zN1fc<6s_n96?u+1g:9533<^8?:6?u+60g957=#>8n1=?5r}|8m417290/>ol514:8R7dc28qG>oh51zTg6?4|,8l36<9?;W365?4|,?;n6<<4$73g>4450z&1fg<082B9mk5f14;94?"5jk0:955G2cc8R7dc28qG>oh51zTg6?4|,8l36<;6;W365?4|,?;n6n5+60f9g>{zut1b=8o50;&1fg<6=11C>oo4V3`g>4}K:kl1=vXk2;0x 4`?28?j7[?:1;0x 37b2j1/:74023_;>=7f=#>8n1o6sr}|9j526=83.9no4>599K6gg<^;ho65081!06m3;97)8>d;31?x{zu2c:;94?:%0af?7202\9ni4>{M0ab?7|^m81>v*>f98231=Q9<;1>v*91d826>"19m0:>6sr}|9l5c7=83.9no4>f09U6gb=9rF9nk4>{Wf1>7}#9o21=k?4V072>7}#>8o1:6*91e85?x{zu2wi>5;50;194?6|,;hi6o6=00;6)P5jm0:wA589U507=:r.==h49;%42`?0ol51g38R7dc28qG>oh51zTg6?4|,8l36;W365?4|,?;n6;5+60f92>{zut1vn?68:181>5<7s-8in7l6;I0bb>o6=10;6)=h9o;1<7*=bc82b4=Q:kn1=vB=bg82Sb52;q/=k651g38R4362;q/:47>5$3`a>43?32e:j<4?:%0af?7a92\9ni4>{M0ab?7|^m81>v*>f982b4=Q9<;1>v*91d8b?!06l3k0qpsr;|`1<=<72:0;6=u+2c`96g><@;km7d?:9;29 7de28?37[ol514:8R7dc28qG>oh51zTg6?4|,8l36<;n;W365?4|,?;n6;5+60f92>{zut1d=k?50;&1fg<6n81]>oj51zN1fc<6s_n96?u+1g:95c7<^8?:6?u+60g92>"19m0=7psr}:a6=?=83;1<7>t$3`a>7e53A8jj6a>f083>!4ej3;m=65rb3:a>5<4290;w){M0ab?7|^m81>v*>f9821<=Q9<;1>v*91d8a?!06l3h0qpsr;h36e?6=,;hi6<;7;I0ae>P5jm0:wA5`9U507=:r.==h4>1:&55a<692wvqp5`1g394?"5jk0:j<5Y2cf95~J5jo0:w[j=:3y'5c>=9o;0Z<;>:3y'24c=>2.==i49;|~y>{e:1i1<7=50;2x 7de2>:0D?oi;h36=?6=,;hi6<;7;W0a`?7|D;hm656X>5081!06m3;:7)8>d;32?x{zu2c:9l4?:%0af?7202\9ni4>{M0ab?7|^m81>v*>f9821d=Q9<;1>v*91d8a?!06l3h0qpsr;n3e5?6=,;hi6;W0a`?7|D;hm65081!06m3<0(;?k:79~yx{53;294~"5jk0:j=5G2`d8m43>290/>ol514:8R7dc28qG>oh51zTg6?4|,8l36<;6;W365?4|,?;n6;5+60f92>{zut1b=8o50;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:950g<^8?:6?u+60g92>"19m0=7psr}:m2b4<72-8in7?i1:T1fa<6sE8ij7?tVe096~"6n10:j<5Y14396~"19l0=7)8>d;48yx{z3th94h4?:383>5}#:kh1n45G2`d8m43?290/>ol514:8?j7a93:1(?lm:0d2?S4el3;p@?li:0yU`7<5s-;m47?i1:T214<5s-<:i784$73g>3=zutw0qo<64;296?6=8r.9no4m9:J1ec=n9<21<7*=bc821==5<4290;w)N5io1b=8750;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:950?<^8?:6?u+60g92>"19m0=7psr}:k21d<72-8in7?:8:T1fa<6sE8ij7?tVe096~"6n10:9l5Y14396~"19l0=7)8>d;48yx{z3f;m=7>5$3`a>4`63_8ih7?tL3`e>4}Ql;09w)?i8;3e5>P6=809w)8>e;48 37c2?1vqps4}c0:4?6=93:1:18'6gd=9o;07pl=9383>6<729q/>ol5719K6d`<^;ho6=9:3y'24c=981/:2wvqp5rb3;0>5<4290;w){M0ab?7|^m81>v*>f9821<=Q9<;1>v*91d8a?!06l3h0qpsr;h36e?6=,;hi6<;7;I0ae>P5jm0:wA5`9U507=:r.==h4>1:&55a<692wvqp5`1g394?"5jk0:j<5Y2cf95~J5jo0:w[j=:3y'5c>=9o;0Z<;>:3y'24c=>2.==i49;|~y>{e:0;1<7=50;2x 7de28l;7Ed;48yx{z3`;>m7>5$3`a>43?3_8ih7?tL3`e>4}Ql;09w)?i8;36e>P6=809w)8>e;48 37c2?1vqps4o0d2>5<#:kh1=k?4V3`g>4}K:kl1=vXk2;0x 4`?28l:7[?:1;0x 37b2?1/:6=4=:183!4ej3h27Ef09U6gb=9rF9nk4>{Wf1>7}#9o21=k?4V072>7}#>8o1:6*91e85?x{zu2wi>4l50;094?6|,;hi6o74H3ce?l7203:1(?lm:07;?>i6n80;6)P5jm0:wAf09U507=:r.==h4n;%42`?g6<729q/>ol52c:8L7ga3`;>57>5$3`a>43?3_8ih7?tL3`e>4}Ql;09w)?i8;36=>P6=809w)8>e;48 37c2?1vqps4i07b>5<#:kh1=864V3`g>4}K:kl1=vXk2;0x 4`?28?j7[?:1;0x 37b2?1/:5<7s-8in79?;I0bb>o6=00;6)P5jm0:wA589U507=:r.==h4m;%42`?d{zut1d=k?50;&1fg<6n81]>oj51zN1fc<6s_n96?u+1g:95c7<^8?:6?u+60g92>"19m0=7psr}:a6t$3`a>26<@;km7d?:9;29 7de28?37[ol514:8R7dc28qG>oh51zTg6?4|,8l36<;n;W365?4|,?;n64703:1?7>50z&1fg<6n91C>lh4i07:>5<#:kh1=864V3`g>4}K:kl1=vXk2;0x 4`?28?27[?:1;0x 37b2?1/:f083>!4ej3;m=6X=be82I4en3;pZi<52z&2b=<6n81]=8?52z&55`<13-<:h784}|~?xd21;0;6?4?:1y'6gd=j01C>lh4i07;>5<#:kh1=864;n3e5?6=,;hi6;W0a`?7|D;hm65081!06m3<0(;?k:79~yx{52;294~"5jk0i56F=ag9j50>=83.9no4>5998k4`6290/>ol51g38R7dc28qG>oh51zTg6?4|,8l36;W365?4|,?;n6l5+60f9e>{zut1vn87<:180>5<7s-8in7743>3_;>=73=#>8n1:6sr}|9j50g=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=8o4V072>7}#>8o1:6*91e85?x{zu2e:j<4?:%0af?7a92\9ni4>{M0ab?7|^m81>v*>f982b4=Q9<;1>v*91d85?!06l3<0qpsr;|`6=1<7280;6=u+2c`96f4<@;km7b?i1;29 7de28l:76sm58794?5=83:p(?lm:628L7ga3`;>57>5$3`a>43?3_8ih7?tL3`e>4}Ql;09w)?i8;36=>P6=809w)8>e;`8 37c2k1vqps4i07b>5<#:kh1=864H3`b?S4el3;p@?li:0yU`7<5s-;m47?:a:T214<5s-<:i7l4$73g>g=zutw0c:18'6gd=9o;0Z?lk:0yO6g`=9r\o>74`63_;>=73=#>8n1:6sr}|9~f0b029096=4?{%0af?d>3A8jj6g>5983>!4ej3;>465`1g394?"5jk0:j<5Y2cf95~J5jo0:w[j=:3y'5c>=9o;0Z<;>:3y'24c=>2.==i49;|~y>{e=mh1<7<50;2x 7de2k30D?oi;h36d;48yx{z3th>i?4?:383>5}#:kh1n45G2`d8m43?290/>ol514:8?j7a93:1(?lm:0d2?S4el3;p@?li:0yU`7<5s-;m47?i1:T214<5s-<:i7o4$73g>d=zutw0qo;k8;297?6=8r.9no4=b99K6d`<@;hj7[ol514:8R7dc28qG>oh51zTg6?4|,8l36<;n;W365?4|,?;n6;5+60f92>{zut1d=k?50;&1fg<6n81]>oj51zN1fc<6s_n96?u+1g:95c7<^8?:6?u+60g92>"19m0=7psr}:a1a?=83;1<7>t$3`a>7e53A8jj6a>f083>!4ej3;m=65rb4fb>5<4290;w){M0ab?7|^m81>v*>f9821<=Q9<;1>v*91d85?!06l3<0qpsr;h36e?6=,;hi6<;7;W0a`?7|D;hm6m6X>5081!06m3<0(;?k:79~yx{2wvqp5rb4f`>5<1290;w){M0ab?7|^m81>v*>f9821<=Q9<;1>v*91d8`?!06l3i0qpsr;h36e?6=,;hi6<;7;I0ae>P5jm0:wA5`9U507=:r.==h4>2:&55a<6:2wvqp5f17794?"5jk0:955G2cc8R7dc28qG>oh51zTg6?4|,8l36<8:;W365?4|,?;n6<<4$73g>44o6?:0;6)P5jm0:wA729U507=:r.==h4>2:&55a<6:2wvqp5`1g394?"5jk0:j<5Y2cf95~J5jo0:w[j=:3y'5c>=9o;0Z<;>:3y'24c=>2.==i49;|~y>{e=mn1<7850;2x 7de2>:0D?oi;h36=?6=,;hi6<;7;W0a`?7|D;hm656X>5081!06m3i0(;?k:b9~yx{<@;hj7[o6><0;6)P5jm0:wA649U507=:r.==h4>2:&55a<6:2wvqp5f16294?"5jk0:955Y2cf95~J5jo0:w[j=:3y'5c>=9>:0Z<;>:3y'24c=9;1/:<^;ho6;W0a`?7|D;hm65081!06m3<0(;?k:79~yx{56;294~"5jk0<<6F=ag9j50?=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=874V072>7}#>8o1o6*91e8`?x{zu2c:9l4?:%0af?7202B9nl5Y2cf95~J5jo0:w[j=:3y'5c>=9:3y'24c=9;1/:6=4+2c`950><^;ho65081!06m3;97)8>d;31?x{zu2c:;>4?:%0af?7202\9ni4>{M0ab?7|^m81>v*>f98236=Q9<;1>v*91d826>"19m0:>6sr}|9l5c7=83.9no4>f09U6gb=9rF9nk4>{Wf1>7}#9o21=k?4V072>7}#>8o1:6*91e85?x{zu2wi9ih50;594?6|,;hi6:>4H3ce?l7213:1(?lm:07;?M4ei2\9ni4>{M0ab?7|^m81>v*>f9821<=Q9<;1>v*91d8`?!06l3i0qpsr;h36e?6=,;hi6<;7;I0ae>P5jm0:wA5`9U507=:r.==h4l;%42`?ed;a8yx{z3`;<<7>5$3`a>43?3A8im6X=be82I4en3;pZi<52z&2b=<6?91]=8?52z&55`<6:2.==i4>2:~yx=n9>91<7*=bc821==Q:kn1=vB=bg82Sb52;q/=k651618R4362;q/:5<#:kh1=864V3`g>4}K:kl1=vXk2;0x 4`?28=?7[?:1;0x 37b2880(;?k:008yx{z3f;m=7>5$3`a>4`63_8ih7?tL3`e>4}Ql;09w)?i8;3e5>P6=809w)8>e;48 37c2?1vqps4}c7f4?6=?3:1N5io1b=8750;&1fg<6=11C>oo4V3`g>4}K:kl1=vXk2;0x 4`?28?27[?:1;0x 37b2j1/:743f3_;>=7f=#>8n1o6sr}|9j533=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=;;4V072>7}#>8o1=?5+60f957=zutw0e<9?:18'6gd=9<20D?ln;W0a`?7|D;hm65081!06m3;97)8>d;31?x{zu2c:;>4?:%0af?7202\9ni4>{M0ab?7|^m81>v*>f98236=Q9<;1>v*91d826>"19m0:>6sr}|9j522=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=::4V072>7}#>8o1=?5+60f957=zutw0c:18'6gd=9o;0Z?lk:0yO6g`=9r\o>74`63_;>=73=#>8n1:6sr}|9~f0c6290=6=4?{%0af?7a82B9mk5f14;94?"5jk0:955Y2cf95~J5jo0:w[j=:3y'5c>=9<30Z<;>:3y'24c=>2.==i49;|~y>o6=h0;6)P5jm0:wA5`9U507=:r.==h49;%42`?0ol514:8R7dc28qG>oh51zTg6?4|,8l36<9?;W365?4|,?;n6;5+60f92>{zut1b=:=50;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:9525<^8?:6?u+60g92>"19m0=7psr}:m2b4<72-8in7?i1:T1fa<6sE8ij7?tVe096~"6n10:j<5Y14396~"19l0=7)8>d;48yx{z3th?il4?:383>5}#:kh1n45G2`d8m43?290/>ol514:8?j7a93:1(?lm:0d2?S4el3;p@?li:0yU`7<5s-;m47?i1:T214<5s-<:i784$73g>3=zutw0qo:je;296?6=8r.9no4m9:J1ec=n9<21<7*=bc821==5<4290;w)N5io1b=8750;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:950?<^8?:6?u+60g92>"19m0=7psr}:k21d<72-8in7?:8:T1fa<6sE8ij7?tVe096~"6n10:9l5Y14396~"19l0=7)8>d;48yx{z3f;m=7>5$3`a>4`63_8ih7?tL3`e>4}Ql;09w)?i8;3e5>P6=809w)8>e;48 37c2?1vqps4}c6fg?6=93:1:18'6gd=9o;07pl;ee83>6<729q/>ol5719K6d`<@;hj7[;|~y>o6=h0;6)N5jh1]>oj51zN1fc<6s_n96?u+1g:950g<^8?:6?u+60g954=#>8n1=<5r}|8k4`6290/>ol51g38R7dc28qG>oh51zTg6?4|,8l36;W365?4|,?;n6;5+60f92>{zut1vn8:>:181>5<7s-8in7l6;I0bb>o6=10;6)=h9o;1<7*=bc82b4=Q:kn1=vB=bg82Sb52;q/=k651g38R4362;q/:4:k21=<72-8in7?:8:T1fa<6sE8ij7?tV0g3>7}#9o21=864V072>7}#>8o1:6*91e85?x{zu2chn7>5$3`a>fd<^;ho62wvqp5fcb83>!4ej3ih7[52z&2b=<,?;o655r}|N1f`<3s_8jo7=tVe296~"19j0ho6*v*91b821==#;o:1:v*91b821==#;o:1:v*91b8`f>"4n90==h5r$7cg>2=z^8l<6?uYd181!06k3ih7)=i0;42a>{#>hn1=>5r}|9j6dd=83.9no4=ac9U6gb=:rF9nk4>{W3f4?4|,8l36?om;W365?4|,?;n6<<4$73g>44ok51zT1f1<5s_n;6?u+60a96dd<,:l;6;?j;|&5ea<6:2wvq6g=9b83>!4ej382o6X=be81I4en3;pZ=:0i0Z<;>:3y'24c=9;1/:8o0q)8nd;31?x{z3f;m=7>5$3`a>4`632wi99<50;194?6|,;hi6?l7;I0bb>o6=00;6)P5jm0:wA589U507=:r.==h49;%42`?0ol51g38R7dc28qG>oh51zTg6?4|,8l36;W365?4|,?;n6;5+60f92>{zut1vn8:<:182>5<7s-8in753;294~"5jk0<<6F=ag9j50?=83.9no4>599K6gg<^;ho6P5jm0:wA5`9U507=:r.==h4>1:&55a<692wvqp5`1g394?"5jk0:j<5Y2cf95~J5jo0:w[j=:3y'5c>=9o;0Z<;>:3y'24c=>2.==i49;|~y>{e==31<7<50;2x 7de2k30D?oi;h36d;48yx{z3th>8i4?:383>5}#:kh1n45G2`d8m43?290/>ol514:8?j7a93:1(?lm:0d2?S4el3;p@?li:0yU`7<5s-;m47?i1:T214<5s-<:i784$73g>3=zutw0qo;:5;292?6=8r.9no4>579K6d`<,8=26<:4i07;>5<#:kh1=864V3`g>4}K:kl1=vX>e181!7a03;>46X>5081!06m3<0(;?k:79~yx{P5jm0:wAoj52zN1fc<6s_;n<7fe<^8?:6?u+60g9<>"19m037psrL3`f>1}Q:hi1?vXk0;0x 37d2ji0(>h?:73f?xPc938p(;?l:07;?!5a83<:i6s+6`f9a>{Q:hi1?vXk0;0x 37d2ji0(>h?:73f?xPc938p(;?l:07;?!5a83<:h6s+6`f9a>{Q:hi1?vXk0;0x 37d2ji0(>h?:73f?xPc938p(;?l:b`8 6`72?;n7p*9ae84?xP6n>09w[j?:3y'24e=kj1/?k>560g8y!0fl3;87psr;h0bf?6=,;hi6?om;W0a`?4|D;hm66*91e826>{zuE8ii7?tV3`7>7}Ql909w)8>c;0bf>"4n90==h5r$7cg>44k2\:9<4={%42a?753-<:h7?=;|~H7db28q]>o:52zTg4?4|,?;h6?7l;%1e4?06m2w/:lj5139~yx=h9o;1<7*=bc82b4=53;294~"5jk09n55G2`d8m43>290/>ol514:8R7dc28qG>oh51zTg6?4|,8l36<;6;W365?4|,?;n6;5+60f92>{zut1b=8o50;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:950g<^8?:6?u+60g92>"19m0=7psr}:m2b4<72-8in7?i1:T1fa<6sE8ij7?tVe096~"6n10:j<5Y14396~"19l0=7)8>d;48yx{z3th>8o4?:083>5}#:kh1>n<4H3ce?j7a93:1(?lm:0d2?>{e==i1<7=50;2x 7de2>:0D?oi;h36=?6=,;hi6<;7;W0a`?7|D;hm656X>5081!06m3<0(;?k:79~yx{<^;ho62wvqp5`1g394?"5jk0:j<5Y2cf95~J5jo0:w[j=:3y'5c>=9o;0Z<;>:3y'24c=>2.==i49;|~y>{e==o1<7850;2x 7de2>:0D?oi;h36=?6=,;hi6<;7;W0a`?7|D;hm656X>5081!06m3;97)8>d;31?x{zu2c:9l4?:%0af?7202\9ni4>{M0ab?7|^m81>v*>f9821d=Q9<;1>v*91d8`?!06l3i0qpsr;h351?6=,;hi6<;7;I0ae>P5jm0:wA649U507=:r.==h4>2:&55a<6:2wvqp5f16294?"5jk0:955G2cc8R7dc28qG>oh51zTg6?4|,8l36<9?;W365?4|,?;n6<<4$73g>44i6n80;6)P5jm0:wAf09U507=:r.==h49;%42`?02<729q/>ol5719K6d`<^;ho6oh51zTg6?4|,8l36<;n;W365?4|,?;n6n5+60f9g>{zut1b=;;50;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:9533<^8?:6?u+60g957=#>8n1=?5r}|8m417290/>ol514:8L7df3_8ih7?tL3`e>4}Ql;09w)?i8;344>P6=809w)8>e;31?!06l3;97psr}:k236<72-8in7?:8:T1fa<6sE8ij7?tVe096~"6n10:;>5Y14396~"19l0:>6*91e826>{zut1b=::50;&1fg<6=11C>oo4V3`g>4}K:kl1=vXk2;0x 4`?28=?7[?:1;0x 37b2880(;?k:008yx{z3f;m=7>5$3`a>4`63_8ih7?tL3`e>4}Ql;09w)?i8;3e5>P6=809w)8>e;48 37c2?1vqps4}c764?6=?3:1N5io1b=8750;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:950?<^8?:6?u+60g9g>"19m0h7psr}:k21d<72-8in7?:8:T1fa<6sE8ij7?tVe096~"6n10:9l5Y14396~"19l0:>6*91e826>{zut1b=;;50;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:9533<^8?:6?u+60g957=#>8n1=?5r}|8m417290/>ol514:8L7df3_8ih7?tL3`e>4}Ql;09w)?i8;344>P6=809w)8>e;31?!06l3;97psr}:k236<72-8in7?:8:T1fa<6sE8ij7?tVe096~"6n10:;>5Y14396~"19l0:>6*91e826>{zut1b=::50;&1fg<6=11C>oo4V3`g>4}K:kl1=vXk2;0x 4`?28=?7[?:1;0x 37b2880(;?k:008yx{z3f;m=7>5$3`a>4`63_8ih7?tL3`e>4}Ql;09w)?i8;3e5>P6=809w)8>e;48 37c2?1vqps4}c765?6=?3:1N5io1b=8750;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:950?<^8?:6?u+60g9g>"19m0h7psr}:k21d<72-8in7?:8:J1fd=Q:kn1=vB=bg82Sb52;q/=k6514c8R4362;q/:6483>!4ej3;>46X=be82I4en3;pZi<52z&2b=<6><1]=8?52z&55`<6:2.==i4>2:~yx=n9>:1<7*=bc821==O:kk0Z?lk:0yO6g`=9r\o>74173_;>=744<,?;o6<<4}|~?l70;3:1(?lm:07;?S4el3;p@?li:0yU`7<5s-;m47?83:T214<5s-<:i7?=;%42`?753twvq6g>7583>!4ej3;>46F=b`9U6gb=9rF9nk4>{Wf1>7}#9o21=::4V072>7}#>8o1=?5+60f957=zutw0c:18'6gd=9o;0Z?lk:0yO6g`=9r\o>74`63_;>=73=#>8n1:6sr}|9~f035290<6=4?{%0af?173A8jj6g>5883>!4ej3;>46X=be82I4en3;pZi<52z&2b=<6=01]=8?52z&55`6483>!4ej3;>46X=be82I4en3;pZi<52z&2b=<6><1]=8?52z&55`<6:2.==i4>2:~yx=n9>:1<7*=bc821==O:kk0Z?lk:0yO6g`=9r\o>74173_;>=744<,?;o6<<4}|~?l70;3:1(?lm:07;?S4el3;p@?li:0yU`7<5s-;m47?83:T214<5s-<:i7?=;%42`?753twvq6g>7583>!4ej3;>46F=b`9U6gb=9rF9nk4>{Wf1>7}#9o21=::4V072>7}#>8o1=?5+60f957=zutw0c:18'6gd=9o;0Z?lk:0yO6g`=9r\o>74`63_;>=73=#>8n1:6sr}|9~f034290=6=4?{%0af?7a82B9mk5f14;94?"5jk0:955Y2cf95~J5jo0:w[j=:3y'5c>=9<30Z<;>:3y'24c=>2.==i49;|~y>o6=h0;6)P5jm0:wA5`9U507=:r.==h49;%42`?0ol514:8R7dc28qG>oh51zTg6?4|,8l36<9?;W365?4|,?;n6;5+60f92>{zut1b=:=50;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:9525<^8?:6?u+60g92>"19m0=7psr}:m2b4<72-8in7?i1:T1fa<6sE8ij7?tVe096~"6n10:j<5Y14396~"19l0=7)8>d;48yx{z3th>9o4?:383>5}#:kh1n45G2`d8m43?290/>ol514:8?j7a93:1(?lm:0d2?S4el3;p@?li:0yU`7<5s-;m47?i1:T214<5s-<:i784$73g>3=zutw0qo;:f;296?6=8r.9no4m9:J1ec=n9<21<7*=bc821==2wvqp5rb446>5<1290;w)N5io1/=:75159j50>=83.9no4>599U6gb=9rF9nk4>{W3f4?4|,8l36<;7;W365?4|,?;n6;5+60f92>{zut1boo4?:%0af?ee3_8ih7?tL3`e>4}Q9l:1>v*>f98`f>P6=809w)8>e;48 37c2?1vqps4iba94?"5jk0ho6X=be81I4en3;pZ=kj1]=8?52z&55`7}#>8i1on5+3g2924c<,:l;6;?j;|&5ea7}#>8i1on5+3g2924c<,:l;6;?k;|&5ea7}#>8i1on5+3g2924col52``8R7dc2;qG>oh51zT2a5<5s-;m477ge3-9m<78>e:'2db=9;1vqp5f28a94?"5jk095n5Y2cf96~J5jo0:w[?j0;0x 4`?2;3h7[?:1;0x 37b2880(;?k:008yx{K:ko1=vX=b581Sb72;q/:{zu2e:j<4?:%0af?7a921vn8;l:180>5<7s-8in7743>3_;>=73=#>8n1:6sr}|9j50g=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=8o4V072>7}#>8o1:6*91e85?x{zu2e:j<4?:%0af?7a92\9ni4>{M0ab?7|^m81>v*>f982b4=Q9<;1>v*91d85?!06l3<0qpsr;|`61a<7280;6=u+2c`96f4<@;km7b?i1;29 7de28l:76sm54g94?5=83:p(?lm:628L7ga3`;>57>5$3`a>43?3A8im6X=be82I4en3;pZi<52z&2b=<6=01]=8?52z&55`<692.==i4>1:~yx=n9743f3_;>=747<,?;o63=zutw0qo;90;290?6=8r.9no480:J1ec=n9<31<7*=bc821==O:kk0Z?lk:0yO6g`=9r\o>743>3_;>=744<,?;o6<<4}|~?l72i3:1(?lm:07;?S4el3;p@?li:0yU`7<5s-;m47?:a:T214<5s-<:i7?=;%42`?753twvq6g>6483>!4ej3;>46X=be82I4en3;pZi<52z&2b=<6><1]=8?52z&55`<6:2.==i4>2:~yx=h9o;1<7*=bc82b4=Q:kn1=vB=bg82Sb52;q/=k651g38R4362;q/:57>5$3`a>43?3_8ih7?tL3`e>4}Ql;09w)?i8;36=>P6=809w)8>e;31?!06l3;97psr}:k21d<72-8in7?:8:J1fd=Q:kn1=vB=bg82Sb52;q/=k6514c8R4362;q/:5<#:kh1=864H3`b?S4el3;p@?li:0yU`7<5s-;m47?95:T214<5s-<:i7?=;%42`?753twvq6a>f083>!4ej3;m=6X=be82I4en3;pZi<52z&2b=<6n81]=8?52z&55`<13-<:h784}|~?xd2>;0;6;4?:1y'6gd=?91C>lh4i07:>5<#:kh1=864V3`g>4}K:kl1=vXk2;0x 4`?28?27[?:1;0x 37b2880(;?k:008yx{z3`;>m7>5$3`a>43?3A8im6X=be82I4en3;pZi<52z&2b=<6=h1]=8?52z&55`<6:2.==i4>2:~yx=n9??1<7*=bc821==O:kk0Z?lk:0yO6g`=9r\o>74023_;>=744<,?;o6<<4}|~?l7083:1(?lm:07;?M4ei2\9ni4>{M0ab?7|^m81>v*>f98235=Q9<;1>v*91d826>"19m0:>6sr}|9j525=83.9no4>599K6gg<^;ho6;W0a`?7|D;hm65081!06m3<0(;?k:79~yx{54;294~"5jk0:j=5G2`d8m43>290/>ol514:8R7dc28qG>oh51zTg6?4|,8l36<;6;W365?4|,?;n6;5+60f92>{zut1b=8o50;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:950g<^8?:6?u+60g92>"19m0=7psr}:k220<72-8in7?:8:T1fa<6sE8ij7?tVe096~"6n10::85Y14396~"19l0=7)8>d;48yx{z3f;m=7>5$3`a>4`63_8ih7?tL3`e>4}Ql;09w)?i8;3e5>P6=809w)8>e;48 37c2?1vqps4}c3f1?6=:3:1N5io1b=8650;&1fg<6=110c:18'6gd=9o;0Z?lk:0yO6g`=9r\o>74`63_;>=73=#>8n1:6sr}|9~f4c129096=4?{%0af?d>3A8jj6g>5983>!4ej3;>465`1g394?"5jk0:j<5Y2cf95~J5jo0:w[j=:3y'5c>=9o;0Z<;>:3y'24c=>2.==i49;|~y>{e9l=1<7<50;2x 7de2k30D?oi;h36d;48yx{z3th:io4?:383>5}#:kh1n45G2`d8m43?290/>ol514:8?j7a93:1(?lm:0d2?S4el3;p@?li:0yU`7<5s-;m47?i1:T214<5s-<:i7o4$73g>d=zutw0qo?j8;297?6=8r.9no4=b99K6d`<^;ho62wvqp5f14c94?"5jk0:955Y2cf95~J5jo0:w[j=:3y'5c>=9:3y'24c=>2.==i49;|~y>i6n80;6)P5jm0:wAf09U507=:r.==h49;%42`?0e883>4<729q/>ol52b08L7ga3f;m=7>5$3`a>4`632wi=ho50;394?6|,;hi6?m=;I0bb>i6n80;6)=zj8oh6=4<:183!4ej3=;7E3twvq6g>5`83>!4ej3;>46F=b`9U6gb=9rF9nk4>{Wf1>7}#9o21=8o4V072>7}#>8o156*91e8:?x{zu2e:j<4?:%0af?7a92\9ni4>{M0ab?7|^m81>v*>f982b4=Q9<;1>v*91d85?!06l3<0qpsr;|`17d<72;0;6=u+2c`9f<=O:hl0e<;7:18'6gd=9<207b?i1;29 7de28l:7[7>50z&1fg5<#:kh1=k?4V3`g>4}K:kl1=vXk2;0x 4`?28l:7[?:1;0x 37b2?1/:>6=4=:183!4ej3h27Ef09U6gb=9rF9nk4>{Wf1>7}#9o21=k?4V072>7}#>8o1m6*91e8b?x{zu2wi>>l50;194?6|,;hi6?l7;I0bb>o6=00;6)P5jm0:wA589U507=:r.==h49;%42`?0ol51g38R7dc28qG>oh51zTg6?4|,8l36;W365?4|,?;n6;5+60f92>{zut1vn?=l:182>5<7s-8in753;294~"5jk0<<6F=ag9j50?=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=874V072>7}#>8o1:6*91e85?x{zu2c:9l4?:%0af?7202\9ni4>{M0ab?7|^m81>v*>f9821d=Q9<;1>v*91d85?!06l3<0qpsr;n3e5?6=,;hi6;W0a`?7|D;hm65081!06m3<0(;?k:79~yx{56;294~"5jk0<<6F=ag9j50?=83.9no4>599K6gg<^;ho6oh51zTg6?4|,8l36<;n;W365?4|,?;n6n5+60f9g>{zut1b=;;50;&1fg<6=11C>oo4V3`g>4}K:kl1=vXk2;0x 4`?28<>7[?:1;0x 37b2j1/::1<7*=bc821==O:kk0Z?lk:0yO6g`=9r\o>74173_;>=744<,?;o6<<4}|~?l70;3:1(?lm:07;?S4el3;p@?li:0yU`7<5s-;m47?83:T214<5s-<:i7?=;%42`?753twvq6a>f083>!4ej3;m=6X=be82I4en3;pZi<52z&2b=<6n81]=8?52z&55`<13-<:h784}|~?xd5<90;6;4?:1y'6gd=?91C>lh4i07:>5<#:kh1=864H3`b?S4el3;p@?li:0yU`7<5s-;m47?:9:T214<5s-<:i7m4$73g>f=zutw0e<;n:18'6gd=9<20D?ln;W0a`?7|D;hm6m6X>5081!06m3i0(;?k:b9~yx{6=4+2c`950><@;hj7[ol514:8L7df3_8ih7?tL3`e>4}Ql;09w)?i8;344>P6=809w)8>e;31?!06l3;97psr}:k236<72-8in7?:8:T1fa<6sE8ij7?tVe096~"6n10:;>5Y14396~"19l0:>6*91e826>{zut1d=k?50;&1fg<6n81]>oj51zN1fc<6s_n96?u+1g:95c7<^8?:6?u+60g92>"19m0=7psr}:a617=83<1<7>t$3`a>26<@;km7d?:9;29 7de28?37Ed;a8yx{z3`;>m7>5$3`a>43?3A8im6X=be82I4en3;pZi<52z&2b=<6=h1]=8?52z&55`{M0ab?7|^m81>v*>f98220=Q9<;1>v*91d8`?!06l3i0qpsr;h344?6=,;hi6<;7;I0ae>P5jm0:wA719U507=:r.==h4>2:&55a<6:2wvqp5f16194?"5jk0:955Y2cf95~J5jo0:w[j=:3y'5c>=9>90Z<;>:3y'24c=9;1/:2wvqp5rb361>5<1290;w)=9<30Z<;>:3y'24c=k2.==i4l;|~y>o6=h0;6)N5jh1]>oj51zN1fc<6s_n96?u+1g:950g<^8?:6?u+60g9g>"19m0h7psr}:k220<72-8in7?:8:J1fd=Q:kn1=vB=bg82Sb52;q/=k651778R4362;q/:7183>!4ej3;>46F=b`9U6gb=9rF9nk4>{Wf1>7}#9o21=:>4V072>7}#>8o1=?5+60f957=zutw0e<9<:18'6gd=9<20Z?lk:0yO6g`=9r\o>74143_;>=744<,?;o6<<4}|~?j7a93:1(?lm:0d2?S4el3;p@?li:0yU`7<5s-;m47?i1:T214<5s-<:i784$73g>3=zutw0qo<;3;293?6=8r.9no480:J1ec=n9<31<7*=bc821==O:kk0Z?lk:0yO6g`=9r\o>743>3_;>=7f=#>8n1o6sr}|9j50g=83.9no4>599K6gg<^;ho6oh51zTg6?4|,8l36<8:;W365?4|,?;n6n5+60f9g>{zut1b=:>50;&1fg<6=11C>oo4V3`g>4}K:kl1=vXk2;0x 4`?28=;7[?:1;0x 37b2880(;?k:008yx{z3`;5$3`a>43?3_8ih7?tL3`e>4}Ql;09w)?i8;347>P6=809w)8>e;31?!06l3;97psr}:k231<72-8in7?:8:T1fa<6sE8ij7?tVe096~"6n10:;95Y14396~"19l0:>6*91e826>{zut1d=k?50;&1fg<6n81]>oj51zN1fc<6s_n96?u+1g:95c7<^8?:6?u+60g92>"19m0=7psr}:a612=83<1<7>t$3`a>4`73A8jj6g>5883>!4ej3;>46X=be82I4en3;pZi<52z&2b=<6=01]=8?52z&55`<13-<:h784}|~?l72i3:1(?lm:07;?S4el3;p@?li:0yU`7<5s-;m47?:a:T214<5s-<:i784$73g>3=zutw0e<8::18'6gd=9<20Z?lk:0yO6g`=9r\o>74023_;>=73=#>8n1:6sr}|9j526=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=:>4V072>7}#>8o1:6*91e85?x{zu2c:;>4?:%0af?7202\9ni4>{M0ab?7|^m81>v*>f98236=Q9<;1>v*91d85?!06l3<0qpsr;n3e5?6=,;hi6;W0a`?7|D;hm65081!06m3<0(;?k:79~yx{m=7>52;294~"5jk0i56F=ag9j50>=83.9no4>5998k4`6290/>ol51g38R7dc28qG>oh51zTg6?4|,8l36;W365?4|,?;n6;5+60f92>{zut1vn;h50;094?6|,;hi6o74H3ce?l7203:1(?lm:07;?>i6n80;6)P5jm0:wAf09U507=:r.==h49;%42`?03<729q/>ol51448L7ga3-;<57?:;h36d;48yx{z3`ii6=4+2c`9gg=Q:kn1=vB=bg82S7b838p(=7==#>8n146sr}M0aa?2|^;kh6>uYd181!06k3ih7)=i0;42a>{Ql809w)8>c;36<>"4n90==h5r$7cg>`=z^;kh6>uYd181!06k3ih7)=i0;42a>{Ql809w)8>c;36<>"4n90==i5r$7cg>`=z^;kh6>uYd181!06k3ih7)=i0;42a>{Ql809w)8>c;aa?!5a83<:i6s+6`f93>{Q9o=1>vXk0;0x 37d2ji0(>h?:73f?x"1im0:?6sr}:k1eg<72-8in77}#9o21>ll4V072>7}#>8o1=?5+60f957=zutF9nh4>{W0a0?4|^m:1>v*91b81eg=#;o:1:52z&2b=<51j1]=8?52z&55`<6:2.==i4>2:~yI4em3;pZ?l;:3yU`5<5s-<:o7<6c:&0b5<19l1v(;ok:008yx{5}#:kh1>o64H3ce?l7213:1(?lm:07;?M4ei2\9ni4>{M0ab?7|^m81>v*>f9821<=Q9<;1>v*91d85?!06l3<0qpsr;h36e?6=,;hi6<;7;W0a`?7|D;hm6m6X>5081!06m3<0(;?k:79~yx{2wvqp5rb5d0>5<6290;w)N5io1d=k?50;&1fg<6n810qo:i5;297?6=8r.9no480:J1ec=n9<31<7*=bc821==Q:kn1=vB=bg82Sb52;q/=k6514;8R4362;q/:5<#:kh1=864V3`g>4}K:kl1=vXk2;0x 4`?28?j7[?:1;0x 37b28;0(;?k:038yx{z3f;m=7>5$3`a>4`63_8ih7?tL3`e>4}Ql;09w)?i8;3e5>P6=809w)8>e;48 37c2?1vqps4}c6e2?6=;3:1N5io1b=8750;&1fg<6=11C>oo4V3`g>4}K:kl1=vXk2;0x 4`?28?27[?:1;0x 37b28;0(;?k:038yx{z3`;>m7>5$3`a>43?3A8im6X=be82I4en3;pZi<52z&2b=<6=h1]=8?52z&55`<692.==i4>1:~yx=h9o;1<7*=bc82b4=Q:kn1=vB=bg82Sb52;q/=k651g38R4362;q/:{M0ab?7|^m81>v*>f9821<=Q9<;1>v*91d85?!06l3<0qpsr;h36e?6=,;hi6<;7;W0a`?7|D;hm6m6X>5081!06m3<0(;?k:79~yx{2wvqp5rb42g>5<5290;w)oj51zN1fc<6s_n96?u+1g:95c7<^8?:6?u+60g92>"19m0=7psr}:af=<72;0;6=u+2c`9f<=O:hl0e<;7:18'6gd=9<207b?i1;29 7de28l:7[7>50z&1fg5<#:kh1=k?4V3`g>4}K:kl1=vXk2;0x 4`?28l:7[?:1;0x 37b2?1/::6F=ag9'52?=9<1b=8650;&1fg<6=11]>oj51zN1fc<6s_;n<743?3_;>=73=#>8n1:6sr}|9jgg<72-8in7mm;W0a`?7|D;hm65081!06m3<0(;?k:79~yx{P5jm09wAok54zT1ef<4s_n;6?u+60a9gf=#;o:1:7}#>8i1=864$2d3>37b3t.=mi4j;|T1ef<4s_n;6?u+60a9gf=#;o:1:7}#>8i1=864$2d3>37c3t.=mi4j;|T1ef<4s_n;6?u+60a9gf=#;o:1:7}#>8i1oo5+3g2924cv*91b8`g>"4n90==h5r$7cg>45o:52zTg4?4|,?;h6?om;%1e4?06m2w/:lj5139~yx=n:0i1<7*=bc81=f=Q:kn1>vB=bg82S7b838p(h?:73f?x"1im0:>6sr}:m2b4<72-8in7?i1:9~f06b29086=4?{%0af?4e02B9mk5f14;94?"5jk0:955G2cc8R7dc28qG>oh51zTg6?4|,8l36<;6;W365?4|,?;n6;5+60f92>{zut1b=8o50;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:950g<^8?:6?u+60g92>"19m0=7psr}:m2b4<72-8in7?i1:T1fa<6sE8ij7?tVe096~"6n10:j<5Y14396~"19l0=7)8>d;48yx{z3th>5}#:kh1>n<4H3ce?j7a93:1(?lm:0d2?>{e=8:1<7=50;2x 7de2>:0D?oi;h36=?6=,;hi6<;7;W0a`?7|D;hm656X>5081!06m3<0(;?k:79~yx{<^;ho62wvqp5`1g394?"5jk0:j<5Y2cf95~J5jo0:w[j=:3y'5c>=9o;0Z<;>:3y'24c=>2.==i49;|~y>{e=881<7<>:183!4ej3=;7E5`83>!4ej3;>46F=b`9U6gb=9rF9nk4>{Wf1>7}#9o21=8o4V072>7}#>8o1o6*91e8`?x{zu2c::84?:%0af?7202\9ni4>{M0ab?7|^m81>v*>f98220=Q9<;1>v*91d8`?!06l3i0qpsr;h344?6=,;hi6<;7;W0a`?7|D;hm65081!06m3i0(;?k:b9~yx{<^;ho6=9>>0Z<;>:3y'24c=k2.==i4l;|~y>o6?<0;6)P5jm0:wA749U507=:r.==h4l;%42`?e2\:9<4={%42a?e<,?;o6n5r}|8m410290/>ol514:8R7dc28qG>oh51zTg6?4|,8l36<98;W365?4|,?;n6n5+60f9g>{zut1b=:650;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:952><^8?:6?u+60g9g>"19m0h7psr}:k21g<72-8in7?:8:T1fa<6sE8ij7?tVe096~"6n10:9o5Y14396~"19l0h7)8>d;a8yx{z3`;>o7>5$3`a>43?3_8ih7?tL3`e>4}Ql;09w)?i8;36g>P6=809w)8>e;a8 37c2j1vqps4i07g>5<#:kh1=864V3`g>4}K:kl1=vXk2;0x 4`?28?o7[?:1;0x 37b2j1/:5g83>!4ej3;>46F=b`9U6gb=9rF9nk4>{Wf1>7}#9o21=8h4V072>7}#>8o1o6*91e8`?x{zu2c::=4?:%0af?7202\9ni4>{M0ab?7|^m81>v*>f98225=Q9<;1>v*91d8`?!06l3i0qpsr;h355?6=,;hi6<;7;W0a`?7|D;hm65081!06m3;97)8>d;31?x{zu2c::?4?:%0af?7202\9ni4>{M0ab?7|^m81>v*>f98227=Q9<;1>v*91d826>"19m0:>6sr}|9j535=83.9no4>599K6gg<^;ho65081!06m3;97)8>d;31?x{zu2c::;4?:%0af?7202\9ni4>{M0ab?7|^m81>v*>f98223=Q9<;1>v*91d85?!06l3<0qpsr;h353?6=,;hi6<;7;W0a`?7|D;hm65081!06m3<0(;?k:79~yx{<^;ho62wvqp5f17;94?"5jk0:955Y2cf95~J5jo0:w[j=:3y'5c>=9?30Z<;>:3y'24c=>2.==i49;|~y>o6>h0;6)P5jm0:wA6`9U507=:r.==h49;%42`?0ol514:8R7dc28qG>oh51zTg6?4|,8l36<8l;W365?4|,?;n6;5+60f92>{zut1b=;j50;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:953b<^8?:6?u+60g92>"19m0=7psr}:k22`<72-8in7?:8:T1fa<6sE8ij7?tVe096~"6n10::h5Y14396~"19l0=7)8>d;48yx{z3`;=j7>5$3`a>43?3_8ih7?tL3`e>4}Ql;09w)?i8;35b>P6=809w)8>e;48 37c2?1vqps4i052>5<#:kh1=864V3`g>4}K:kl1=vXk2;0x 4`?28=:7[?:1;0x 37b2?1/:81<7*=bc821==Q:kn1=vB=bg82Sb52;q/=k651608R4362;q/:f083>!4ej3;m=6X=be82I4en3;pZi<52z&2b=<6n81]=8?52z&55`<13-<:h784}|~?xd29:0;6??50;2x 7de2>:0D?oi;h36=?6=,;hi6<;7;I0ae>P5jm0:wA589U507=:r.==h4l;%42`?ed;a8yx{z3`;=97>5$3`a>43?3_8ih7?tL3`e>4}Ql;09w)?i8;351>P6=809w)8>e;a8 37c2j1vqps4i053>5<#:kh1=864V3`g>4}K:kl1=vXk2;0x 4`?28=;7[?:1;0x 37b2j1/:91<7*=bc821==Q:kn1=vB=bg82Sb52;q/=k651618R4362;q/:7583>!4ej3;>46X=be82I4en3;pZi<52z&2b=<6?=1]=8?52z&55`f=zutw0e<99:18'6gd=9<20Z?lk:0yO6g`=9r\o>74113_;>=7f=#>8n1o6sr}|9j521=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=:94V072>7}#>8o1o6*91e8`?x{zu2c:;54?:%0af?7202\9ni4>{M0ab?7|^m81>v*>f9823==Q9<;1>v*91d8`?!06l3i0qpsr;h36f?6=,;hi6<;7;W0a`?7|D;hm6n6X>5081!06m3i0(;?k:b9~yx{<^;ho6=9:3y'24c=k2.==i4l;|~y>o6=l0;6)P5jm0:wA5d9U507=:r.==h4l;%42`?ed;a8yx{z3`;=<7>5$3`a>43?3_8ih7?tL3`e>4}Ql;09w)?i8;354>P6=809w)8>e;a8 37c2j1vqps4i042>5<#:kh1=864V3`g>4}K:kl1=vXk2;0x 4`?28<:7[?:1;0x 37b2880(;?k:008yx{z3`;=>7>5$3`a>43?3_8ih7?tL3`e>4}Ql;09w)?i8;356>P6=809w)8>e;31?!06l3;97psr}:k226<72-8in7?:8:J1fd=Q:kn1=vB=bg82Sb52;q/=k651718R4362;q/:5<#:kh1=864V3`g>4}K:kl1=vXk2;0x 4`?285$3`a>43?3_8ih7?tL3`e>4}Ql;09w)?i8;352>P6=809w)8>e;48 37c2?1vqps4i044>5<#:kh1=864V3`g>4}K:kl1=vXk2;0x 4`?28<<7[?:1;0x 37b2?1/:6883>!4ej3;>46X=be82I4en3;pZi<52z&2b=<6>01]=8?52z&55`<13-<:h784}|~?l71i3:1(?lm:07;?S4el3;p@?li:0yU`7<5s-;m47?9a:T214<5s-<:i784$73g>3=zutw0e<8m:18'6gd=9<20Z?lk:0yO6g`=9r\o>740e3_;>=73=#>8n1:6sr}|9j53e=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=;m4V072>7}#>8o1:6*91e85?x{zu2c::i4?:%0af?7202\9ni4>{M0ab?7|^m81>v*>f9822a=Q9<;1>v*91d85?!06l3<0qpsr;h35a?6=,;hi6<;7;W0a`?7|D;hm65081!06m3<0(;?k:79~yx{<^;ho62wvqp5f16394?"5jk0:955Y2cf95~J5jo0:w[j=:3y'5c>=9>;0Z<;>:3y'24c=>2.==i49;|~y>o6?;0;6)P5jm0:wA739U507=:r.==h49;%42`?0<4?:1y'6gd=?91C>lh4i07:>5<#:kh1=864H3`b?S4el3;p@?li:0yU`7<5s-;m47?:9:T214<5s-<:i7m4$73g>f=zutw0e<;n:18'6gd=9<20D?ln;W0a`?7|D;hm6m6X>5081!06m3i0(;?k:b9~yx{6=4+2c`950><^;ho6=9>:0Z<;>:3y'24c=k2.==i4l;|~y>o6?:0;6)P5jm0:wA729U507=:r.==h4l;%42`?eol514:8R7dc28qG>oh51zTg6?4|,8l36<9:;W365?4|,?;n6n5+60f9g>{zut1b=:850;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:9520<^8?:6?u+60g9g>"19m0h7psr}:k232<72-8in7?:8:T1fa<6sE8ij7?tVe096~"6n10:;:5Y14396~"19l0h7)8>d;a8yx{z3`;<47>5$3`a>43?3_8ih7?tL3`e>4}Ql;09w)?i8;34<>P6=809w)8>e;a8 37c2j1vqps4i07a>5<#:kh1=864H3`b?S4el3;p@?li:0yU`7<5s-;m47?:b:T214<5s-<:i7m4$73g>f=zutw0e<;l:18'6gd=9<20D?ln;W0a`?7|D;hm6o6X>5081!06m3i0(;?k:b9~yx{<@;hj7[ol514:8L7df3_8ih7?tL3`e>4}Ql;09w)?i8;36a>P6=809w)8>e;a8 37c2j1vqps4i07e>5<#:kh1=864H3`b?S4el3;p@?li:0yU`7<5s-;m47?:f:T214<5s-<:i7m4$73g>f=zutw0e<8?:18'6gd=9<20D?ln;W0a`?7|D;hm65081!06m3i0(;?k:b9~yx{<^;ho6=9?80Z<;>:3y'24c=9;1/:<^;ho6P5jm0:wA659U507=:r.==h4>2:&55a<6:2wvqp5f17494?"5jk0:955Y2cf95~J5jo0:w[j=:3y'5c>=9?<0Z<;>:3y'24c=>2.==i49;|~y>o6>>0;6)P5jm0:wA669U507=:r.==h49;%42`?0290/>ol514:8R7dc28qG>oh51zTg6?4|,8l36<86;W365?4|,?;n6;5+60f92>{zut1b=;o50;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:953g<^8?:6?u+60g92>"19m0=7psr}:k22g<72-8in7?:8:T1fa<6sE8ij7?tVe096~"6n10::o5Y14396~"19l0=7)8>d;48yx{z3`;=o7>5$3`a>43?3_8ih7?tL3`e>4}Ql;09w)?i8;35g>P6=809w)8>e;48 37c2?1vqps4i04g>5<#:kh1=864V3`g>4}K:kl1=vXk2;0x 4`?286g83>!4ej3;>46X=be82I4en3;pZi<52z&2b=<6>o1]=8?52z&55`<13-<:h784}|~?l7093:1(?lm:07;?S4el3;p@?li:0yU`7<5s-;m47?81:T214<5s-<:i784$73g>3=zutw0e<9=:18'6gd=9<20Z?lk:0yO6g`=9r\o>74153_;>=73=#>8n1:6sr}|9l5c7=83.9no4>f09U6gb=9rF9nk4>{Wf1>7}#9o21=k?4V072>7}#>8o1:6*91e85?x{zu2wi9<;50;02>5<7s-8in79?;I0bb>o6=00;6)N5jh1]>oj51zN1fc<6s_n96?u+1g:950?<^8?:6?u+60g9g>"19m0h7psr}:k21d<72-8in7?:8:J1fd=Q:kn1=vB=bg82Sb52;q/=k6514c8R4362;q/:6483>!4ej3;>46X=be82I4en3;pZi<52z&2b=<6><1]=8?52z&55`f=zutw0e<9<:18'6gd=9<20Z?lk:0yO6g`=9r\o>74143_;>=7f=#>8n1o6sr}|9j522=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=::4V072>7}#>8o1o6*91e8`?x{zu2c:;84?:%0af?7202\9ni4>{M0ab?7|^m81>v*>f98230=Q9<;1>v*91d8`?!06l3i0qpsr;h342?6=,;hi6<;7;W0a`?7|D;hm65081!06m3i0(;?k:b9~yx{<^;ho6=9>20Z<;>:3y'24c=k2.==i4l;|~y>o6=k0;6)N5jh1]>oj51zN1fc<6s_n96?u+1g:950d<^8?:6?u+60g9g>"19m0h7psr}:k21f<72-8in7?:8:J1fd=Q:kn1=vB=bg82Sb52;q/=k6514a8R4362;q/:5e83>!4ej3;>46F=b`9U6gb=9rF9nk4>{Wf1>7}#9o21=8j4V072>7}#>8o1o6*91e8`?x{zu2c:9h4?:%0af?7202B9nl5Y2cf95~J5jo0:w[j=:3y'5c>=9:3y'24c=k2.==i4l;|~y>o6=o0;6)N5jh1]>oj51zN1fc<6s_n96?u+1g:950`<^8?:6?u+60g9g>"19m0h7psr}:k225<72-8in7?:8:T1fa<6sE8ij7?tVe096~"6n10::=5Y14396~"19l0h7)8>d;a8yx{z3`;==7>5$3`a>43?3_8ih7?tL3`e>4}Ql;09w)?i8;355>P6=809w)8>e;31?!06l3;97psr}:k227<72-8in7?:8:T1fa<6sE8ij7?tVe096~"6n10::?5Y14396~"19l0:>6*91e826>{zut1b=;=50;&1fg<6=11C>oo4V3`g>4}K:kl1=vXk2;0x 4`?28<87[?:1;0x 37b2880(;?k:008yx{z3`;=87>5$3`a>43?3_8ih7?tL3`e>4}Ql;09w)?i8;350>P6=809w)8>e;31?!06l3;97psr}:k223<72-8in7?:8:T1fa<6sE8ij7?tVe096~"6n10::;5Y14396~"19l0=7)8>d;48yx{z3`;=;7>5$3`a>43?3_8ih7?tL3`e>4}Ql;09w)?i8;353>P6=809w)8>e;48 37c2?1vqps4i04;>5<#:kh1=864V3`g>4}K:kl1=vXk2;0x 4`?28<37[?:1;0x 37b2?1/:6`83>!4ej3;>46X=be82I4en3;pZi<52z&2b=<6>h1]=8?52z&55`<13-<:h784}|~?l71j3:1(?lm:07;?S4el3;p@?li:0yU`7<5s-;m47?9b:T214<5s-<:i784$73g>3=zutw0e<8l:18'6gd=9<20Z?lk:0yO6g`=9r\o>740d3_;>=73=#>8n1:6sr}|9j53b=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=;j4V072>7}#>8o1:6*91e85?x{zu2c::h4?:%0af?7202\9ni4>{M0ab?7|^m81>v*>f9822`=Q9<;1>v*91d85?!06l3<0qpsr;h35b?6=,;hi6<;7;W0a`?7|D;hm65081!06m3<0(;?k:79~yx{<^;ho62wvqp5f16094?"5jk0:955Y2cf95~J5jo0:w[j=:3y'5c>=9>80Z<;>:3y'24c=>2.==i49;|~y>i6n80;6)P5jm0:wAf09U507=:r.==h49;%42`?077=83:p(?lm:628L7ga3`;>57>5$3`a>43?3A8im6X=be82I4en3;pZi<52z&2b=<6=01]=8?52z&55`{M0ab?7|^m81>v*>f9821d=Q9<;1>v*91d8`?!06l3i0qpsr;h351?6=,;hi6<;7;W0a`?7|D;hm65081!06m3i0(;?k:b9~yx{<^;ho6=9>90Z<;>:3y'24c=k2.==i4l;|~y>o6?=0;6)P5jm0:wA759U507=:r.==h4l;%42`?eol514:8R7dc28qG>oh51zTg6?4|,8l36<99;W365?4|,?;n6n5+60f9g>{zut1b=:950;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:9521<^8?:6?u+60g9g>"19m0h7psr}:k23=<72-8in7?:8:T1fa<6sE8ij7?tVe096~"6n10:;55Y14396~"19l0h7)8>d;a8yx{z3`;>n7>5$3`a>43?3A8im6X=be82I4en3;pZi<52z&2b=<6=k1]=8?52z&55`{M0ab?7|^m81>v*>f9821f=Q9<;1>v*91d8`?!06l3i0qpsr;h36`?6=,;hi6<;7;I0ae>P5jm0:wA5e9U507=:r.==h4l;%42`?ed;a8yx{z3`;>j7>5$3`a>43?3A8im6X=be82I4en3;pZi<52z&2b=<6=o1]=8?52z&55`f=zutw0e<8>:18'6gd=9<20Z?lk:0yO6g`=9r\o>74063_;>=744<,?;o6<<4}|~?l71:3:1(?lm:07;?S4el3;p@?li:0yU`7<5s-;m47?92:T214<5s-<:i7?=;%42`?753twvq6g>6283>!4ej3;>46F=b`9U6gb=9rF9nk4>{Wf1>7}#9o21=;=4V072>7}#>8o1=?5+60f957=zutw0e<8;:18'6gd=9<20Z?lk:0yO6g`=9r\o>74033_;>=744<,?;o6<<4}|~?l71>3:1(?lm:07;?S4el3;p@?li:0yU`7<5s-;m47?96:T214<5s-<:i784$73g>3=zutw0e<88:18'6gd=9<20Z?lk:0yO6g`=9r\o>74003_;>=73=#>8n1:6sr}|9j53>=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=;64V072>7}#>8o1:6*91e85?x{zu2c::44?:%0af?7202\9ni4>{M0ab?7|^m81>v*>f9822<=Q9<;1>v*91d85?!06l3<0qpsr;h35e?6=,;hi6<;7;W0a`?7|D;hm65081!06m3<0(;?k:79~yx{<^;ho62wvqp5f17a94?"5jk0:955Y2cf95~J5jo0:w[j=:3y'5c>=9?i0Z<;>:3y'24c=>2.==i49;|~y>o6>m0;6)P5jm0:wA6e9U507=:r.==h49;%42`?0ol514:8R7dc28qG>oh51zTg6?4|,8l36<8i;W365?4|,?;n6;5+60f92>{zut1b=:?50;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:9527<^8?:6?u+60g92>"19m0=7psr}:k237<72-8in7?:8:T1fa<6sE8ij7?tVe096~"6n10:;?5Y14396~"19l0=7)8>d;48yx{z3f;m=7>5$3`a>4`63_8ih7?tL3`e>4}Ql;09w)?i8;3e5>P6=809w)8>e;48 37c2?1vqps4}c723?6=>3:1743>3_;>=73=#>8n1:6sr}|9j50g=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=8o4V072>7}#>8o1:6*91e85?x{zu2c::84?:%0af?7202\9ni4>{M0ab?7|^m81>v*>f98220=Q9<;1>v*91d85?!06l3<0qpsr;h344?6=,;hi6<;7;W0a`?7|D;hm65081!06m3<0(;?k:79~yx{<^;ho62wvqp5`1g394?"5jk0:j<5Y2cf95~J5jo0:w[j=:3y'5c>=9o;0Z<;>:3y'24c=>2.==i49;|~y>{e=?21<7<50;2x 7de2k30D?oi;h36d;48yx{z3th>:k4?:383>5}#:kh1n45G2`d8m43?290/>ol514:8?j7a93:1(?lm:0d2?S4el3;p@?li:0yU`7<5s-;m47?i1:T214<5s-<:i7o4$73g>d=zutw0qo;99;297?6=8r.9no4=b99K6d`<@;hj7[ol514:8R7dc28qG>oh51zTg6?4|,8l36<;n;W365?4|,?;n6;5+60f92>{zut1d=k?50;&1fg<6n81]>oj51zN1fc<6s_n96?u+1g:95c7<^8?:6?u+60g92>"19m0=7psr}:a13g=83;1<7>t$3`a>7e53A8jj6a>f083>!4ej3;m=65rb44`>5<3290;w){M0ab?7|^m81>v*>f9821<=Q9<;1>v*91d8a?!06l3h0qpsr;h36e?6=,;hi6<;7;W0a`?7|D;hm6m6X>5081!06m3h0(;?k:c9~yx{6=4+2c`950><@;hj7[ol51g38R7dc28qG>oh51zTg6?4|,8l36;W365?4|,?;n6;5+60f92>{zut1vn88k:186>5<7s-8in79?;I0bb>o6=00;6)P5jm0:wA589U507=:r.==h4m;%42`?dol514:8R7dc28qG>oh51zTg6?4|,8l36<8:;W365?4|,?;n6o5+60f9f>{zut1b=:>50;&1fg<6=11C>oo4V3`g>4}K:kl1=vXk2;0x 4`?28=;7[?:1;0x 37b2k1/:57>5$3`a>43?3_8ih7?tL3`e>4}Ql;09w)?i8;36=>P6=809w)8>e;`8 37c2k1vqps4i07b>5<#:kh1=864V3`g>4}K:kl1=vXk2;0x 4`?28?j7[?:1;0x 37b2k1/:7183>!4ej3;>46F=b`9U6gb=9rF9nk4>{Wf1>7}#9o21=:>4V072>7}#>8o1n6*91e8a?x{zu2e:j<4?:%0af?7a92\9ni4>{M0ab?7|^m81>v*>f982b4=Q9<;1>v*91d85?!06l3<0qpsr;|`62g<72=0;6=u+2c`95c6<@;km7d?:9;29 7de28?37[ol514:8R7dc28qG>oh51zTg6?4|,8l36<;n;W365?4|,?;n6;5+60f92>{zut1b=;;50;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:9533<^8?:6?u+60g92>"19m0=7psr}:m2b4<72-8in7?i1:T1fa<6sE8ij7?tVe096~"6n10:j<5Y14396~"19l0=7)8>d;48yx{z3th>;?4?:383>5}#:kh1n45G2`d8m43?290/>ol514:8?j7a93:1(?lm:0d2?S4el3;p@?li:0yU`7<5s-;m47?i1:T214<5s-<:i784$73g>3=zutw0qo;88;296?6=8r.9no4m9:J1ec=n9<21<7*=bc821==5<4290;w)N5io1b=8750;&1fg<6=11C>oo4V3`g>4}K:kl1=vXk2;0x 4`?28?27[?:1;0x 37b2?1/:f083>!4ej3;m=6X=be82I4en3;pZi<52z&2b=<6n81]=8?52z&55`<13-<:h784}|~?xd2?=0;6<4?:1y'6gd=:j80D?oi;n3e5?6=,;hi6;:a120=8391<7>t$3`a>26<@;km7d?:9;29 7de28?37E{zut1b=8o50;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:950g<^8?:6?u+60g954=#>8n1=<5r}|8k4`6290/>ol51g38R7dc28qG>oh51zTg6?4|,8l36;W365?4|,?;n6;5+60f92>{zut1vn898:186>5<7s-8in79?;I0bb>o6=00;6)N5jh1]>oj51zN1fc<6s_n96?u+1g:950?<^8?:6?u+60g954=#>8n1=<5r}|8m43f290/>ol514:8L7df3_8ih7?tL3`e>4}Ql;09w)?i8;36e>P6=809w)8>e;32?!06l3;:7psr}:k220<72-8in7?:8:J1fd=Q:kn1=vB=bg82Sb52;q/=k651778R4362;q/:5<#:kh1=864V3`g>4}K:kl1=vXk2;0x 4`?28=;7[?:1;0x 37b28;0(;?k:038yx{z3f;m=7>5$3`a>4`63_8ih7?tL3`e>4}Ql;09w)?i8;3e5>P6=809w)8>e;48 37c2?1vqps4}c741?6=;3:1743>3_;>=73=#>8n1:6sr}|9j50g=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=8o4V072>7}#>8o1:6*91e85?x{zu2e:j<4?:%0af?7a92\9ni4>{M0ab?7|^m81>v*>f982b4=Q9<;1>v*91d85?!06l3<0qpsr;|`6=<<72;0;6=u+2c`9f<=O:hl0e<;7:18'6gd=9<207b?i1;29 7de28l:7[l3:1>7>50z&1fg5<#:kh1=k?4V3`g>4}K:kl1=vXk2;0x 4`?28l:7[?:1;0x 37b2?1/:f09U6gb=9rF9nk4>{Wf1>7}#9o21=k?4V072>7}#>8o1m6*91e8b?x{zu2wi94o50;194?6|,;hi6?l7;I0bb>o6=00;6)N5jh1]>oj51zN1fc<6s_n96?u+1g:950?<^8?:6?u+60g92>"19m0=7psr}:k21d<72-8in7?:8:T1fa<6sE8ij7?tVe096~"6n10:9l5Y14396~"19l0=7)8>d;48yx{z3f;m=7>5$3`a>4`63_8ih7?tL3`e>4}Ql;09w)?i8;3e5>P6=809w)8>e;48 37c2?1vqps4}c7:f?6=93:1:18'6gd=9o;07pl:9b83>1<729q/>ol5719K6d`<@;hj7[ol514:8L7df3_8ih7?tL3`e>4}Ql;09w)?i8;36e>P6=809w)8>e;`8 37c2k1vqps4i046>5<#:kh1=864V3`g>4}K:kl1=vXk2;0x 4`?28<>7[?:1;0x 37b28;0(;?k:038yx{z3f;m=7>5$3`a>4`63_8ih7?tL3`e>4}Ql;09w)?i8;3e5>P6=809w)8>e;48 37c2?1vqps4}c7:a?6=;3:1N5io1b=8750;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:950?<^8?:6?u+60g957=#>8n1=?5r}|8m43f290/>ol514:8R7dc28qG>oh51zTg6?4|,8l36<;n;W365?4|,?;n6<<4$73g>44n3:1?7>50z&1fg<082B9mk5f14;94?"5jk0:955Y2cf95~J5jo0:w[j=:3y'5c>=9<30Z<;>:3y'24c=9;1/:<^;ho6;W0a`?7|D;hm65081!06m3<0(;?k:79~yx{56;294~"5jk0<<6F=ag9j50?=83.9no4>599K6gg<^;ho6oh51zTg6?4|,8l36<;n;W365?4|,?;n6n5+60f9g>{zut1b=;;50;&1fg<6=11C>oo4V3`g>4}K:kl1=vXk2;0x 4`?28<>7[?:1;0x 37b2j1/::1<7*=bc821==Q:kn1=vB=bg82Sb52;q/=k651628R4362;q/:5<#:kh1=864V3`g>4}K:kl1=vXk2;0x 4`?28=87[?:1;0x 37b2880(;?k:008yx{z3f;m=7>5$3`a>4`63_8ih7?tL3`e>4}Ql;09w)?i8;3e5>P6=809w)8>e;48 37c2?1vqps4}c7b5?6=<3:1743>3_;>=73=#>8n1:6sr}|9j50g=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=8o4V072>7}#>8o1:6*91e85?x{zu2c::84?:%0af?7202\9ni4>{M0ab?7|^m81>v*>f98220=Q9<;1>v*91d85?!06l3<0qpsr;n3e5?6=,;hi6;W0a`?7|D;hm65081!06m3<0(;?k:79~yx{52;294~"5jk0i56F=ag9j50>=83.9no4>5998k4`6290/>ol51g38R7dc28qG>oh51zTg6?4|,8l36;W365?4|,?;n6;5+60f92>{zut1vn8o6:181>5<7s-8in7l6;I0bb>o6=10;6)=h9o;1<7*=bc82b4=Q:kn1=vB=bg82Sb52;q/=k651g38R4362;q/:47>5$3`a>43?32e:j<4?:%0af?7a92\9ni4>{M0ab?7|^m81>v*>f982b4=Q9<;1>v*91d8b?!06l3k0qpsr;|`6e3<72:0;6=u+2c`96g><@;km7d?:9;29 7de28?37Ed;48yx{z3`;>m7>5$3`a>43?3_8ih7?tL3`e>4}Ql;09w)?i8;36e>P6=809w)8>e;48 37c2?1vqps4o0d2>5<#:kh1=k?4V3`g>4}K:kl1=vXk2;0x 4`?28l:7[?:1;0x 37b2?1/::183!4ej38h>6F=ag9l5c7=83.9no4>f098yg3f03:1?7>50z&1fg<082B9mk5f14;94?"5jk0:955Y2cf95~J5jo0:w[j=:3y'5c>=9<30Z<;>:3y'24c=>2.==i49;|~y>o6=h0;6)P5jm0:wA5`9U507=:r.==h49;%42`?050z&1fg<082B9mk5f14;94?"5jk0:955Y2cf95~J5jo0:w[j=:3y'5c>=9<30Z<;>:3y'24c=k2.==i4l;|~y>o6=h0;6)P5jm0:wA5`9U507=:r.==h4l;%42`?ed;a8yx{z3`;<<7>5$3`a>43?3A8im6X=be82I4en3;pZi<52z&2b=<6?91]=8?52z&55`{M0ab?7|^m81>v*>f98236=Q9<;1>v*91d826>"19m0:>6sr}|9j522=83.9no4>599K6gg<^;ho6P5jm0:wA749U507=:r.==h4>2:&55a<6:2wvqp5f16494?"5jk0:955Y2cf95~J5jo0:w[j=:3y'5c>=9><0Z<;>:3y'24c=9;1/:2wvqp5rb4ca>5<>290;w){M0ab?7|^m81>v*>f9821<=Q9<;1>v*91d8`?!06l3i0qpsr;h36e?6=,;hi6<;7;W0a`?7|D;hm6m6X>5081!06m3i0(;?k:b9~yx{6=4+2c`950><@;hj7[ol514:8L7df3_8ih7?tL3`e>4}Ql;09w)?i8;344>P6=809w)8>e;31?!06l3;97psr}:k236<72-8in7?:8:T1fa<6sE8ij7?tVe096~"6n10:;>5Y14396~"19l0:>6*91e826>{zut1b=::50;&1fg<6=11C>oo4V3`g>4}K:kl1=vXk2;0x 4`?28=?7[?:1;0x 37b2880(;?k:008yx{z3`;<97>5$3`a>43?3A8im6X=be82I4en3;pZi<52z&2b=<6?<1]=8?52z&55`<6:2.==i4>2:~yx=n9><1<7*=bc821==Q:kn1=vB=bg82Sb52;q/=k651648R4362;q/:5<#:kh1=k?4V3`g>4}K:kl1=vXk2;0x 4`?28l:7[?:1;0x 37b2?1/:d;a8yx{z3`;>m7>5$3`a>43?3_8ih7?tL3`e>4}Ql;09w)?i8;36e>P6=809w)8>e;a8 37c2j1vqps4i046>5<#:kh1=864H3`b?S4el3;p@?li:0yU`7<5s-;m47?95:T214<5s-<:i7m4$73g>f=zutw0e<9?:18'6gd=9<20D?ln;W0a`?7|D;hm65081!06m3i0(;?k:b9~yx{<@;hj7[o6?=0;6)N5jh1]>oj51zN1fc<6s_n96?u+1g:9522<^8?:6?u+60g957=#>8n1=?5r}|8m412290/>ol514:8L7df3_8ih7?tL3`e>4}Ql;09w)?i8;341>P6=809w)8>e;31?!06l3;97psr}:k233<72-8in7?:8:T1fa<6sE8ij7?tVe096~"6n10:;;5Y14396~"19l0:>6*91e826>{zut1d=k?50;&1fg<6n81]>oj51zN1fc<6s_n96?u+1g:95c7<^8?:6?u+60g92>"19m0=7psr}:a1db=8331<7>t$3`a>26<@;km7d?:9;29 7de28?37[ol514:8R7dc28qG>oh51zTg6?4|,8l36<;n;W365?4|,?;n6n5+60f9g>{zut1b=;;50;&1fg<6=11C>oo4V3`g>4}K:kl1=vXk2;0x 4`?28<>7[?:1;0x 37b2j1/::1<7*=bc821==O:kk0Z?lk:0yO6g`=9r\o>74173_;>=744<,?;o6<<4}|~?l70;3:1(?lm:07;?S4el3;p@?li:0yU`7<5s-;m47?83:T214<5s-<:i7?=;%42`?753twvq6g>7583>!4ej3;>46F=b`9U6gb=9rF9nk4>{Wf1>7}#9o21=::4V072>7}#>8o1=?5+60f957=zutw0e<9::18'6gd=9<20D?ln;W0a`?7|D;hm65081!06m3;97)8>d;31?x{zu2c:;;4?:%0af?7202\9ni4>{M0ab?7|^m81>v*>f98233=Q9<;1>v*91d826>"19m0:>6sr}|9l5c7=83.9no4>f09U6gb=9rF9nk4>{Wf1>7}#9o21=k?4V072>7}#>8o1:6*91e85?x{zu2wi9lk50;;94?6|,;hi6:>4H3ce?l7213:1(?lm:07;?S4el3;p@?li:0yU`7<5s-;m47?:9:T214<5s-<:i7m4$73g>f=zutw0e<;n:18'6gd=9<20D?ln;W0a`?7|D;hm6m6X>5081!06m3i0(;?k:b9~yx{6=4+2c`950><@;hj7[ol514:8L7df3_8ih7?tL3`e>4}Ql;09w)?i8;344>P6=809w)8>e;31?!06l3;97psr}:k236<72-8in7?:8:J1fd=Q:kn1=vB=bg82Sb52;q/=k651618R4362;q/:5<#:kh1=864H3`b?S4el3;p@?li:0yU`7<5s-;m47?84:T214<5s-<:i7?=;%42`?753twvq6g>7483>!4ej3;>46X=be82I4en3;pZi<52z&2b=<6?<1]=8?52z&55`<6:2.==i4>2:~yx=n9><1<7*=bc821==O:kk0Z?lk:0yO6g`=9r\o>74113_;>=744<,?;o6<<4}|~?j7a93:1(?lm:0d2?S4el3;p@?li:0yU`7<5s-;m47?i1:T214<5s-<:i784$73g>3=zutw0qo;nf;292?6=8r.9no4>f19K6d`<^;ho62wvqp5f14c94?"5jk0:955Y2cf95~J5jo0:w[j=:3y'5c>=9:3y'24c=>2.==i49;|~y>o6><0;6)P5jm0:wA649U507=:r.==h49;%42`?0ol514:8R7dc28qG>oh51zTg6?4|,8l36<9<;W365?4|,?;n6;5+60f92>{zut1d=k?50;&1fg<6n81]>oj51zN1fc<6s_n96?u+1g:95c7<^8?:6?u+60g92>"19m0=7psr}:a1f?=8381<7>t$3`a>g?<@;km7d?:8;29 7de28?376a>f083>!4ej3;m=6X=be82I4en3;pZi<52z&2b=<6n81]=8?52z&55`<13-<:h784}|~?xd2km0;6?4?:1y'6gd=j01C>lh4i07;>5<#:kh1=864;n3e5?6=,;hi6;W0a`?7|D;hm65081!06m3<0(;?k:79~yx{52;294~"5jk0i56F=ag9j50>=83.9no4>5998k4`6290/>ol51g38R7dc28qG>oh51zTg6?4|,8l36;W365?4|,?;n6l5+60f9e>{zut1vn8mn:180>5<7s-8in7743>3_;>=73=#>8n1:6sr}|9j50g=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=8o4V072>7}#>8o1:6*91e85?x{zu2e:j<4?:%0af?7a92\9ni4>{M0ab?7|^m81>v*>f982b4=Q9<;1>v*91d85?!06l3<0qpsr;|`6gg<7280;6=u+2c`96f4<@;km7b?i1;29 7de28l:76sm5ba94?5=83:p(?lm:628L7ga3`;>57>5$3`a>43?3_8ih7?tL3`e>4}Ql;09w)?i8;36=>P6=809w)8>e;48 37c2?1vqps4i07b>5<#:kh1=864V3`g>4}K:kl1=vXk2;0x 4`?28?j7[?:1;0x 37b2?1/:57>5$3`a>43?3_8ih7?tL3`e>4}Ql;09w)?i8;36=>P6=809w)8>e;a8 37c2j1vqps4i07b>5<#:kh1=864H3`b?S4el3;p@?li:0yU`7<5s-;m47?:a:T214<5s-<:i7m4$73g>f=zutw0e<8::18'6gd=9<20D?ln;W0a`?7|D;hm65081!06m3;97)8>d;31?x{zu2e:j<4?:%0af?7a92\9ni4>{M0ab?7|^m81>v*>f982b4=Q9<;1>v*91d85?!06l3<0qpsr;|`6gc<72=0;6=u+2c`935=O:hl0e<;6:18'6gd=9<20Z?lk:0yO6g`=9r\o>743>3_;>=7f=#>8n1o6sr}|9j50g=83.9no4>599K6gg<^;ho6oh51zTg6?4|,8l36<8:;W365?4|,?;n6<<4$73g>4450z&1fg<082B9mk5f14;94?"5jk0:955Y2cf95~J5jo0:w[j=:3y'5c>=9<30Z<;>:3y'24c=k2.==i4l;|~y>o6=h0;6)N5jh1]>oj51zN1fc<6s_n96?u+1g:950g<^8?:6?u+60g9g>"19m0h7psr}:k220<72-8in7?:8:J1fd=Q:kn1=vB=bg82Sb52;q/=k651778R4362;q/:5<#:kh1=k?4V3`g>4}K:kl1=vXk2;0x 4`?28l:7[?:1;0x 37b2?1/:d;a8yx{z3`;>m7>5$3`a>43?3A8im6X=be82I4en3;pZi<52z&2b=<6=h1]=8?52z&55`{M0ab?7|^m81>v*>f98220=Q9<;1>v*91d826>"19m0:>6sr}|9l5c7=83.9no4>f09U6gb=9rF9nk4>{Wf1>7}#9o21=k?4V072>7}#>8o1:6*91e85?x{zu2wi9i<50;694?6|,;hi6:>4H3ce?l7213:1(?lm:07;?S4el3;p@?li:0yU`7<5s-;m47?:9:T214<5s-<:i7m4$73g>f=zutw0e<;n:18'6gd=9<20D?ln;W0a`?7|D;hm6m6X>5081!06m3i0(;?k:b9~yx{6=4+2c`950><@;hj7[i6n80;6)P5jm0:wAf09U507=:r.==h49;%42`?03<729q/>ol51g28L7ga3`;>57>5$3`a>43?3_8ih7?tL3`e>4}Ql;09w)?i8;36=>P6=809w)8>e;48 37c2?1vqps4i07b>5<#:kh1=864V3`g>4}K:kl1=vXk2;0x 4`?28?j7[?:1;0x 37b2?1/:7183>!4ej3;>46X=be82I4en3;pZi<52z&2b=<6?91]=8?52z&55`<13-<:h784}|~?l70;3:1(?lm:07;?S4el3;p@?li:0yU`7<5s-;m47?83:T214<5s-<:i784$73g>3=zutw0c:18'6gd=9o;0Z?lk:0yO6g`=9r\o>74`63_;>=73=#>8n1:6sr}|9~ff7=8381<7>t$3`a>g?<@;km7d?:8;29 7de28?376a>f083>!4ej3;m=6X=be82I4en3;pZi<52z&2b=<6n81]=8?52z&55`<13-<:h784}|~?xdd=3:1>7>50z&1fg5<#:kh1=k?4V3`g>4}K:kl1=vXk2;0x 4`?28l:7[?:1;0x 37b2h1/:d;48yx{z3`;>m7>5$3`a>43?3_8ih7?tL3`e>4}Ql;09w)?i8;36e>P6=809w)8>e;48 37c2?1vqps4o0d2>5<#:kh1=k?4V3`g>4}K:kl1=vXk2;0x 4`?28l:7[?:1;0x 37b2?1/:t$3`a>4ca3A8jj6a>f083>!4ej3;m=65rb25`>5<5290;w)oj51zN1fc<6s_n96?u+1g:95c7<^8?:6?u+60g92>"19m0=7psr}:a720=8381<7>t$3`a>g?<@;km7d?:8;29 7de28?376a>f083>!4ej3;m=6X=be82I4en3;pZi<52z&2b=<6n81]=8?52z&55`<13-<:h784}|~?xd4?k0;6?4?:1y'6gd=j01C>lh4i07;>5<#:kh1=864;n3e5?6=,;hi6;W0a`?7|D;hm65081!06m3k0(;?k:`9~yx{53;294~"5jk09n55G2`d8m43>290/>ol514:8R7dc28qG>oh51zTg6?4|,8l36<;6;W365?4|,?;n6;5+60f92>{zut1b=8o50;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:950g<^8?:6?u+60g92>"19m0=7psr}:m2b4<72-8in7?i1:T1fa<6sE8ij7?tVe096~"6n10:j<5Y14396~"19l0=7)8>d;48yx{z3th8;<4?:083>5}#:kh1>n<4H3ce?j7a93:1(?lm:0d2?>{e;>91<7=50;2x 7de2>:0D?oi;h36=?6=,;hi6<;7;W0a`?7|D;hm656X>5081!06m3<0(;?k:79~yx{<^;ho62wvqp5`1g394?"5jk0:j<5Y2cf95~J5jo0:w[j=:3y'5c>=9o;0Z<;>:3y'24c=>2.==i49;|~y>{e;>>1<7:50;2x 7de2>:0D?oi;h36=?6=,;hi6<;7;I0ae>P5jm0:wA589U507=:r.==h4>1:&55a<692wvqp5f14c94?"5jk0:955Y2cf95~J5jo0:w[j=:3y'5c>=9:3y'24c=981/:6=4+2c`950><^;ho6;W0a`?7|D;hm65081!06m3<0(;?k:79~yx{51083>5}#:kh1;=5G2`d8m43>290/>ol514:8L7df3_8ih7?tL3`e>4}Ql;09w)?i8;36=>P6=809w)8>e;32?!06l3;:7psr}:k21d<72-8in7?:8:T1fa<6sE8ij7?tVe096~"6n10:9l5Y14396~"19l0:=6*91e825>{zut1b=;;50;&1fg<6=11C>oo4V3`g>4}K:kl1=vXk2;0x 4`?28<>7[?:1;0x 37b28;0(;?k:038yx{z3`;<<7>5$3`a>43?3A8im6X=be82I4en3;pZi<52z&2b=<6?91]=8?52z&55`<692.==i4>1:~yx=n9>91<7*=bc821==O:kk0Z?lk:0yO6g`=9r\o>74143_;>=747<,?;o6{M0ab?7|^m81>v*>f98231=Q9<;1>v*91d825>"19m0:=6sr}|9j523=83.9no4>599K6gg<^;ho6P5jm0:wA779U507=:r.==h4>1:&55a<692wvqp5f16594?"5jk0:955G2cc8R7dc28qG>oh51zTg6?4|,8l36<98;W365?4|,?;n647{zut1b=8l50;&1fg<6=11C>oo4V3`g>4}K:kl1=vXk2;0x 4`?28?i7[?:1;0x 37b28;0(;?k:038yx{z3`;>o7>5$3`a>43?3A8im6X=be82I4en3;pZi<52z&2b=<6=j1]=8?52z&55`<692.==i4>1:~yx=n9743c3_;>=747<,?;o6{M0ab?7|^m81>v*>f9821`=Q9<;1>v*91d825>"19m0:=6sr}|9j50`=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=8h4V072>7}#>8o1:6*91e85?x{zu2c::=4?:%0af?7202\9ni4>{M0ab?7|^m81>v*>f98225=Q9<;1>v*91d85?!06l3<0qpsr;n3e5?6=,;hi6;W0a`?7|D;hm65081!06m3<0(;?k:79~yx{7>54;294~"5jk0:j=5G2`d8m43>290/>ol514:8R7dc28qG>oh51zTg6?4|,8l36<;6;W365?4|,?;n6;5+60f92>{zut1b=8o50;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:950g<^8?:6?u+60g92>"19m0=7psr}:k220<72-8in7?:8:T1fa<6sE8ij7?tVe096~"6n10::85Y14396~"19l0=7)8>d;48yx{z3f;m=7>5$3`a>4`63_8ih7?tL3`e>4}Ql;09w)?i8;3e5>P6=809w)8>e;48 37c2?1vqps4}c143?6=:80;6=u+2c`935=O:hl0e<;6:18'6gd=9<20D?ln;W0a`?7|D;hm656X>5081!06m3i0(;?k:b9~yx{<@;hj7[ol514:8R7dc28qG>oh51zTg6?4|,8l36<8:;W365?4|,?;n6n5+60f9g>{zut1b=:>50;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:9526<^8?:6?u+60g9g>"19m0h7psr}:k236<72-8in7?:8:T1fa<6sE8ij7?tVe096~"6n10:;>5Y14396~"19l0h7)8>d;a8yx{z3`;<87>5$3`a>43?3_8ih7?tL3`e>4}Ql;09w)?i8;340>P6=809w)8>e;a8 37c2j1vqps4i056>5<#:kh1=864V3`g>4}K:kl1=vXk2;0x 4`?28=>7[?:1;0x 37b2j1/:<1<7*=bc821==Q:kn1=vB=bg82Sb52;q/=k651648R4362;q/:7683>!4ej3;>46X=be82I4en3;pZi<52z&2b=<6?>1]=8?52z&55`f=zutw0e<;m:18'6gd=9<20Z?lk:0yO6g`=9r\o>743e3_;>=7f=#>8n1o6sr}|9j50e=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=8m4V072>7}#>8o1o6*91e8`?x{zu2c:9i4?:%0af?7202\9ni4>{M0ab?7|^m81>v*>f9821a=Q9<;1>v*91d8`?!06l3i0qpsr;h36a?6=,;hi6<;7;W0a`?7|D;hm6i6X>5081!06m3i0(;?k:b9~yx{<@;hj7[ol514:8R7dc28qG>oh51zTg6?4|,8l36<8?;W365?4|,?;n6n5+60f9g>{zut1b=;?50;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:9537<^8?:6?u+60g957=#>8n1=?5r}|8m405290/>ol514:8R7dc28qG>oh51zTg6?4|,8l36<8=;W365?4|,?;n6<<4$73g>445Y14396~"19l0:>6*91e826>{zut1b=;:50;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:9532<^8?:6?u+60g957=#>8n1=?5r}|8m401290/>ol514:8R7dc28qG>oh51zTg6?4|,8l36<89;W365?4|,?;n6;5+60f92>{zut1b=;950;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:9531<^8?:6?u+60g92>"19m0=7psr}:k22=<72-8in7?:8:T1fa<6sE8ij7?tVe096~"6n10::55Y14396~"19l0=7)8>d;48yx{z3`;=57>5$3`a>43?3_8ih7?tL3`e>4}Ql;09w)?i8;35=>P6=809w)8>e;48 37c2?1vqps4i04b>5<#:kh1=864V3`g>4}K:kl1=vXk2;0x 4`?286b83>!4ej3;>46X=be82I4en3;pZi<52z&2b=<6>j1]=8?52z&55`<13-<:h784}|~?l71l3:1(?lm:07;?S4el3;p@?li:0yU`7<5s-;m47?9d:T214<5s-<:i784$73g>3=zutw0e<8j:18'6gd=9<20Z?lk:0yO6g`=9r\o>740b3_;>=73=#>8n1:6sr}|9j53`=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=;h4V072>7}#>8o1:6*91e85?x{zu2c:;<4?:%0af?7202\9ni4>{M0ab?7|^m81>v*>f98234=Q9<;1>v*91d85?!06l3<0qpsr;h346?6=,;hi6<;7;W0a`?7|D;hm66X>5081!06m3<0(;?k:79~yx{2wvqp5rb25;>5<593:1N5io1b=8750;&1fg<6=11C>oo4V3`g>4}K:kl1=vXk2;0x 4`?28?27[?:1;0x 37b2j1/:743f3_;>=7f=#>8n1o6sr}|9j533=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=;;4V072>7}#>8o1o6*91e8`?x{zu2c:;=4?:%0af?7202\9ni4>{M0ab?7|^m81>v*>f98235=Q9<;1>v*91d8`?!06l3i0qpsr;h347?6=,;hi6<;7;W0a`?7|D;hm65081!06m3i0(;?k:b9~yx{<^;ho6=9>?0Z<;>:3y'24c=k2.==i4l;|~y>o6??0;6)P5jm0:wA779U507=:r.==h4l;%42`?eol514:8R7dc28qG>oh51zTg6?4|,8l36<97;W365?4|,?;n6n5+60f9g>{zut1b=8l50;&1fg<6=11C>oo4V3`g>4}K:kl1=vXk2;0x 4`?28?i7[?:1;0x 37b2j1/:743d3_;>=7f=#>8n1o6sr}|9j50b=83.9no4>599K6gg<^;ho6oh51zTg6?4|,8l36<;j;W365?4|,?;n6n5+60f9g>{zut1b=8h50;&1fg<6=11C>oo4V3`g>4}K:kl1=vXk2;0x 4`?28?m7[?:1;0x 37b2j1/:6083>!4ej3;>46X=be82I4en3;pZi<52z&2b=<6>81]=8?52z&55`<6:2.==i4>2:~yx=n9?81<7*=bc821==Q:kn1=vB=bg82Sb52;q/=k651708R4362;q/:5<#:kh1=864H3`b?S4el3;p@?li:0yU`7<5s-;m47?93:T214<5s-<:i7?=;%42`?753twvq6g>6583>!4ej3;>46X=be82I4en3;pZi<52z&2b=<6>=1]=8?52z&55`<6:2.==i4>2:~yx=n9?<1<7*=bc821==Q:kn1=vB=bg82Sb52;q/=k651748R4362;q/:6683>!4ej3;>46X=be82I4en3;pZi<52z&2b=<6>>1]=8?52z&55`<13-<:h784}|~?l7103:1(?lm:07;?S4el3;p@?li:0yU`7<5s-;m47?98:T214<5s-<:i784$73g>3=zutw0e<86:18'6gd=9<20Z?lk:0yO6g`=9r\o>740>3_;>=73=#>8n1:6sr}|9j53g=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=;o4V072>7}#>8o1:6*91e85?x{zu2c::o4?:%0af?7202\9ni4>{M0ab?7|^m81>v*>f9822g=Q9<;1>v*91d85?!06l3<0qpsr;h35g?6=,;hi6<;7;W0a`?7|D;hm65081!06m3<0(;?k:79~yx{<^;ho62wvqp5f17g94?"5jk0:955Y2cf95~J5jo0:w[j=:3y'5c>=9?o0Z<;>:3y'24c=>2.==i49;|~y>o6>o0;6)P5jm0:wA6g9U507=:r.==h49;%42`?0ol514:8R7dc28qG>oh51zTg6?4|,8l36<9=;W365?4|,?;n6;5+60f92>{zut1d=k?50;&1fg<6n81]>oj51zN1fc<6s_n96?u+1g:95c7<^8?:6?u+60g92>"19m0=7psr}:a72?=838:6=4?{%0af?173A8jj6g>5883>!4ej3;>46F=b`9U6gb=9rF9nk4>{Wf1>7}#9o21=874V072>7}#>8o1o6*91e8`?x{zu2c:9l4?:%0af?7202B9nl5Y2cf95~J5jo0:w[j=:3y'5c>=9:3y'24c=k2.==i4l;|~y>o6><0;6)P5jm0:wA649U507=:r.==h4l;%42`?eol514:8R7dc28qG>oh51zTg6?4|,8l36<9<;W365?4|,?;n6n5+60f9g>{zut1b=::50;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:9522<^8?:6?u+60g9g>"19m0h7psr}:k230<72-8in7?:8:T1fa<6sE8ij7?tVe096~"6n10:;85Y14396~"19l0h7)8>d;a8yx{z3`;<:7>5$3`a>43?3_8ih7?tL3`e>4}Ql;09w)?i8;342>P6=809w)8>e;a8 37c2j1vqps4i054>5<#:kh1=864V3`g>4}K:kl1=vXk2;0x 4`?28=<7[?:1;0x 37b2j1/:21<7*=bc821==Q:kn1=vB=bg82Sb52;q/=k6516:8R4362;q/:5c83>!4ej3;>46F=b`9U6gb=9rF9nk4>{Wf1>7}#9o21=8l4V072>7}#>8o1o6*91e8`?x{zu2c:9n4?:%0af?7202B9nl5Y2cf95~J5jo0:w[j=:3y'5c>=9:3y'24c=k2.==i4l;|~y>o6=m0;6)N5jh1]>oj51zN1fc<6s_n96?u+1g:950b<^8?:6?u+60g9g>"19m0h7psr}:k21`<72-8in7?:8:J1fd=Q:kn1=vB=bg82Sb52;q/=k6514g8R4362;q/:5g83>!4ej3;>46F=b`9U6gb=9rF9nk4>{Wf1>7}#9o21=8h4V072>7}#>8o1o6*91e8`?x{zu2c::=4?:%0af?7202\9ni4>{M0ab?7|^m81>v*>f98225=Q9<;1>v*91d8`?!06l3i0qpsr;h355?6=,;hi6<;7;W0a`?7|D;hm65081!06m3;97)8>d;31?x{zu2c::?4?:%0af?7202\9ni4>{M0ab?7|^m81>v*>f98227=Q9<;1>v*91d826>"19m0:>6sr}|9j535=83.9no4>599K6gg<^;ho65081!06m3;97)8>d;31?x{zu2c::;4?:%0af?7202\9ni4>{M0ab?7|^m81>v*>f98223=Q9<;1>v*91d85?!06l3<0qpsr;h353?6=,;hi6<;7;W0a`?7|D;hm65081!06m3<0(;?k:79~yx{<^;ho62wvqp5f17;94?"5jk0:955Y2cf95~J5jo0:w[j=:3y'5c>=9?30Z<;>:3y'24c=>2.==i49;|~y>o6>h0;6)P5jm0:wA6`9U507=:r.==h49;%42`?0ol514:8R7dc28qG>oh51zTg6?4|,8l36<8l;W365?4|,?;n6;5+60f92>{zut1b=;j50;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:953b<^8?:6?u+60g92>"19m0=7psr}:k22`<72-8in7?:8:T1fa<6sE8ij7?tVe096~"6n10::h5Y14396~"19l0=7)8>d;48yx{z3`;=j7>5$3`a>43?3_8ih7?tL3`e>4}Ql;09w)?i8;35b>P6=809w)8>e;48 37c2?1vqps4i052>5<#:kh1=864V3`g>4}K:kl1=vXk2;0x 4`?28=:7[?:1;0x 37b2?1/:81<7*=bc821==Q:kn1=vB=bg82Sb52;q/=k651608R4362;q/:f083>!4ej3;m=6X=be82I4en3;pZi<52z&2b=<6n81]=8?52z&55`<13-<:h784}|~?xd4?h0;694?:1y'6gd=9o:0D?oi;h36=?6=,;hi6<;7;W0a`?7|D;hm656X>5081!06m3<0(;?k:79~yx{<^;ho62wvqp5f17794?"5jk0:955Y2cf95~J5jo0:w[j=:3y'5c>=9??0Z<;>:3y'24c=>2.==i49;|~y>i6n80;6)P5jm0:wAf09U507=:r.==h49;%42`?05<7s-8in7l6;I0bb>o6=10;6)=h9o;1<7*=bc82b4=Q:kn1=vB=bg82Sb52;q/=k651g38R4362;q/:6<729q/>ol52c:8L7ga3`;>57>5$3`a>43?3_8ih7?tL3`e>4}Ql;09w)?i8;36=>P6=809w)8>e;48 37c2?1vqps4i07b>5<#:kh1=864V3`g>4}K:kl1=vXk2;0x 4`?28?j7[?:1;0x 37b2?1/:d;`8yx{z3`;>m7>5$3`a>43?3A8im6X=be82I4en3;pZi<52z&2b=<6=h1]=8?52z&55`<692.==i4>1:~yx=h9o;1<7*=bc82b4=Q:kn1=vB=bg82Sb52;q/=k651g38R4362;q/:7<729q/>ol5b89K6d`<3f;m=7>5$3`a>4`63_8ih7?tL3`e>4}Ql;09w)?i8;3e5>P6=809w)8>e;48 37c2?1vqps4}cf5>5<4290;w){M0ab?7|^m81>v*>f9821<=Q9<;1>v*91d824>"19m0:<6sr}|9j50g=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=8o4V072>7}#>8o1==5+60f955=zutw0c:18'6gd=9o;0Z?lk:0yO6g`=9r\o>74`63_;>=73=#>8n1:6sr}|9~fa1=83=1<7>t$3`a>26<@;km7d?:9;29 7de28?37E6*91e826>{zut1b=8o50;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:950g<^8?:6?u+60g957=#>8n1=?5r}|8m402290/>ol514:8L7df3_8ih7?tL3`e>4}Ql;09w)?i8;351>P6=809w)8>e;31?!06l3;97psr}:k235<72-8in7?:8:J1fd=Q:kn1=vB=bg82Sb52;q/=k651628R4362;q/:5<#:kh1=864H3`b?S4el3;p@?li:0yU`7<5s-;m47?83:T214<5s-<:i7?=;%42`?753twvq6g>7583>!4ej3;>46F=b`9U6gb=9rF9nk4>{Wf1>7}#9o21=::4V072>7}#>8o1=?5+60f957=zutw0c:18'6gd=9o;0Z?lk:0yO6g`=9r\o>74`63_;>=73=#>8n1:6sr}|9~fa>=83=1<7>t$3`a>26<@;km7d?:9;29 7de28?37E6*91e826>{zut1b=8o50;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:950g<^8?:6?u+60g957=#>8n1=?5r}|8m402290/>ol514:8L7df3_8ih7?tL3`e>4}Ql;09w)?i8;351>P6=809w)8>e;31?!06l3;97psr}:k235<72-8in7?:8:J1fd=Q:kn1=vB=bg82Sb52;q/=k651628R4362;q/:5<#:kh1=864H3`b?S4el3;p@?li:0yU`7<5s-;m47?83:T214<5s-<:i7?=;%42`?753twvq6g>7583>!4ej3;>46F=b`9U6gb=9rF9nk4>{Wf1>7}#9o21=::4V072>7}#>8o1=?5+60f957=zutw0c:18'6gd=9o;0Z?lk:0yO6g`=9r\o>74`63_;>=73=#>8n1:6sr}|9~fa?=8331<7>t$3`a>26<@;km7d?:9;29 7de28?37[ol514:8R7dc28qG>oh51zTg6?4|,8l36<;n;W365?4|,?;n6<<4$73g>446*91e826>{zut1b=:>50;&1fg<6=11C>oo4V3`g>4}K:kl1=vXk2;0x 4`?28=;7[?:1;0x 37b2880(;?k:008yx{z3`;5$3`a>43?3A8im6X=be82I4en3;pZi<52z&2b=<6?:1]=8?52z&55`<6:2.==i4>2:~yx=n9>>1<7*=bc821==Q:kn1=vB=bg82Sb52;q/=k651668R4362;q/:5<#:kh1=864H3`b?S4el3;p@?li:0yU`7<5s-;m47?85:T214<5s-<:i7?=;%42`?753twvq6g>7783>!4ej3;>46F=b`9U6gb=9rF9nk4>{Wf1>7}#9o21=:84V072>7}#>8o1=?5+60f957=zutw0c:18'6gd=9o;0Z?lk:0yO6g`=9r\o>74`63_;>=73=#>8n1:6sr}|9~fag=8331<7>t$3`a>26<@;km7d?:9;29 7de28?37[ol514:8R7dc28qG>oh51zTg6?4|,8l36<;n;W365?4|,?;n6<<4$73g>446*91e826>{zut1b=:>50;&1fg<6=11C>oo4V3`g>4}K:kl1=vXk2;0x 4`?28=;7[?:1;0x 37b2880(;?k:008yx{z3`;5$3`a>43?3A8im6X=be82I4en3;pZi<52z&2b=<6?:1]=8?52z&55`<6:2.==i4>2:~yx=n9>>1<7*=bc821==Q:kn1=vB=bg82Sb52;q/=k651668R4362;q/:5<#:kh1=864H3`b?S4el3;p@?li:0yU`7<5s-;m47?85:T214<5s-<:i7?=;%42`?753twvq6g>7783>!4ej3;>46F=b`9U6gb=9rF9nk4>{Wf1>7}#9o21=:84V072>7}#>8o1=?5+60f957=zutw0c:18'6gd=9o;0Z?lk:0yO6g`=9r\o>74`63_;>=73=#>8n1:6sr}|9~fad=8331<7>t$3`a>26<@;km7d?:9;29 7de28?37[o6=h0;6)P5jm0:wA5`9U507=:r.==h4l;%42`?e6*91e826>{zut1b=:>50;&1fg<6=11C>oo4V3`g>4}K:kl1=vXk2;0x 4`?28=;7[?:1;0x 37b2880(;?k:008yx{z3`;5$3`a>43?3A8im6X=be82I4en3;pZi<52z&2b=<6?:1]=8?52z&55`<6:2.==i4>2:~yx=n9>>1<7*=bc821==O:kk0Z?lk:0yO6g`=9r\o>74133_;>=744<,?;o6<<4}|~?l70=3:1(?lm:07;?S4el3;p@?li:0yU`7<5s-;m47?85:T214<5s-<:i7?=;%42`?753twvq6g>7783>!4ej3;>46F=b`9U6gb=9rF9nk4>{Wf1>7}#9o21=:84V072>7}#>8o1=?5+60f957=zutw0c:18'6gd=9o;0Z?lk:0yO6g`=9r\o>74`63_;>=73=#>8n1:6sr}|9~fae=83=1<7>t$3`a>4`73A8jj6g>5883>!4ej3;>46X=be82I4en3;pZi<52z&2b=<6=01]=8?52z&55`<13-<:h784}|~?l72i3:1(?lm:07;?S4el3;p@?li:0yU`7<5s-;m47?:a:T214<5s-<:i784$73g>3=zutw0e<8::18'6gd=9<20Z?lk:0yO6g`=9r\o>74023_;>=73=#>8n1:6sr}|9j526=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=:>4V072>7}#>8o1:6*91e85?x{zu2c:;>4?:%0af?7202\9ni4>{M0ab?7|^m81>v*>f98236=Q9<;1>v*91d85?!06l3<0qpsr;h340?6=,;hi6<;7;W0a`?7|D;hm65081!06m3<0(;?k:79~yx{2wvqp5rbef94?4=83:p(?lm:c;8L7ga3`;>47>5$3`a>43?32e:j<4?:%0af?7a92\9ni4>{M0ab?7|^m81>v*>f982b4=Q9<;1>v*91d85?!06l3<0qpsr;|`ga?6=;3:1N5io1b=8750;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:950?<^8?:6?u+60g955=#>8n1==5r}|8m43f290/>ol514:8R7dc28qG>oh51zTg6?4|,8l36<;n;W365?4|,?;n6<>4$73g>465883>!4ej3;>46X=be82I4en3;pZi<52z&2b=<6=01]=8?52z&55`{M0ab?7|^m81>v*>f9821d=Q9<;1>v*91d826>"19m0:>6sr}|9l5c7=83.9no4>f09U6gb=9rF9nk4>{Wf1>7}#9o21=k?4V072>7}#>8o1:6*91e85?x{zu2wii=4?:283>5}#:kh1;=5G2`d8m43>290/>ol514:8R7dc28qG>oh51zTg6?4|,8l36<;6;W365?4|,?;n6n5+60f9g>{zut1b=8o50;&1fg<6=11C>oo4V3`g>4}K:kl1=vXk2;0x 4`?28?j7[?:1;0x 37b2880(;?k:008yx{z3f;m=7>5$3`a>4`63_8ih7?tL3`e>4}Ql;09w)?i8;3e5>P6=809w)8>e;48 37c2?1vqps4}cg2>5<4290;w)=9<30Z<;>:3y'24c=9;1/:<@;hj7[i6n80;6)P5jm0:wAf09U507=:r.==h49;%42`?05`83>!4ej3;>46X=be82I4en3;pZi<52z&2b=<6=h1]=8?52z&55`{M0ab?7|^m81>v*>f98220=Q9<;1>v*91d826>"19m0:>6sr}|9l5c7=83.9no4>f09U6gb=9rF9nk4>{Wf1>7}#9o21=k?4V072>7}#>8o1:6*91e85?x{zu2wii>4?:583>5}#:kh1;=5G2`d8m43>290/>ol514:8R7dc28qG>oh51zTg6?4|,8l36<;6;W365?4|,?;n6n5+60f9g>{zut1b=8o50;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:950g<^8?:6?u+60g9g>"19m0h7psr}:k220<72-8in7?:8:J1fd=Q:kn1=vB=bg82Sb52;q/=k651778R4362;q/:5<#:kh1=k?4V3`g>4}K:kl1=vXk2;0x 4`?28l:7[?:1;0x 37b2?1/:1<7950;2x 7de28l;7Ed;48yx{z3`;>m7>5$3`a>43?3_8ih7?tL3`e>4}Ql;09w)?i8;36e>P6=809w)8>e;48 37c2?1vqps4i046>5<#:kh1=864V3`g>4}K:kl1=vXk2;0x 4`?28<>7[?:1;0x 37b2?1/::1<7*=bc821==Q:kn1=vB=bg82Sb52;q/=k651628R4362;q/:7283>!4ej3;>46X=be82I4en3;pZi<52z&2b=<6?:1]=8?52z&55`<13-<:h784}|~?l70<3:1(?lm:07;?S4el3;p@?li:0yU`7<5s-;m47?84:T214<5s-<:i784$73g>3=zutw0c:18'6gd=9o;0Z?lk:0yO6g`=9r\o>74`63_;>=73=#>8n1:6sr}|9~f`3=8381<7>t$3`a>g?<@;km7d?:8;29 7de28?376a>f083>!4ej3;m=6X=be82I4en3;pZi<52z&2b=<6n81]=8?52z&55`<13-<:h784}|~?xdb>3:1?7>50z&1fg<082B9mk5f14;94?"5jk0:955Y2cf95~J5jo0:w[j=:3y'5c>=9<30Z<;>:3y'24c=991/:<^;ho6;W0a`?7|D;hm65081!06m3<0(;?k:79~yx{5<#:kh1=864H3`b?S4el3;p@?li:0yU`7<5s-;m47?:a:T214<5s-<:i7?=;%42`?753twvq6g>6483>!4ej3;>46X=be82I4en3;pZi<52z&2b=<6><1]=8?52z&55`<6:2.==i4>2:~yx=n9>:1<7*=bc821==Q:kn1=vB=bg82Sb52;q/=k651628R4362;q/:5<#:kh1=k?4V3`g>4}K:kl1=vXk2;0x 4`?28l:7[?:1;0x 37b2?1/::0D?oi;h36=?6=,;hi6<;7;I0ae>P5jm0:wA589U507=:r.==h4>2:&55a<6:2wvqp5f14c94?"5jk0:955Y2cf95~J5jo0:w[j=:3y'5c>=9:3y'24c=9;1/:6=4+2c`950><^;ho65081!06m3;97)8>d;31?x{zu2e:j<4?:%0af?7a92\9ni4>{M0ab?7|^m81>v*>f982b4=Q9<;1>v*91d85?!06l3<0qpsr;|`f=?6==3:1N5io1b=8750;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:950?<^8?:6?u+60g9g>"19m0h7psr}:k21d<72-8in7?:8:J1fd=Q:kn1=vB=bg82Sb52;q/=k6514c8R4362;q/:5<#:kh1=864V3`g>4}K:kl1=vXk2;0x 4`?28<>7[?:1;0x 37b2880(;?k:008yx{z3`;<<7>5$3`a>43?3_8ih7?tL3`e>4}Ql;09w)?i8;344>P6=809w)8>e;31?!06l3;97psr}:m2b4<72-8in7?i1:T1fa<6sE8ij7?tVe096~"6n10:j<5Y14396~"19l0=7)8>d;48yx{z3thnm7>56;294~"5jk0<<6F=ag9j50?=83.9no4>599K6gg<^;ho6oh51zTg6?4|,8l36<;n;W365?4|,?;n6n5+60f9g>{zut1b=;;50;&1fg<6=11C>oo4V3`g>4}K:kl1=vXk2;0x 4`?28<>7[?:1;0x 37b2j1/::1<7*=bc821==Q:kn1=vB=bg82Sb52;q/=k651628R4362;q/:5<#:kh1=864V3`g>4}K:kl1=vXk2;0x 4`?28=87[?:1;0x 37b2880(;?k:008yx{z3f;m=7>5$3`a>4`63_8ih7?tL3`e>4}Ql;09w)?i8;3e5>P6=809w)8>e;48 37c2?1vqps4}cga>5<1290;w)=9<30Z<;>:3y'24c=9;1/:<^;ho6oh51zTg6?4|,8l36<8:;W365?4|,?;n6<<4$73g>44o6?:0;6)P5jm0:wA729U507=:r.==h4>2:&55a<6:2wvqp5`1g394?"5jk0:j<5Y2cf95~J5jo0:w[j=:3y'5c>=9o;0Z<;>:3y'24c=>2.==i49;|~y>{emj0;6:4?:1y'6gd=9o:0D?oi;h36=?6=,;hi6<;7;W0a`?7|D;hm656X>5081!06m3<0(;?k:79~yx{<^;ho62wvqp5f17794?"5jk0:955Y2cf95~J5jo0:w[j=:3y'5c>=9??0Z<;>:3y'24c=>2.==i49;|~y>o6?90;6)P5jm0:wA719U507=:r.==h49;%42`?0ol514:8R7dc28qG>oh51zTg6?4|,8l36<9;;W365?4|,?;n6;5+60f92>{zut1d=k?50;&1fg<6n81]>oj51zN1fc<6s_n96?u+1g:95c7<^8?:6?u+60g92>"19m0=7psr}:aaa<72;0;6=u+2c`9f<=O:hl0e<;7:18'6gd=9<207b?i1;29 7de28l:7[5883>!4ej3;>46X=be82I4en3;pZi<52z&2b=<6=01]=8?52z&55`<682.==i4>0:~yx=n95<#:kh1=k?4V3`g>4}K:kl1=vXk2;0x 4`?28l:7[?:1;0x 37b2?1/::0D?oi;h36=?6=,;hi6<;7;I0ae>P5jm0:wA589U507=:r.==h4l;%42`?ed;a8yx{z3`;=97>5$3`a>43?3A8im6X=be82I4en3;pZi<52z&2b=<6><1]=8?52z&55`7283>!4ej3;>46X=be82I4en3;pZi<52z&2b=<6?:1]=8?52z&55`<6:2.==i4>2:~yx=h9o;1<7*=bc82b4=Q:kn1=vB=bg82Sb52;q/=k651g38R4362;q/:3<729q/>ol5719K6d`<@;hj7[ol514:8L7df3_8ih7?tL3`e>4}Ql;09w)?i8;36e>P6=809w)8>e;a8 37c2j1vqps4i046>5<#:kh1=864V3`g>4}K:kl1=vXk2;0x 4`?28<>7[?:1;0x 37b2880(;?k:008yx{z3`;<<7>5$3`a>43?3_8ih7?tL3`e>4}Ql;09w)?i8;344>P6=809w)8>e;31?!06l3;97psr}:k236<72-8in7?:8:T1fa<6sE8ij7?tVe096~"6n10:;>5Y14396~"19l0:>6*91e826>{zut1d=k?50;&1fg<6n81]>oj51zN1fc<6s_n96?u+1g:95c7<^8?:6?u+60g92>"19m0=7psr}:ab4<72?0;6=u+2c`935=O:hl0e<;6:18'6gd=9<20Z?lk:0yO6g`=9r\o>743>3_;>=744<,?;o6<<4}|~?l72i3:1(?lm:07;?S4el3;p@?li:0yU`7<5s-;m47?:a:T214<5s-<:i7m4$73g>f=zutw0e<8::18'6gd=9<20D?ln;W0a`?7|D;hm65081!06m3;97)8>d;31?x{zu2c:;=4?:%0af?7202B9nl5Y2cf95~J5jo0:w[j=:3y'5c>=9>:0Z<;>:3y'24c=9;1/:<^;ho6;W0a`?7|D;hm65081!06m3<0(;?k:79~yx{6*91e826>{zut1b=8o50;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:950g<^8?:6?u+60g9g>"19m0h7psr}:k220<72-8in7?:8:J1fd=Q:kn1=vB=bg82Sb52;q/=k651778R4362;q/:5<#:kh1=864H3`b?S4el3;p@?li:0yU`7<5s-;m47?80:T214<5s-<:i7?=;%42`?753twvq6g>7283>!4ej3;>46X=be82I4en3;pZi<52z&2b=<6?:1]=8?52z&55`<6:2.==i4>2:~yx=h9o;1<7*=bc82b4=Q:kn1=vB=bg82Sb52;q/=k651g38R4362;q/:2<729q/>ol5719K6d`<^;ho6oh51zTg6?4|,8l36<;n;W365?4|,?;n6n5+60f9g>{zut1b=;;50;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:9533<^8?:6?u+60g957=#>8n1=?5r}|8m417290/>ol514:8L7df3_8ih7?tL3`e>4}Ql;09w)?i8;344>P6=809w)8>e;31?!06l3;97psr}:k236<72-8in7?:8:T1fa<6sE8ij7?tVe096~"6n10:;>5Y14396~"19l0:>6*91e826>{zut1b=::50;&1fg<6=11C>oo4V3`g>4}K:kl1=vXk2;0x 4`?28=?7[?:1;0x 37b2880(;?k:008yx{z3f;m=7>5$3`a>4`63_8ih7?tL3`e>4}Ql;09w)?i8;3e5>P6=809w)8>e;48 37c2?1vqps4}cd7>5<0290;w)N5io1b=8750;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:950?<^8?:6?u+60g92>"19m0=7psr}:k21d<72-8in7?:8:T1fa<6sE8ij7?tVe096~"6n10:9l5Y14396~"19l0=7)8>d;48yx{z3`;=97>5$3`a>43?3_8ih7?tL3`e>4}Ql;09w)?i8;351>P6=809w)8>e;48 37c2?1vqps4i053>5<#:kh1=864V3`g>4}K:kl1=vXk2;0x 4`?28=;7[?:1;0x 37b2?1/:91<7*=bc821==Q:kn1=vB=bg82Sb52;q/=k651618R4362;q/:7583>!4ej3;>46X=be82I4en3;pZi<52z&2b=<6?=1]=8?52z&55`<13-<:h784}|~?j7a93:1(?lm:0d2?S4el3;p@?li:0yU`7<5s-;m47?i1:T214<5s-<:i784$73g>3=zutw0qoh::181>5<7s-8in7l6;I0bb>o6=10;6)=h9o;1<7*=bc82b4=Q:kn1=vB=bg82Sb52;q/=k651g38R4362;q/:6<729q/>ol5719K6d`<^;ho6m6X>5081!06m3;;7)8>d;33?x{zu2e:j<4?:%0af?7a92\9ni4>{M0ab?7|^m81>v*>f982b4=Q9<;1>v*91d85?!06l3<0qpsr;|`e3?6==3:1N5io1b=8750;&1fg<6=11C>oo4V3`g>4}K:kl1=vXk2;0x 4`?28?27[?:1;0x 37b2880(;?k:008yx{z3`;>m7>5$3`a>43?3_8ih7?tL3`e>4}Ql;09w)?i8;36e>P6=809w)8>e;a8 37c2j1vqps4i046>5<#:kh1=864H3`b?S4el3;p@?li:0yU`7<5s-;m47?95:T214<5s-<:i7?=;%42`?753twvq6g>7183>!4ej3;>46X=be82I4en3;pZi<52z&2b=<6?91]=8?52z&55`<6:2.==i4>2:~yx=h9o;1<7*=bc82b4=Q:kn1=vB=bg82Sb52;q/=k651g38R4362;q/:0<729q/>ol5719K6d`<^;ho6oh51zTg6?4|,8l36<;n;W365?4|,?;n6<<4$73g>44o6?90;6)P5jm0:wA719U507=:r.==h4>2:&55a<6:2wvqp5`1g394?"5jk0:j<5Y2cf95~J5jo0:w[j=:3y'5c>=9o;0Z<;>:3y'24c=>2.==i49;|~y>{en00;6;4?:1y'6gd=?91C>lh4i07:>5<#:kh1=864V3`g>4}K:kl1=vXk2;0x 4`?28?27[?:1;0x 37b2j1/:743f3_;>=744<,?;o6<<4}|~?l71=3:1(?lm:07;?M4ei2\9ni4>{M0ab?7|^m81>v*>f98220=Q9<;1>v*91d826>"19m0:>6sr}|9j526=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=:>4V072>7}#>8o1=?5+60f957=zutw0e<9<:18'6gd=9<20Z?lk:0yO6g`=9r\o>74143_;>=744<,?;o6<<4}|~?j7a93:1(?lm:0d2?S4el3;p@?li:0yU`7<5s-;m47?i1:T214<5s-<:i784$73g>3=zutw0qohn:185>5<7s-8in79?;I0bb>o6=00;6)N5jh1]>oj51zN1fc<6s_n96?u+1g:950?<^8?:6?u+60g957=#>8n1=?5r}|8m43f290/>ol514:8R7dc28qG>oh51zTg6?4|,8l36<;n;W365?4|,?;n6n5+60f9g>{zut1b=;;50;&1fg<6=11C>oo4V3`g>4}K:kl1=vXk2;0x 4`?28<>7[?:1;0x 37b2880(;?k:008yx{z3`;<<7>5$3`a>43?3_8ih7?tL3`e>4}Ql;09w)?i8;344>P6=809w)8>e;31?!06l3;97psr}:k236<72-8in7?:8:T1fa<6sE8ij7?tVe096~"6n10:;>5Y14396~"19l0:>6*91e826>{zut1d=k?50;&1fg<6n81]>oj51zN1fc<6s_n96?u+1g:95c7<^8?:6?u+60g92>"19m0=7psr}:abg<72?0;6=u+2c`935=O:hl0e<;6:18'6gd=9<20Z?lk:0yO6g`=9r\o>743>3_;>=7f=#>8n1o6sr}|9j50g=83.9no4>599K6gg<^;ho65081!06m3;97)8>d;31?x{zu2c:;=4?:%0af?7202\9ni4>{M0ab?7|^m81>v*>f98235=Q9<;1>v*91d826>"19m0:>6sr}|9j525=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=:=4V072>7}#>8o1=?5+60f957=zutw0c:18'6gd=9o;0Z?lk:0yO6g`=9r\o>74`63_;>=73=#>8n1:6sr}|9~fce=83=1<7>t$3`a>4`73A8jj6g>5883>!4ej3;>46X=be82I4en3;pZi<52z&2b=<6=01]=8?52z&55`<13-<:h784}|~?l72i3:1(?lm:07;?S4el3;p@?li:0yU`7<5s-;m47?:a:T214<5s-<:i784$73g>3=zutw0e<8::18'6gd=9<20Z?lk:0yO6g`=9r\o>74023_;>=73=#>8n1:6sr}|9j526=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=:>4V072>7}#>8o1:6*91e85?x{zu2c:;>4?:%0af?7202\9ni4>{M0ab?7|^m81>v*>f98236=Q9<;1>v*91d85?!06l3<0qpsr;h340?6=,;hi6<;7;W0a`?7|D;hm65081!06m3<0(;?k:79~yx{2wvqp5rbgf94?4=83:p(?lm:c;8L7ga3`;>47>5$3`a>43?32e:j<4?:%0af?7a92\9ni4>{M0ab?7|^m81>v*>f982b4=Q9<;1>v*91d85?!06l3<0qpsr;|`ea?6=;3:1N5io1b=8750;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:950?<^8?:6?u+60g955=#>8n1==5r}|8m43f290/>ol514:8R7dc28qG>oh51zTg6?4|,8l36<;n;W365?4|,?;n6<>4$73g>465883>!4ej3;>46F=b`9U6gb=9rF9nk4>{Wf1>7}#9o21=874V072>7}#>8o1=?5+60f957=zutw0e<;n:18'6gd=9<20Z?lk:0yO6g`=9r\o>743f3_;>=744<,?;o6<<4}|~?l71=3:1(?lm:07;?S4el3;p@?li:0yU`7<5s-;m47?95:T214<5s-<:i7?=;%42`?753twvq6a>f083>!4ej3;m=6X=be82I4en3;pZi<52z&2b=<6n81]=8?52z&55`<13-<:h784}|~?xd6890;694?:1y'6gd=?91C>lh4i07:>5<#:kh1=864H3`b?S4el3;p@?li:0yU`7<5s-;m47?:9:T214<5s-<:i7?=;%42`?753twvq6g>5`83>!4ej3;>46X=be82I4en3;pZi<52z&2b=<6=h1]=8?52z&55`<6:2.==i4>2:~yx=n9??1<7*=bc821==Q:kn1=vB=bg82Sb52;q/=k651778R4362;q/:5<#:kh1=k?4V3`g>4}K:kl1=vXk2;0x 4`?28l:7[?:1;0x 37b2?1/:5<#:kh1=864V3`g>4}K:kl1=vXk2;0x 4`?28?j7[?:1;0x 37b2880(;?k:008yx{z3`;=97>5$3`a>43?3_8ih7?tL3`e>4}Ql;09w)?i8;351>P6=809w)8>e;31?!06l3;97psr}:m2b4<72-8in7?i1:T1fa<6sE8ij7?tVe096~"6n10:j<5Y14396~"19l0=7)8>d;48yx{z3th:5}#:kh1;=5G2`d8m43>290/>ol514:8L7df3_8ih7?tL3`e>4}Ql;09w)?i8;36=>P6=809w)8>e;31?!06l3;97psr}:k21d<72-8in7?:8:T1fa<6sE8ij7?tVe096~"6n10:9l5Y14396~"19l0:>6*91e826>{zut1b=;;50;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:9533<^8?:6?u+60g957=#>8n1=?5r}|8k4`6290/>ol51g38R7dc28qG>oh51zTg6?4|,8l36;W365?4|,?;n6;5+60f92>{zut1vn<><:1815?6=8r.9no480:J1ec=n9<31<7*=bc821==O:kk0Z?lk:0yO6g`=9r\o>743>3_;>=7f=#>8n1o6sr}|9j50g=83.9no4>599K6gg<^;ho6=9??0Z<;>:3y'24c=k2.==i4l;|~y>o6?90;6)P5jm0:wA719U507=:r.==h4l;%42`?eol514:8R7dc28qG>oh51zTg6?4|,8l36<9;;W365?4|,?;n6n5+60f9g>{zut1b=:;50;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:9523<^8?:6?u+60g9g>"19m0h7psr}:k233<72-8in7?:8:T1fa<6sE8ij7?tVe096~"6n10:;;5Y14396~"19l0h7)8>d;a8yx{z3`;<;7>5$3`a>43?3_8ih7?tL3`e>4}Ql;09w)?i8;343>P6=809w)8>e;a8 37c2j1vqps4i05;>5<#:kh1=864V3`g>4}K:kl1=vXk2;0x 4`?28=37[?:1;0x 37b2j1/:5b83>!4ej3;>46X=be82I4en3;pZi<52z&2b=<6=j1]=8?52z&55`f=zutw0e<;j:18'6gd=9<20Z?lk:0yO6g`=9r\o>743b3_;>=7f=#>8n1o6sr}|9j50`=83.9no4>599K6gg<^;ho6oh51zTg6?4|,8l36<8?;W365?4|,?;n6n5+60f9g>{zut1b=;?50;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:9537<^8?:6?u+60g9g>"19m0h7psr}:k227<72-8in7?:8:T1fa<6sE8ij7?tVe096~"6n10::?5Y14396~"19l0:>6*91e826>{zut1b=;=50;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:9535<^8?:6?u+60g957=#>8n1=?5r}|8m403290/>ol514:8L7df3_8ih7?tL3`e>4}Ql;09w)?i8;350>P6=809w)8>e;31?!06l3;97psr}:k223<72-8in7?:8:T1fa<6sE8ij7?tVe096~"6n10::;5Y14396~"19l0=7)8>d;48yx{z3`;=;7>5$3`a>43?3_8ih7?tL3`e>4}Ql;09w)?i8;353>P6=809w)8>e;48 37c2?1vqps4i04;>5<#:kh1=864V3`g>4}K:kl1=vXk2;0x 4`?28<37[?:1;0x 37b2?1/:6`83>!4ej3;>46X=be82I4en3;pZi<52z&2b=<6>h1]=8?52z&55`<13-<:h784}|~?l71j3:1(?lm:07;?S4el3;p@?li:0yU`7<5s-;m47?9b:T214<5s-<:i784$73g>3=zutw0e<8l:18'6gd=9<20Z?lk:0yO6g`=9r\o>740d3_;>=73=#>8n1:6sr}|9j53b=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=;j4V072>7}#>8o1:6*91e85?x{zu2c::h4?:%0af?7202\9ni4>{M0ab?7|^m81>v*>f9822`=Q9<;1>v*91d85?!06l3<0qpsr;h35b?6=,;hi6<;7;W0a`?7|D;hm65081!06m3<0(;?k:79~yx{<^;ho62wvqp5f16094?"5jk0:955Y2cf95~J5jo0:w[j=:3y'5c>=9>80Z<;>:3y'24c=>2.==i49;|~y>i6n80;6)P5jm0:wAf09U507=:r.==h49;%42`?00583>2<729q/>ol51g28L7ga3`;>57>5$3`a>43?3_8ih7?tL3`e>4}Ql;09w)?i8;36=>P6=809w)8>e;48 37c2?1vqps4i07b>5<#:kh1=864V3`g>4}K:kl1=vXk2;0x 4`?28?j7[?:1;0x 37b2?1/:7183>!4ej3;>46X=be82I4en3;pZi<52z&2b=<6?91]=8?52z&55`<13-<:h784}|~?l70;3:1(?lm:07;?S4el3;p@?li:0yU`7<5s-;m47?83:T214<5s-<:i784$73g>3=zutw0e<9;:18'6gd=9<20Z?lk:0yO6g`=9r\o>74133_;>=73=#>8n1:6sr}|9l5c7=83.9no4>f09U6gb=9rF9nk4>{Wf1>7}#9o21=k?4V072>7}#>8o1:6*91e85?x{zu2wi==;50;094?6|,;hi6o74H3ce?l7203:1(?lm:07;?>i6n80;6)P5jm0:wAf09U507=:r.==h49;%42`?00783>6<729q/>ol5719K6d`<^;ho6m6X>5081!06m3;;7)8>d;33?x{zu2e:j<4?:%0af?7a92\9ni4>{M0ab?7|^m81>v*>f982b4=Q9<;1>v*91d85?!06l3<0qpsr;|`242<72;;1<7>t$3`a>26<@;km7d?:9;29 7de28?37Ed;a8yx{z3`;>m7>5$3`a>43?3A8im6X=be82I4en3;pZi<52z&2b=<6=h1]=8?52z&55`f=zutw0e<9?:18'6gd=9<20Z?lk:0yO6g`=9r\o>74173_;>=7f=#>8n1o6sr}|9j525=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=:=4V072>7}#>8o1o6*91e8`?x{zu2c:;94?:%0af?7202\9ni4>{M0ab?7|^m81>v*>f98231=Q9<;1>v*91d8`?!06l3i0qpsr;h341?6=,;hi6<;7;W0a`?7|D;hm65081!06m3i0(;?k:b9~yx{<^;ho6=9>=0Z<;>:3y'24c=k2.==i4l;|~y>o6?10;6)P5jm0:wA799U507=:r.==h4l;%42`?eol514:8R7dc28qG>oh51zTg6?4|,8l36<;l;W365?4|,?;n6n5+60f9g>{zut1b=8j50;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:950b<^8?:6?u+60g9g>"19m0h7psr}:k21`<72-8in7?:8:T1fa<6sE8ij7?tVe096~"6n10:9h5Y14396~"19l0h7)8>d;a8yx{z3`;>j7>5$3`a>43?3A8im6X=be82I4en3;pZi<52z&2b=<6=o1]=8?52z&55`{M0ab?7|^m81>v*>f98225=Q9<;1>v*91d8`?!06l3i0qpsr;h355?6=,;hi6<;7;W0a`?7|D;hm65081!06m3i0(;?k:b9~yx{<^;ho6P5jm0:wA629U507=:r.==h4>2:&55a<6:2wvqp5f17694?"5jk0:955Y2cf95~J5jo0:w[j=:3y'5c>=9?>0Z<;>:3y'24c=>2.==i49;|~y>o6>?0;6)P5jm0:wA679U507=:r.==h49;%42`?0ol514:8R7dc28qG>oh51zTg6?4|,8l36<87;W365?4|,?;n6;5+60f92>{zut1b=;750;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:953?<^8?:6?u+60g92>"19m0=7psr}:k22d<72-8in7?:8:T1fa<6sE8ij7?tVe096~"6n10::l5Y14396~"19l0=7)8>d;48yx{z3`;=n7>5$3`a>43?3_8ih7?tL3`e>4}Ql;09w)?i8;35f>P6=809w)8>e;48 37c2?1vqps4i04`>5<#:kh1=864V3`g>4}K:kl1=vXk2;0x 4`?286d83>!4ej3;>46X=be82I4en3;pZi<52z&2b=<6>l1]=8?52z&55`<13-<:h784}|~?l71n3:1(?lm:07;?S4el3;p@?li:0yU`7<5s-;m47?9f:T214<5s-<:i784$73g>3=zutw0e<9>:18'6gd=9<20Z?lk:0yO6g`=9r\o>74163_;>=73=#>8n1:6sr}|9j524=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=:<4V072>7}#>8o1:6*91e85?x{zu2e:j<4?:%0af?7a92\9ni4>{M0ab?7|^m81>v*>f982b4=Q9<;1>v*91d85?!06l3<0qpsr;|`24=<72;;1<7>t$3`a>26<@;km7d?:9;29 7de28?37Ed;a8yx{z3`;>m7>5$3`a>43?3A8im6X=be82I4en3;pZi<52z&2b=<6=h1]=8?52z&55`f=zutw0e<9?:18'6gd=9<20Z?lk:0yO6g`=9r\o>74173_;>=7f=#>8n1o6sr}|9j525=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=:=4V072>7}#>8o1o6*91e8`?x{zu2c:;94?:%0af?7202\9ni4>{M0ab?7|^m81>v*>f98231=Q9<;1>v*91d8`?!06l3i0qpsr;h341?6=,;hi6<;7;W0a`?7|D;hm65081!06m3i0(;?k:b9~yx{<^;ho6=9>=0Z<;>:3y'24c=k2.==i4l;|~y>o6?10;6)P5jm0:wA799U507=:r.==h4l;%42`?eol514:8R7dc28qG>oh51zTg6?4|,8l36<;l;W365?4|,?;n6n5+60f9g>{zut1b=8j50;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:950b<^8?:6?u+60g9g>"19m0h7psr}:k21`<72-8in7?:8:T1fa<6sE8ij7?tVe096~"6n10:9h5Y14396~"19l0h7)8>d;a8yx{z3`;>j7>5$3`a>43?3A8im6X=be82I4en3;pZi<52z&2b=<6=o1]=8?52z&55`{M0ab?7|^m81>v*>f98225=Q9<;1>v*91d8`?!06l3i0qpsr;h355?6=,;hi6<;7;W0a`?7|D;hm65081!06m3i0(;?k:b9~yx{<^;ho6P5jm0:wA629U507=:r.==h4>2:&55a<6:2wvqp5f17694?"5jk0:955Y2cf95~J5jo0:w[j=:3y'5c>=9?>0Z<;>:3y'24c=>2.==i49;|~y>o6>?0;6)P5jm0:wA679U507=:r.==h49;%42`?0ol514:8R7dc28qG>oh51zTg6?4|,8l36<87;W365?4|,?;n6;5+60f92>{zut1b=;750;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:953?<^8?:6?u+60g92>"19m0=7psr}:k22d<72-8in7?:8:T1fa<6sE8ij7?tVe096~"6n10::l5Y14396~"19l0=7)8>d;48yx{z3`;=n7>5$3`a>43?3_8ih7?tL3`e>4}Ql;09w)?i8;35f>P6=809w)8>e;48 37c2?1vqps4i04`>5<#:kh1=864V3`g>4}K:kl1=vXk2;0x 4`?286d83>!4ej3;>46X=be82I4en3;pZi<52z&2b=<6>l1]=8?52z&55`<13-<:h784}|~?l71n3:1(?lm:07;?S4el3;p@?li:0yU`7<5s-;m47?9f:T214<5s-<:i784$73g>3=zutw0e<9>:18'6gd=9<20Z?lk:0yO6g`=9r\o>74163_;>=73=#>8n1:6sr}|9j524=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=:<4V072>7}#>8o1:6*91e85?x{zu2e:j<4?:%0af?7a92\9ni4>{M0ab?7|^m81>v*>f982b4=Q9<;1>v*91d85?!06l3<0qpsr;|`24<<72;;1<7>t$3`a>26<@;km7d?:9;29 7de28?37Ed;a8yx{z3`;>m7>5$3`a>43?3A8im6X=be82I4en3;pZi<52z&2b=<6=h1]=8?52z&55`f=zutw0e<9?:18'6gd=9<20Z?lk:0yO6g`=9r\o>74173_;>=7f=#>8n1o6sr}|9j525=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=:=4V072>7}#>8o1o6*91e8`?x{zu2c:;94?:%0af?7202\9ni4>{M0ab?7|^m81>v*>f98231=Q9<;1>v*91d8`?!06l3i0qpsr;h341?6=,;hi6<;7;W0a`?7|D;hm65081!06m3i0(;?k:b9~yx{<^;ho6=9>=0Z<;>:3y'24c=k2.==i4l;|~y>o6?10;6)P5jm0:wA799U507=:r.==h4l;%42`?eol514:8R7dc28qG>oh51zTg6?4|,8l36<;l;W365?4|,?;n6n5+60f9g>{zut1b=8j50;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:950b<^8?:6?u+60g9g>"19m0h7psr}:k21`<72-8in7?:8:T1fa<6sE8ij7?tVe096~"6n10:9h5Y14396~"19l0h7)8>d;a8yx{z3`;>j7>5$3`a>43?3A8im6X=be82I4en3;pZi<52z&2b=<6=o1]=8?52z&55`f=zutw0e<8>:18'6gd=9<20Z?lk:0yO6g`=9r\o>74063_;>=744<,?;o6<<4}|~?l71:3:1(?lm:07;?M4ei2\9ni4>{M0ab?7|^m81>v*>f98227=Q9<;1>v*91d826>"19m0:>6sr}|9j535=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=;=4V072>7}#>8o1=?5+60f957=zutw0e<8;:18'6gd=9<20Z?lk:0yO6g`=9r\o>74033_;>=73=#>8n1:6sr}|9j530=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=;84V072>7}#>8o1:6*91e85?x{zu2c:::4?:%0af?7202\9ni4>{M0ab?7|^m81>v*>f98222=Q9<;1>v*91d85?!06l3<0qpsr;h355081!06m3<0(;?k:79~yx{<^;ho62wvqp5f17c94?"5jk0:955Y2cf95~J5jo0:w[j=:3y'5c>=9?k0Z<;>:3y'24c=>2.==i49;|~y>o6>k0;6)P5jm0:wA6c9U507=:r.==h49;%42`?0ol514:8R7dc28qG>oh51zTg6?4|,8l36<8k;W365?4|,?;n6;5+60f92>{zut1b=;k50;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:953c<^8?:6?u+60g92>"19m0=7psr}:k22c<72-8in7?:8:T1fa<6sE8ij7?tVe096~"6n10::k5Y14396~"19l0=7)8>d;48yx{z3`;<=7>5$3`a>43?3_8ih7?tL3`e>4}Ql;09w)?i8;345>P6=809w)8>e;48 37c2?1vqps4i051>5<#:kh1=864V3`g>4}K:kl1=vXk2;0x 4`?28=97[?:1;0x 37b2?1/:=9<30Z<;>:3y'24c=k2.==i4l;|~y>o6=h0;6)N5jh1]>oj51zN1fc<6s_n96?u+1g:950g<^8?:6?u+60g9g>"19m0h7psr}:k220<72-8in7?:8:T1fa<6sE8ij7?tVe096~"6n10::85Y14396~"19l0h7)8>d;a8yx{z3`;<<7>5$3`a>43?3_8ih7?tL3`e>4}Ql;09w)?i8;344>P6=809w)8>e;a8 37c2j1vqps4i050>5<#:kh1=864V3`g>4}K:kl1=vXk2;0x 4`?28=87[?:1;0x 37b2j1/:>1<7*=bc821==Q:kn1=vB=bg82Sb52;q/=k651668R4362;q/:7483>!4ej3;>46X=be82I4en3;pZi<52z&2b=<6?<1]=8?52z&55`3:1(?lm:07;?S4el3;p@?li:0yU`7<5s-;m47?86:T214<5s-<:i7m4$73g>f=zutw0e<98:18'6gd=9<20Z?lk:0yO6g`=9r\o>74103_;>=7f=#>8n1o6sr}|9j52>=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=:64V072>7}#>8o1o6*91e8`?x{zu2c:9o4?:%0af?7202B9nl5Y2cf95~J5jo0:w[j=:3y'5c>=9:3y'24c=k2.==i4l;|~y>o6=j0;6)N5jh1]>oj51zN1fc<6s_n96?u+1g:950e<^8?:6?u+60g9g>"19m0h7psr}:k21a<72-8in7?:8:J1fd=Q:kn1=vB=bg82Sb52;q/=k6514f8R4362;q/:5d83>!4ej3;>46F=b`9U6gb=9rF9nk4>{Wf1>7}#9o21=8k4V072>7}#>8o1o6*91e8`?x{zu2c:9k4?:%0af?7202B9nl5Y2cf95~J5jo0:w[j=:3y'5c>=9:3y'24c=k2.==i4l;|~y>o6>90;6)N5jh1]>oj51zN1fc<6s_n96?u+1g:9536<^8?:6?u+60g9g>"19m0h7psr}:k224<72-8in7?:8:T1fa<6sE8ij7?tVe096~"6n10::<5Y14396~"19l0h7)8>d;a8yx{z3`;=>7>5$3`a>43?3_8ih7?tL3`e>4}Ql;09w)?i8;356>P6=809w)8>e;31?!06l3;97psr}:k226<72-8in7?:8:J1fd=Q:kn1=vB=bg82Sb52;q/=k651718R4362;q/:5<#:kh1=864V3`g>4}K:kl1=vXk2;0x 4`?286683>!4ej3;>46X=be82I4en3;pZi<52z&2b=<6>>1]=8?52z&55`<13-<:h784}|~?l7103:1(?lm:07;?S4el3;p@?li:0yU`7<5s-;m47?98:T214<5s-<:i784$73g>3=zutw0e<86:18'6gd=9<20Z?lk:0yO6g`=9r\o>740>3_;>=73=#>8n1:6sr}|9j53g=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=;o4V072>7}#>8o1:6*91e85?x{zu2c::o4?:%0af?7202\9ni4>{M0ab?7|^m81>v*>f9822g=Q9<;1>v*91d85?!06l3<0qpsr;h35g?6=,;hi6<;7;W0a`?7|D;hm65081!06m3<0(;?k:79~yx{<^;ho62wvqp5f17g94?"5jk0:955Y2cf95~J5jo0:w[j=:3y'5c>=9?o0Z<;>:3y'24c=>2.==i49;|~y>o6>o0;6)P5jm0:wA6g9U507=:r.==h49;%42`?0ol514:8R7dc28qG>oh51zTg6?4|,8l36<9=;W365?4|,?;n6;5+60f92>{zut1d=k?50;&1fg<6n81]>oj51zN1fc<6s_n96?u+1g:95c7<^8?:6?u+60g92>"19m0=7psr}:a55d=838:6=4?{%0af?173A8jj6g>5883>!4ej3;>46F=b`9U6gb=9rF9nk4>{Wf1>7}#9o21=874V072>7}#>8o1o6*91e8`?x{zu2c:9l4?:%0af?7202B9nl5Y2cf95~J5jo0:w[j=:3y'5c>=9:3y'24c=k2.==i4l;|~y>o6><0;6)P5jm0:wA649U507=:r.==h4l;%42`?eol514:8R7dc28qG>oh51zTg6?4|,8l36<9<;W365?4|,?;n6n5+60f9g>{zut1b=::50;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:9522<^8?:6?u+60g9g>"19m0h7psr}:k230<72-8in7?:8:T1fa<6sE8ij7?tVe096~"6n10:;85Y14396~"19l0h7)8>d;a8yx{z3`;<:7>5$3`a>43?3_8ih7?tL3`e>4}Ql;09w)?i8;342>P6=809w)8>e;a8 37c2j1vqps4i054>5<#:kh1=864V3`g>4}K:kl1=vXk2;0x 4`?28=<7[?:1;0x 37b2j1/:21<7*=bc821==Q:kn1=vB=bg82Sb52;q/=k6516:8R4362;q/:5c83>!4ej3;>46F=b`9U6gb=9rF9nk4>{Wf1>7}#9o21=8l4V072>7}#>8o1o6*91e8`?x{zu2c:9n4?:%0af?7202B9nl5Y2cf95~J5jo0:w[j=:3y'5c>=9:3y'24c=k2.==i4l;|~y>o6=m0;6)N5jh1]>oj51zN1fc<6s_n96?u+1g:950b<^8?:6?u+60g9g>"19m0h7psr}:k21`<72-8in7?:8:J1fd=Q:kn1=vB=bg82Sb52;q/=k6514g8R4362;q/:5g83>!4ej3;>46F=b`9U6gb=9rF9nk4>{Wf1>7}#9o21=8h4V072>7}#>8o1o6*91e8`?x{zu2c::=4?:%0af?7202B9nl5Y2cf95~J5jo0:w[j=:3y'5c>=9?:0Z<;>:3y'24c=k2.==i4l;|~y>o6>80;6)P5jm0:wA609U507=:r.==h4l;%42`?eo6>:0;6)N5jh1]>oj51zN1fc<6s_n96?u+1g:9535<^8?:6?u+60g957=#>8n1=?5r}|8m403290/>ol514:8R7dc28qG>oh51zTg6?4|,8l36<8;;W365?4|,?;n6;5+60f92>{zut1b=;850;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:9530<^8?:6?u+60g92>"19m0=7psr}:k222<72-8in7?:8:T1fa<6sE8ij7?tVe096~"6n10:::5Y14396~"19l0=7)8>d;48yx{z3`;=47>5$3`a>43?3_8ih7?tL3`e>4}Ql;09w)?i8;35<>P6=809w)8>e;48 37c2?1vqps4i04:>5<#:kh1=864V3`g>4}K:kl1=vXk2;0x 4`?28<27[?:1;0x 37b2?1/:6c83>!4ej3;>46X=be82I4en3;pZi<52z&2b=<6>k1]=8?52z&55`<13-<:h784}|~?l71k3:1(?lm:07;?S4el3;p@?li:0yU`7<5s-;m47?9c:T214<5s-<:i784$73g>3=zutw0e<8k:18'6gd=9<20Z?lk:0yO6g`=9r\o>740c3_;>=73=#>8n1:6sr}|9j53c=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=;k4V072>7}#>8o1:6*91e85?x{zu2c::k4?:%0af?7202\9ni4>{M0ab?7|^m81>v*>f9822c=Q9<;1>v*91d85?!06l3<0qpsr;h345?6=,;hi6<;7;W0a`?7|D;hm65081!06m3<0(;?k:79~yx{<^;ho62wvqp5`1g394?"5jk0:j<5Y2cf95~J5jo0:w[j=:3y'5c>=9o;0Z<;>:3y'24c=>2.==i49;|~y>{e99i1<7950;2x 7de28l;7Ed;48yx{z3`;>m7>5$3`a>43?3_8ih7?tL3`e>4}Ql;09w)?i8;36e>P6=809w)8>e;48 37c2?1vqps4i046>5<#:kh1=864V3`g>4}K:kl1=vXk2;0x 4`?28<>7[?:1;0x 37b2?1/::1<7*=bc821==Q:kn1=vB=bg82Sb52;q/=k651628R4362;q/:7283>!4ej3;>46X=be82I4en3;pZi<52z&2b=<6?:1]=8?52z&55`<13-<:h784}|~?l70<3:1(?lm:07;?S4el3;p@?li:0yU`7<5s-;m47?84:T214<5s-<:i784$73g>3=zutw0c:18'6gd=9o;0Z?lk:0yO6g`=9r\o>74`63_;>=73=#>8n1:6sr}|9~f46c29096=4?{%0af?d>3A8jj6g>5983>!4ej3;>465`1g394?"5jk0:j<5Y2cf95~J5jo0:w[j=:3y'5c>=9o;0Z<;>:3y'24c=>2.==i49;|~y>{e99o1<7=50;2x 7de2>:0D?oi;h36=?6=,;hi6<;7;W0a`?7|D;hm656X>5081!06m3;;7)8>d;33?x{zu2c:9l4?:%0af?7202\9ni4>{M0ab?7|^m81>v*>f9821d=Q9<;1>v*91d824>"19m0:<6sr}|9l5c7=83.9no4>f09U6gb=9rF9nk4>{Wf1>7}#9o21=k?4V072>7}#>8o1:6*91e85?x{zu2wi==h50;02>5<7s-8in79?;I0bb>o6=00;6)N5jh1]>oj51zN1fc<6s_n96?u+1g:950?<^8?:6?u+60g9g>"19m0h7psr}:k21d<72-8in7?:8:J1fd=Q:kn1=vB=bg82Sb52;q/=k6514c8R4362;q/:6483>!4ej3;>46X=be82I4en3;pZi<52z&2b=<6><1]=8?52z&55`f=zutw0e<9<:18'6gd=9<20Z?lk:0yO6g`=9r\o>74143_;>=7f=#>8n1o6sr}|9j522=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=::4V072>7}#>8o1o6*91e8`?x{zu2c:;84?:%0af?7202\9ni4>{M0ab?7|^m81>v*>f98230=Q9<;1>v*91d8`?!06l3i0qpsr;h342?6=,;hi6<;7;W0a`?7|D;hm65081!06m3i0(;?k:b9~yx{<^;ho6=9>20Z<;>:3y'24c=k2.==i4l;|~y>o6=k0;6)P5jm0:wA5c9U507=:r.==h4l;%42`?eol514:8R7dc28qG>oh51zTg6?4|,8l36<;k;W365?4|,?;n6n5+60f9g>{zut1b=8k50;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:950c<^8?:6?u+60g9g>"19m0h7psr}:k21c<72-8in7?:8:J1fd=Q:kn1=vB=bg82Sb52;q/=k6514d8R4362;q/:6183>!4ej3;>46F=b`9U6gb=9rF9nk4>{Wf1>7}#9o21=;>4V072>7}#>8o1o6*91e8`?x{zu2c::<4?:%0af?7202\9ni4>{M0ab?7|^m81>v*>f98224=Q9<;1>v*91d8`?!06l3i0qpsr;h356?6=,;hi6<;7;W0a`?7|D;hm66X>5081!06m3;97)8>d;31?x{zu2c::>4?:%0af?7202\9ni4>{M0ab?7|^m81>v*>f98226=Q9<;1>v*91d826>"19m0:>6sr}|9j532=83.9no4>599K6gg<^;ho65081!06m3<0(;?k:79~yx{<^;ho62wvqp5f17:94?"5jk0:955Y2cf95~J5jo0:w[j=:3y'5c>=9?20Z<;>:3y'24c=>2.==i49;|~y>o6>00;6)P5jm0:wA689U507=:r.==h49;%42`?0ol514:8R7dc28qG>oh51zTg6?4|,8l36<8m;W365?4|,?;n6;5+60f92>{zut1b=;m50;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:953e<^8?:6?u+60g92>"19m0=7psr}:k22a<72-8in7?:8:T1fa<6sE8ij7?tVe096~"6n10::i5Y14396~"19l0=7)8>d;48yx{z3`;=i7>5$3`a>43?3_8ih7?tL3`e>4}Ql;09w)?i8;35a>P6=809w)8>e;48 37c2?1vqps4i04e>5<#:kh1=864V3`g>4}K:kl1=vXk2;0x 4`?28;1<7*=bc821==Q:kn1=vB=bg82Sb52;q/=k651638R4362;q/:7383>!4ej3;>46X=be82I4en3;pZi<52z&2b=<6?;1]=8?52z&55`<13-<:h784}|~?j7a93:1(?lm:0d2?S4el3;p@?li:0yU`7<5s-;m47?i1:T214<5s-<:i784$73g>3=zutw0qo?>0;2964<729q/>ol5719K6d`<@;hj7[ol514:8L7df3_8ih7?tL3`e>4}Ql;09w)?i8;36e>P6=809w)8>e;a8 37c2j1vqps4i046>5<#:kh1=864V3`g>4}K:kl1=vXk2;0x 4`?28<>7[?:1;0x 37b2j1/::1<7*=bc821==Q:kn1=vB=bg82Sb52;q/=k651628R4362;q/:7283>!4ej3;>46X=be82I4en3;pZi<52z&2b=<6?:1]=8?52z&55`f=zutw0e<9::18'6gd=9<20Z?lk:0yO6g`=9r\o>74123_;>=7f=#>8n1o6sr}|9j520=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=:84V072>7}#>8o1o6*91e8`?x{zu2c:;:4?:%0af?7202\9ni4>{M0ab?7|^m81>v*>f98232=Q9<;1>v*91d8`?!06l3i0qpsr;h345081!06m3i0(;?k:b9~yx{<^;ho6=9:3y'24c=k2.==i4l;|~y>o6=m0;6)P5jm0:wA5e9U507=:r.==h4l;%42`?eol514:8L7df3_8ih7?tL3`e>4}Ql;09w)?i8;36b>P6=809w)8>e;a8 37c2j1vqps4i043>5<#:kh1=864H3`b?S4el3;p@?li:0yU`7<5s-;m47?90:T214<5s-<:i7m4$73g>f=zutw0e<8>:18'6gd=9<20Z?lk:0yO6g`=9r\o>74063_;>=7f=#>8n1o6sr}|9j534=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=;<4V072>7}#>8o1=?5+60f957=zutw0e<8<:18'6gd=9<20Z?lk:0yO6g`=9r\o>74043_;>=744<,?;o6<<4}|~?l71<3:1(?lm:07;?M4ei2\9ni4>{M0ab?7|^m81>v*>f98221=Q9<;1>v*91d826>"19m0:>6sr}|9j530=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=;84V072>7}#>8o1:6*91e85?x{zu2c:::4?:%0af?7202\9ni4>{M0ab?7|^m81>v*>f98222=Q9<;1>v*91d85?!06l3<0qpsr;h355081!06m3<0(;?k:79~yx{<^;ho62wvqp5f17c94?"5jk0:955Y2cf95~J5jo0:w[j=:3y'5c>=9?k0Z<;>:3y'24c=>2.==i49;|~y>o6>k0;6)P5jm0:wA6c9U507=:r.==h49;%42`?0ol514:8R7dc28qG>oh51zTg6?4|,8l36<8k;W365?4|,?;n6;5+60f92>{zut1b=;k50;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:953c<^8?:6?u+60g92>"19m0=7psr}:k22c<72-8in7?:8:T1fa<6sE8ij7?tVe096~"6n10::k5Y14396~"19l0=7)8>d;48yx{z3`;<=7>5$3`a>43?3_8ih7?tL3`e>4}Ql;09w)?i8;345>P6=809w)8>e;48 37c2?1vqps4i051>5<#:kh1=864V3`g>4}K:kl1=vXk2;0x 4`?28=97[?:1;0x 37b2?1/:=9<30Z<;>:3y'24c=k2.==i4l;|~y>o6=h0;6)N5jh1]>oj51zN1fc<6s_n96?u+1g:950g<^8?:6?u+60g9g>"19m0h7psr}:k220<72-8in7?:8:T1fa<6sE8ij7?tVe096~"6n10::85Y14396~"19l0h7)8>d;a8yx{z3`;<<7>5$3`a>43?3_8ih7?tL3`e>4}Ql;09w)?i8;344>P6=809w)8>e;a8 37c2j1vqps4i050>5<#:kh1=864V3`g>4}K:kl1=vXk2;0x 4`?28=87[?:1;0x 37b2j1/:>1<7*=bc821==Q:kn1=vB=bg82Sb52;q/=k651668R4362;q/:7483>!4ej3;>46X=be82I4en3;pZi<52z&2b=<6?<1]=8?52z&55`3:1(?lm:07;?S4el3;p@?li:0yU`7<5s-;m47?86:T214<5s-<:i7m4$73g>f=zutw0e<98:18'6gd=9<20Z?lk:0yO6g`=9r\o>74103_;>=7f=#>8n1o6sr}|9j52>=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=:64V072>7}#>8o1o6*91e8`?x{zu2c:9o4?:%0af?7202\9ni4>{M0ab?7|^m81>v*>f9821g=Q9<;1>v*91d8`?!06l3i0qpsr;h36g?6=,;hi6<;7;W0a`?7|D;hm6o6X>5081!06m3i0(;?k:b9~yx{<^;ho6=9:3y'24c=k2.==i4l;|~y>o6=o0;6)N5jh1]>oj51zN1fc<6s_n96?u+1g:950`<^8?:6?u+60g9g>"19m0h7psr}:k225<72-8in7?:8:T1fa<6sE8ij7?tVe096~"6n10::=5Y14396~"19l0h7)8>d;a8yx{z3`;==7>5$3`a>43?3_8ih7?tL3`e>4}Ql;09w)?i8;355>P6=809w)8>e;31?!06l3;97psr}:k227<72-8in7?:8:T1fa<6sE8ij7?tVe096~"6n10::?5Y14396~"19l0:>6*91e826>{zut1b=;=50;&1fg<6=11C>oo4V3`g>4}K:kl1=vXk2;0x 4`?28<87[?:1;0x 37b2880(;?k:008yx{z3`;=87>5$3`a>43?3_8ih7?tL3`e>4}Ql;09w)?i8;350>P6=809w)8>e;31?!06l3;97psr}:k223<72-8in7?:8:T1fa<6sE8ij7?tVe096~"6n10::;5Y14396~"19l0=7)8>d;48yx{z3`;=;7>5$3`a>43?3_8ih7?tL3`e>4}Ql;09w)?i8;353>P6=809w)8>e;48 37c2?1vqps4i04;>5<#:kh1=864V3`g>4}K:kl1=vXk2;0x 4`?28<37[?:1;0x 37b2?1/:6`83>!4ej3;>46X=be82I4en3;pZi<52z&2b=<6>h1]=8?52z&55`<13-<:h784}|~?l71j3:1(?lm:07;?S4el3;p@?li:0yU`7<5s-;m47?9b:T214<5s-<:i784$73g>3=zutw0e<8l:18'6gd=9<20Z?lk:0yO6g`=9r\o>740d3_;>=73=#>8n1:6sr}|9j53b=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=;j4V072>7}#>8o1:6*91e85?x{zu2c::h4?:%0af?7202\9ni4>{M0ab?7|^m81>v*>f9822`=Q9<;1>v*91d85?!06l3<0qpsr;h35b?6=,;hi6<;7;W0a`?7|D;hm65081!06m3<0(;?k:79~yx{<^;ho62wvqp5f16094?"5jk0:955Y2cf95~J5jo0:w[j=:3y'5c>=9>80Z<;>:3y'24c=>2.==i49;|~y>i6n80;6)P5jm0:wAf09U507=:r.==h49;%42`?01383>77=83:p(?lm:628L7ga3`;>57>5$3`a>43?3A8im6X=be82I4en3;pZi<52z&2b=<6=01]=8?52z&55`{M0ab?7|^m81>v*>f9821d=Q9<;1>v*91d8`?!06l3i0qpsr;h351?6=,;hi6<;7;W0a`?7|D;hm65081!06m3i0(;?k:b9~yx{<^;ho6=9>90Z<;>:3y'24c=k2.==i4l;|~y>o6?=0;6)P5jm0:wA759U507=:r.==h4l;%42`?eol514:8R7dc28qG>oh51zTg6?4|,8l36<99;W365?4|,?;n6n5+60f9g>{zut1b=:950;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:9521<^8?:6?u+60g9g>"19m0h7psr}:k23=<72-8in7?:8:T1fa<6sE8ij7?tVe096~"6n10:;55Y14396~"19l0h7)8>d;a8yx{z3`;>n7>5$3`a>43?3A8im6X=be82I4en3;pZi<52z&2b=<6=k1]=8?52z&55`{M0ab?7|^m81>v*>f9821f=Q9<;1>v*91d8`?!06l3i0qpsr;h36`?6=,;hi6<;7;I0ae>P5jm0:wA5e9U507=:r.==h4l;%42`?ed;a8yx{z3`;>j7>5$3`a>43?3A8im6X=be82I4en3;pZi<52z&2b=<6=o1]=8?52z&55`{M0ab?7|^m81>v*>f98225=Q9<;1>v*91d8`?!06l3i0qpsr;h355?6=,;hi6<;7;W0a`?7|D;hm65081!06m3i0(;?k:b9~yx{<^;ho65081!06m3;97)8>d;31?x{zu2c::94?:%0af?7202B9nl5Y2cf95~J5jo0:w[j=:3y'5c>=9?>0Z<;>:3y'24c=9;1/:<^;ho62wvqp5f17594?"5jk0:955Y2cf95~J5jo0:w[j=:3y'5c>=9?=0Z<;>:3y'24c=>2.==i49;|~y>o6>10;6)P5jm0:wA699U507=:r.==h49;%42`?0ol514:8R7dc28qG>oh51zTg6?4|,8l36<8n;W365?4|,?;n6;5+60f92>{zut1b=;l50;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:953d<^8?:6?u+60g92>"19m0=7psr}:k22f<72-8in7?:8:T1fa<6sE8ij7?tVe096~"6n10::n5Y14396~"19l0=7)8>d;48yx{z3`;=h7>5$3`a>43?3_8ih7?tL3`e>4}Ql;09w)?i8;35`>P6=809w)8>e;48 37c2?1vqps4i04f>5<#:kh1=864V3`g>4}K:kl1=vXk2;0x 4`?287083>!4ej3;>46X=be82I4en3;pZi<52z&2b=<6?81]=8?52z&55`<13-<:h784}|~?l70:3:1(?lm:07;?S4el3;p@?li:0yU`7<5s-;m47?82:T214<5s-<:i784$73g>3=zutw0c:18'6gd=9o;0Z?lk:0yO6g`=9r\o>74`63_;>=73=#>8n1:6sr}|9~f4742909=7>50z&1fg<082B9mk5f14;94?"5jk0:955G2cc8R7dc28qG>oh51zTg6?4|,8l36<;6;W365?4|,?;n6n5+60f9g>{zut1b=8o50;&1fg<6=11C>oo4V3`g>4}K:kl1=vXk2;0x 4`?28?j7[?:1;0x 37b2j1/:7183>!4ej3;>46X=be82I4en3;pZi<52z&2b=<6?91]=8?52z&55`f=zutw0e<9;:18'6gd=9<20Z?lk:0yO6g`=9r\o>74133_;>=7f=#>8n1o6sr}|9j523=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=:;4V072>7}#>8o1o6*91e8`?x{zu2c:;;4?:%0af?7202\9ni4>{M0ab?7|^m81>v*>f98233=Q9<;1>v*91d8`?!06l3i0qpsr;h343?6=,;hi6<;7;W0a`?7|D;hm65081!06m3i0(;?k:b9~yx{<^;ho6oh51zTg6?4|,8l36<;m;W365?4|,?;n6n5+60f9g>{zut1b=8m50;&1fg<6=11C>oo4V3`g>4}K:kl1=vXk2;0x 4`?28?h7[?:1;0x 37b2j1/:743c3_;>=7f=#>8n1o6sr}|9j50c=83.9no4>599K6gg<^;ho6oh51zTg6?4|,8l36<;i;W365?4|,?;n6n5+60f9g>{zut1b=;>50;&1fg<6=11C>oo4V3`g>4}K:kl1=vXk2;0x 4`?28<;7[?:1;0x 37b2j1/:6383>!4ej3;>46X=be82I4en3;pZi<52z&2b=<6>;1]=8?52z&55`<6:2.==i4>2:~yx=n9?91<7*=bc821==Q:kn1=vB=bg82Sb52;q/=k651718R4362;q/:5<#:kh1=864H3`b?S4el3;p@?li:0yU`7<5s-;m47?94:T214<5s-<:i7?=;%42`?753twvq6g>6783>!4ej3;>46X=be82I4en3;pZi<52z&2b=<6>?1]=8?52z&55`<13-<:h784}|~?l71?3:1(?lm:07;?S4el3;p@?li:0yU`7<5s-;m47?97:T214<5s-<:i784$73g>3=zutw0e<87:18'6gd=9<20Z?lk:0yO6g`=9r\o>740?3_;>=73=#>8n1:6sr}|9j53?=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=;74V072>7}#>8o1:6*91e85?x{zu2c::l4?:%0af?7202\9ni4>{M0ab?7|^m81>v*>f9822d=Q9<;1>v*91d85?!06l3<0qpsr;h35f?6=,;hi6<;7;W0a`?7|D;hm65081!06m3<0(;?k:79~yx{<^;ho62wvqp5f17f94?"5jk0:955Y2cf95~J5jo0:w[j=:3y'5c>=9?n0Z<;>:3y'24c=>2.==i49;|~y>o6>l0;6)P5jm0:wA6d9U507=:r.==h49;%42`?0ol514:8R7dc28qG>oh51zTg6?4|,8l36<9>;W365?4|,?;n6;5+60f92>{zut1b=:<50;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:9524<^8?:6?u+60g92>"19m0=7psr}:m2b4<72-8in7?i1:T1fa<6sE8ij7?tVe096~"6n10:j<5Y14396~"19l0=7)8>d;48yx{z3th:=94?:683>5}#:kh1=k>4H3ce?l7213:1(?lm:07;?S4el3;p@?li:0yU`7<5s-;m47?:9:T214<5s-<:i784$73g>3=zutw0e<;n:18'6gd=9<20Z?lk:0yO6g`=9r\o>743f3_;>=73=#>8n1:6sr}|9j533=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=;;4V072>7}#>8o1:6*91e85?x{zu2c:;=4?:%0af?7202\9ni4>{M0ab?7|^m81>v*>f98235=Q9<;1>v*91d85?!06l3<0qpsr;h347?6=,;hi6<;7;W0a`?7|D;hm65081!06m3<0(;?k:79~yx{<^;ho62wvqp5`1g394?"5jk0:j<5Y2cf95~J5jo0:w[j=:3y'5c>=9o;0Z<;>:3y'24c=>2.==i49;|~y>{e98?1<7<50;2x 7de2k30D?oi;h36d;48yx{z3th:=;4?:283>5}#:kh1;=5G2`d8m43>290/>ol514:8R7dc28qG>oh51zTg6?4|,8l36<;6;W365?4|,?;n6<>4$73g>46i6n80;6)P5jm0:wAf09U507=:r.==h49;%42`?01683>1<729q/>ol5719K6d`<@;hj7[o6=h0;6)P5jm0:wA5`9U507=:r.==h4>2:&55a<6:2wvqp5f17794?"5jk0:955Y2cf95~J5jo0:w[j=:3y'5c>=9??0Z<;>:3y'24c=9;1/:2wvqp5rb03;>5<3290;w)=9<30Z<;>:3y'24c=9;1/:<^;ho65081!06m3;97)8>d;31?x{zu2e:j<4?:%0af?7a92\9ni4>{M0ab?7|^m81>v*>f982b4=Q9<;1>v*91d85?!06l3<0qpsr;|`25<<72=0;6=u+2c`935=O:hl0e<;6:18'6gd=9<20D?ln;W0a`?7|D;hm656X>5081!06m3;97)8>d;31?x{zu2c:9l4?:%0af?7202\9ni4>{M0ab?7|^m81>v*>f9821d=Q9<;1>v*91d826>"19m0:>6sr}|9j533=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=;;4V072>7}#>8o1=?5+60f957=zutw0c:18'6gd=9o;0Z?lk:0yO6g`=9r\o>74`63_;>=73=#>8n1:6sr}|9~f47f290?6=4?{%0af?173A8jj6g>5883>!4ej3;>46F=b`9U6gb=9rF9nk4>{Wf1>7}#9o21=874V072>7}#>8o1=?5+60f957=zutw0e<;n:18'6gd=9<20Z?lk:0yO6g`=9r\o>743f3_;>=744<,?;o6<<4}|~?l71=3:1(?lm:07;?S4el3;p@?li:0yU`7<5s-;m47?95:T214<5s-<:i7?=;%42`?753twvq6a>f083>!4ej3;m=6X=be82I4en3;pZi<52z&2b=<6n81]=8?52z&55`<13-<:h784}|~?xd69k0;694?:1y'6gd=?91C>lh4i07:>5<#:kh1=864H3`b?S4el3;p@?li:0yU`7<5s-;m47?:9:T214<5s-<:i7?=;%42`?753twvq6g>5`83>!4ej3;>46X=be82I4en3;pZi<52z&2b=<6=h1]=8?52z&55`<6:2.==i4>2:~yx=n9??1<7*=bc821==Q:kn1=vB=bg82Sb52;q/=k651778R4362;q/:5<#:kh1=k?4V3`g>4}K:kl1=vXk2;0x 4`?28l:7[?:1;0x 37b2?1/:599U6gb=9rF9nk4>{Wf1>7}#9o21=874V072>7}#>8o1:6*91e85?x{zu2c:9l4?:%0af?7202\9ni4>{M0ab?7|^m81>v*>f9821d=Q9<;1>v*91d85?!06l3<0qpsr;h351?6=,;hi6<;7;W0a`?7|D;hm65081!06m3<0(;?k:79~yx{<^;ho62wvqp5f16194?"5jk0:955Y2cf95~J5jo0:w[j=:3y'5c>=9>90Z<;>:3y'24c=>2.==i49;|~y>o6?=0;6)P5jm0:wA759U507=:r.==h49;%42`?07>50z&1fg5<#:kh1=k?4V3`g>4}K:kl1=vXk2;0x 4`?28l:7[?:1;0x 37b2?1/:{zut1b=8o50;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:950g<^8?:6?u+60g955=#>8n1==5r}|8k4`6290/>ol51g38R7dc28qG>oh51zTg6?4|,8l36;W365?4|,?;n6;5+60f92>{zut1vn5<7s-8in79?;I0bb>o6=00;6)P5jm0:wA589U507=:r.==h4>2:&55a<6:2wvqp5f14c94?"5jk0:955G2cc8R7dc28qG>oh51zTg6?4|,8l36<;n;W365?4|,?;n6<<4$73g>4450z&1fg<082B9mk5f14;94?"5jk0:955G2cc8R7dc28qG>oh51zTg6?4|,8l36<;6;W365?4|,?;n6n5+60f9g>{zut1b=8o50;&1fg<6=11C>oo4V3`g>4}K:kl1=vXk2;0x 4`?28?j7[?:1;0x 37b2880(;?k:008yx{z3`;=97>5$3`a>43?3_8ih7?tL3`e>4}Ql;09w)?i8;351>P6=809w)8>e;31?!06l3;97psr}:m2b4<72-8in7?i1:T1fa<6sE8ij7?tVe096~"6n10:j<5Y14396~"19l0=7)8>d;48yx{z3th:><4?:583>5}#:kh1;=5G2`d8m43>290/>ol514:8R7dc28qG>oh51zTg6?4|,8l36<;6;W365?4|,?;n6<<4$73g>446*91e826>{zut1b=;;50;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:9533<^8?:6?u+60g957=#>8n1=?5r}|8k4`6290/>ol51g38R7dc28qG>oh51zTg6?4|,8l36;W365?4|,?;n6;5+60f92>{zut1vn<<=:187>5<7s-8in79?;I0bb>o6=00;6)N5jh1]>oj51zN1fc<6s_n96?u+1g:950?<^8?:6?u+60g957=#>8n1=?5r}|8m43f290/>ol514:8L7df3_8ih7?tL3`e>4}Ql;09w)?i8;36e>P6=809w)8>e;31?!06l3;97psr}:k220<72-8in7?:8:J1fd=Q:kn1=vB=bg82Sb52;q/=k651778R4362;q/:5<#:kh1=k?4V3`g>4}K:kl1=vXk2;0x 4`?28l:7[?:1;0x 37b2?1/:5`83>!4ej3;>46F=b`9U6gb=9rF9nk4>{Wf1>7}#9o21=8o4V072>7}#>8o1o6*91e8`?x{zu2c::84?:%0af?7202B9nl5Y2cf95~J5jo0:w[j=:3y'5c>=9??0Z<;>:3y'24c=9;1/:<^;ho6;W0a`?7|D;hm65081!06m3<0(;?k:79~yx{57;294~"5jk0:j=5G2`d8m43>290/>ol514:8R7dc28qG>oh51zTg6?4|,8l36<;6;W365?4|,?;n6;5+60f92>{zut1b=8o50;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:950g<^8?:6?u+60g92>"19m0=7psr}:k220<72-8in7?:8:T1fa<6sE8ij7?tVe096~"6n10::85Y14396~"19l0=7)8>d;48yx{z3`;<<7>5$3`a>43?3_8ih7?tL3`e>4}Ql;09w)?i8;344>P6=809w)8>e;48 37c2?1vqps4i050>5<#:kh1=864V3`g>4}K:kl1=vXk2;0x 4`?28=87[?:1;0x 37b2?1/:>1<7*=bc821==Q:kn1=vB=bg82Sb52;q/=k651668R4362;q/:f083>!4ej3;m=6X=be82I4en3;pZi<52z&2b=<6n81]=8?52z&55`<13-<:h784}|~?xd6:<0;6?4?:1y'6gd=j01C>lh4i07;>5<#:kh1=864;n3e5?6=,;hi6;W0a`?7|D;hm65081!06m3<0(;?k:79~yx{53;294~"5jk0<<6F=ag9j50?=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=874V072>7}#>8o1==5+60f955=zutw0e<;n:18'6gd=9<20Z?lk:0yO6g`=9r\o>743f3_;>=746<,?;o6<>4}|~?j7a93:1(?lm:0d2?S4el3;p@?li:0yU`7<5s-;m47?i1:T214<5s-<:i784$73g>3=zutw0qo?=7;290?6=8r.9no480:J1ec=n9<31<7*=bc821==Q:kn1=vB=bg82Sb52;q/=k6514;8R4362;q/:5`83>!4ej3;>46X=be82I4en3;pZi<52z&2b=<6=h1]=8?52z&55`{M0ab?7|^m81>v*>f98220=Q9<;1>v*91d826>"19m0:>6sr}|9l5c7=83.9no4>f09U6gb=9rF9nk4>{Wf1>7}#9o21=k?4V072>7}#>8o1:6*91e85?x{zu2wi=?650;694?6|,;hi6:>4H3ce?l7213:1(?lm:07;?S4el3;p@?li:0yU`7<5s-;m47?:9:T214<5s-<:i7m4$73g>f=zutw0e<;n:18'6gd=9<20Z?lk:0yO6g`=9r\o>743f3_;>=7f=#>8n1o6sr}|9j533=83.9no4>599K6gg<^;ho6;W0a`?7|D;hm65081!06m3<0(;?k:79~yx{54;294~"5jk0<<6F=ag9j50?=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=874V072>7}#>8o1o6*91e8`?x{zu2c:9l4?:%0af?7202\9ni4>{M0ab?7|^m81>v*>f9821d=Q9<;1>v*91d8`?!06l3i0qpsr;h351?6=,;hi6<;7;I0ae>P5jm0:wA649U507=:r.==h4>2:&55a<6:2wvqp5`1g394?"5jk0:j<5Y2cf95~J5jo0:w[j=:3y'5c>=9o;0Z<;>:3y'24c=>2.==i49;|~y>{e9;k1<7:50;2x 7de2>:0D?oi;h36=?6=,;hi6<;7;W0a`?7|D;hm656X>5081!06m3i0(;?k:b9~yx{<^;ho6oh51zTg6?4|,8l36<8:;W365?4|,?;n6<<4$73g>4450z&1fg<082B9mk5f14;94?"5jk0:955Y2cf95~J5jo0:w[j=:3y'5c>=9<30Z<;>:3y'24c=k2.==i4l;|~y>o6=h0;6)P5jm0:wA5`9U507=:r.==h4l;%42`?e6*91e826>{zut1d=k?50;&1fg<6n81]>oj51zN1fc<6s_n96?u+1g:95c7<^8?:6?u+60g92>"19m0=7psr}:a57e=83=1<7>t$3`a>4`73A8jj6g>5883>!4ej3;>46X=be82I4en3;pZi<52z&2b=<6=01]=8?52z&55`<13-<:h784}|~?l72i3:1(?lm:07;?S4el3;p@?li:0yU`7<5s-;m47?:a:T214<5s-<:i784$73g>3=zutw0e<8::18'6gd=9<20Z?lk:0yO6g`=9r\o>74023_;>=73=#>8n1:6sr}|9j526=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=:>4V072>7}#>8o1:6*91e85?x{zu2c:;>4?:%0af?7202\9ni4>{M0ab?7|^m81>v*>f98236=Q9<;1>v*91d85?!06l3<0qpsr;h340?6=,;hi6<;7;W0a`?7|D;hm65081!06m3<0(;?k:79~yx{2wvqp5rb00g>5<5290;w)oj51zN1fc<6s_n96?u+1g:95c7<^8?:6?u+60g92>"19m0=7psr}:a57c=8391<7>t$3`a>26<@;km7d?:9;29 7de28?37[o6=h0;6)P5jm0:wA5`9U507=:r.==h4>0:&55a<682wvqp5`1g394?"5jk0:j<5Y2cf95~J5jo0:w[j=:3y'5c>=9o;0Z<;>:3y'24c=>2.==i49;|~y>{e9;l1<7;50;2x 7de2>:0D?oi;h36=?6=,;hi6<;7;W0a`?7|D;hm656X>5081!06m3i0(;?k:b9~yx{<@;hj7[o6><0;6)N5jh1]>oj51zN1fc<6s_n96?u+1g:9533<^8?:6?u+60g957=#>8n1=?5r}|8m417290/>ol514:8R7dc28qG>oh51zTg6?4|,8l36<9?;W365?4|,?;n6<<4$73g>4450z&1fg<082B9mk5f14;94?"5jk0:955G2cc8R7dc28qG>oh51zTg6?4|,8l36<;6;W365?4|,?;n6n5+60f9g>{zut1b=8o50;&1fg<6=11C>oo4V3`g>4}K:kl1=vXk2;0x 4`?28?j7[?:1;0x 37b2j1/:74023_;>=7f=#>8n1o6sr}|9j526=83.9no4>599K6gg<^;ho65081!06m3;97)8>d;31?x{zu2e:j<4?:%0af?7a92\9ni4>{M0ab?7|^m81>v*>f982b4=Q9<;1>v*91d85?!06l3<0qpsr;|`274<72?0;6=u+2c`935=O:hl0e<;6:18'6gd=9<20D?ln;W0a`?7|D;hm656X>5081!06m3i0(;?k:b9~yx{<@;hj7[ol514:8L7df3_8ih7?tL3`e>4}Ql;09w)?i8;351>P6=809w)8>e;a8 37c2j1vqps4i053>5<#:kh1=864H3`b?S4el3;p@?li:0yU`7<5s-;m47?80:T214<5s-<:i7?=;%42`?753twvq6g>7283>!4ej3;>46X=be82I4en3;pZi<52z&2b=<6?:1]=8?52z&55`<6:2.==i4>2:~yx=h9o;1<7*=bc82b4=Q:kn1=vB=bg82Sb52;q/=k651g38R4362;q/:57>5$3`a>43?3A8im6X=be82I4en3;pZi<52z&2b=<6=01]=8?52z&55`{M0ab?7|^m81>v*>f9821d=Q9<;1>v*91d8`?!06l3i0qpsr;h351?6=,;hi6<;7;I0ae>P5jm0:wA649U507=:r.==h4l;%42`?e6*91e826>{zut1b=:=50;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:9525<^8?:6?u+60g957=#>8n1=?5r}|8k4`6290/>ol51g38R7dc28qG>oh51zTg6?4|,8l36;W365?4|,?;n6;5+60f92>{zut1vn<=<:185>5<7s-8in79?;I0bb>o6=00;6)N5jh1]>oj51zN1fc<6s_n96?u+1g:950?<^8?:6?u+60g9g>"19m0h7psr}:k21d<72-8in7?:8:J1fd=Q:kn1=vB=bg82Sb52;q/=k6514c8R4362;q/:6483>!4ej3;>46X=be82I4en3;pZi<52z&2b=<6><1]=8?52z&55`{M0ab?7|^m81>v*>f98235=Q9<;1>v*91d826>"19m0:>6sr}|9j525=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=:=4V072>7}#>8o1=?5+60f957=zutw0c:18'6gd=9o;0Z?lk:0yO6g`=9r\o>74`63_;>=73=#>8n1:6sr}|9~f453290<6=4?{%0af?7a82B9mk5f14;94?"5jk0:955Y2cf95~J5jo0:w[j=:3y'5c>=9<30Z<;>:3y'24c=>2.==i49;|~y>o6=h0;6)P5jm0:wA5`9U507=:r.==h49;%42`?0ol514:8R7dc28qG>oh51zTg6?4|,8l36<9?;W365?4|,?;n6;5+60f92>{zut1b=:=50;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:9525<^8?:6?u+60g92>"19m0=7psr}:k231<72-8in7?:8:T1fa<6sE8ij7?tVe096~"6n10:;95Y14396~"19l0=7)8>d;48yx{z3f;m=7>5$3`a>4`63_8ih7?tL3`e>4}Ql;09w)?i8;3e5>P6=809w)8>e;48 37c2?1vqps4}c301?6=:3:1N5io1b=8650;&1fg<6=110c:18'6gd=9o;0Z?lk:0yO6g`=9r\o>74`63_;>=73=#>8n1:6sr}|9~f451290?6=4?{%0af?173A8jj6g>5883>!4ej3;>46F=b`9U6gb=9rF9nk4>{Wf1>7}#9o21=874V072>7}#>8o1o6*91e8`?x{zu2c:9l4?:%0af?7202B9nl5Y2cf95~J5jo0:w[j=:3y'5c>=9:3y'24c=k2.==i4l;|~y>o6><0;6)P5jm0:wA649U507=:r.==h4>2:&55a<6:2wvqp5`1g394?"5jk0:j<5Y2cf95~J5jo0:w[j=:3y'5c>=9o;0Z<;>:3y'24c=>2.==i49;|~y>{e9:=1<7<50;2x 7de2k30D?oi;h36d;48yx{z3th:?54?:883>5}#:kh1;=5G2`d8m43>290/>ol514:8R7dc28qG>oh51zTg6?4|,8l36<;6;W365?4|,?;n6n5+60f9g>{zut1b=8o50;&1fg<6=11C>oo4V3`g>4}K:kl1=vXk2;0x 4`?28?j7[?:1;0x 37b2j1/:74023_;>=744<,?;o6<<4}|~?l7083:1(?lm:07;?S4el3;p@?li:0yU`7<5s-;m47?80:T214<5s-<:i7?=;%42`?753twvq6g>7283>!4ej3;>46F=b`9U6gb=9rF9nk4>{Wf1>7}#9o21=:=4V072>7}#>8o1=?5+60f957=zutw0e<9;:18'6gd=9<20D?ln;W0a`?7|D;hm65081!06m3;97)8>d;31?x{zu2c:;84?:%0af?7202\9ni4>{M0ab?7|^m81>v*>f98230=Q9<;1>v*91d826>"19m0:>6sr}|9j520=83.9no4>599K6gg<^;ho6;W0a`?7|D;hm65081!06m3<0(;?k:79~yx{51083>5}#:kh1;=5G2`d8m43>290/>ol514:8R7dc28qG>oh51zTg6?4|,8l36<;6;W365?4|,?;n6n5+60f9g>{zut1b=8o50;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:950g<^8?:6?u+60g9g>"19m0h7psr}:k220<72-8in7?:8:T1fa<6sE8ij7?tVe096~"6n10::85Y14396~"19l0h7)8>d;a8yx{z3`;<<7>5$3`a>43?3_8ih7?tL3`e>4}Ql;09w)?i8;344>P6=809w)8>e;a8 37c2j1vqps4i050>5<#:kh1=864V3`g>4}K:kl1=vXk2;0x 4`?28=87[?:1;0x 37b2j1/:>1<7*=bc821==Q:kn1=vB=bg82Sb52;q/=k651668R4362;q/:7483>!4ej3;>46X=be82I4en3;pZi<52z&2b=<6?<1]=8?52z&55`3:1(?lm:07;?M4ei2\9ni4>{M0ab?7|^m81>v*>f98233=Q9<;1>v*91d8`?!06l3i0qpsr;h343?6=,;hi6<;7;I0ae>P5jm0:wA769U507=:r.==h4l;%42`?e6*91e826>{zut1b=8l50;&1fg<6=11C>oo4V3`g>4}K:kl1=vXk2;0x 4`?28?i7[?:1;0x 37b2880(;?k:008yx{z3`;>o7>5$3`a>43?3A8im6X=be82I4en3;pZi<52z&2b=<6=j1]=8?52z&55`<6:2.==i4>2:~yx=n95<#:kh1=864V3`g>4}K:kl1=vXk2;0x 4`?28?n7[?:1;0x 37b2?1/:6183>!4ej3;>46X=be82I4en3;pZi<52z&2b=<6>91]=8?52z&55`<13-<:h784}|~?j7a93:1(?lm:0d2?S4el3;p@?li:0yU`7<5s-;m47?i1:T214<5s-<:i784$73g>3=zutw0qo?ol5719K6d`<^;ho6=9:3y'24c=k2.==i4l;|~y>o6><0;6)P5jm0:wA649U507=:r.==h4l;%42`?eol514:8R7dc28qG>oh51zTg6?4|,8l36<9<;W365?4|,?;n6n5+60f9g>{zut1b=::50;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:9522<^8?:6?u+60g9g>"19m0h7psr}:k230<72-8in7?:8:T1fa<6sE8ij7?tVe096~"6n10:;85Y14396~"19l0h7)8>d;a8yx{z3`;<:7>5$3`a>43?3A8im6X=be82I4en3;pZi<52z&2b=<6??1]=8?52z&55`{M0ab?7|^m81>v*>f98232=Q9<;1>v*91d826>"19m0:>6sr}|9j52>=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=:64V072>7}#>8o1=?5+60f957=zutw0e<;m:18'6gd=9<20D?ln;W0a`?7|D;hm6n6X>5081!06m3;97)8>d;31?x{zu2c:9n4?:%0af?7202B9nl5Y2cf95~J5jo0:w[j=:3y'5c>=9:3y'24c=9;1/:<^;ho6i6X>5081!06m3<0(;?k:79~yx{<^;ho62wvqp5f17294?"5jk0:955Y2cf95~J5jo0:w[j=:3y'5c>=9?:0Z<;>:3y'24c=>2.==i49;|~y>i6n80;6)P5jm0:wAf09U507=:r.==h49;%42`?03c83>47=83:p(?lm:628L7ga3`;>57>5$3`a>43?3_8ih7?tL3`e>4}Ql;09w)?i8;36=>P6=809w)8>e;a8 37c2j1vqps4i07b>5<#:kh1=864V3`g>4}K:kl1=vXk2;0x 4`?28?j7[?:1;0x 37b2j1/:7183>!4ej3;>46X=be82I4en3;pZi<52z&2b=<6?91]=8?52z&55`f=zutw0e<9;:18'6gd=9<20Z?lk:0yO6g`=9r\o>74133_;>=7f=#>8n1o6sr}|9j523=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=:;4V072>7}#>8o1o6*91e8`?x{zu2c:;;4?:%0af?7202B9nl5Y2cf95~J5jo0:w[j=:3y'5c>=9><0Z<;>:3y'24c=k2.==i4l;|~y>o6?>0;6)N5jh1]>oj51zN1fc<6s_n96?u+1g:9521<^8?:6?u+60g9g>"19m0h7psr}:k23=<72-8in7?:8:J1fd=Q:kn1=vB=bg82Sb52;q/=k6516:8R4362;q/:5<#:kh1=864H3`b?S4el3;p@?li:0yU`7<5s-;m47?:b:T214<5s-<:i7?=;%42`?753twvq6g>5b83>!4ej3;>46F=b`9U6gb=9rF9nk4>{Wf1>7}#9o21=8m4V072>7}#>8o1=?5+60f957=zutw0e<;k:18'6gd=9<20Z?lk:0yO6g`=9r\o>743c3_;>=744<,?;o6<<4}|~?l72m3:1(?lm:07;?S4el3;p@?li:0yU`7<5s-;m47?:e:T214<5s-<:i784$73g>3=zutw0e<;i:18'6gd=9<20Z?lk:0yO6g`=9r\o>743a3_;>=73=#>8n1:6sr}|9j536=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=;>4V072>7}#>8o1:6*91e85?x{zu2e:j<4?:%0af?7a92\9ni4>{M0ab?7|^m81>v*>f982b4=Q9<;1>v*91d85?!06l3<0qpsr;|`27f<728;1<7>t$3`a>26<@;km7d?:9;29 7de28?37[ol514:8R7dc28qG>oh51zTg6?4|,8l36<;n;W365?4|,?;n6n5+60f9g>{zut1b=;;50;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:9533<^8?:6?u+60g9g>"19m0h7psr}:k235<72-8in7?:8:T1fa<6sE8ij7?tVe096~"6n10:;=5Y14396~"19l0h7)8>d;a8yx{z3`;5$3`a>43?3_8ih7?tL3`e>4}Ql;09w)?i8;347>P6=809w)8>e;a8 37c2j1vqps4i057>5<#:kh1=864V3`g>4}K:kl1=vXk2;0x 4`?28=?7[?:1;0x 37b2j1/:?1<7*=bc821==Q:kn1=vB=bg82Sb52;q/=k651678R4362;q/:7783>!4ej3;>46F=b`9U6gb=9rF9nk4>{Wf1>7}#9o21=:84V072>7}#>8o1o6*91e8`?x{zu2c:;:4?:%0af?7202B9nl5Y2cf95~J5jo0:w[j=:3y'5c>=9>=0Z<;>:3y'24c=9;1/:<^;ho6P5jm0:wA5c9U507=:r.==h4>2:&55a<6:2wvqp5f14a94?"5jk0:955G2cc8R7dc28qG>oh51zTg6?4|,8l36<;l;W365?4|,?;n6<<4$73g>44o6=l0;6)P5jm0:wA5d9U507=:r.==h49;%42`?0ol514:8R7dc28qG>oh51zTg6?4|,8l36<8?;W365?4|,?;n6;5+60f92>{zut1d=k?50;&1fg<6n81]>oj51zN1fc<6s_n96?u+1g:95c7<^8?:6?u+60g92>"19m0=7psr}:a56b=83<1<7>t$3`a>4`73A8jj6g>5883>!4ej3;>46X=be82I4en3;pZi<52z&2b=<6=01]=8?52z&55`<13-<:h784}|~?l72i3:1(?lm:07;?S4el3;p@?li:0yU`7<5s-;m47?:a:T214<5s-<:i784$73g>3=zutw0e<8::18'6gd=9<20Z?lk:0yO6g`=9r\o>74023_;>=73=#>8n1:6sr}|9j526=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=:>4V072>7}#>8o1:6*91e85?x{zu2c:;>4?:%0af?7202\9ni4>{M0ab?7|^m81>v*>f98236=Q9<;1>v*91d85?!06l3<0qpsr;n3e5?6=,;hi6;W0a`?7|D;hm65081!06m3<0(;?k:79~yx{52;294~"5jk0i56F=ag9j50>=83.9no4>5998k4`6290/>ol51g38R7dc28qG>oh51zTg6?4|,8l36;W365?4|,?;n6;5+60f92>{zut1vn<=i:180>5<7s-8in79?;I0bb>o6=00;6)N5jh1]>oj51zN1fc<6s_n96?u+1g:950?<^8?:6?u+60g957=#>8n1=?5r}|8m43f290/>ol514:8R7dc28qG>oh51zTg6?4|,8l36<;n;W365?4|,?;n6<<4$73g>447>50z&1fg5<#:kh1=k?4V3`g>4}K:kl1=vXk2;0x 4`?28l:7[?:1;0x 37b2?1/::6=4<:183!4ej3=;7E{zut1b=8o50;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:950g<^8?:6?u+60g955=#>8n1==5r}|8k4`6290/>ol51g38R7dc28qG>oh51zTg6?4|,8l36;W365?4|,?;n6;5+60f92>{zut1vn<:=:187>5<7s-8in79?;I0bb>o6=00;6)N5jh1]>oj51zN1fc<6s_n96?u+1g:950?<^8?:6?u+60g957=#>8n1=?5r}|8m43f290/>ol514:8L7df3_8ih7?tL3`e>4}Ql;09w)?i8;36e>P6=809w)8>e;31?!06l3;97psr}:k220<72-8in7?:8:J1fd=Q:kn1=vB=bg82Sb52;q/=k651778R4362;q/:5<#:kh1=k?4V3`g>4}K:kl1=vXk2;0x 4`?28l:7[?:1;0x 37b2?1/:86=4::183!4ej3=;7Ed;a8yx{z3`;>m7>5$3`a>43?3A8im6X=be82I4en3;pZi<52z&2b=<6=h1]=8?52z&55`<6:2.==i4>2:~yx=n9??1<7*=bc821==O:kk0Z?lk:0yO6g`=9r\o>74023_;>=744<,?;o6<<4}|~?l7083:1(?lm:07;?S4el3;p@?li:0yU`7<5s-;m47?80:T214<5s-<:i7?=;%42`?753twvq6a>f083>!4ej3;m=6X=be82I4en3;pZi<52z&2b=<6n81]=8?52z&55`<13-<:h784}|~?xd6<=0;6;4?:1y'6gd=?91C>lh4i07:>5<#:kh1=864H3`b?S4el3;p@?li:0yU`7<5s-;m47?:9:T214<5s-<:i7m4$73g>f=zutw0e<;n:18'6gd=9<20D?ln;W0a`?7|D;hm6m6X>5081!06m3i0(;?k:b9~yx{6=4+2c`950><@;hj7[ol514:8L7df3_8ih7?tL3`e>4}Ql;09w)?i8;344>P6=809w)8>e;31?!06l3;97psr}:k236<72-8in7?:8:T1fa<6sE8ij7?tVe096~"6n10:;>5Y14396~"19l0:>6*91e826>{zut1d=k?50;&1fg<6n81]>oj51zN1fc<6s_n96?u+1g:95c7<^8?:6?u+60g92>"19m0=7psr}:a513=83<1<7>t$3`a>26<@;km7d?:9;29 7de28?37Ed;a8yx{z3`;>m7>5$3`a>43?3A8im6X=be82I4en3;pZi<52z&2b=<6=h1]=8?52z&55`{M0ab?7|^m81>v*>f98220=Q9<;1>v*91d8`?!06l3i0qpsr;h344?6=,;hi6<;7;I0ae>P5jm0:wA719U507=:r.==h4>2:&55a<6:2wvqp5f16194?"5jk0:955Y2cf95~J5jo0:w[j=:3y'5c>=9>90Z<;>:3y'24c=9;1/:2wvqp5rb065>5<1290;w)=9<30Z<;>:3y'24c=k2.==i4l;|~y>o6=h0;6)N5jh1]>oj51zN1fc<6s_n96?u+1g:950g<^8?:6?u+60g9g>"19m0h7psr}:k220<72-8in7?:8:T1fa<6sE8ij7?tVe096~"6n10::85Y14396~"19l0h7)8>d;a8yx{z3`;<<7>5$3`a>43?3A8im6X=be82I4en3;pZi<52z&2b=<6?91]=8?52z&55`<6:2.==i4>2:~yx=n9>91<7*=bc821==Q:kn1=vB=bg82Sb52;q/=k651618R4362;q/:5<#:kh1=k?4V3`g>4}K:kl1=vXk2;0x 4`?28l:7[?:1;0x 37b2?1/:<6=48:183!4ej3;m<6F=ag9j50?=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=874V072>7}#>8o1:6*91e85?x{zu2c:9l4?:%0af?7202\9ni4>{M0ab?7|^m81>v*>f9821d=Q9<;1>v*91d85?!06l3<0qpsr;h351?6=,;hi6<;7;W0a`?7|D;hm65081!06m3<0(;?k:79~yx{<^;ho62wvqp5f16194?"5jk0:955Y2cf95~J5jo0:w[j=:3y'5c>=9>90Z<;>:3y'24c=>2.==i49;|~y>o6?=0;6)P5jm0:wA759U507=:r.==h49;%42`?07>50z&1fg5<#:kh1=k?4V3`g>4}K:kl1=vXk2;0x 4`?28l:7[?:1;0x 37b2?1/:26=4<:183!4ej3=;7E{zut1b=8o50;&1fg<6=11]>oj51zN1fc<6s_n96?u+1g:950g<^8?:6?u+60g955=#>8n1==5r}|8k4`6290/>ol51g38R7dc28qG>oh51zTg6?4|,8l36;W365?4|,?;n6;5+60f92>{zut1vn<:n:187>5<7s-8in79?;I0bb>o6=00;6)N5jh1]>oj51zN1fc<6s_n96?u+1g:950?<^8?:6?u+60g957=#>8n1=?5r}|8m43f290/>ol514:8L7df3_8ih7?tL3`e>4}Ql;09w)?i8;36e>P6=809w)8>e;31?!06l3;97psr}:k220<72-8in7?:8:J1fd=Q:kn1=vB=bg82Sb52;q/=k651778R4362;q/:5<#:kh1=k?4V3`g>4}K:kl1=vXk2;0x 4`?28l:7[?:1;0x 37b2?1/:i6=4::183!4ej3=;7Ed;a8yx{z3`;>m7>5$3`a>43?3A8im6X=be82I4en3;pZi<52z&2b=<6=h1]=8?52z&55`<6:2.==i4>2:~yx=n9??1<7*=bc821==O:kk0Z?lk:0yO6g`=9r\o>74023_;>=744<,?;o6<<4}|~?l7083:1(?lm:07;?S4el3;p@?li:0yU`7<5s-;m47?80:T214<5s-<:i7?=;%42`?753twvq6a>f083>!4ej3;m=6X=be82I4en3;pZi<52z&2b=<6n81]=8?52z&55`<13-<:h784}|~?xd6lh4i07:>5<#:kh1=864H3`b?S4el3;p@?li:0yU`7<5s-;m47?:9:T214<5s-<:i7m4$73g>f=zutw0e<;n:18'6gd=9<20D?ln;W0a`?7|D;hm6m6X>5081!06m3i0(;?k:b9~yx{6=4+2c`950><@;hj7[ol514:8L7df3_8ih7?tL3`e>4}Ql;09w)?i8;344>P6=809w)8>e;31?!06l3;97psr}:k236<72-8in7?:8:T1fa<6sE8ij7?tVe096~"6n10:;>5Y14396~"19l0:>6*91e826>{zut1d=k?50;&1fg<6n81]>oj51zN1fc<6s_n96?u+1g:95c7<^8?:6?u+60g92>"19m0=7psr}:a51b=83<1<7>t$3`a>26<@;km7d?:9;29 7de28?37Ed;a8yx{z3`;>m7>5$3`a>43?3A8im6X=be82I4en3;pZi<52z&2b=<6=h1]=8?52z&55`{M0ab?7|^m81>v*>f98220=Q9<;1>v*91d8`?!06l3i0qpsr;h344?6=,;hi6<;7;I0ae>P5jm0:wA719U507=:r.==h4>2:&55a<6:2wvqp5f16194?"5jk0:955Y2cf95~J5jo0:w[j=:3y'5c>=9>90Z<;>:3y'24c=9;1/:2wvqp5rb06f>5<1290;w)=9<30Z<;>:3y'24c=k2.==i4l;|~y>o6=h0;6)N5jh1]>oj51zN1fc<6s_n96?u+1g:950g<^8?:6?u+60g9g>"19m0h7psr}:k220<72-8in7?:8:T1fa<6sE8ij7?tVe096~"6n10::85Y14396~"19l0h7)8>d;a8yx{z3`;<<7>5$3`a>43?3A8im6X=be82I4en3;pZi<52z&2b=<6?91]=8?52z&55`<6:2.==i4>2:~yx=n9>91<7*=bc821==Q:kn1=vB=bg82Sb52;q/=k651618R4362;q/:5<#:kh1=k?4V3`g>4}K:kl1=vXk2;0x 4`?28l:7[?:1;0x 37b2?1/:m6=48:183!4ej3;m<6F=ag9j50?=83.9no4>599U6gb=9rF9nk4>{Wf1>7}#9o21=874V072>7}#>8o1:6*91e85?x{zu2c:9l4?:%0af?7202\9ni4>{M0ab?7|^m81>v*>f9821d=Q9<;1>v*91d85?!06l3<0qpsr;h351?6=,;hi6<;7;W0a`?7|D;hm65081!06m3<0(;?k:79~yx{<^;ho62wvqp5f16194?"5jk0:955Y2cf95~J5jo0:w[j=:3y'5c>=9>90Z<;>:3y'24c=>2.==i49;|~y>o6?=0;6)P5jm0:wA759U507=:r.==h49;%42`?0a2908w07?:0d1?[>a34km6<;7;|qbb?6=?:q6mk4>f09>747=9<301>?=:07:?82dj3;>563;cb821<=::ok1=874=2ga>43>349no7?:9:?7e=<6=0168l7514;891gf28?270:nb;36=>;3im0:94521`g950?<58km6<;6;<3a5?72127:n?4>589>5g5=9<3018<;:07:?835=3;>563:27821<=:>9n1=874=4`;>43>34?i57?:9:?6fd<6=0169ol514;890dd28?270;<8;36=>;2;00:945252c950?<5?9<6<;6;<40589>26d=9<301;7k:07:?80f83;>563=d5821<=::m?1=874=3f5>43>348o;7?:9:?50<<6=016:58514;893>028?270860;36=>;11=0:94523c0950?<5:h86<;6;<6a`?72127?nh4>589>0g`=9<3019m?:07:?82d93;>56343>34;mj7?:9:?56`<6=016:86514;8933>28?270<:f;36=>;5>90:9452273950?<5;589>62?=9<301?6;:07:?83ck3;>563:de821<=:=ml1=874=4g3>43>34??j7?:9:?615<6=016>>h514;8972728?270<;1;36=>;5<;0:9452251950?<5<;96<;6;<727?72127>=94>589>143=9<3018?9:07:?831k3;>563:a1821<=:;>=1=874=25;>43>349<57?:9:?g=?72127oj7?:9:?fe?72127nj7?:9:?e4?72127:<>4>589>551=9<301<>7:07:?87713;>563>0`821<=:99h1=874=02e>43>34;:<7?:9:?254<6=016=<<514;8947428?270?=3;36=>;6;90:9452123950?<58996<;6;<307?72127:894>589>513=9<301<:9:07:?873k3;>563>4e821<=:9=o1=874}r:`>5<4s42o6589>6cg=9km:07b?85bk3;>m63;a9821d=:43f34>jn7?:a:?7ea<6=h16=lk514c894ga28?j70?m1;36e>;6j;0:9l521c1950g<5<8?6<;n;<711?72i27=5`9>1g>=9m63:bc821d=:=:21=8o4=41:>43f34?8m7?:a:?572<6=h16:>6514c8935f28?j7086e;36=>;1i80:94522e6950g<5;n>6<;n;<0g2?72i279h:4>5`9>2=0=993;>563995821d=:;k>1=874=2`6>43>34>ih7?:a:?7f`<6=h168oh514c891e728?j70:l1;36e>;4k80:94523b0950g<58hm6<;6;<3`4?721279<>4>589>27`=9<301;;7:07b?80213;>m63=5g821d=::?:1=8o4=342>43f348=n7?:a:?12f<6=h16>;j514c8971228?270<86;36=>;5?>0:945226:950?<5;=26<;n;<7ga?72127>hk4>5`9>1`6=9:07:?832:3;>563=3g821d=::=:1=8o4=362>43f348?>7?:a:?657<6=h169<=514c8907328?j70;>5;36e>;29?0:9l5257f950?<5<ml4>589>1dd=9<3018ol:07:?83fl3;>563:ad821<=:=jo1=874=4ae>43>34?o<7?:9:?6`4<6=0169i<514;8961028?j70=88;36e>;4?00:9l52d`821<=:m;0:9452e2821<=:mh0:9l52eg821d=:n90:9l52f2821<=:n00:9452111950g<58:<6<;n;<335`9>55g=9m:07b?877n3;>m63>11821d=:98;1=8o4=031>43f34;:?7?:a:?266<6=h16=?9514;8944?28?270?=9;36=>;6:h0:945213`950?<589;6<;n;<305?72i27:??4>5`9>565=9m63>44821d=:9=<1=8o4=06`>43f34;?h7?:a:?20`<6=h1v5o50;1x9=d=9o80R5o4=`f950>649>744=9??019mk:07b?84ai3;=96340234>j57?95:?7ed<6><168ll5177894gb28<>70?nf;351>;6j80::8521c09533<58h86<8:;<712?72i27=649>1ge=9m63=d58220=::m?1=;;4=3f5>402348o;7?95:?7fa<6><168ok5177891da28<>70:l0;351>;3k80::8523b09533<5:i86<;6;<1`0?7212799k4>649>636=9??01?8>:046?841j3;=963=6b8220=::?n1=;;4=356>43f348<57?95:?1<1<6=h16>4<514;8972428?j70;>2;351>;29:0::8525069533<5<;>6<8:;<722?71=27>:i4>5`9>1dg=931=;;4=d0950g<58:86<8:;<333?71=27:<54>649>55?=9??01<>n:046?877j3;=963>0g8220=:98:1=;;4=032>40234;:>7?95:?256<6><16=?9514c8945728<>70?<9;36=>;6;h0:945212`950?<589h6<;6;<370?71=27:8n4>649~w=>=839p15751g08Z=><5hi1=864}rc`>5<3kr7jo7?i1:?054<6?916?<<5162891eb28?j70;4mk0:;=523da9526<5=k36<9?;<6b=?70827?ml4>719>0dd=9>:01b08235=:9k81=:>4=0`0>41734?9:7?95:?54a<6?9169om51778972f28?2708;1;k0::8524d1950?<5;n?6<9?;<0g1?708279h;4>719>6a1=9>:019lk:053?82em3;<<63;bg8235=:4=5a2>417349h>7?80:?0g6<6=h16?n:514c8976428?j70<:f;344>;5>90:;=522739526<5;719>620=94=430>41734?:87?80:?650<6?9169<851628900b28?j70;nc;36e>;2im0:9l523659526<5:=36<9?;<14=?70827n?7?:a:?246<6?916==951628946?28=;70??9;344>;68h0:;=5211`9526<58:m6<9?;<324?70827:=<4>719>544=9>:0138821d=:9:k1=8o4=01a>43f34;8o7?:a:?200<6><16=9j51778yv>32908w06::0d1?[>334kj6<;7;|qbe?6=;lq6ml4>f09>747=9>901>?=:050?84ai3;41434>jn7?83:?2e`<6?:16=lh5161894d628=870?m2;347>;6j:0:;>525369533<5?:o6<9<;<7a589>0`2=9<301?j;:050?84c=3;41434>ii7?83:?7fc<6?:168n>5161891e628=870=l2;347>;4k:0::8523b69533<5;?m6<9<;<054?70;279:<4>729>63d=9>901?8l:050?841l3;41434?:?7?83:?651<6?:169<;51618907128=870=87;347>;4?10:;>5236;9525<58:86<9<;<333?70;27:<54>729>55?=9>901<>n:050?877j3;0g8236=:98:1=:=4=032>41434;:>7?83:?256<6?:16=?6514c8945>28<>70?;6;k0::85212a95334`53W2970o6:07;?xuf13:1?iu2a882b4=:;8;1=::4=231>413348mm7?84:?7e=<6?=168l75166891gf28=?70:nb;340>;6il0:;9521`d9522<58h:6<9;;<3a6?70<27:n>4>759>25b=9>>018l6:046?83403;=963=d58231=::m?1=::4=3f5>413348o;7?84:?7fa<6?=168ok5166891da28=?70:l0;340>;3k80:;9523b09522<5:i86<9?;<1`0?7082799k4>759>636=9>>01?8>:057?841j3;<863=6b8231=::?n1=::4=35:>4133482?7?:9:?105<6><169<<51668907428=?70;>4;340>;29<0:;9525049522<5:=<6<9;;<14759>555=9>>01<>8:057?87703;<863>088231=:99k1=::4=02a>41334;;j7?84:?255<6?=16=3;340>;6:00:9l5212;9526<589j6<9?;<30f?70827:?n4>719~w=6=839p15?51g08Z=6<5h21=864}rc;>5<4lr7j47?i1:?054<6?<16?<<5167897`f28=>70:n8;341>;3i00:;8524`c9523<5=ki6<9:;<3ba?70=27:mk4>749>5g7=9>?01402348o87?85:?1`0<6?<16>i85167897b028=>70:md;341>;3jl0:;8524cd9523<5=i;6<9:;<6`5?70=278o?4>749>7f5=9>901>m;:050?844?3;>563=5g8230=::?:1=:;4=342>412348=n7?85:?12f<6?<16>;j51678971>28=>70<;1;351>;29;0:;8525019523<5<;?6<9:;<721?70=27>=;4>749>1fc=998:056?85003;<963<788230=:9991=:;4=024>41234;;47?85:?24<<6?<16==o51678946e28=>70??f;341>;6990:;8521039523<58;96<9:;<327?70=27:?44>729>56g=9>901<=m:050?874k3;6}:?o0:j?5Q7d9>e2<6=11vl950;1g8g028l:70=>1;342>;49;0:;;522gc9520<5=k36<99;<6b=?70>27?ml4>779>0dd=9><01b08233=:9k81=:84=0`0>41134<;h7?86:?67d<6><16>i:5164897b228==70;5l>0:;;524cf9520<5=hn6<99;<6ab?70>27?o=4>779>0f7=9><01>m=:055?85d;3;<863411348=<7?86:?124<6??16>;l51648970d28==70<9d;342>;5?00:;;522509533<5<;96<99;<727?70>27>=94>779>143=9><018?9:055?83dn3;>m63<768233=:;>21=:84=25:>41134;;?7?86:?242<6??16==651648946>28==70??a;342>;68k0:;;5211d9520<58;;6<99;<325?70>27:=?4>779>545=9><01<==:046?87413;<863>3`8231=:9:h1=::4=01`>4133ty53z?4`?7a:2T{ti?0;6769>5g4=9>=0113;>563:138232=:=891=:94=437>41034?:97?87:?653<6?>16?:951658961?28=<70=89;343>;68:0:;:521159521<58:36<98;<33=?70?27:769>55d=9>=01<>i:054?87683;<;63>108232=:9881=:94=030>41034;9m7?:a:p3=<72:q6;44>f39]3==:i=0:955rs`694?4ds4k?6;<125?700278=?4>799>0d>=9>=019o6:054?82fl3;=963>ad8232=:9k;1=:64=0`1>41?34;i?7?88:?660<6><169ol5177897b328=<70;3jm0:;:524cg9521<5:i86<9:;<06b?70?279:=4>769>63d=9>=01?8l:054?84?83;>563:13823==:=891=:64=437>41?34?:97?88:?653<6?1169i>514c8961028=370=88;34<>;4?00:;552111952><58:<6<97;<33799>55g=9>201<>m:05;?877n3;<463>11823==:98;1=:64=031>41?34;:?7?88:?27<<6?<16=>o51678yv112908w098:0d1?[1134k86<;7;|qb7?6=9kq6m>4>f09>747=9?=:07a?87e93;>n63>b3821g=:9k91=8l4=36g>43>34?:>7?:b:?656<6=k169<:514`8907228?i70;>6;36f>;4?>0:9o5236:950d<5:=26<;m;<337?72j27:<:4>5c9>55>=96:07a?877i3;>n63>0c821g=:99l1=8l4=033>43e34;:=7?:b:?257<6=k16=<=514`8944e28?j7p}l6;291~;d?3;m>6Pl6:?`g=<6n816?ko514;896`f28?j7p}l9;296~;d13;m=639028`g>{tkh0;64u2c`82b4=:91h1on5218:9gf=:<881on524349gf=:<8n1on524239gf=:<:21on5249;9gf=z{kh1<7:t=ca95c43ih70:9c;a`?820<3ih70?75;a`?823n3ih70::7;a`?824m3ih7087:ba8916?2ji019:6:ba891>42ji0q~lj:1868db28l:70;?9;a`?83?m3ih70;i5;a`?831=3ih7p}8a;297~;0j3;m>6P8a:?b1?7202wxm84?:3ax9d3=9o;01>?>:07`?856:3;>o63;a`8232=:41734;jj7?87:?2f4<6=j16=o<514a894d428?h70;=5;344>;2jk0:;=522e49521<5;n<6<98;<6ab?70?27?o=4>769>0f7=9>=01>m;:056?84193;<;63=6e8232=::>31=:94=3:a>43>34?:>7?:c:?656<6=j169<:514a8907228?h70;>6;36g>;2l80:9l52365950e<5:=36<;l;<14=?72k27:<>4>5b9>551=97:07`?87713;>o63>0`821f=:99h1=8m4=02e>43d34;:<7?:c:?254<6=j16=<<514a8947428?h70?;6;j0:;85rs6694?5|5>?1=k<4^6689d4=9<20q~o=:182f~;f:3;m=63<10821a=:;881=8j4=0`2>43c34;i>7?:d:?2f6<6=m16=oh514c8970?28?270;>2;36`>;29:0:9i52506950b<5<;>6<;k;<722?72l278;:4>5e9>72>=996:07g?877;3;>h63>06821a=:9921=8j4=02:>43c34;;m7?:d:?24g<6=m16==h514f8947728?o70?>1;36`>;69;0:9i52101950b4`53W=970o>:07;?xuf93:1=ou2a082b4=:;8;1=8k4=231>43b34;i=7?:e:?2f7<6=l16=o=514g8973228?270;>2;36a>;29:0:9h52506950c<5<;>6<;j;<722?72m27>h?4>5`9>721=997:07f?85013;>i63>02821`=:99=1=8k4=02;>43b34;;57?:e:?24d<6=l16==l514g8946a28?n70?>0;36a>;6980:9h52100950c<58;86<;j;|q217<72=q6=8=51g08Z43534;>87?:8:?210<6=11v<;;:1873~;6==0:j<522`c9gf=::o;1on522`19gf=:;8?1on523919gf=:;1o1on5238;9gf=:1on526`a9gf=:;9<1on523`a9gf=:;lo1on524`d9gf=:9k<1on524`09gf=:8>1on5252f9gf=:>:o1on524e;9gf=:>h1on5265`9gf=:>131on526739gf=:>0<1on523c:9gf=:<0?1on524b19gf=:>;>1on526619gf=:9h=1on521e39gf=:;j=1on523e69gf=:>?=1on5267f9gf=:>1;1on521b69gf=:9ml1on522179gf=::j21on523eg9gf=:;l?1on526d8`g>;5:k0ho63=cd8`g>;4>o0ho63;8g8`g>;31k0ho63:c78`g>;1;80ho6395c8`g>;2=<0ho63;f98`g>;2900ho6s|14794?2|58?>6;<1e2?ed34<>=7ml;<772?ed3ty==44?:2y>24g=9o80R;?6;<42f?7202wx:41?34>j57?88:?7ed<6?1168ll516:894gb28=370?nf;34<>;6j80:9k521c0950`<58h86<;i;<0g0?700279h84>799>6a0=9>201?j8:05;?82el3;<463;bd823==:41?34>h=7?88:?0g6<6??16?n:5164894bd28?j70?kd;36e>;5890:945223;950?<5;?m6<97;<054?700279:<4>799>63d=9>201?8l:05;?841l3;<463=78823==:=0?1=874=431>43a34?:?7?:f:?651<6=o169<;514d8907128?m70;9c;36e>;2>m0::85257g9533<5<3h6<;6;<7be?71=27>mo4>649>1de=9??018ok:046?83fm3;>m63<76821c=:;>21=8h4=25:>43a34;;?7?:f:?242<6=o16==6514d8946>28?m70??a;36b>;68k0:9k5211d950`<58;;6<;i;<325?72n27:=?4>5g9>545=937821<=:9:21=8o4=01:>41134;8m7?86:?27g<6??16=>m51648942128<>70?;e;351>{t4`53W>m563;fc821==z{=li6=4:8z?7bg<6n816?;3kk0:9l524bf9533<5=in6<8:;<1fe?721278io4>729>0d>=9n63;ac821g=:=;;1=874=72g>41034<:97?:9:?550<6=h16:=h514;8935028<>708n0;36e>;1?00:9452654950g<5?2=6<8:;<4:6?72i278n=4>589>7g4=9l;:07b?82el3;>n63;bd821g=:43e34<9>7?:9:?0gf<6=016?nj514;896b728?270?kc;351>;4lh0:94523e`950?<5;8<6<;n;<01=?72i278j94>589>7c2=9n63=76821d=::>31=8l4=3:0>43>34?297?:a:?6`c<6><1699k514c8902a28?j70;:1;36e>;29=0::=5257a9533<5<m=4>649>1dg=9>:018ol:053?83fm3;=963=:07:?8be28?j70k?:07:?8c>28?270kn:046?8ce28?j70ki:046?8`628?j70h=:07b?8`428?j70h8:07b?8`?28?270hn:07b?8`e28?270??3;354>;68>0::=5211:9536<58:j6<8?;<33f?71827:619>546=9?:012g821<=:9:31=:94=01a>41034;??7?:9:?20g<6=01v4j50;1x94`6349:>7?91:?2f7<6>916=n>514c897?f28?270;>2;354>;29<0::=5236:9536<58:86<8>;<333?71927:<44>619>55g=9?;01<>i:042?87693;=<63>138224=z{0l1<7=t=`295c4b08225=:9k91=;>4=0a3>4023488<7?:9:?656<6>9169<:51738907128<;70=87;354>;4?00::=5211:9537<58:i6<8>;<324?71927:=>4>609~w04e2908w0;=c;3e6>X2:k169?j514:8yv35l3:1?v3:2e82b4=:9131=874=0::>43f3ty>nk4?:5y>5`b=9<2018m?:0d1?[3en27>nk4>f09~w4cc290?w0?jd;3e5>;61;0:9452180950g<5:oj6<8:;|q613<72:q698951g08Z03134?>47?:8:p10>=839p18;7:0d2?87>;3;>563>92821d=z{<=h6=4<{<74`?7a:2T>;n5256g950>56z?63`<6n8168l>514;891g728?j70?n2;351>;6i=0::85258a950g53z?6a1<6n;1U9h=4=4g6>43?3ty>i84?:7y>1`3=9o;019l::07:?82e=3;>m63>cb8220=:9jo1=;;4=015>43f3tyo?7>53z?g0?7a:2To?63>51821==z{8?;6=4l{<364?7a927?

5`9>05`=9>9019<=:046?825<3;>m63;1`821<=:<;i1=8o4=50g>417348h:7?:9:?1g3<6=h168>8514;8915128?j7p}:7883>6}:=>k1=k<4^45:?830j3;>46s|56`94?5|5<=i6;<1f7?721278i>4>5`9~w3712908w08>7;3e6>X19?16:<6514:8yv0603:1?v391982b4=:<1=1=874=5:4>43f3ty3:7>53z?;3?7a:2T3:63nb;36<>{tik0;6?u2ac82b4=:::;1=8o4}r;a>5<4s43h65<4s4396:0d2?84113;>m6s|9483>6}:1?0:j?5Q949>f7<6=11vo<50;0x9g4=9o;01?:j:07b?xu>?3:1?v368;3e6>X>?27i?7?:8:pf6<72;q6n>4>f09>6=7=943?3tyi87>52z?a0?7a92794n4>5`9~w0`02908w0;i8;3e6>X2n>169k951g38yv3aj3:1>v3:f6821==:=oh1=k?4}r7ee?6=:r7>j:4lf:?6bd<6n81v9hl:18082al3;m>6P;fb9>0ce=9o;0q~;?0;296~;3nj0:955251295c7mj7>52z?7bff09~w01a2908w0;70;3e6>X2?o169:h51g38yv3?;3:1>v3:7g821==:=191=k?4}r7;6?6=:r7>;k4lf:?6<7<6n81v8k9:18083b?3;m>6P:e79>1`0=9o;0q~;ja;296~;2m?0:95525dc95c752z?6a3i44>f09~w07f2908w0;>b;3e6>X29h169v3:1`821==:=8i1=k?4}r432?6=;r7=<:4>f39]250<5?:=6;|q54=<72;q6:=8514:8936?28l:7p}:b083>6}:=k81=k<4^4`2?83e93;m=6s|5c194?4|5:181835m3;>463:3082b4=z{;;:6=4<{<026?7a:2T9=<5220395c752z?154<6=116>9851g38yv47>3:1?v3=0682b7=Y:9<01?>9:0d2?xu5:j0;6?u2214950><5;8h6;|q14=<72:q6>=751g08Z76?348;47?i1:p665=838p1?>7:07;?844;3;m=6s|21f94?5|5;:n6;58m0:j<5rs372>5<5s48;h7?:8:?114<6n81v?>i:18084683;m>6P=0g9>65`=9o;0q~<:8;296~;58o0:955224:95c753z?151<6n;1U><=4=330>4`63ty9:94?:3y>645=9<201?8;:0d2?xu59<0;6>u220495c470<>5;3e5>{t:>:1<743?348<<7?i1:p641=839p1??7:0d1?[46?279=:4>f09~w71d2909w0<>7;36<>;5?j0:j<5rs33:>5<4s48:m7?i2:\15<=::831=k?4}r0;3?6=:r79=44>599>6=1=9o;0q~<>b;297~;59j0:j?5Q20`8977e28l:7p}=8d83>7}::8h1=864=3:f>4`63ty9=i4?:2y>64c=9o80R??k;<02`?7a92wx>4;50;0x977c28?370<65;3e5>{t=0:1<7=t=4;2>4`53W?2<63:9182b4=z{<396=4={<7:4?72027>5?4>f09~w0b22908w0;k6;3e6>X2l<169i;51g38yv3c?3:1>v3:d4821==:=m=1=k?4}r6ff39]0`><5=o36;|q7ad<72;q68h6514:891cf28l:7p}:3g83>6}:==:1=k<4^41e?834n3;m=6s|55394?4|5<9m6<;7;<775?7a92wx99950;1x902?28l97S;;7:?602<6n81v8:6:181833?3;>463:4882b4=z{945254;95c7n7>52z?61<<6=11698l51g38yv7b=3:1>v3:bg821==:9l?1=k?4}r3f2?6=:r7>nk4lf:?2a3<6n81v?>m:180847k3;m>6P=0c9>65d=9o;0q~<nj7>53z?7b5<6n;1U8hh4=5ge>4`63ty?j<4?:3y>0``=9<2019h>:0d2?xu28k0;6>u251a95c4{t=9n1<743?34?;h7?i1:p130=839p1888:0d1?[31>27>:;4>f09~w00?2909w0;96;36<>;2>10:j<5rs453>5<4s4?<=7?i2:\635=:=>:1=k?4}r746?6=:r7>;=4>599>124=9o;0q~;67;297~;2110:j?5Q585890?028l:7p}:9883>7}:=0=1=864=4;:>4`63ty>m>4?:2y>1d2=9o80R8o<;<7b7?7a92wx9l;50;0x90g428?370;n5;3e5>{t=j=1<7=t=4a;>4`53W?h;63:c682b4=z{o44>f09~wg`=839p1n>51g08Zg`<5kl1=k?4}ra2>5<5s4hm6<;7;4`63ty=1cd=9<2018h6:07;?807=3;>m6390282b4=z{589>257=9<301<7;:07:?xu2nj0;6?u25gc950><5;|q541<72;q69km514:8936328l:7p}:fe83>7}:>9?1=874=4dg>4`63ty=<84?:3y>253=9o;01;><:07;?xu6=>0;6n6t=074>4`634<;?72;3h70;7e;0bf>;20l095n525g796dd<56?7l;<0be?4fj279ml4=9b9>6c7=:hh01?h>:3;`?84f;38jn63=a281=f=:;8?1>ll4=236>7?d3493?7b2;3h70=69;0bf>;410095n524e296dd<5=n;6?7l;<3;`?72i27:4o4=ac9>5ll4=23f>7?d349947<52``896552;3h70=;4;j095n5235496dd<5:>=6?7l;<164?4fj2789=4=9b9>70g=:hh01>;n:3;`?851038jn63<6981=f=:;h>1>ll4=2c7>7?d34;4ij095n523dg96dd<5:on6?7l;<6bb?4fj27?mk4=9b9>5g0=:hh01ll4=5`4>7?d34?957;1;l09mo5262g96k27?i:4=ac9>0`1=:0i01;o;:3ca?80f<382o63;7e81eg=:<>n1>4m4=543>7ge34>=<7<6c:?0bf<5ik16?km528a891752;ki70:>2;0:g>;3>?09mo5247496k27?:n4=ac9>03e=:0i0199;:3ca?820<382o63=d`81eg=::mk1>4m4=3g0>7ge348n?7<6c:?75a<5ik168;1?k09mo5266`96i6?om;<47f?4>k27=444=ac9>2=?=:0i01;8>:3ca?8019382o6399781eg=:>0<1>4m4=0:6>7ge34;397<6c:?0f=<5ik16?o6528a8912a2;ki70:;f;0:g>;3=>09mo52445966?om;<6:1?4>k27?o>4=ac9>0f5=:0i01;<;:3ca?805<382o6397281eg=:>>91>4m4=0c4>7ge34;j;7<6c:?2`4<5ik16=i?528a896e02;ki70=l7;0:g>;4l=09mo523e696k27=::4=ac9>231=:0i01;8k:3ca?801l382o6398081eg=:>1;1>4m4=7:96dd<5?21>4m4=0a7>7ge34;h87<6c:?2`c<5ik16=ih528a897622;ki70;5k109mo522b:96k278i84=ac9>7`3=:0i019>7:3ca?8270382o63;3981eg=:<:21>4m4=56:>7ge34>?57<6c:?7<6<5ik1685=528a891>>2;ki70:79;0:g>;1m38jn639e;0:g>;5:k09mo5223`96k278:k4=ac9>73`=:0i01>h9:3ca?85a>382o63;8g81eg=:<1l1>4m4=5;a>7ge34>2n7<6c:?6g3<5ik169n8528a893562;ki708<1;0:g>;1=809mo5264396k27>8;4=ac9>110=:0i018;::3ca?832=382o63:6481eg=:=??1>4m4=5d;>7ge34>m47<6c:?65<<5ik169<7528a8yv4e93:1>?mt=3`2>4`634<;?7mm;<73=?ee34?3i7mm;<7e1?ee348m=7mm;<121?ee349:=7?96:?054<6>>16?1;35e>;4980::o52303953e<5:;:6<8k;<125?71m278=<4>6g9>747=9>;01>?>:051?856:3;=:63<138222=:;881=;64=231>40>349:>7?9a:?057<6>k16?<<517a89675282;35a>;49;0::k523009527<5:;96<9=;<6g4?ee34;3n7mm;<3:619>6c>=9n63=f`821f=::ok1=8j4=3db>43b348mm7?:f:?1bd<6>916?77>=kk16?><5cc9>76e=kk16?>7516:8965>28?i70=<9;36g>;4;00:9i5232;950c<5:926<;i;<10=?718278?l4>799>76g=9=n:07`?854i3;>h63<3`821`=:;:k1=8h4=21b>407349?:7mm;<177?72j2788>4>5b9>715=9:<:07f?853;3;>j63<428225=:;=>1=8l4=267>43d349?87?:d:?001<6=l16?9:514d8962328<;70=:0;aa?853l3;>o63<4e821a=:;=n1=8k4=26g>43a349?h7?90:?00`<6=j16?9k514f8962b28?n70=;e;36b>;443b349>;7?:f:?012<6>916?86514f8963?28?n70=:8;36b>;4=10::=5237:9gg=:;?;1=8k4=242>43a349==7?90:?027<6=l16?;<514d8960528<;708nc;aa?857>3ii70=?3;354>;48=0::=523dg9gg=:619>5g0=kk16=lk514a894gb28?o70?ne;36a>;6il0:9k521`g9536<58km6<;l;<3bb?72l27:mk4>5d9>5d`=9b08223=:9k;1=;94=0`2>40?34;i=7?99:?2f4<6>h16=o?517`894d628;6j80::h521c3953`<58h:6<9>;<3a5?70:27:n?4>659>5g4=9?<01b3822<=:9k81=;o4=0`1>40e34;i>7?9c:?2f7<6>m16=o<517g894d528;6j;0:;?521c19532<58h86<89;<3a7?71?27:n>4>699>5g5=9?301b2822f=:9k91=;j4=0`0>40b34;i?7?9f:?2f6<6?816=o=51608904>2jh01;?;:b`8936c28?i708?d;36g>;18m0:9i5261f950c<5?:o6<;i;<43`?71827>?i4lb:?57`;4lb:?72f619>6a3=9?:01?j9:043?84c?3;=<63=e28`f>;39m0hn63;308`f>;1?k0hn6394c8`f>;1000hn639608`f>;11?0hn63>848`f>;4j10hn6343c349i>7?:e:?0f7<6=o16?o<5172896d428?i70=m3;36g>;4j:0:9i523c1950c<5:h86<;i;<1a7?718278n94>5c9>7g2=9l;:07g?85e<3;>i631=;>4=2`6>43e349i97?:c:?0f0<6=m16?o;514g896d228?m70=m5;354>;3;31<0hn63;c28`f>;3jm0:9k524cf9536<5=hn6<;i;<6aa?71827?nk4>5g9>0g`=9?:019m?:07e?82d83;=<63;c0821c=:4=707>fd<5?=86nl4=0c4>fd<58n:6nl4=2a4>fd<5:i86<;m;<1`7?72k278o>4>5e9>7f5=9m<:07e?85d;3;=<631=8m4=2a7>43c349h87?:e:?0g1<6=o16?n:5172896b32jh019=j:b`893002jh01;8k:b`893>62jh01;65cc9>5f2=kk16=ih5cc9>653=kk16>n65cc9>7ac=kk16?h;5cc9>05>=kk168>65cc9>01?=kk1685=5cc9>0=?=kk16:h4lb:?16g5e9>73b=98k:07e?851l3;=<63;30o0hn63;9c8`f>;2k?0hn639308`f>;1=80hn6395c8`f>;5=o0:9h5224d950`<5;?m6<8?;<054?72m279:=4>5g9>636=9?:01?8>:07f?84193;>j63=608225=::?h1=8h4=34a>407348=o7?:f:?12f<6>916>;j514d8970c28<;70<89;36a>;5?00:9k5226;9536<5<>=6nl4=476>fd<5<<>6nl4=5d;>fd<5<;26nl4=431>40134?:>7?97:?657<6>1169<<517;89075282;35f>;29;0::n52500953b<5<;96<8j;<726?71n27>=?4>709>144=9>8018?<:045?836;3;=;63:12822==:=891=;74=430>40f34?:?7?9b:?656<6>j169<=517f89074283;35b>;29:0:;<525019524<5<;?6<89;<720?71?27>=94>699>142=9?3018?;:04b?836<3;=n63:15822f=:=8>1=;j4=437>40b34?:87?9f:?651<6?8169<:51608907228<=70;>5;353>;29<0::552507953?<5<;>6<8n;<721?71j27>=84>6b9>143=9?n018?::04f?836=3;=j63:148234=:=8?1=:<4=435>40134?::7?97:?653<6>1169<8517;89071286;35f>;29?0::n52504953b<5<;=6<8j;<722?71n27>=;4>709>140=9>801>9::07e?850=3;=<63<768223=:;>=1=;94=254>40?349<;7?99:?032<6>h16?:9517`8961028;4?>0::h52365953`<5:=<6<9>;<143?70:278;54>679>72>=9?=01>97:04;?85003;=563<79822d=:;>21=;l4=25;>40d349<47?9d:?03=<6>l16?:6517d8961?28=:70=88;346>;4?00::;5236;9531<5:=26<87;<14=?711278;44>6`9>72?=9?h01>96:04`?85013;=h63<78822`=:;>31=;h4=25:>416349<57?82:?246<6>?16===51758946428<370??3;35=>;68:0::l52111953d<58:86<8l;<337?71l27:<>4>6d9>555=9?l01<><:052?877;3;<>63>068221=:99=1=;84=024>40034;;;7?98:?242<6>016==9517c8946028;68>0::i52115953c<58:<6<8i;<333?70927:<:4>739>55>=9?>01<>7:045?87703;=;63>09822==:9921=;74=02;>40f34;;47?9b:?24=<6>j16==6517f8946?28;6810:;<5211:9524<58:26<8;;<33=?71>27:<44>669>55?=9?201<>6:04:?87713;=m63>08822g=:9931=;m4=02:>40c34;;57?9e:?24<<6>o16==751638946>28=970??a;350>;68h0::;5211c9531<58:j6<87;<33e?71127:6`9>55g=9?h01<>n:04`?877i3;=h63>0`822`=:99k1=;h4=02b>41634;;m7?82:?24g<6>=16==l51748946e28<<70??b;35<>;68k0::45211`953g<58:i6<8m;<33f?71k27:6e9>55d=9?o01<>m:04e?877j3;<=63>0c8237=:99l1=;84=02e>40034;;j7?98:?24c<6>016==h517c8946a28;68o0::i5211d953c<58:m6<8i;<33b?70927:739>546=9?<0111822<=:98:1=;o4=033>40e34;:<7?9c:?255<6>m16=<>517g89477280;345>;6990:;?521039530<58;:6<88;<325?71027:=<4>689>547=9?k01:04a?87693;=o63>10822a=:98;1=;k4=032>40a34;:=7?81:?254<6?;16=<<51748947528<<70?>2;35<>;69;0::452100953g<58;96<8m;<326?71k27:=?4>6e9>544=9?o01138237=:9891=;84=030>40034;:?7?98:?256<6>016=<=517c89474283;35g>;69:0::i52101953c<58;86<8i;<327?70927:=>4>739>56?=93`821`=:9:k1=8h4=01b>40734;8n7?:e:?27g<6=o16=>l51728945d28?n70?;6;j0::=5rs4df>5<5s4?mh7?:9:?6b`<6n81v8hi:18183al3;>m63:fg82b4=z{=:j6=4i{<434?72i27=<<4>5`9>5<2=9n:0d2?827m3;>563;0g821<=:<8:1=874=501>43>34>9?7?:9:?75=<6=0168<7514;8917e28?270:=d;36=>;3:l0:945243d950?:i7>5bz?545<6><16:=?5177894?328<>70:?f;36e>;3990:9l5240g95c7<5=8?6<;6;<62f?72i27?>i4>5`9>07c=9649>046=9??019<=:07b?825;3;>m63;1582b4=:<821=8o4=53:>43f34>9h7?95:?76`<6><168?h51778yv2503:15v39018236=:>9;1=:=4=0;7>41434>;j7?80:?755<6?9168;3:o0:;=5rs723>5<5s4<;<7?i1:?6bc<6=01v<9n:18680793;<863>7`82b4=:<091=874=5;0>43f34?=>7?:9:p0=2=838p1;>>:056?82?<3;m=6s|61394?4|5?::6;<7eb?72i2wxn54?:06x936328?2708?4;36e>;28h0:945251c950g<5<2m6<;6;<7;b?72i27>j;4>589>1c0=98;:07:?820i3;>563;7c821<=:<>;1=874=551>43>34;3?7?:9:?56?72127?894>589>136=9<301o651g38901128?270;87;36=>{t=921<7=t=423>43?34>mi7?:8:?64<<6n81v9hj:18682am3;m=63>8b821<=:91i1=8o4=0;:>43>34;257?:a:p157=838p19hi:07;?83793;m=6s|51c94?4|5<::6<;7;<73e?7a92wx9=<50;0x906>28?370;?2;3e5>{t=991<743>34?;?7?i1:p152=838p18>=:07b?837<3;m=6s|44:94?70s4?;97?:9:?643<6=0169=9514;890>>28?270;i0;36=>;3=10:j<5244f950?<5=?n6<;6;<3;6?72127?994>589>003=9<301;<514c8935=9<301;:514;8933=9<301;8514;8916528?270:?3;36=>;38=0:9452455950?<5:?4>5`9~w6`0290mw0;?5;36e>;61?0:945246c950g<5==i6<;n;<66`?72i278j:4>f09>027=9m63;55821d=:<43>34>;>7?:a:?743<6=01689;514;8yv2193:1=9u25179533<5<:=6<;n;<733?72i27>4l4>589>1c7=9<3019;l:07:?822k3;>m63;6082b4=:9>o1=874=05f>43f34<96<8:;<40>43f3443f34<=6<;n;<636?71=27?<>4>5`9>052=9m6s|47594?74s4?;97?80:?643<6><169=95177890>e28?270;i2;36=>;3>=0:9452476950g<5=<<6;<34b?72127:;k4>5`9>27<6?916:>4>649>21<6><16:84>649>23<6><168=<51628916428<>70:?4;351>;3<>0::85rs54g>5<6;r7><84>729>150=9>:018>8:053?83?k3;>563:f2821<=:43f34>=h7?i1:?2<5<6=016=5>514c8934=9>901;=51628932=9>:01;;51628930=9>:019>=:050?827;3;<<63;058235=:<==1=:>4}r731?6=:r7><84>f09>152=9<30q~:85;2950}:=9<1=:=4=0;5>43f34><97?i1:?73<<6=0168:?51778911528<>70?73;36e>;1:3;<86393;347>;1<3;;1>3;43f34>?87?:a:?700<6=h16898514;8912028=870;90;36e>;2>;0::85rs425>5<5s4?;:7?i1:?641<6=h1v9=6:18b837?3;978220=:<>k1=;;4=552>41734;3?7?95:?77<<6n816:;4>759>050=9??019:9:07b?830?3;>m6s|46g94?g|5<:<6<9;;<64f?71=27?;?4>719>23<6?<168=?51778916328=870:;6;351>;3?l0:j<525729533<5<=<6<8:;|q642<72;q69=951g38906328<>7p}:8e83>6}:=191=864=4:2>43?34?3i7?i1:p1=7=838p186>:0d2?83?k3;=96s|59694?4|5<296<;7;<7;0?7a92wx95h50;0x90>328?370;7f;3e5>{t=1?1<743?34?397?i1:p1=0=838p186::07:?83?>3;m=6s|59594?4|5<2>6<;n;<7;3?7a92wx8=;50;1x90>?28?270;78;36e>;38<0:j<5rs4:;>5<5s4?347?i1:?6<2<6=01v<7m:18b83?13;>m63:8`821d=:=1h1=8o4=4:`>43f34;2n7?i1:?2e7<6=016=l=514;894g328?270:?6;344>;65<5s4?357?i1:?6<2<6=h1v86n:18183?i3;m=63:868220=z{<2i6=4={<7;f?7a927>4:4>719~w0>d2909w0;7c;3e5>;20>0:;>5rs4d7>5<4s4?nm7?:8:?6a=<6=1169k;51g38yv3b03:1>v3:e982b4=:=o91=;;4}r7ff?6=:r7>i44>599>1`d=9o;0q~;i6;296~;2mk0:95525g495c752z?6b0<6=1169hm51g38yv3bl3:1>v3:eb821<=:=ln1=k?4}r7fa?6=:r7>in4>5`9>1`c=9o;0q~?65;297~;2mo0:94525dd950g<583>6;|q6ac<72;q69hh51g3890cb28?27p}>c483>d}:=o:1=8o4=4d2>43f34?m>7?:a:?6b6<6=h16=485162894e228l:70?lc;36=>;6km0:94521bg950?<58>96<;6;|q6b5<72;q69k>51g3890cb28?j7p}:f083>7}:=o;1=k?4=4gf>4023ty>j?4?:3y>1c4=9o;018kj:053?xu2n:0;6?u25g195c7<5l:514:897gf28l:7p}=a583>4>|5;k?6;<4b=?72127=8;4>589>2<6=9:07b?80>:3;>563=26821<=:>;o1=8o4=70e>43f34?oo7?:a:?6`a<6=h169ik514c8902b28?270j6:07b?8bf28?j70jm:07:?8c028?270k7:07:?8ce28?270h>:07:?8`528?270h8:07:?8`>28?j70hn:07:?xu5i?0;6?u22`c950><5;k=6;|q1e0<72;q6>lo5cc9>6d3=9o;0q~52z?1e3<6=h16>l651g38yv5?=3:1nv3=a9821<=::h;1=874=2:6>4`63493m7?:9:?0;4i90:94523`3950?<5:kj6<;6;|q0l6514c897g628?j70=7f;3e5>;41=0:9l52387950?<5:3=6<;n;<1:b?72i278m=4>5`9>7d7=9on:07b?xu41h0;68u22`:9533<5;k:6<8:;<1:e?7a9278m?4>589>7dg=9??0q~43>3493=7?:9:?03`<6=016?5m514;896>128?270=67;36=>;4190:94522g5950?<5;l36<;6;<12f?721278=n4>589>773=9<301><9:07:?855n3;>563<31821<=:;:31=874=21b>43>349??7?:9:?001<6=016?9j514;8962b28?270=:7;36=>;4=10:9452373950?<5:<96<;6;<1:b?71=278m?4>5`9>7><:07:?857<3;>56343>348n=7?:9:?1g6<6n816>nm514;897ed28?j70=84;36=>{t:j31<7<:{<0b1?72i2795h4>5`9>7=7=99j:07b?85?k3;>m63<87821d=:;0=1=8o4=2;3>43f348m;7?:a:?1b=<6=h16?;4:?0:9l5233d950g<5:9;6<;n;<10=?72i278?l4>5`9>715=9:;:07b?853l3;>m63<4d821d=:;<=1=8o4=27;>43f349==7?:a:?027<6=h16?l>5177896g528<>70=6b;36e>;48:0:9l52316950g<5:k<6<;n;<0g6?72i279i=4>5`9>6`7=9m6s|2g294?4|5;o>6<;7;<0e5?7a92wx>h;50;0;84b=3;m=63=ec821<=::li1=874=3gg>43>348ni7?:9:?1ac<6=016?=j514;8966a28?270;5n10::85230`9533<5:;h6<8:;<111?71=278>;4>649>77`=9??01>=?:046?85413;=963<3`8220=:;=91=;;4=267>402349?h7?95:?00`<6><16?8951778963?28<>70=91;351>;4>;0::8523119533<5::?6<8:;<035?721278:i4>589>723=9<301kh514;8946728?270??1;36=>;68;0:9452105950?<58;36<;6;<32=?72127:=l4>589>54d=9<30q~52z?1a3<6=016>h951g38yv4b03:1>v3=e7821d=::l21=k?4}rdg>5<4s48n57?:9:?1a<<6=h16ji4>f09~w7c>2909w0;5m10:945rs036>5<4s48nm7?:9:?1ad<6=h16=<;51g38yv4bi3:1>v3=e`82b4=::l21=8o4}r14g?6=:8q6>hl514c897cd28?j70;5ml0:9l522dd950g<5::n6<;6;<13b?72i278=<4>639>744=9?8018?=:042?836;3;==63:158227=:=8?1=;?4=435>406349816?:651738961>28<:70hi:07b?87783;>m63>00821d=:9981=8o4=020>40534;;j7?92:?255<6>;16=3;356>;69>0:9l5210:950g<58;26<;n;<32e?72i27:=o4>5`9~w7ce2909w0;5m10::85rs3ae>5<4?r79in4>649>747=9?901>?=:040?84a>3;>563=f68235=:;8k1=874=23a>417349987?:9:?060<6?916??k514;8964a28=;70=<8;36=>;4;00:;=52350950?<5:>86<9?;<17g?7212788i4>719>700=9<301>;8:053?85183;>563<608235=:;?>1=8o4=246>43>349;>7?:9:?046<6?916=o?5173894d528<:70?m3;355>;2;<0:9452524950?<5;im6;<0g6?71=279i=4>649>6`7=9??018m;:07:?836:3;=>63:128227=:=8>1=;=4=436>40534?::7?92:?030<6=h16?:951708961?28<970=89;356>;68:0::>521159534<58:36<8=;<33=?71927:639>55d=9?801<>i:040?87683;=?63>108227=:9881=;=4=030>4043ty9in4?:3y>6`e=9o;01?k7:053?xu5lj0;6>9t=3gg>402349:=7?94:?057<6>=16>k8514c897`?28=;70=>a;36e>;49j0:;=52336950g<5:8=6<9?;<11a?72i278?=4>719>76>=9=n:053?853:3;>m63<458235=:;=i1=8o4=26f>417349>:7?:a:?01=<6?916?;>514c8960528=;70=94;351>;4><0:9l52310950g<5::?6<9?;<3a5?71:27:n?4>639>5g5=9?8018=::07b?834>3;>m63=d38235=::mi1=k?4=3g3>417348n=7?80:?6g1<6=h169<<51718907428<870;>4;350>;29<0::>525049535<5:=>6<8:;<143?71;278;54>629>72?=9?901<><:047?877?3;=?63>098226=:9931=;<4=02b>40434;;n7?93:?24c<6>=16=<>51768947628<870?>2;350>;69:0::95rs3gg>5<5s48nh7?i1:?1a=<6?:1v>>7:182g~;5ml0::85231:95c7<5::o6<;n;<13a?72i278649>6c1=9>901?h7:050?856j3;4143499j7?83:?075<6?:16?>751618965f28=870=;3;347>;4<=0:;>5235f9525<5:>n6<9<;<163?70;278954>729>737=9>901>8=:050?857;3;43f349<97?80:p6`c=838p1?kj:0d2?84b03;<86s|30494?70s48nj7?95:?1b2<6?=16>k651668967128l:70=>a;351>;4:<0:;9523349522<5:8m6<9;;<104?70<278?44>759>76g=9>>01>:<:057?853<3;<863<4e8231=:;=o1=::4=274>413349>47?84:?024<6?=16?;<51668966428=?70=?4;340>;4>m0::852367952552z?1ac<6n816>h651678yv4f:3:1>v3=9e821==::h91=k?4}r0:`?6=98q6>4j51g3893g>28?j708n0;351>;1i80:9l5265;950g<5:h96<8:;<1a7?72i278n94>649>7g3=943f34o<6<;n;40234l26<8:;|q1=c<72;q6>l=514:897?a28l:7p}=9d83>7}::h91oo5228g95c752z?1=c<6=016>l>51g38yv4f93:1>v3=9g821d=::h;1=k?4}r14`?6=jr79m<4>719>72b=9o;01>6>:046?85?i3;>m63<8c821d=:;0?1=8o4=2;5>4023492j7?80:?0e5<6?916?l?5177896gf28=;7p}<1583>7}:;921=864=236>4`63ty8=>4?:3y>746=9<201>?<:0d2?xu4990;6>u230295c7<58;=6<;6;<322?72i2wx?=750;0x967228?370=?9;3e5>{t;9k1<743>349;m7?i1:p75d=838p1>>6:07b?857j3;m=6s|11f94?5|5::h6<;6;<13g?72i27:f09~w66d2909w0=?c;3e5>;48k0:945rs22g>5<5s49;h7?i1:?04g<6=h1v>>j:181857m3;m=63<0c8220=z{::m6=4={<13b?7a9278719~w6762909w0=>1;3e5>;49:0:945rs231>5<5s49:>7?i1:?056<6=h1v>6=:180850l3;>463<85821d=:;191=k?4}r14b?6=:r78494>589>72`=9o;0q~=74;296~;40=0:j<52391950>52z?0<6f09~w6>72909w0=8f;36=>;4090:j<5rs2:2>5<5s49o9:182a~;4080:;=5239a9533<5:3<6<8:;<0e3?70=279j54>749>74d=9>>01>?l:057?855=3;<963<278230=:;;l1=:;4=213>412349857?85:?07d<6?<16?9=51678962328=>70=;d;341>;4749>7d7=9>:01>o=:053?857;3;<963<058230=:;h<1=k?4=3f1>414348n<7?83:?1a4<6?:16?::51778yv5?l3:1>v3<84821==:;1o1=k?4}r1;3?6=:r784h4>599>7=1=9o;0q~=76;296~;40l0hn63<8782b4=z{:236=4={<1;3?721278454>f09~w6>>2909w0=77;36e>;4000:j<5rs2:b>5<5s493m7?i1:?0<<<6=01v>6m:18185?j3;m=63<88821d=z{:2h6=4={<1;g?7a9278444>649~w6??2909w0=7f;36<>;4100:j<5rs2;2>5<5s49257?:8:?0=4<6n81v>7?:18185>13ii70=60;3e5>{t;081<743>3492>7?i1:p7<5=838p1>7>:07b?85>;3;m=6s|38694?4|5:3?6;<1:7?7212wx?4;50;0x96?228l:70=63;36e>{t;0<1<74`63492?7?95:p7<1=838p1>78:0d2?85>;3;<<6s|4bd94?5|5=i?6<;7;<6g5?72i27?h=4>f09~w1e3290840234>ho7?:a:?7ga<6?9168nk5162891g?28?h70:n9;36g>;3ih0:9n524``950e<5<896<;6;<424?72127?i<4>589>6a2=93;>n63=d6821g=:43d34>ij7?:c:?7g5<6=j168n?514`894g228?270?lf;36=>;6lj0:;=521ef9533<5;?m6<;l;<054?72j279:<4>5c9>63d=9n63=748220=::><1=;;4=354>402348<47?:a:?13<<6=j169lo5161890ge28=;70;nc;347>;2im0:;=525`g9526<588:6<;6;<30799>56g=9>=01<=m:05;?874k3;<;6s|4b794?4|5=n:6<;6;<6`1?7a92wx8i?50;0x91b628l:70:k0;36<>{t43>34>h:7?i1:p0f1=838p19m::07b?82d?3;m=6s|26694?5|5=i36<;6;<6`f09~w1e?2909w0:l8;3e5>;3k>0:945rs37f>5<4s4>h57?:9:?7g<<6=h16>8k51g38yv2d13:1>v3;c882b4=:70;4mh0:9l523da9525<58h:6<8<;<3a6?71;27:n>4>629>177=9i:07b?80403;=9639a08220=::m>1=8m4=3f6>43d348o:7?:c:?1`2<6=j16::7514c8932128<>708;9;351>;10>0::8526809533<5?3?6<8:;<1a4?72i278n>4>649>7g3=9??019m>:07`?805:3;>m6343f34;oh7?80:?0`d<6=h16?im514;8974028<>70=i1;3e5>;1=00::852272950e<5;<:6<;l;<05f?72k279:n4>5b9>63b=93;<<63=798220=::191=8o4=4g3>40234??i7?95:?615<6><1698<517789075283;350>;29<0::9525049532<5659>72>=9?>01>96:047?84=9517789c7=9??01k<517789c1=9??01k6514c89cg=9??01kl514c8946>28<870?>1;350>;6:o0:9l5212:9526<589j6<97;<30g?70027:8>4>5`9>51d=9o>7>528y>0fd=9>:019ml:053?82dl3;43c34>o>7?i1:?7`2<6=0168ih514;891c728?270;5l?0:9i524cf950b<5=hm6<;k;<3:b?72127:5k4>5`9>5f?=9<301563>be821<=:9m31=874=0fa>43>34;oo7?83:?2`a<6?:1685j514;8970e28?o70<9d;36`>;5?<0:;>522649525<5;=<6<9?;<04ml4>759>1dd=9>>018ol:057?83fl3;<863:ad8236=:9:21=:=4=01:>43e34;8m7?:b:?27g<6=k16=>m514`8yv2ci3:18ku24b`9525<5=ih6<9<;<6``?70<27?oh4>759>0d?=941734?i47?80:?6f<<6?9169oo5162890de28=870;mc;344>;2;10:;=5252;9526<5<9j6<9?;<6g2?72127?h;4>5`9>0ag=9o;019ji:07b?82b93;>m63=d4821a=::m=1=8j4=5`f>43c34>h<7?:d:?7g4<6=m16=l;514c894ea28?j70?mc;36e>;6l00:9l521ec950?<58ni6<;n;<3gg?70<27:hi4>759>2f<6=016:n4>5`9>0=b=9=1=:=4=35;>4143483?7?95:?1<1<6?916>>h51628972728=;70<;1;344>;5<;0:;=522519526<5mn4>749>1db=9>?018oj:057?876n3;>563>21821d=:9;;1=8o4=001>43>34;9?7?95:?26c<6><16=>>51628945628=;70?<2;344>;6;:0:;=5212:9522<58926<;l;<30e?72k27:?o4>5b9>56e=9448235=:9=<1=:>4=06a>40234;?o7?80:?20a<6?916=9k51628yv0a290>ov3;cc8231=:41234>hi7?85:?7e=<6=l168l7514g891gf28?n70:nb;36a>;3im0:;9525369525<5<8>6<9;;<712?70;27>n54>729>1g?=9>9018ln:050?83ej3;<863:bb8236=:=:21=:=4=41:>41434?8m7?83:?7a4<6><16>i:514g897b228?n70;5l>0:9h524cf950c<5=hn6<;j;<6ab?72m27?o=4>5d9>0f7=9m63>a5821d=:9h?1=;;4=0a`>43f34;hh7?:a:?2g`<6=h16=nh5177896e428=<70=l4;343>;6lk0::8521ea9523<58no6<9:;<06b?72l279:=4>5e9>637=9i63=6e821`=::>?1=:;4=355>412348<;7?84:?13=<6?=16>:7514f897>428=;70<74;347>;5;o0:;>522529525<5;>:6<9<;<076?70;2798>4>729>2c<6n8168k8514;890gf28==70;nb;342>;2ij0:;;525`f9520<5<4>649>574=9318236=:9:;1=:=4=011>41434;8?7?83:?27=<6?<16=>7514f8945f28?o70?;6;j0:9i52150950g<58>86<9?;<370?70;27:884>729>510=9>901<:n:07b?873j3;<<63>4b8236=:9=n1=:=4=06f>4143ty?oo4?:3y>0fd=9o;019m8:053?xu3kj0;6?u24ba95c7<5=i<6<9<;|q7ga<72;q68nj51g3891e028=?7p};cd83>7}:4123ty:4l4?:3y>5=0=9<201<6m:0d2?xu60?0;68u219495c7<5=?n6<;n;<660?71=27>:<4>5`9>56`=9<30q~?7c;296~;60m0:945219a95c752z?2v3>8c821==:91=1=k?4}r3;589>5=>=9o;0q~?79;296~;60>0:9l5219;95c752z?2<`<6=116=4651g38yv7?m3:1:v3>8d82b4=:<40234>257?:9:?7=<<6=h169;?51778yv7>>3:1>v3>94821==:90<1=k?4}r3:=?6=:r7:5l4>589>552z?2==<6=116=5h51g38yv7>83:1>v3>8g821<=:90:1=k?4}r3:5?6=:r7:4k4>5`9>5<7=9o;0q~?62;296~;61;0:j<52183950?52z?2=6<6n816=4?514c8yv7><3:1>v3>9582b4=:90;1=;;4}r0ef?6=;r79j?4>599>6cb=9278<94>779>73b=9>:01>9::057?8`a28<>7p}=f`83>7}::o31=864=3db>4`63ty9j44?:2y>6c?=9o;01;>l:07:?807k3;>m6s|2g194?4|5;lo6<;6;<0e7?7a92wx>kj50;0x97`c28l:70{t:o>1<743>348m87?i1:p6c3=838p1?h<:07b?84a=3;m=6s|2g494?4|5;l=6;<0e1?7212wx??>50;3684a?3;<:63=f98233=:;;:1=k?4=207>4023499j7?86:?075<6??16?>751648965f28==70=;3;342>;4<=0:;;5235f9520<5:>n6<99;<163?70>278954>779>737=9><01>8=:055?857;3;<;63<058232=:;?n1=:=4=256>41234;:;7?95:p77g=83;8w0;5n10:;:5233c95c7<5:8n6<8:;<10=?70?278?l4>769>715=9>=01>:;:054?853l3;<;63<4d8232=:;<=1=:94=27;>410349==7?87:?027<6?>16?==516:8966328=370=9d;340>;4?<0:;;5210:9533510y>6c1=9>201?h7:05;?854<3;m=63<398220=:;=91=:64=267>41?349?h7?88:?00`<6?116?89516:8963?28=370=91;34<>;4>;0:;552311950d<5::?6<;m;<15`?70=278;84>769>54?=9??0q~=0:9o522g:950d<5:9n6;<176?71=2788i4>5c9>71c=9;8:07a?85203;>n63<60821g=:;?81=8l4=220>43d349;87?:c:?02a<6??16?:;516:8947f28<>7p}<4983>a}::o=1=8m4=3d;>43d349?47?i1:?00f<6><16?89514a8963?28?h70=91;36g>;4>;0:9n52311950b<5::?6<;k;<15`?70?278;84>5c9>54d=9??0q~=:2;29f~;5n>0:9i522g:950b<5:?96;<162?71=278:<4>5e9>734=9><:07f?857<3;>i63<6e823==:;>?1=8m4=023>4023ty89n4?:8y>6c1=943a349=h7?:b:?030<6=m16==?51778yv4a?3:1>v3=f682b4=::o?1=8o4}r0ef09>6c3=9??0q~?n9;29a~;5nh0:;5521`;95c7<58kn6<;m;<3bb?72j27=799>246=9:07:?85d93;>m631=:64=ed950g<5l;1=874=d09533<5l91=;;4}r12`?6=;r78=;4>599>74`=9?j:0d2?xu49>0;6?u230d950?<5:;<6;|q05c<72;q6?7}:;8=1=874=23;>4`63ty8=44?:3y>741=9?6:0d2?xu49h0;6?u230c95c7<5:;26<;6;|q05g<72;q6?28?j7p}<1b83>7}:;8i1=k?4=23:>4023ty8>:4?:2y>776=9<201><6:07b?85503;m=6s|33394?4|5:826<;6;<115?7a92wx??750;0x964>28l:70==8;36<>{t;;81<743>3499>7?i1:p775=838p1><>:07b?855;3;m=6s|33694?4|5:8?6;<117?7212wx??;50;0x964228l:70==3;36e>{t;;<1<74`63499?7?95:p767=839p1>m63<3382b4=z{:8i6=4={<107?721278>o4>f09~w6542909w0=<3;3e5>;4;;0:955rs20`>5<5s499n7?:9:?06f<6n81v>m63<2e82b4=z{:8n6=4={<11a?7a9278>i4>589~w64a2909w0==f;3e5>;4:m0:9l5rs213>5<5s498<7?i1:?06a<6><1v>=m:180854<3;>463<3e821d=:;:i1=k?4}r101?6=:r78?i4>589>763=9o;0q~=52z?070<6=016?>851g38yv54?3:1>v3<34821d=:;:=1=k?4}r10f09>761=9<30q~=<9;296~;4;00:j<52325950g52z?07d<6n816?>951778yv53=3:1?v3<3d821==:;==1=8o4=265>4`63ty8?k4?:3y>711=9<301>=i:0d2?xu4<>0;6?u235595c7<5:>=6<;7;|q005<72;q6?>h514;8962728l:7p}<4083>7}:;:l1=8o4=262>4`63ty88?4?:3y>714=9o;01>:>:07:?xu4<:0;6?u235195c7<5:>:6<;n;|q001<72;q6?9:51g38962628<>7p}<4g83>6}:;=21=864=272>43f349><7?i1:p71?=838p1>;>:07:?85313;m=6s|34394?4|5:?:6;<164?7202wx?9o50;0x962>28?270=;a;3e5>{t;=h1<743f349?n7?i1:p71e=838p1>:l:0d2?853j3;>56s|35f94?4|5:>o6;<17f?72i2wx?9k50;0x962b28l:70=;b;351>{t;<31<7=t=271>43?349>n7?:a:?01d<6n81v>;<:181852j3;>563<5282b4=z{:?i6=4={<16f?7a92789l4>599~w6332909w0=:3;36=>;4==0:j<5rs276>5<5s49>?7?:a:?010<6n81v>;9:181852>3;m=63<54821<=z{:?<6=4={<163?7a9278984>5`9~w63?2909w0=:8;3e5>;4=<0::85rs244>5<4s49>o7?:8:?02<<6=h16?;651g38yv51>3:1>v3<62821==:;?<1=k?4}r157?6=;r78:>4>f09>146=9<3018??:07b?xu4=m0;6?u237;950?<5:?o6;|q02<<72;q6?;751g38960?28?37p}<5d83>7}:;4`63ty89k4?:3y>70b=9;i:0d2?xu4>90;6?u237295c7<5:?m6<;6;|q024<72;q6?;?51g38963a28?j7p}<6383>7}:;?81=k?4=27e>4023ty8:94?:3y>732=9o;01>89:07:?xu2;90;69u23779533<5<9;6;<701?71=27>?;4>649~w6022909w0=95;3e5>;4>?0:9l5rs2c0>5<4s492m7?:8:?0e0<6=h16?l:51g38yv5>k3:1>v3f09>7d2=9<20q~=6b;296~;4i=0hn63<9c82b4=z{:3o6=4={<1:g?7212785i4>f09~w6?b2909w0=6c;36e>;41l0:j<5rs2;e>5<5s492j7?i1:?0=`<6=01v>o?:18185f83;m=63<9d821d=z{:k:6=4={<1b5?7a92785h4>649~w6g52909w0=n2;3e5>;41l0:;=5rs7ca>5<5s4719>2d7=9>:01;:::07:?80313;<<639918220=:>0;1=;;4=7;1>417349i>7?80:?0f6<6?916?o:5162896d228=;70<=7;344>;1:l0::85263d9533<5<>n6<9?;<77b?70827>9=4>719>107=9>:018;=:053?8b>28<>70jn:046?8be28=;70k6:046?8`628=;70h=:053?8`428=;70h7:046?8`e28<>7p}9a783>7}:>hi1=864=7c5>4`63ty=m:4?:3y>2d0=9<301;o8:0d2?xu1i10;6?u26`4950g<5?k36;|q5e<<72;q6:l751g3893g?28?27p}95b83>45|5?kj6<;n;<4:f?72127=5o4>5`9>215=9<301;:<:07b?802k3;m=63414349i97?83:?161<6=016>?;514;8974128?270<=7;347>;c?3;>563k8;36=>;c13;<<63ka;344>;cj3;f09>227=9<301;9>:07b?845<3;>m63928821<=:>;31=8o4=775>43>34<>:7?:a:p23c=832p1;on:053?803?3;>m6396d82b4=:>?h1=874=74a>43f348997?:a:?512<6=016:89514c8yv01:3:1:v39a`8236=:>==1=;;4=77e>43>34<>j7?:a:?527<6n816h:4>5`9~w30?290=w08na;340>;1<>0:;=52677950?<5?<>6<;n;<45m6s|31794?5|5;ln6<;7;<133?72i278<;4>f09~w7`b290>w0;48;0::85237f950e<5:=>6<;j;<336?71=2wx>kh50;0x966028?270{t;9=1<74`6349;:7?:8:p756=838p1?hi:07:?85783;m=6s|31394?4|5;lm6<;n;<135?7a92wx?=<50;0x966528l:70=?1;36=>{t;991<74`6349;=7?:a:p752=838p1>>;:0d2?85793;=96s|3``94?4|5:k=6<;7;<1bg?7a92wx?l650;0x96gd28?370=n8;3e5>{t;h=1<7fd<5:k<6;|q0e<<72;q6?l6514;896g>28l:7p}7}:;h21=8o4=2cb>4`63ty8ii4?:2y>7`0=9<201>ki:07b?85bm3;m=6s|3d494?7?s49n:7?i1:?0ad<6?916?hl5166896cd28=?70;=6;340>;2jj0:;9526259526<5?936<9?;<40f?70827=4;4>719>2=1=9>:01;;7:053?80213;<<63=768230=::>21=:;4=3:7>41334?oj7?80:?6a5<6?916>9=5166890g728=;70;ne;342>;bn3;<<63i0;344>;6;10:;;5rs2g4>5<5s49nj7?:9:?0a2<6n81v>ki:18185bn3;m=63f09~w6c>2909w0=j7;36e>;4m00:j<5rs2gb>5<5s49nm7?i1:?0a<<6=01v>km:18185bj3;m=63649~w1gb2908w0:n3;36<>;3j90:9l524`d95c7j?7>5bz?7e6<6n8168l6514d891g>28?m70:na;36b>;3ik0:9k522e6950`<5;n>6<;i;<0g2?72n279h:4>5g9>5ad=9>:01<<=:046?xu3im0;6?u24`a950><5=ko6;|q7ef<72:q68lm51g38942>28?270?;9;36e>{t1<743>34>j87?i1:p0g6=838p19l?:0d2?82fn3;>46s|4`794?4|5=k?6<;6;<6b1?7a92wx8l850;0x91g328?j70:n6;3e5>{t:m91<7=t=5c4>43>34>j;7?:a:?1`6<6n81v9o8:18182f?3;m=63;a7821<=z{=k36=4={<6b5`9~w1g>2909w0:n9;3e5>;3i?0::85rs5cb>5<5s4>jm7?i1:?7e3<6?91v9om:18182fj3;m=63;a78236=z{8h>6=4={<3b=?72027:n;4>f09~w4d32909w0?m0;36<>;6j=0:j<5rs0`3>5<4s4;i<7?i1:?243<6=016==8514c8yv7fi3:1>v3>b7821==:9hk1=k?4}r3bf?6=:r7:ml4>589>5dd=9o;0q~?nc;296~;6ih0:9l521`a95c753z?2ea<6=016=lj514c8936b28l:7p}>ae83>7}:9hn1=k?4=0c`>43>3ty:mh4?:3y>5dc=9o;01b383>7}:9k81=k?4=0`7>43f3ty:n>4?:3y>5g5=9o;01<5=k96;|q7=f<72:q684m51g3894g428<>70?;a;351>{t<0o1<743?34>2i7?i1:p07}:<0o1=874=5;e>4`63ty?m=4?:3y>05`9>0g>=9o;019h::07:?82a=3;>m6s|4c494?4|5=h:6<;7;<6a3?7a92wx8o?50;1x91d628l:70?ld;351>;6<;0::85rs5`0>5<5s4>i;7?:8:?7f6<6n81v9l=:18182e?3ii70:m2;3e5>{t1<743>34>i87?i1:p0g3=838p19l<:07b?82e=3;m=6s|53:94?5|5<;h6<;7;<71e?72027>>44>f09~w04f2908w0;=a;3e5>;2:;0:9l5212d950g52z?666<6=1169?951g38yv35;3:1?v3:2282b4=:9=;1=874=062>43f3ty>=i4?:3y>17?=9<2018?k:0d2?xu29l0;6?u250f950?<5<;n6;|q65c<72;q693d83>6}:=;:1=874=403>43f34;8i7?i1:p176=838p1856s|53394?4|5<8:6;<72b?72i2wx9?<50;0x904528l:70;>f;351>{t=;>1<74`634?9;7?:9:p173=838p18<::0d2?835?3;>m6s|53494?4|5<8=6;<713?71=2wx:<=50;0x936?28?3708>4;3e5>{t>881<743?34<:>7?i1:p25?=838p1;?;:07;?80713;m=6s|60794?4|5?;?6?om;<421?7a92wx:=o50;0x936>28?2708?a;3e5>{t>9h1<743f34<;n7?i1:p25e=838p1;>l:0d2?807j3;>56s|61f94?4|5?:o6;<43f?72i2wx:=h50;0x936a28l:708>2;36=>{t>8:1<74`634<:>7?:a:p0<0=838p1;?>:07b?82>>3;m=6s|60394?4|5?;:6;<426?71=2wx9ok50;0x90d428?370;me;3e5>{t=kn1<743?34?ih7?i1:p1g1=839p18l8:0d2?875m3;>563>2d821d=z{n94>f09~w0d22909w0;m4;36=>;2j<0:j<5rs4`5>5<5s4?i87?:a:?6f3<6n81v87k:18083e>3;>563:b7821d=:=0n1=k?4}r7an54>f09>1gb=9<30q~;m9;296~;2j00:j<525cf950g52z?6fd<6n8169oj51778yv3ej3:1>v3:bc82b4=:=kn1=:>4}r7ag?6=:r7>nn4>f09>1gb=9>90q~;<5<9n6<;n;<70`?7a92wx9>l50;0x905028?370;{t=:=1<7=t=414>4`634;hm7?:9:?2gd<6=h1v8==:181834m3;>563:3382b4=z{<9n6=4={<70a?7a927>?i4>599~w0542909w0;<2;36=>;2;:0:j<5rs417>5<5s4?8>7?:a:?671<6n81v8=::181834=3;m=63:35821<=z{:o;6=4<{<702?708279h?4>759>7`6=9o;0q~;<6;296~;2;?0:j<52526950g52z?67=<6n8169>l514;8yv3413:1>v3:3882b4=:=:h1=8o4}r70e?6=:r7>?l4>f09>16d=9??0q~<:0;296~;552z?10f<6=116>9h51g38yv43k3:1?v3=4b82b4=::>91=874=350>43f3ty98:4?:3y>606=9<201?:8:0d2?xu5<10;6?u2255950?<5;>36;|q10<<72;q6>99514c8972>28l:7p}93383>4d|5;>j6<;n;<07f?72i2798i4>5`9>61c=9<301;==:0d2?82b;3;>m63;e5821d=::::1=8o4=312>43>3488;7?:a:?17=<6=016>8;514c8973128?270<:c;36e>;5=m0:945227:950g<5;<26<;6;<0;4?72i2794<4>589>6=d=9:3;>m63=92821d=::031=8o4=3;b>43f34?2o7?95:?273<6><1v?:n:181843i3;m=63=48821<=z{;>i6=4={<07f?7a9279844>5`9~w72c2909w0<;d;3e5>;55<5s48?i7?i1:?10c<6=h1v;=k:181804:3;>46393d82b4=z{?9h6=4={<40=?72027=?n4>f09~w35>2908w08<9;3e5>;2kj0:94525ba950g52z?57`<6=116:>=51g38yv04<3:1>v3932821<=:>:>1=k?4}r401?6=:r7=?>4>5`9>263=9o;0q~872;2952}:>:<1=874=715>43f34;4j<0:;95266d950?<5?=m6<;n;<010?71=279>84>649>670=956392`821d=:>=l1=874=76e>43f34n<6<8:;40234n26<9<;41434ni6<9;;|q573<72;q6:>851g38935228?27p}99683>60|5?9<6<9<;<40f09>2=?1=8o4=764>41334a28?270860;344>;1180:;=526809525<5?3?6<9?;<1a6?70=278n>4>749>7g2=9>?01>l::056?845<3;<<63=248235=::;<1=;;4=304>41234<9h7?:9:?56`<6?916:?h51628933?28=8708:9;347>;2lj0:;=525ef9533<5i=4>729>11`=9>9018;?:050?83293;0:;=52d98235=:l00:;952d`8231=:m>0::852e9821d=:mh0:;=52ec8235=:mo0:;>52f18236=:n80:;>52f28236=:n00:;=52f`8235=:nk0:;=5rs71e>5<6jr7=?:4>759>26>=9>>01;7k:053?80>m3;<<6393g82b4=:>=?1=;;4=7:5>41334<3;7?84:?5;1=10:;95264;9522<5hh4>719>1a`=9>>018k?:057?83f83;;b03;=963ja;347>;bj3;;ai3;{t>:=1<74`634<897?:a:p26>=838p1;=7:0d2?804=3;=96s|62c94?4|5?9j6;<40g?7212wx:>l50;0x935e28l:708{t43?34>o57?i1:p0a5=838p19j6:07;?82c;3;m=6s|4e694?4|5=n86<;6;<6g0?7a92wx8i;50;0x91b428?j70:k5;3e5>{t4`634>o97?:9:p0<6=83?p19j8:07b?82b83;>m63;9182b4=:9kn1=8o4=0fb>43f3ty?h:4?:3y>0a1=9o;019j::07b?xu3m?0;6?u24ec950><5=o<6;|q7a0<72;q68h<514:891c228l:7p};e383>6}:43>3488h7?:a:p0ad=838p19k8:07;?82cj3;m=6s|4ea94?4|5=ni6<;6;<6gg?7a92wx8ij50;0x91be28?j70:kd;3e5>{t9;n1<7=t=5ff>43>34>oi7?:a:?26a<6n81v9jj:18182cm3;m=63;de821<=z{=nm6=4={<6gb?7a927?hi4>5`9~w1c72909w0:j0;3e5>;3lm0::85rs5g2>5<5s4>n=7?i1:?7`a<6?91v9k<:18182b;3;m=63;e4821<=z{=o?6=4={<6f0?7a927?i84>5`9~w3g42909w0867;36<>;1i=0:j<5rs7c1>5<5s4<2j7?:8:?5e7<6n81v;7i:18080>n3;m=6392c821<=:>;h1=8o4}r4:599>2<>=9o;0q~869;296~;1110:945268;95c752z?5==<6=h16:4o51g38yv0>j3:1>v399c82b4=:>0k1=874}rd6>5<4s4<2o7?:9:?5=f<6=h16j84>f09~w3?d2909w086c;3e5>;11h0:9l5rs7;g>5<5s4<2h7?i1:?5=d<6><1v;7j:18180>m3;m=6399`8235=z{?;m6=4>0z?5e5<6?=16:l?51668932>28=?70=m2;342>;4j:0:;;523c69520<5:h>6<99;<42b?7a927>hi4>729>1ac=9>9018:i:057?83283;<863:508231=:=<81=::4=d:9526<5o91=::4}r4b4?6=:r7=m=4>f09>2d4=9<30q~8n1;296~;1i80:j<526`0950g52z?730<6=1168:j51g38yv20>3:1>v3;7e821==:<><1=k?4}r643?6=:r7?;;4>589>021=9o;0q~:88;296~;3??0:9l5246:95c7?m7>58z?73<<6=h168:o51628911e28=;70:;a;3e5>;1;3;<863;42821<=:<=91=8o4=441>4173ty?;44?:3y>02?=9o;01997:07:?xu3?h0;6?u246c95c7<5==36<;n;|q73g<72;q68:l51g38911?28<>7p};5g83>7}:<<21=864=543>4`63ty?944?:3y>036=9<2019;6:0d2?xu3=h0;6?u244;950?<5=?j6;|q71g<72;q6887514c8913e28l:7p};5b83>7}:<43>3ty?9i4?:3y>00b=9o;019;m:07b?xu3=l0;6?u244g95c7<5=?i6<8:;|q0bg<72;q6?k9514:896`d28l:7p}7}:;oi1=864=2d;>4`63ty8j44?:3y>7c>=9<301>h6:0d2?xu4nh0;6?u23g:950g<5:lj6;|q754<72:q68=o514:8917428?j70:>2;3e5>{t<9h1<743>34>;n7?i1:p045=838p19?<:0d2?826:3;>46s|41a94?4|5=:i6<;6;<63g?7a92wx8=j50;0x916e28?j70:?d;3e5>{t<:91<76t=52f>40234>:<7?83:?766<6><168?:51778917f28?j70:=c;351>;3:l0:;=5242195c7;i7>52z?74`<6n8168=j514;8yv27n3:1>v3;0g82b4=:<9n1=8o4}r624?6=:r7?==4>f09>05b=9??0q~:95;296~;3>80:955247495c7=>7>52z?723<6=1168;<51g38yv21;3:1>v3;63821<=:5`9>032=9o;0q~:=5;297~;39l0:9552435950g<5=8=6;|q75c<72;q68?9514;8917a28l:7p};2683>7}:<;=1=k?4=505>43?3ty?>=4?:3y>04`=9<3019;|q767<72;q68?<51g38914628?27p};2283>7}:<;91=k?4=502>43f3ty?>94?:3y>072=9o;019<>:046?xu3>k0;6?u2475950><5=;|q72=<72;q68;m514:8910?28l:7p};6883>7}:4`63ty?:l4?:3y>03>=9<5==?6;|q72`<72;q68::514:8910b28l:7p};6g83>7}:4`63ty?;=4?:3y>03c=96}::jl1=864=3fa>43f348om7?i1:p6a>=838p1?j<:07;?84c03;m=6s|2e294?4|5;ni6<;6;<0g4?7a92wx>il50;0x97be28l:70{t:m;1<743>348o=7?i1:p6a4=838p1?j?:07b?84c:3;m=6s|37c94?2|5;n96<9:;<0f4?70<279i<4>759>73g=9o;0q~52z?1`0<6n816>i6514c8yv4c>3:1>v3=d782b4=::m21=;;4}r0g3?6=:r79h:4>f09>6a>=9>:0q~;|q1`a<72;q6>h:514;897bc28l:7p}=e583>7}::l>1=k?4=3g0>43?3ty9hh4?:3y>6ab=9<301?jj:0d2?xu5lo0;6?u22ef950g<5;nm6;|q1a5<72;q6>h>51g3897ba28?27p}=e083>7}::l;1=k?4=3fe>43f3ty?=n4?:3y>042=9<2019?k:0d2?xu39<0;6?u240f950><5=;>6;|q753<72;q68<;514;8917128l:7p};1683>7}:<8?1=8o4=534>4`63ty?=54?:3y>04>=9o;019?8:07:?xu3900;6?u240;95c7<5=;<6<;n;|q75d<72;q687p};1c83>7}:<8h1=k?4=534>4173ty??=4?:2y>07>=9<2019==:07b?82493;m=6s|43;94?4|5=996<;6;<61=?7a92wx8><50;0x915528l:70:<1;36<>{t<;k1<743>34>9m7?i1:p07d=838p19<6:07b?825j3;m=6s|43a94?4|5=8h6;<61f?7212wx8?j50;0x914c28l:70:=b;36e>{t<;o1<74`634>9n7?95:p07`=838p19=<1=:>4=764>412349i>7?87:?0f6<6?>16?o:5165896d228=<70<=4;347>;5:<0:;>522349525<5<>n6<9<;41434n36<9<;41234nj6<9:;41234o26<9?;41434l<6<9?;4173ty=;84?:3y>22d=9<201;9::0d2?xu1??0;6?u2667950?<5?==6;|q532<72;q6::;514c8931028l:7p}97b83>47|5?=36<;n;<44=?71=27=8:4>779>7g4=9>201>l<:05;?85e<3;<463>i1=k?4=307>413348997?84:?163<6?=16>?9516489a1=9>>01i6516689a?=9><01io516489ad=9><0q~888;296~;1?10:j<52665950?52z?53<<6n816::9514c8yv03i3:1>v393g821==:>=h1=k?4}r47=?6=:r7=854>599>21?=9o;0q~8;8;297~;1<10:j<52ed821<=:ml0:9l5rs763>5<5s4:18180383;>56394082b4=z{?>96=4={<474?72i27=8?4>f09~w3242909w08;3;3e5>;1<;0:945rsd794?5|5?>?6<;6;<470?72i27n97?i1:p212=838p1;:;:0d2?803:3;>m6s|65794?4|5?>>6;<476?71=2wx:9850;0x932128l:708;2;344>{t>==1<74`6347?83:p2=>=838p1;6=:07;?80?13;m=6s|69194?4|5?226<;7;<4;7?7a92wx:5:50;0x93>428?270874;3e5>{t>1?1<743f34<397?i1:p2=0=838p1;69:0d2?80?=3;>56s|69594?4|5?2<6;<4;1?72i2wx:;>50;0x933d28?370891;3e5>{t>43?34<>h7?i1:p20c=838p1;;k:07:?802m3;m=6s|64d94?4|5??o6<;n;<46b?7a92wx:4;50;0x93>f28?370866;3e5>{t>0>1<743?34<287?i1:p2<5=839p1;7<:0d2?8c128?270k9:07b?xu10k0;6?u2684950><5?2i6;|q5d28l:7p}98e83>7}:>1h1=8o4=7:g>4`63ty>8i4?:2y>2=c=9<301;6j:07b?833l3;m=6s|69g94?4|5?2n6;<4;`?7212wx:5h50;0x93>a28l:7087d;36e>{t>0:1<74`634<3h7?95:p2<7=838p1;7>:0d2?80?l3;<<6s|68094?4|5?396;<4;`?70;2wx=5:50;0x941f28?370?75;3e5>{t9>h1<743?34;{t9>l1<74`634;9k4>f09~w4>62909w0?71;3e5>;6?m0:;=5rs0:1>5<5s4;3>7?i1:?23a<6?:1v<6<:18187?;3;m=63>7e8231=z{:h<6=4<{<1b`?720278n44>5`9>7g>=9o;0q~=nd;29=~;4im0:j<523c29533<5:hm6<;6;<1``?72i278oh4>5`9>7a7=9<301:07:?85cj3;>m63f09~w6d62908w0=m1;3e5>;c>3;>563k6;36e>{t;ho1<743>349ji7?i1:p7g?=838p1>l6:0d2?85e03;>46s|3`d94?4|5:kn6<;6;<1bb?7a92wx?o>50;0x96gb28?j70=m0;3e5>{t;k81<74`6349i:7?:9:p7g5=838p1>l<:0d2?85e>3;>m6s|3c694?4|5:h?6;<1a2?71=2wx?o;50;0x96d228l:70=m6;344>{t<=o1<743?34>?j7?i1:p01d=838p19:i:07;?823j3;m=6s|45a94?4|5=>i6<;6;<67g?7a92wx89j50;0x912e28?j70:;d;3e5>{t<<:1<78t=56g>43>34>?h7?:a:?715<6n816:94>759>011=9>>0188=:050?xu3=?0;6?u2442950><5=?<6;|q714<72;q6889514:8913628l:7p};5383>7}:<<;1=874=571>4`63ty?9>4?:3y>007=97}:<0:1=864=5;6>4`63ty?5<4?:3y>0<3=9<20197>:0d2?xu31;0;6?u2483950?<5=396;|q7=6<72;q684?514c891?428l:7p};c383>7}:4`63ty?n44?:3y>0f5=9<2019l6:0d2?xu3jh0;6?u24c;950?<5=hj6;|q7fg<72;q68o7514c891de28l:7p}=6`83>6}:43f348=m7?i1:p0ge=838p19ll:0d2?82ej3;>56s|4cf94?4|5=ho6;<6af?72i2wx8ok50;0x91db28l:70:mb;351>{t4`634>in7?80:p0f6=838p19m?:0d2?82ej3;;<6af?70<2wx:?=50;0x937a28?3708=4;3e5>{t>;:1<743?34<9<7?i1:p277=838p1;{t>?l1<743?34<=j7?i1:p226=838p1;8i:07:?80083;m=6s|66394?4|5?;6i>0:j<5rs0;`>5<5s4;j47?:9:?2=f<6n81va6821==z{83o6=4={<3:g?72127:5i4>f09~w4?b2909w0?6c;36e>;61l0:j<5rs0;e>5<5s4;2j7?i1:?2=`<6=01v?=j:18087f83;>563>a1821d=:::o1=k?4}r3b4?6=:r7:m=4>f09>536;|q2e4<72;q6=l?51g3894?b28<>7p}>a383>7}:9h81=k?4=0;f>4173ty:m>4?:3y>5d5=9o;01<7j:050?xu6i=0;6?u21`695c7<583n6<9;;|q2e0<72;q6=l;51g3894?b28=>7p}>d183>6}:9j?1=864=0f1>43f34;o=7?i1:p5f0=838p13;m=6s|1e094?4|58n96;<3g5?7202wx=n950;0x94e128?270?l7;3e5>{t9j21<743f34;h47?i1:p5f?=838p156s|1bc94?4|58ij6;<3`50;1x94ee28?270?lb;36e>;6<90:j<5rs0aa>5<5s4;hn7?i1:?2g=<6><1vc98235=z{8io6=4={<3``?7a927:o54>729~w4eb2909w0?le;3e5>;6k10:;95rs0ae>5<5s4;hj7?i1:?2g=<6?<1v>m9:18185ei3;>4635`9>7fb=9??01>mj:046?85c93;>m63>c0821d=:;mh1=;;4=2f`>4023ty8o84?:3y>7f6=9<201>m::0d2?xu4k90;6>u23b295c7<5mo1=874=eg950g52z?0g2<6=116?ol51g38yv5ek3:1>v35`9>7gb=9o;0q~=lf;297~;4jl0:94523cg950g<5:im6;|q0f`<72;q6?ok51g3896dc28?27p}7}:;kl1=k?4=2`g>43f3ty9=k4?:3y>7f7=9??01??i:0d2?xu4k80;6?u23b395c7<5:i>6<;6;|q0g7<72;q6?n<51g3896e228?j7p}7}:;j91=k?4=2a6>4023ty8o94?:3y>7f2=9o;01>m::053?xu4l:0;6>u23b:950><5:n>6<;n;<1g0?7a92wx?n650;7x96e?28l:70=lc;351>;6k80::8523e`9526<5:nh6<9?;|q0`7<72;q6?nh514:896b528l:7p}7}:;m?1=874=2a:>4`63ty8h84?:3y>7a3=9o;01>j;:07;?xu4kh0;6?u23b;950?<5:ij6;|q0gg<72;q6?n7514c896ee28l:7p}7}:;ji1=k?4=2aa>43>3ty8oi4?:3y>7fb=9o;01>mm:07b?xu4kl0;6?u23bg95c7<5:ii6<8:;|q0`5<72;q6?i>51g3896b528?27p}7}:;m;1=k?4=2f1>43f3ty??i4?:3y>06?=9<2019=j:0d2?xu3;h0;6?u242g950><5=9j6;|q77g<72;q68>o514;8915e28l:7p};3b83>7}:<:k1=8o4=51`>4`63ty:n:4?:5y>06e=9<3019=l:07b?87e?3;m=63>1g821d=z{?<=6=4={<456?72027=::4>f09~w3042909w0897;36<>;1>:0:j<5rs747>5<5s4<=?7?:9:?521<6n81v;8::181801;3;>m6396482b4=z{?f09~w30>2909w089d;36<>;1>00:j<5rs74b>5<5s4<=57?:9:?52d<6n81v;8m:18180113;>m6396c82b4=z{?2;6=4={<44g?72027=4<4>f09~w31c2909w0871;36<>;1?m0:j<5rs75f>5<5s4<m6397g82b4=z{?=1<7<5?21=k?4}r7f>5<4s4?n6;<46>41334>nh7?:9:p1c<72;q6:54>599>1c<6n81v;>50;0x90`=9<301;>51g38yv062909w0;i:07b?80628l:7p}92;296~;1:3;m=6391;36=>{t>:0;6?u26282b4=:>80:9l5rs7694?4|5?>1=k?4=7395336=4={<46>4`634<:6<9?;|q52?6=:r7=:7?i1:?55?70;2wx=n=50;0x94d028?370?l4;3e5>{t9j81<743?34;h>7?i1:p5gc=839p13;>563>27821d=z{8h36=4={<3`0?72027:n54>f09~w4d>2909w0?m8;36=>;6j00:j<5rs0`b>5<5s4;i47?:a:?2fd<6n81v563>bc821d=:98n1=k?4}r3af?6=:r7:no4>f09>5gg=9<30q~?mc;296~;6jj0:j<521cc950g52z?2fa<6n816=oo51778yv2=83;9w0?mf;351>;6k90:;=521b39526<58lm6<;n;<034?72i279589>655=9??018mj:046?83dn3;=963:d18220=:=m;1=;;4=4f1>40234>1=k?4=004>40234;947?95:?26<<6><16=?o51778944e28<>7p}>bg83>7}:9kl1=k?4=0a1>43>3ty:o=4?:3y>5f6=9o;01u21b39525<5:n=6;<1ge?71=2wx=n?50;0x94e628l:70?l2;351>{t9mo1<743?34;oj7?i1:p5a5=83?p1d`8220=:<1;1=874=5:2>43f3ty:h94?:3y>5a`=9<2016;|q2`3<72;q6=i:514c894b128l:7p}:a883>6}:9m=1=874=0f4>43f34?j57?i1:p5a1=838p13;>56s|12594?5|58n36<;6;<3gf09~w4b?2909w0?k8;3e5>;6l?0:9l5rs0f:>5<5s4;o57?i1:?2`3<6><1vd78235=z{8ni6=4={<3gf?7a927:h;4>729~w4bd2909w0?kc;3e5>;6l?0:;95rs0fg>5<5s4;oh7?i1:?2`3<6?<1v?>;:18187a13;>463=0482b4=z{8l26=4<{<3e=?7a92795`9>a4<6=h1v463>f`82b4=z{8li6=4={<3ee?72127:jo4>f09~w4`d2909w0?ia;36e>;6nj0:j<5rs4ag>5<4s4;mh7?:9:?2ba<6=h169nj51g38yv7al3:1>v3>fe82b4=:9oi1=874}r311?6=;r7:jh4>589>5cc=97p}=0183>7}::9:1=k?4=0d`>4173ty9<<4?:3y>657=9o;01==51g3894`d28=>7p}=c683>7}::j91=864=3a;>4`63ty9o94?:3y>6f>=9<201?m;:0d2?xu5k<0;6?u22b6950?<5;i>6;|q1g3<72;q6>n:514c897e128l:7p}6}:;m<1=864=2fe>43f349oi7?i1:p7a1=838p1>ji:07:?85c?3;m=6s|3ed94?4|5:nm6;<1ga?7202wx?i650;0x96b028?270=k8;3e5>{t;m31<743f349o57?i1:p7ag=838p1>jn:0d2?85c13;>56s|3e`94?4|5:ni6;<1g=?72i2wx?im50;0x96bd28l:70=k9;351>{t;l>1<743?349n97?i1:p7`7=838p1>k::07;?85b93;m=6s|3d094?4|5:o:6<;6;<1f6?7a92wx?h=50;0x96c628?j70=j3;3e5>{t<9=1<7=t=2dg>43?34>;57?:a:?74=<6n81v>hk:18585al3;m=63;008235=:<981=::4=520>41334>;87?84:?633<6=h1v9>9:181827=3;>463;0782b4=z{:ln6=4={<63=?721278jh4>f09~w16>2909w0:?9;3e5>;3810:955rs2de>5<5s49mi7?:9:?0bc<6n81v9>?:18185am3;>m63;0182b4=z{=::6=4={<635?7a927?<=4>589~w1652909w0:?2;3e5>;3890:9l5rs520>5<5s4>;?7?i1:?745<6><1v9>;:181827<3;m=63;018235=z{=9<6=4={<607?72027??54>f09~w1532909w0:<8;36<>;3;=0:j<5rs516>5<5s4>887?:9:?770<6n81v9=9:181824<3;>m63;3782b4=z{=>36=4={<60b?72027?844>f09~w15a2908w0:;3<>0:;8525659526?<7>52z?70<<6=11689>51g38yv2393:1>v3;41821<=:<=;1=k?4}r676?6=:r7?8=4>5`9>014=9o;0q~:;3;296~;3<:0:j<52450950??87>52z?701<6n81689<514c8yv23=3:1>v3;4482b4=:<=81=;;4}r672?6=:r7?8;4>f09>014=9>:0q~:;7;296~;3<>0:j<5245095253>7>52z?73`<6=11685=51g38yv20n3:1>v3;82821==:<>l1=k?4}r6;4?6=:r7?;k4>589>0=6=9o;0q~:71;296~;3?o0:9l5249395c7347>52z?7<1<6=11685751g38yv2?=3:1>v3;88821==:<1?1=k?4}r6;2?6=:r7?484>589>0=0=9o;0q~:77;296~;30<0:9l5249595c743?34;|q5=?6=:r7=57?i1:?7aa<6=h1v;o50;0x93c=9<201;o51g38yv0e2909w08n:07:?80e28l:7p}9c;296~;1i3;>m639c;3e5>{t:;k1<743?3489n7?i1:p67?=838p1?<7:07;?84513;m=6s|23:94?5|5;836;<7ge?72127>hl4>5`9~w7472909w0<=b;36<>;5:90:j<5rs302>5<5s489<7?:9:?164<6n81v?<=:18184583;>m63=2382b4=z{m?1<7=t=300>43>3489?7?:a:?g1?7a92wx>?=50;0x974428l:70<=2;36=>{t:;>1<74`63489>7?:a:p673=838p1?<::0d2?845:3;=96s|23494?4|5;8=6;<016?7082wx>?950;0x974028l:70<=2;347>{t:jn1<743?348hi7?i1:p6fg=838p1?mj:07;?84di3;m=6s|2b`94?4|5;ij6<;6;<0`f?7a92wx>nm50;0x97ef28?j70{t;?o1<743?349=j7?i1:p73d=838p1>8i:07;?851j3;m=6s|37a94?4|5:{t;o?1<743?349m:7?i1:p7c4=838p1>h9:07;?85a:3;m=6s|3g194?4|5:l96<;6;<1e7?7a92wx?k:50;0x96`528?j70=i4;3e5>{t<1o1<743?34>3j7?i1:p0=g=838p196n:0d2?82a>3;>m6s|49`94?4|5=2m6<;7;<6;f?7a92wx85m50;0x91>e28?270:7c;3e5>{t<1n1<743f34>3h7?i1:p0j3;m=6s|48594?4|5=3i6<;7;<6:3?7a92wx84650;0x91?028?270:68;3e5>{t<031<743f34>257?i1:p1f3=838p18m>:07;?83d>3;m=6s|5b394?5|5;<3fg?72127:in4>5`9~w0e52909w0;l6;36<>;2k;0:j<5rs4a0>5<5s4?h>7?:9:?6g6<6n81v8m;:18183d:3;>m63:c582b4=z{?9;6=4={<411?72027=?<4>f09~w3422908w08=5;3e5>;2<=0:9452556950g52z?574<6=116:?851g38yv05?3:1>v3927821<=:>;=1=k?4}r41;4>5`9>27>=9o;0q~8=9;296~;1:00:j<5263:950?52z?56d<6n816:?6514c8yv05j3:1>v392c82b4=:>;21=;;4}rgg>5<4s4<9o7?:9:?56f<6=h16ii4>f09~w34d2909w08=c;3e5>;1:10:;=5rs70g>5<5s4<9h7?i1:?56=<6?:1v;54>749~w3372909w08;c;36<>;1=80:j<5rs76`>5<4s4v3950821==:>=n1=k?4}r47a?6=:r7=8i4>589>21c=9o;0q~8;f;296~;1m7>52z?517<6=116:8l51g38yv02:3:1?v395382b4=:=0l1=874=4;e>43f3ty=9>4?:3y>20d=9<201;;<:0d2?xu1==0;6?u2641950?<5???6;|q510<72;q6:8=514c8933228l:7p}95783>7}:><<1=k?4=776>43>3ty=9:4?:3y>201=9o;01;;::07b?xu1=10;6?u264:95c7<5??>6<8:;|q51<<72;q6:8751g38933228=;7p}=3383>7}::;i1=864=311>4`63ty9>i4?:3y>664=9<201?;|q16c<72;q6>?j514c8974a28l:7p}=3183>7}::::1=k?4=30e>43>3ty9?<4?:3y>667=9o;01?<5;926;|q171<72;q6>>7514:8975328l:7p}=3483>7}:::>1=874=316>4`63ty9?;4?:3y>662=90;6?u222595c7<5;9=6<;6;|q17=<72;q6>>651g38975128?j7p}=5683>7}::<;1=864=374>4`63ty99?4?:3y>601=9<201?;=:0d2?xu5=:0;6?u2240950?<5;?86;|q111<72;q6>8<514c8973328l:7p}=5483>7}::43>3ty99;4?:3y>600=9o;01?;;:07b?xu5>:0;6?u224:950><5;<86;|q127<72;q6>8k514:8970528l:7p}=5883>7}::?91=864=37:>4`63ty99l4?:3y>60?=9<301?;n:0d2?xu5=k0;6?u224;950g<5;?i6;|q11f<72;q6>8m51g38973e28?27p}=5e83>7}::43f3ty99k4?:3y>60`=9o;01?8=:07:?xu5>90;6?u227295c7<5;<96<;n;|q124<72;q6>;?51g38970528<>7p}=6g83>7}::?>1=864=34e>4`63ty9:h4?:3y>63g=9<201?8j:0d2?xu5><0;6?u227d950><5;<>6;|q123<72;q6>;;514;8970128l:7p}=6683>7}::??1=8o4=344>4`63ty9:54?:3y>63>=9o;01?88:07:?xu5>00;6?u227;95c7<5;<<6<;n;|q12g<72;q6>;l51g38970b28?27p}=6b83>7}::?i1=k?4=34f>43f3ty9:i4?:3y>63b=9o;01?8j:046?xu5?k0;6?u2262950><5;=i6;|q13d<72;q6>::514:8971f28l:7p}=7083>7}::>h1=864=352>4`63ty9;?4?:3y>627=9<301?9=:0d2?xu5?:0;6?u2263950g<5;=86;|q130<72;q6>:;51g38971f28?27p}=7783>7}::><1=k?4=35b>43f3ty9;:4?:3y>621=9o;01?9n:046?xu5?10;6?u226:95c7<5;=j6<9?;|q13<<72;q6>:751g38971f28=87p}=8783>7}::>i1=864=3:5>4`63ty9484?:3y>6=4=9<201?6::0d2?xu50;0;6>u229095c7<58;n6<;6;<32a?72i2wx>:j50;0x97>128?370<8d;3e5>{t:>o1<743>348;<04b?7212wx>5?50;0x97>628l:70<8f;36e>{t:191<74`6348397?:9:p6=2=838p1?6;:0d2?84?=3;>m6s|29f94?4|5;2<6<;7;<0;`?7a92wx>5650;0x97>c28?370<78;3e5>{t:131<743>348357?i1:p6=g=838p1?67:07b?84?i3;m=6s|29`94?4|5;2i6;<0;e?7212wx>5m50;0x97>d28l:70<7a;36e>{t:0>1<743?348287?i1:p6=`=838p1?7;:07;?84?n3;m=6s|28294?4|5;2m6<;6;<0:4?7a92wx>4?50;0x97>a28?j70<61;3e5>{t:081<74`63482=7?:9:p6<5=838p1?7<:0d2?84>93;>m6s|28`94?4|5;3>6<;7;<0:f?7a92wx>4850;0x97?e28?370<66;3e5>{t:0=1<743>3482;7?i1:p6<>=838p1?79:07b?84>03;m=6s|28;94?4|5;326;<0:4o50;0x97?f28l:70<68;36e>{t=0<1<743?34?2:7?i1:p1<5=838p1879:07;?83>;3;m=6s|58694?4|5<386<;6;<7:0?7a92wx94;50;0x90?428?j70;65;3e5>{t=l81<743?34?n>7?i1:p1`7=838p18jm:07;?83b93;m=6s|5e`94?5|5;43>34l=6<;n;|q6`=<72;q69h<514:890b?28l:7p}:d883>7}:=m21=874=4f:>4`63ty>hl4?:3y>1a>=97}:=mo1=k?4=4g2>4023ty>hk4?:3y>1a`=9o;018k>:053?xu2m90;6?u25d295c7<57}:4`63ty?in4?:3y>0`d=9<3019kl:0d2?xu3mm0;6?u24d`950g<5=oo6;|q600<72;q699?514:8902128l:7p}:4383>7}:==<1=864=461>4`63ty>8>4?:3y>114=9<3018:<:0d2?xu2<=0;6?u2550950g<5<>?6;|q611<72;q6997514:8903228l:7p}:5283>7}:==n1=864=470>4`63ty>8l4?:3y>103=9<2018:n:0d2?xu2i6;|q60f<72;q699o514c8902d28l:7p}kd;297~;28h4>f09>105=9<30q~;;f;296~;2<7>52z?615<6n81698=51778yv3293:1>v3:5082b4=:=<91=:>4}r766?6=:r7>9?4>f09>105=9>90q~;94;296~;2=k0:955257795c752z?61c<6=1169;=51g38yv32k3:1>v3:64821==:=9n4>589>10b=9o;0q~;:e;296~;2=j0:9l5254g95c752z?625<6n8169;=514;8yv3193:1>v3:6082b4=:=?91=8o4}r756?6=:r7>:?4>f09>135=9??0q~?jb;296~;6m<0:95521d`95c752z?2a3<6=116=h951g38yv7bk3:1>v3>e6821==:9li1=k?4}r3f599>5`>=9o;0q~?j9;296~;6m10:94521d;95c752z?2a=<6=h16=ho51g38yv43=3:1>v3=3`821==::=?1=k?4}r070?6=:r79?h4>599>612=9o;0q~<52z?17g<6=016>>m51g38yv44l3:1>v3=3c821d=:::n1=k?4}r00b?6=:r79?k4>f09>612=9<30q~<;0;296~;5<90:j<52256950g52z?104<6n816>9:51778yv43:3:1>v3=4382b4=::=>1=:>4}r077?6=:r798>4>f09>612=9>90q~:i7;297~;3n80:95526g821==:599>0c4=9o;0q~:i3;296~;3n;0:94524g195c7m87>52z?7b7<6=h168k:51g38yv2a=3:1>v3;f482b4=:1=874}r6e2?6=:r7?j;4>f09>0c2=98;297~;28m0:9552b9821==:=831=k?4}r723?6=:r7>=<4>599>141=9o;0q~;>1;297~;2980:j<52fd821<=:nl0:9l5rs42f>5<5s4?:57?:8:?64`<6n81v8>i:181837m3;>563:0g82b4=z{<;;6=4={<73a?72i27>==4>f09~w0752909w0;>2;3e5>;29>0:945rs430>5<5s4?:?7?i1:?652<6=h1v8?;:181836<3;m=63:168220=z{<;>6=4={<721?7a927>=:4>719~w0712909w0;>6;3e5>;29>0:;>5rs44e>5<5s4?=47?:8:?62c<6n81v886:181831n3;>463:6882b4=z{<:l4>f09~w00e2909w0;99;36e>;2>k0:j<5rs44`>5<5s4?=o7?i1:?62g<6=01v88k:181831l3;m=63:6c821d=z{<:o4>649~w01?2909w0;82;36<>;2?10:j<5rs450>5<5s4?<47?:8:?636<6n81v89;:181830;3;>563:7582b4=z{<=>6=4={<747?72i27>;84>f09~w0112909w0;86;3e5>;2?<0:945rs454>5<5s4?<;7?i1:?630<6=h1v8o=:18183>13;>463:a382b4=z{m<4>f09~w0?f2909w0;n2;36<>;21h0:j<5rs4;a>5<5s4?2m7?:9:?6=g<6n81v87l:18183>i3;>m63:9b82b4=z{<3n6=4={<7:a?7a927>m<4>589~w0?a2909w0;6f;3e5>;2i80:9l5rs4c3>5<5s4?j<7?i1:?6e4<6><1v8l?:18183f=3;>463:b182b4=z{mk4>f09~w0g12909w0;m0;36<>;2i?0:j<5rs4c4>5<5s4?j:7?:9:?6e2<6n81v8o7:18183f>3;>m63:a982b4=z{89>6=4<{<7bm54>5`9>563=9o;0q~;na;296~;2ih0:j<525`d950?52z?6eg<6n8169lh514c8yv3fk3:1>v3:ab82b4=:=hl1=;;4}r7b`?6=:r7>mi4>f09>1d`=9>:0q~;ne;296~;2il0:j<525`d952552z?6g<<6=1169i:51g38yv3c;3:1>v3:ce821==:=m91=k?4}r7`e?6=:r7>h94>599>1fg=9o;0q~;lb;296~;2kh0:94525b`95c752z?6gd<6=h169nm51g38yv3dm3:1>v3:cd82b4=:=m91=874}r7`b?6=:r7>ok4>f09>1a5=952z?6`4<6n8169i=51628yv3c:3:1>v3:d382b4=:=m91=:=4}ra6>5<5s4i:6<;7;4`63tyh>7>52z?`1?72027h>7?i1:pg6<72;q6o?4>589>g6<6n81vn:50;0x9f4=9v3<7b821==:;>h1=k?4}r14e?6=:r78;;4>599>72g=9o;0q~=86;297~;4??0:j<5211g950?<58:n6<;n;|q035<72;q6?:l514:8961728l:7p}<7083>7}:;>:1=874=252>4`63ty8;?4?:3y>726=99=:0d2?xu68<0;6>u2361950?<5:=86<;n;<331?7a92wx?:=50;0x961428l:70=82;36=>{t;>>1<74`6349<>7?:a:p723=838p1>9::0d2?850:3;=96s|36594?4|5:=<6;<14e?7212wx?:650;0x961?28l:70=8a;36e>{t;>31<74`63495`9>6?7a92wxhn4?:3y>`0<6=116hn4>f09~wa0=838p1i851g389ae=9<30q~j8:1818b028l:70jl:07b?xuc03:1>v3k8;3e5>;ck3;=96s|d883>7}:l00:j<52db8235=z{mk1<75<5s4ni6;4133tyn87>52z?g`?72027n87?i1:p``<72;q6hh4>f09>a1<6=01vih50;0x9a`=9o;01h:514c8yvc72909w0k?:0d2?8c328<>7p}j1;296~;b93;m=63j4;344>{tm;0;6?u2e382b4=:m=0:;>5rsd194?4|5l91=k?4=d6952243?34oh6;|qf2?6=:r7n:7?i1:?fg?7212wxi:4?:3y>a2<6n816in4>5`9~w`>=838p1h651g389`e=9??0q~k6:1818c>28l:70kl:053?xubi3:1>v3ja;3e5>;bk3;7}:mk0:j<52eb8231=z{o>1<7<5o>1=k?4}rgf>5<5s4on6;43>3tynj7>52z?fb?7a927m87?:a:pb5<72;q6j=4>f09>b1<6><1vk?50;0x9c7=9o;01k:51628yv`52909w0h=:0d2?8`328=87p}i3;296~;a;3;m=63i4;340>{tnj0;6?u2f4821==:nj0:j<5rsg494?4|5o<1=k?4=ga950?4`634lh6<;n;|qeb<<6n816jn4>719~wcg=838p1ko51g389ce=9>90q~hm:1818`e28l:70hl:057?xu68=0;6?u2fe821==:99>1=k?4}rdf>5<5s4ln6;<330?7212wxjk4?:3y>bc<6n816==:514c8yv7783:1>v3>0182b4=:99>1=;;4}r335?6=:r7:<<4>f09>552=9>:0q~??2;296~;68;0:j<52116952552z?246<6n816==:51668yv77k3:1>v3>04821==:99i1=k?4}r332?6=:r7:<;4>f09>55e=9<30q~??7;296~;68>0:j<5211a950g52z?24=<6n816==m51778yv7713:1>v3>0882b4=:99i1=:>4}r33e?6=:r7:f09>55e=9>90q~??b;296~;68k0:j<5211a952252z?24a<6=116=<:51g38yv77m3:1>v3>0d82b4=:98>1=874}r33b?6=:r7:f09>542=90;296~;6990:j<52106953352z?254<6n816=<:51628yv76:3:1>v3>1382b4=:98>1=:=4}r327?6=:r7:=>4>f09>542=9>>0q~?>c;296~;69<0:955210a95c752z?253<6n816=v3>1682b4=:98i1=8o4}r32f09>54e=9??0q~?>9;296~;6900:j<5210a952652z?25d<6n816=v3>1c82b4=:98i1=::4}r310?6=:r7:=i4>599>572=9o;0q~?>e;296~;69l0:j<52136950?52z?25c<6n816=?:514c8yv7583:1>v3>2182b4=:9;>1=;;4}r315?6=:r7:><4>f09>572=9>:0q~?=2;296~;6:;0:j<52136952552z?266<6n816=?:51668yv75k3:1>v3>24821==:9;i1=k?4}r312?6=:r7:>;4>f09>57e=9<30q~?=7;296~;6:>0:j<5213a950g52z?26=<6n816=?m51778yv7513:1>v3>2882b4=:9;i1=:>4}r31e?6=:r7:>l4>f09>57e=9>90q~?=b;296~;6:k0:j<5213a952252z?26a<6=116=>:51g38yv75m3:1>v3>2d82b4=:9:>1=874}r31b?6=:r7:>k4>f09>562=952z?274<6n816=>:51628yv74:3:1>v3>3382b4=:9:>1=:=4}r307?6=:r7:?>4>f09>562=9>>0q~?<6;296~;6;<0:955212495c752z?272<6=116=>j51g38yv7403:1>v3>3982b4=:9:n1=874}r30=?6=:r7:?44>f09>56b=952z?27g<6n816=>j51628yv74k3:1>v3>3b82b4=:9:n1=:=4}r30b?6=:r7:?h4>599>56`=9o;0q~?;7;296~;6<90:955215595c752z?204<6n816=99514;8yv73:3:1>v3>4382b4=:9==1=8o4}r377?6=:r7:8>4>f09>511=9??0q~?;4;296~;6<=0:j<52155952652z?200<6n816=9951618yv73>3:1>v3>4782b4=:9==1=::4}r37b?6=:r7:854>599>51`=9o;0q~?;9;296~;6<00:j<5215d950?52z?20d<6n816=9h514c8yv73j3:1>v3>4c82b4=:9=l1=;;4}r37g?6=:r7:8n4>f09>51`=9>:0q~?;d;296~;652z?20`<6n816=9h51668yxh01o>1<7?t}o5:b0<728qvb:7i6;295~{i?0l<6=4>{|l4=c>=83;pqc96f883>4}zf>3mm7>51zm3<`e290:wp`89ga94?7|ug=2ji4?:0y~j2?am3:1=vsa78de>5<6std50;3xyk1f880;6<:182xh0i9>1<7?t}o5b40<728qvb:o?6;295~{i?h:<6=4>{|l4e5>=83;pqc9n0883>4}zf>k;m7>51zm3d6e290:wp`8a1a94?7|ug=j5<6std50;3xyk1f980;61<7?t}o5b50<728qvb:o>6;295~{i?h;<6=4>{|l4e4>=83;pqc9n1883>4}zf>k:m7>51zm3d7e290:wp`8a0a94?7|ug=j=i4?:0y~j2g6m3:1=vsa7`3e>5<6std50;3xyk1f:80;61<7?t}o5b60<728qvb:o=6;295~{i?h8<6=4>{|l4e7>=83;pqc9n2883>4}zf>k9m7>51zm3d4e290:wp`8a3a94?7|ug=j>i4?:0y~j2g5m3:1=vsa7`0e>5<6std>50;3xyk1f;80;61<7?t}o5b70<728qvb:o<6;295~{i?h9<6=4>{|l4e6>=83;pqc9n3883>4}zf>k8m7>51zm3d5e290:wp`8a2a94?7|ug=j?i4?:0y~j2g4m3:1=vsa7`1e>5<6std50;3xyk1f<80;61<7?t}o5b00<728qvb:o;6;295~{i?h><6=4>{|l4e1>=83;pqc9n4883>4}zf>k?m7>51zm3d2e290:wp`8a5a94?7|ug=j8i4?:0y~j2g3m3:1=vsa7`6e>5<6std50;3xyk1f=80;61<7?t}o5b10<728qvb:o:6;295~{i?h?<6=4>{|l4e0>=83;pqc9n5883>4}zf>k>m7>51zm3d3e290:wp`8a4a94?7|ug=j9i4?:0y~j2g2m3:1=vsa7`7e>5<6std50;3xyk1f>80;61<7?t}o5b20<728qvb:o96;295~{i?h<<6=4>{|l4e3>=83;pqc9n6883>4}zf>k=m7>51zm3d0e290:wp`8a7a94?7|ug=j:i4?:0y~j2g1m3:1=vsa7`4e>5<6std50;3xyk1f?80;6>1<7?t}o5b30<728qvb:o86;295~{i?h=<6=4>{|l4e2>=83;pqc9n7883>4}zf>k51zm3d1e290:wp`8a6a94?7|ug=j;i4?:0y~j2g0m3:1=vsa7`5e>5<6std50;3xyk1f080;61<7?t}o5b<0<728qvb:o76;295~{i?h2<6=4>{|l4e=>=83;pqc9n8883>4}zf>k3m7>51zm3d>e290:wp`8a9a94?7|ug=j4i4?:0y~j2g?m3:1=vsa7`:e>5<6std50;3xyk1f180;61<7?t}o5b=0<728qvb:o66;295~{i?h3<6=4>{|l4e<>=83;pqc9n9883>4}zf>k2m7>51zm3d?e290:wp`8a8a94?7|ug=j5i4?:0y~j2g>m3:1=vsa7`;e>5<6std50;3xyk1fi80;61<7?t}o5be0<728qvb:on6;295~{i?hk<6=4>{|l4ed>=83;pqc9na883>4}zf>kjm7>51zm3dge290:wp`8a`a94?7|ug=jmi4?:0y~j2gfm3:1=vsa7`ce>5<6std50;3xyk1fj80;61<7?t}o5bf0<728qvb:om6;295~{i?hh<6=4>{|l4eg>=83;pqc9nb883>4}zf>kim7>51zm3dde290:wp`8aca94?7|ug=jni4?:0y~j2gem3:1=vsa7``e>5<6std50;3xyk1fk80;61<7?t}o5bg0<728qvb:ol6;295~{i?hi<6=4>{|l4ef>=83;pqc9nc883>4}zf>khm7>51zm3dee290:wp`8aba94?7|ug=joi4?:0y~j2gdm3:1=vsa7`ae>5<6std50;3xyk1fl80;61<7?t}o5b`0<728qvb:ok6;295~{i?hn<6=4>{|l4ea>=83;pqc9nd883>4}zf>kom7>51zm3dbe290:wp`8aea94?7|ug=jhi4?:0y~j2gcm3:1=vsa7`fe>5<6std50;3xyk1fm80;61<7?t}o5ba0<728qvb:oj6;295~{i?ho<6=4>{|l4e`>=83;pqc9ne883>4}zf>knm7>51zm3dce290:wp`8ada94?7|ug=jii4?:0y~j2gbm3:1=vsa7`ge>5<6std50;3xyk1fn80;61<7?t}o5bb0<728qvb:oi6;295~{i?hl<6=4>{|l4ec>=83;pqc9nf883>4}zf>kmm7>51zm3d`e290:wp`8aga94?7|ug=jji4?:0y~j2gam3:1=vsa7`de>5<6std50;3xyk1e880;6<:182xh0j9>1<7?t}o5a40<728qvb:l?6;295~{i?k:<6=4>{|l4f5>=83;pqc9m0883>4}zf>h;m7>51zm3g6e290:wp`8b1a94?7|ug=i5<6std50;3xyk1e980;61<7?t}o5a50<728qvb:l>6;295~{i?k;<6=4>{|l4f4>=83;pqc9m1883>4}zf>h:m7>51zm3g7e290:wp`8b0a94?7|ug=i=i4?:0y~j2d6m3:1=vsa7c3e>5<6std50;3xyk1e:80;61<7?t}o5a60<728qvb:l=6;295~{i?k8<6=4>{|l4f7>=83;pqc9m2883>4}zf>h9m7>51zm3g4e290:wp`8b3a94?7|ug=i>i4?:0y~j2d5m3:1=vsa7c0e>5<6std>50;3xyk1e;80;61<7?t}o5a70<728qvb:l<6;295~{i?k9<6=4>{|l4f6>=83;pqc9m3883>4}zf>h8m7>51zm3g5e290:wp`8b2a94?7|ug=i?i4?:0y~j2d4m3:1=vsa7c1e>5<6std50;3xyk1e<80;61<7?t}o5a00<728qvb:l;6;295~{i?k><6=4>{|l4f1>=83;pqc9m4883>4}zf>h?m7>51zm3g2e290:wp`8b5a94?7|ug=i8i4?:0y~j2d3m3:1=vsa7c6e>5<6std50;3xyk1e=80;61<7?t}o5a10<728qvb:l:6;295~{i?k?<6=4>{|l4f0>=83;pqc9m5883>4}zf>h>m7>51zm3g3e290:wp`8b4a94?7|ug=i9i4?:0y~j2d2m3:1=vsa7c7e>5<6std50;3xyk1e>80;61<7?t}o5a20<728qvb:l96;295~{i?k<<6=4>{|l4f3>=83;pqc9m6883>4}zf>h=m7>51zm3g0e290:wp`8b7a94?7|ug=i:i4?:0y~j2d1m3:1=vsa7c4e>5<6std50;3xyk1e?80;6>1<7?t}o5a30<728qvb:l86;295~{i?k=<6=4>{|l4f2>=83;pqc9m7883>4}zf>h51zm3g1e290:wp`8b6a94?7|ug=i;i4?:0y~j2d0m3:1=vsa7c5e>5<6std50;3xyk1e080;61<7?t}o5a<0<728qvb:l76;295~{i?k2<6=4>{|l4f=>=83;pqc9m8883>4}zf>h3m7>51zm3g>e290:wp`8b9a94?7|ug=i4i4?:0y~j2d?m3:1=vsa7c:e>5<6std50;3xyk1e180;61<7?t}o5a=0<728qvb:l66;295~{i?k3<6=4>{|l4f<>=83;pqc9m9883>4}zf>h2m7>51zm3g?e290:wp`8b8a94?7|ug=i5i4?:0y~j2d>m3:1=vsa7c;e>5<6std50;3xyk1ei80;61<7?t}o5ae0<728qvb:ln6;295~{i?kk<6=4>{|l4fd>=83;pqc9ma883>4}zf>hjm7>51zm3gge290:wp`8b`a94?7|ug=imi4?:0y~j2dfm3:1=vsa7cce>5<6std50;3xyk1ej80;61<7?t}o5af0<728qvb:lm6;295~{i?kh<6=4>{|l4fg>=83;pqc9mb883>4}zf>him7>51zm3gde290:wp`8bca94?7|ug=ini4?:0y~j2dem3:1=vsa7c`e>5<6std50;3xyk1ek80;61<7?t}o5ag0<728qvb:ll6;295~{i?ki<6=4>{|l4ff>=83;pqc9mc883>4}zf>hhm7>51zm3gee290:wp`8bba94?7|ug=ioi4?:0y~j2ddm3:1=vsa7cae>5<6std50;3xyk1el80;61<7?t}o5a`0<728qvb:lk6;295~{i?kn<6=4>{|l4fa>=83;pqc9md883>4}zf>hom7>51zm3gbe290:wp`8bea94?7|ug=ihi4?:0y~j2dcm3:1=vsa7cfe>5<6std50;3xyk1em80;61<7?t}o5aa0<728qvb:lj6;295~{i?ko<6=4>{|l4f`>=83;pqc9me883>4}zf>hnm7>51zm3gce290:wp`8bda94?7|ug=iii4?:0y~j2dbm3:1=vsa7cge>5<6std50;3xyk1en80;61<7?t}o5ab0<728qvb:li6;295~{i?kl<6=4>{|l4fc>=83;pqc9mf883>4}zf>hmm7>51zm3g`e290:wp`8bga94?7|ug=iji4?:0y~j2dam3:1=vsa7cde>5<6std50;3xyk1d880;6<:182xh0k9>1<7?t}o5`40<728qvb:m?6;295~{i?j:<6=4>{|l4g5>=83;pqc9l0883>4}zf>i;m7>51zm3f6e290:wp`8c1a94?7|ug=h5<6std50;3xyk1d980;61<7?t}o5`50<728qvb:m>6;295~{i?j;<6=4>{|l4g4>=83;pqc9l1883>4}zf>i:m7>51zm3f7e290:wp`8c0a94?7|ug=h=i4?:0y~j2e6m3:1=vsa7b3e>5<6std50;3xyk1d:80;61<7?t}o5`60<728qvb:m=6;295~{i?j8<6=4>{|l4g7>=83;pqc9l2883>4}zf>i9m7>51zm3f4e290:wp`8c3a94?7|ug=h>i4?:0y~j2e5m3:1=vsa7b0e>5<6std>50;3xyk1d;80;61<7?t}o5`70<728qvb:m<6;295~{i?j9<6=4>{|l4g6>=83;pqc9l3883>4}zf>i8m7>51zm3f5e290:wp`8c2a94?7|ug=h?i4?:0y~j2e4m3:1=vsa7b1e>5<6std50;3xyk1d<80;61<7?t}o5`00<728qvb:m;6;295~{i?j><6=4>{|l4g1>=83;pqc9l4883>4}zf>i?m7>51zm3f2e290:wp`8c5a94?7|ug=h8i4?:0y~j2e3m3:1=vsa7b6e>5<6std50;3xyk1d=80;61<7?t}o5`10<728qvb:m:6;295~{i?j?<6=4>{|l4g0>=83;pqc9l5883>4}zf>i>m7>51zm3f3e290:wp`8c4a94?7|ug=h9i4?:0y~j2e2m3:1=vsa7b7e>5<6std50;3xyk1d>80;61<7?t}o5`20<728qvb:m96;295~{i?j<<6=4>{|l4g3>=83;pqc9l6883>4}zf>i=m7>51zm3f0e290:wp`8c7a94?7|ug=h:i4?:0y~j2e1m3:1=vsa7b4e>5<6std50;3xyk1d?80;6>1<7?t}o5`30<728qvb:m86;295~{i?j=<6=4>{|l4g2>=83;pqc9l7883>4}zf>i51zm3f1e290:wp`8c6a94?7|ug=h;i4?:0y~j2e0m3:1=vsa7b5e>5<6std50;3xyk1d080;61<7?t}o5`<0<728qvb:m76;295~{i?j2<6=4>{|l4g=>=83;pqc9l8883>4}zf>i3m7>51zm3f>e290:wp`8c9a94?7|ug=h4i4?:0y~j2e?m3:1=vsa7b:e>5<6std50;3xyk1d180;61<7?t}o5`=0<728qvb:m66;295~{i?j3<6=4>{|l4g<>=83;pqc9l9883>4}zf>i2m7>51zm3f?e290:wp`8c8a94?7|ug=h5i4?:0y~j2e>m3:1=vsa7b;e>5<6std
    50;3xyk1di80;61<7?t}o5`e0<728qvb:mn6;295~{i?jk<6=4>{|l4gd>=83;pqc9la883>4}zf>ijm7>51zm3fge290:wp`8c`a94?7|ug=hmi4?:0y~j2efm3:1=vsa7bce>5<6std50;3xyk1dj80;61<7?t}o5`f0<728qvb:mm6;295~{i?jh<6=4>{|l4gg>=83;pqc9lb883>4}zf>iim7>51zm3fde290:wp`8cca94?7|ug=hni4?:0y~j2eem3:1=vsa7b`e>5<6std50;3xyk1dk80;61<7?t}o5`g0<728qvb:ml6;295~{i?ji<6=4>{|l4gf>=83;pqc9lc883>4}zf>ihm7>51zm3fee290:wp`8cba94?7|ug=hoi4?:0y~j2edm3:1=vsa7bae>5<6std50;3xyk1dl80;61<7?t}o5``0<728qvb:mk6;295~{i?jn<6=4>{|l4ga>=83;pqc9ld883>4}zf>iom7>51zm3fbe290:wp`8cea94?7|ug=hhi4?:0y~j2ecm3:1=vsa7bfe>5<6std50;3xyk1dm80;61<7?t}o5`a0<728qvb:mj6;295~{i?jo<6=4>{|l4g`>=83;pqc9le883>4}zf>inm7>51zm3fce290:wp`8cda94?7|ug=hii4?:0y~j2ebm3:1=vsa7bge>5<6std50;3xyk1dn80;61<7?t}o5`b0<728qvb:mi6;295~{i?jl<6=4>{|l4gc>=83;pqc9lf883>4}zf>imm7>51zm3f`e290:wp`8cga94?7|ug=hji4?:0y~j2eam3:1=vsa7bde>5<6std50;3xyk1c880;6<:182xh0l9>1<7?t}o5g40<728qvb:j?6;295~{i?m:<6=4>{|l4`5>=83;pqc9k0883>4}zf>n;m7>51zm3a6e290:wp`8d1a94?7|ug=o5<6std50;3xyk1c980;61<7?t}o5g50<728qvb:j>6;295~{i?m;<6=4>{|l4`4>=83;pqc9k1883>4}zf>n:m7>51zm3a7e290:wp`8d0a94?7|ug=o=i4?:0y~j2b6m3:1=vsa7e3e>5<6std50;3xyk1c:80;61<7?t}o5g60<728qvb:j=6;295~{i?m8<6=4>{|l4`7>=83;pqc9k2883>4}zf>n9m7>51zm3a4e290:wp`8d3a94?7|ug=o>i4?:0y~j2b5m3:1=vsa7e0e>5<6std>50;3xyk1c;80;61<7?t}o5g70<728qvb:j<6;295~{i?m9<6=4>{|l4`6>=83;pqc9k3883>4}zf>n8m7>51zm3a5e290:wp`8d2a94?7|ug=o?i4?:0y~j2b4m3:1=vsa7e1e>5<6std50;3xyk1c<80;61<7?t}o5g00<728qvb:j;6;295~{i?m><6=4>{|l4`1>=83;pqc9k4883>4}zf>n?m7>51zm3a2e290:wp`8d5a94?7|ug=o8i4?:0y~j2b3m3:1=vsa7e6e>5<6std50;3xyk1c=80;61<7?t}o5g10<728qvb:j:6;295~{i?m?<6=4>{|l4`0>=83;pqc9k5883>4}zf>n>m7>51zm3a3e290:wp`8d4a94?7|ug=o9i4?:0y~j2b2m3:1=vsa7e7e>5<6std50;3xyk1c>80;61<7?t}o5g20<728qvb:j96;295~{i?m<<6=4>{|l4`3>=83;pqc9k6883>4}zf>n=m7>51zm3a0e290:wp`8d7a94?7|ug=o:i4?:0y~j2b1m3:1=vsa7e4e>5<6std50;3xyk1c?80;6>1<7?t}o5g30<728qvb:j86;295~{i?m=<6=4>{|l4`2>=83;pqc9k7883>4}zf>n51zm3a1e290:wp`8d6a94?7|ug=o;i4?:0y~j2b0m3:1=vsa7e5e>5<6std
    50;3xyk1c080;61<7?t}o5g<0<728qvb:j76;295~{i?m2<6=4>{|l4`=>=83;pqc9k8883>4}zf>n3m7>51zm3a>e290:wp`8d9a94?7|ug=o4i4?:0y~j2b?m3:1=vsa7e:e>5<6std

    50;3xyk1c180;61<7?t}o5g=0<728qvb:j66;295~{i?m3<6=4>{|l4`<>=83;pqc9k9883>4}zf>n2m7>51zm3a?e290:wp`8d8a94?7|ug=o5i4?:0y~j2b>m3:1=vsa7e;e>5<6std50;3xyk1ci80;61<7?t}o5ge0<728qvb:jn6;295~{i?mk<6=4>{|l4`d>=83;pqc9ka883>4}zf>njm7>51zm3age290:wp`8d`a94?7|ug=omi4?:0y~j2bfm3:1=vsa7ece>5<6std50;3xyk1cj80;61<7?t}o5gf0<728qvb:jm6;295~{i?mh<6=4>{|l4`g>=83;pqc9kb883>4}zf>nim7>51zm3ade290:wp`8dca94?7|ug=oni4?:0y~j2bem3:1=vsa7e`e>5<6std50;3xyk1ck80;61<7?t}o5gg0<728qvb:jl6;295~{i?mi<6=4>{|l4`f>=83;pqc9kc883>4}zf>nhm7>51zm3aee290:wp`8dba94?7|ug=ooi4?:0y~j2bdm3:1=vsa7eae>5<6std50;3xyk1cl80;61<7?t}o5g`0<728qvb:jk6;295~{i?mn<6=4>{|l4`a>=83;pqc9kd883>4}zf>nom7>51zm3abe290:wp`8dea94?7|ug=ohi4?:0y~j2bcm3:1=vsa7efe>5<6std50;3xyk1cm80;61<7?t}o5ga0<728qvb:jj6;295~{i?mo<6=4>{|l4``>=83;pqc9ke883>4}zf>nnm7>51zm3ace290:wp`8dda94?7|ug=oii4?:0y~j2bbm3:1=vsa7ege>5<6std50;3xyk1cn80;61<7?t}o5gb0<728qvb:ji6;295~{i?ml<6=4>{|l4`c>=83;pqc9kf883>4}zf>nmm7>51zm3a`e290:wp`8dga94?7|ug=oji4?:0y~j2bam3:1=vsa7ede>5<6std50;3xyk1b880;6<:182xh0m9>1<7?t}o5f40<728qvb:k?6;295~{i?l:<6=4>{|l4a5>=83;pqc9j0883>4}zf>o;m7>51zm3`6e290:wp`8e1a94?7|ug=n5<6std50;3xyk1b980;61<7?t}o5f50<728qvb:k>6;295~{i?l;<6=4>{|l4a4>=83;pqc9j1883>4}zf>o:m7>51zm3`7e290:wp`8e0a94?7|ug=n=i4?:0y~j2c6m3:1=vsa7d3e>5<6std50;3xyk1b:80;61<7?t}o5f60<728qvb:k=6;295~{i?l8<6=4>{|l4a7>=83;pqc9j2883>4}zf>o9m7>51zm3`4e290:wp`8e3a94?7|ug=n>i4?:0y~j2c5m3:1=vsa7d0e>5<6std>50;3xyk1b;80;61<7?t}o5f70<728qvb:k<6;295~{i?l9<6=4>{|l4a6>=83;pqc9j3883>4}zf>o8m7>51zm3`5e290:wp`8e2a94?7|ug=n?i4?:0y~j2c4m3:1=vsa7d1e>5<6std50;3xyk1b<80;61<7?t}o5f00<728qvb:k;6;295~{i?l><6=4>{|l4a1>=83;pqc9j4883>4}zf>o?m7>51zm3`2e290:wp`8e5a94?7|ug=n8i4?:0y~j2c3m3:1=vsa7d6e>5<6std50;3xyk1b=80;61<7?t}o5f10<728qvb:k:6;295~{i?l?<6=4>{|l4a0>=83;pqc9j5883>4}zf>o>m7>51zm3`3e290:wp`8e4a94?7|ug=n9i4?:0y~j2c2m3:1=vsa7d7e>5<6std50;3xyk1b>80;61<7?t}o5f20<728qvb:k96;295~{i?l<<6=4>{|l4a3>=83;pqc9j6883>4}zf>o=m7>51zm3`0e290:wp`8e7a94?7|ug=n:i4?:0y~j2c1m3:1=vsa7d4e>5<6std50;3xyk1b?80;6>1<7?t}o5f30<728qvb:k86;295~{i?l=<6=4>{|l4a2>=83;pqc9j7883>4}zf>o51zm3`1e290:wp`8e6a94?7|ug=n;i4?:0y~j2c0m3:1=vsa7d5e>5<6std50;3xyk1b080;61<7?t}o5f<0<728qvb:k76;295~{i?l2<6=4>{|l4a=>=83;pqc9j8883>4}zf>o3m7>51zm3`>e290:wp`8e9a94?7|ug=n4i4?:0y~j2c?m3:1=vsa7d:e>5<6std50;3xyk1b180;61<7?t}o5f=0<728qvb:k66;295~{i?l3<6=4>{|l4a<>=83;pqc9j9883>4}zf>o2m7>51zm3`?e290:wp`8e8a94?7|ug=n5i4?:0y~j2c>m3:1=vsa7d;e>5<6std50;3xyk1bi80;61<7?t}o5fe0<728qvb:kn6;295~{i?lk<6=4>{|l4ad>=83;pqc9ja883>4}zf>ojm7>51zm3`ge290:wp`8e`a94?7|ug=nmi4?:0y~j2cfm3:1=vsa7dce>5<6std50;3xyk1bj80;61<7?t}o5ff0<728qvb:km6;295~{i?lh<6=4>{|l4ag>=83;pqc9jb883>4}zf>oim7>51zm3`de290:wp`8eca94?7|ug=nni4?:0y~j2cem3:1=vsa7d`e>5<6std50;3xyk1bk80;61<7?t}o5fg0<728qvb:kl6;295~{i?li<6=4>{|l4af>=83;pqc9jc883>4}zf>ohm7>51zm3`ee290:wp`8eba94?7|ug=noi4?:0y~j2cdm3:1=vsa7dae>5<6std50;3xyk1bl80;61<7?t}o5f`0<728qvb:kk6;295~{i?ln<6=4>{|l4aa>=83;pqc9jd883>4}zf>oom7>51zm3`be290:wp`8eea94?7|ug=nhi4?:0y~j2ccm3:1=vsa7dfe>5<6std50;3xyk1bm80;61<7?t}o5fa0<728qvb:kj6;295~{i?lo<6=4>{|l4a`>=83;pqc9je883>4}zf>onm7>51zm3`ce290:wp`8eda94?7|ug=nii4?:0y~j2cbm3:1=vsa7dge>5<6std50;3xyk1bn80;61<7?t}o5fb0<728qvb:ki6;295~{i?ll<6=4>{|l4ac>=83;pqc9jf883>4}zf>omm7>51zm3``e290:wp`8ega94?7|ug=nji4?:0y~j2cam3:1=vsa7dde>5<6std50;3xyk1a880;6<:182xh0n9>1<7?t}o5e40<728qvb:h?6;295~{i?o:<6=4>{|l4b5>=83;pqc9i0883>4}zf>l;m7>51zm3c6e290:wp`8f1a94?7|ug=m5<6std50;3xyk1a980;61<7?t}o5e50<728qvb:h>6;295~{i?o;<6=4>{|l4b4>=83;pqc9i1883>4}zf>l:m7>51zm3c7e290:wp`8f0a94?7|ug=m=i4?:0y~j2`6m3:1=vsa7g3e>5<6std50;3xyk1a:80;61<7?t}o5e60<728qvb:h=6;295~{i?o8<6=4>{|l4b7>=83;pqc9i2883>4}zf>l9m7>51zm3c4e290:wp`8f3a94?7|ug=m>i4?:0y~j2`5m3:1=vsa7g0e>5<6std>50;3xyk1a;80;61<7?t}o5e70<728qvb:h<6;295~{i?o9<6=4>{|l4b6>=83;pqc9i3883>4}zf>l8m7>51zm3c5e290:wp`8f2a94?7|ug=m?i4?:0y~j2`4m3:1=vsa7g1e>5<6stwvqMNL{96;g?ddi?h8;isO@Cy3yEFWstJK \ No newline at end of file +$7`:4`<,Fz_t)*@pUz'B]YCK-9>PIOT'161)L88;7)ATy&'KuR,ORTHN*<51]JJS"2;>$O>??4$NrW|!"Hx]r/JUQKC%164ZOI^-?8;#KWP348 JvSp-.D|Yv+FY]GG!528VCEZ);<7/G[TZVSW98=7)ATy&'KuR,ORTHN*<51]JJS"2;>$NT]Q_T^312>"Hx]r/(B~[x%D[[AE#;<:TECX+525-A]VXX]U9??5+OqV{ !Iw\q.MTRJL$273[LHQ,<9<"HV__uqjiwciidooSck{_0d8 JvSp-.D|Yv+FY]GG!528VCEZ);<7/S15>"Hx]r/(B~[x%D[[AE#;<:TECX+525-QLK23-Xnz)94(183>5/a3!:1<7>& )294?6.991#==>?:0234?7789#:?6&>1623>470890:=:>?)028,41783;<<=4>712*55=/91:;6<6?0;3;45/682"94=>52923>7>78 ;;7%=?0180456=;9:;%??4(2234?578908<=>& )1645<4=9:1?8>?)028,637839><=4<512*`>.3890?<=4;01+24>.189:1:=>?:7234,773!=;<=4801293567!8:0$4>?0;;345<>89:"=6>>;008554<9880=??=;009KPRW]]0YM1?>:1<1?45538>97<;=;041?41538297<7>;308654<:;80>>?;;319MKVR\3KTJ^L32282:7=5<;199?5=7391<7=51818>6=?2:116>54:29?>6=:2:156>5092>979>=;531?1453=99798=;421?0453<9978:=;471?0053<2:7;<46208214<><80:;?4739447=0:;1<8?58639437=00;1<5<572::36>>6:229>66:2::56>>0:222=67=;821?<75308974==;861?<3530<9749:;@NF4H1B.E0g?DJB8D$O>R^[_1f8EIC7E'N9S]ZP1e9BH@6J&M8T\YQ=d:COA5K)L;U[XR=k;@NF4H(C:VZ_S994AMG3I+W>3HFN<@ ]EF78EIC7[>1J@H>\.E:8EIC7['N:46OCE1Q-@710MAK>3:CM@<=FFGE_MYKK9:C\BVD;994o7LQISC>24;(WIM30MRH\B=32:a=FWOYI0GXNZH7=?0k;@]EWG:6:7$[MI74A^DPF9746m1JSK]M<01=*UGC12KTJ^L3152i5N_GQA8439&YKO56OPFR@?538c3HUM_O2>6?,SEA?e9B[CUE48=5"]OK9:C\BVD;914o7LQISC>2<;(WIM30MRH\B=3::a=FWOYI0<71.QCG<>GXNZH7=3m4A^DPF979&YKO56OPFR@?658c3HUM_O2=0?,SEA?>e9B[CUE4;;5"]OK9:C\BVD;:;4o7LQISC>16;(WIMh0MRH\B=00>48>3HUM_O2=3?f8EZ@TJ5882#^ND99B[CUE4;4h7LQISC>1:+VFL11JSK]M<2<`?DYA[K682#^ND99B[CUE4=4h7LQISC>7:+VFL11JSK]M<4<`?DYA[K6>2#^ND99B[CUE4?4h7LQISC>5:+VFL11JSK]M<6<`?DYA[K6<2#^ND99B[CUE414h7LQISC>;:+VFL11JSK]M<8<`?DYA[K622#^NDe9B[CUEW8:TEO[Id:C\BVDX98UBNXHk;@]EWGY6:VCIYKj4A^DPFZ74W@H^Ji5N_GQA[42XAK_Mh6OPFR@\50YNJ\Lo7LQISC]22ZOE]On0MRH\B^34[LDRNm1JSK]M_0:\MGSAl2KTJ^LP18]JFP@d3HUM_OQ>_H@VBa=FWOYIS?>PICWE`>GXNZHT>RGMUGf8EZ@TJV88SDLZFb9B[CUEW;UBNXHl;@]EWGY4W@H^Jn5N_GQA[1YNJ\Lh7LQISC]6[LDRNj1JSK]M_7]JFP@d3HUM_OQ8_H@VBf=FWOYIS5QFBTD`?DYA[KU2SDLZF79BkprHM:1IYK64BTQ\MK@H<2I::@64C04N*UGCm2I::@QFBTD,BFJLn2I::@QFBTD,BFJL%?1H>8CJN`9@60KBF'ZJHo5L24OFJZIE]On0O?;BEO]LFP@)Ll1H>8CJN^MAQC(C9l1H>8CJN^MAQC(C:m1H>8CJN^MAQC(V;2I3A:5L8L,SEA>C_X8:T"HV__QV\5c=BPY;;S#KWP^RW[7`P.DZS[URX=8h0IU^>0^,F\UYs{`gyicobee]maqYf3LR[=C_X8;T"HV__QV\5c=BPY;:S#KWP^RW[7`1^,F\UYs{`gyicobee]maqYf3LR[=?Q!EYRe?@^W9;U%IU^PPU]3b>C_X88T"HV__QV\5c=BPY;9S#KWP^RW[7`R JXQ]SPZ5a3LR[=?Q!EYR\TQY3n2OS\<2^,F\UYs{`gyicobee]maqYf3LR[=>Q!EYRe?@^W9:U%IU^PPU]3b>C_X89T"HV__QV\54dC_X8>T"HV__QV\14dC_X8?T"HV__QV\14dV$NT]Q{shoqakgjmmUeiyQn;DZS52Y)MQZm7HV_16]-A]VXX]U;j6KWP05\*@^WWY^T=k5JXQ34[+C_XVZ_S?h4EYR23Z(BPYU[XR=i;DZS52Y)MQZT\YQ;1c9F\U70W'OS\Rz|ilpfjdkblVdnxRo4EYR28^,F\UYW\V:m7HV_19]-A]VXX]U:j6KWP0:\*@^WWY^T>9^,F\UYW\V9m7HV_18]-A]VXX]U?j6KWP0;\*@^WWY^T9=Q!EYR\TQY7n2OS\?>P.DZS[URX9o1NT]C_X;8T"HV__QV\7c=BPY89S#KWP^RW[17e3LR[>?Q!EYR\pvojzldjahjPndv\e>C_X;9T"HV_1c9F\U44W'OS\Rz|ilpfjdkblVdnxRo4EYR10Z(BPYl0IU^=4^,F\UYW\V:m7HV_25]-A]VXX]U:j6KWP36\*@^WWY^T>k5JXQ07[+C_XVZ_S>h4EYR10Z(BPYU[XR:i;DZS61Y)MQZT\YQ:1c9F\U43W'OS\Rz|ilpfjdkblVdnxRo4EYR11Z(BPYl0IU^=5^,F\UYW\V:m7HV_24]-A]VXX]U:j6KWP37\*@^WWY^T>k5JXQ06[+C_XVZ_S>h4EYR11Z(BPYU[XR:i;DZS60Y)MQZT\YQ:1c9F\U42W'OS\Rz|ilpfjdkblVdnxR84E^KAQC1Ail;1BB>5FN118MK733@D:<95FN037?LH6:=1BB<=;;HL201=NF8??7D@>659JJ4133@D:495FN0;0?LH5<2CE>=:4IO020>OI:;>0EC<<4:KM61286GA2768MK40<2CE>5:4IO0:7>OI;=1BB>>;;HL056=NF=90EC;<;HL57>OI?:1BB5=4IO;:?LHN\Z^JXH;4INC@P2=NGHI_"I64INC@P+B602CDMNZ!D3f8MJGD\'N9S]ZP0e9JKDES&M8T\YQ>d:KLEFR)L;U[XRj4INC@P+B5WY^T8i5FO@AW*A4XX]U>;6G@ABV-U<=NGHI_"_KH6:KLF@TU02CDNH\].E;8MJDBZ[$O=45FOCGQV+B502CDNH\].Pc8MJDBZ[$YIJl4IN@FVW(U[]L:46G@BDPQ[qunejxnmykk_qpo`Z0OHD9$O=:5FOM2-@7eOHD9$O>R^[_0a8MJJ7&M8T\YQ=c:KLH5(C:VZ_S>m4INN3*A4XX]U?o6G@L1,G6ZVSW2CD@= ^8:KLH5(UMN;>7DAC0^vpmheumh~nhRv`r^;8MJHWZlkouo5FOORQadb~&Mi0EB@_Rdcg}+B6k2CDB]\jae{-@7b2:KLJUTbims%IU^PPU]157=NGGZYiljv.DZS[URX;880EB@_Rdcg}+C_XVZ_S9?j;HMMTWcflp$NT]Q{shoqakgjmmUeiyQm;HMMTWcflp$Zh6G@NQPfea)ZLM<7DA]DSGR=>OHZMXN]#Jn;HMQ@WCV&M;j7DA]DSGR*A4a3@EYH_K^.E0\TQY7n2CD^I\JQ/F1[URX9k1BC_J]EP,F\U773@EYH_K^.DZS[URX88:0EB\KRDS-A]VXX]U:==5FOSFQAT(BPYU[XR<>0:KLVATBY'OS\R^[_23`?LIUL[OZ"HV__uqjiwciidooSck{_89JKWBUMX$Zn6G@REPFU+TBO=1BCX>9;HMV4+B03@E^<#J>7:KLQ5(C:j1BCX>!D3]SPZ6d3@E^<#J=_QV\5f=NG\:%H?Q_T^0`?LIR8'N9S]ZP3b9JKP6)L;U[XR:l;HMV4+B5WY^T9n5FOT2-@7YW\V<=7DAZ0/S;?LIR8'XNK<;4INW3[qunejxnmykk_ymq[2=NG^XYI\74INUQV@W)Lh1BCZ\]EP,G5d=NG^XYI\ K2g9JKRTUMX$O>R^[_1d8MJQUZL[%H?Q_T^3e?LIPZ[OZ"IOH_[XN]#J=_QV\7c=NG^XYI\ K2^RW[1`H7=2D;(BKl;O2-FP@HM'E^X95A0/F6?K6)L8?0B= K259M4+W03G:%X_][3:L352=IM]]D^F=4OOG1?JT43F__?6^ND59SEWR03YCEBLCJa:RJJZDR[@NSn6^FN^@VWKGJM?1[ig`d99SkwrWi}b37\a\Rdcg}d=VgZXnmiw!Dc9RkVTbims%H4QnQQadb~&M8T\YQ9a:SlWWcflp$Zo6_`SSgb`|(UMN?0^L2?>89QE969&YKO:6\N<02=e>TF48:5"]OK8:PB847=87<0^L2>1?c8VD:697$[MI;4R@>2:<=UI5;5"]OK5:PB878>3[K7>3 _AE78VD:4601YM1=1.QCG1>TF4=427_O34?,SEA3245]A=7=*UGC=2XJ0;06;SC?2;(WIM?0^L28>89QE919&YKO96\N<9<:?WG;07$[MI;4R@>::<=UI535"]OK8:PBIWcflpk0^LC]e`fz*AdTFE[ojht K2^RW[4773[KF^hoky/F1[URX:8:0^LC]e`fz*A4XX]U8==5]ALPfea)L;U[XR:>0:PBIWcflp$O>R^[_4c8VDKUmhnr"\m4R@OQadb~&[OL56\N_1]LFP@e3[KTTFW9UDNXH!D0a8VDY7WFH^J#J=109QEZ6XGK_M"I<<4R@]24ZIE]O$O>R^[_131?WGX99UDNXH!D3]SPZ7d3[KT==Q@BTD-Uc=UIV;:SBLZF%@VB5763[KT=2:PB[47XGK_M(O[I0/F156=UIV;:SBLZF%@VB5(BPY;37_OP10]LFP@#J\L;"HV__QV\44>199QEZ76WFH^J)LZF1,F\UYW\V8:46\N_03\KGSA,K_M<#KWP^RW[67?3[KT=_N@VB!DRN9$Zo6\N_03\KGSA,Xi0^LQ>1^MAQC(Cl2XJS6\N_03\KGSA&M8T\YQ>e:PB[47XGK_M"HV_129QEZ76WFH^J#KWP^RW[5743[KT=TFW8UDNXH!D0a8VDY6WFH^J#J=109QEZ7XGK_M"I6\N_5]LFP@)MQZT\YQ?139QEZ2XGK_M"HV__QV\5442:PB[1YHJ\L%IU^PPU]75`=UIV>TCO[I.DZS[qune{oem`kk_ogw[g=UIV>TCO[I.P;8VDY2WFH^Jo5]A^7\KGSA&Mi0^LQ:_N@VB+B6k2XJS8Q@BTD-@7bSBLZF/G[T44SBLZF/G[TZVSW9;97_OP5^MAQC(BPYU[XR?>2:PB[0YHJ\L%IU^PPU]157=UIV?TCO[I.DZS[URX;880^LQ:_N@VB+C_XVZ_S9?j;SC\1ZIE]O$NT]Q{shoqakgjmmUeiyQm;SC\1ZIE]O$Z56\N_7]LFP@e3[KT:RAMUG,Gg>TFW?UDNXH!D0a8VDY1WFH^J#J=d:PB[3YHJ\L%IU^>2:PB[3YHJ\L%IU^PPU]357=UIVTFW?UDNXH!EYR\TQY39l1YMR8POCWE*@^WW}ybakaalgg[kcsWk1YMR8POCWE*T?;SC\3ZIE]O$O>R^[_0`8VDY0WFH^J#_6;SC\TFW1UDNXH!D3]SPZ6692XJS5Q@BTD-@7YW\V;i7_OP8^MAQC(V12XJS4Q@BTDa?WGX1VEIYK Kc:PB[TFW0UDNXH!Q29QWQ03[omYi!CD:8V``Rzn$O56\jfTpd*A7>3[omYi!D3:8V``Rzn$Zm6\jfTpd*WC@;2YNX45\euwsLjjc;2^YE95[YQG`?PUBZV\B_DLCE89UM@QX\PZN?6Xlc79TewvTMh1\m~\E/lde0=Pam~c>n5WC87201^K%8:&X\??0!*Pt`cc(Ocgi7.Yesqjkk&4&9#?7UJBL0f8\LJNFQ'SHO.?.0"PPPD'8';+M^MFI29[KW0^c`V^bahMgebig?]boW]cfiIkcax33?]bjWDkacXjrrklj46/F2g>ei|&Oy0=0!D3`8gkr(M{6;2#_k;blw+@t;87$YIJ74cov,Aw:66k1hby!Jr=3=*Ae>/F2g>ei|&Oy0<0!D3`8gkr(M{6:2#_k;blw+@t;97$YIJh4cov,MVYA[DUMJi?>1:amp*OTWOYFSKHk1/F26>ei|&CXSK]B_GDg5+B69;1hby!FS^DPIZ@Al8$O><=4cov,MVYA[DUMJi?!EYR2<>ei|&CXSK]B_GDg5+C_XVZ_S=?7;blw+LUXNZGTJKj>.DZS[URX9820ocz IR]EWHYANm;%IU^PPU]15==df}%B_RH\M^DE`4(BPYU[XR==4:amp*OTWOYFSKHk1/G[TZrtadxnblcjd^lfpZ763jd#D]PFRO\BCb6&X;87n`{/HQ\BVKXNOn:"_KH219`jq)N[VLXARHId0]wwlkdzlkiiQwos]e?fhs'@YTJ^CPFGf154=df}%B_RH\M^DE`7(C9;1hby!FS^DPIZ@Al;$O=<<4cov,MVYA[DUMJi/F24>ei|&F_e`k}<1<-@4773jd#AZfmdp?4;(C:8?0ocz LUknaw:76'N9S]ZP0078gkr(D]cfi2?>/F1[URX98?0ocz LUknaw:76'N9S]ZP2078gkr(D]cfi2?>/F1[URX;8?0ocz LUknaw:76'N9S]ZP4078gkr(D]cfi2?>/F1[URX=8?0ocz LUknaw:76'N9S]ZP6g9`jq)K\`gn~1>1.P32?fhs'E^bah|30?,QABc24;773jd#AZfmdp?558)L8;0ocz LUknaw:687$O=0?,G6ZVSW9;=7n`{/MVji`t;994%H?Q_T^322>ei|&F_e`k}<02=*A4XX]U9=?5lnu-OPlkbz5;;2#KWP058gkr(D]cfi2>0?,F\UYW\V::;6mat.NWmhcu48:5"HV__QV\57524;(BPYUdc}eocnaaYim}U:<6mat.NWmhcu48:5"\?=;blw+IRnelx7==0!RDE2b>ei|&F_e`k}<02=[qunejxnmykk_ymq[`=df}%GXdcjr=32:4625;(C981hby!CThofv9766'N:=<5lnu-OPlkbz5;:2#J=179`jq)K\`gn~1?>>/F1[URX88<0ocz LUknaw:697$O>R^[_035?fhs'E^bah|310<-@7YW\V8:<6mat.NWmhcu48;5"\?=;blw+IRnelx7=<0!RDE2b>ei|&F_e`k}<03=[qunejxnmykk_ymq[a=df}%GXdcjr=3=b>ei|&F_e`k}<0<-@462:+B6991hby!CThofv979&M8:96mat.NWmhcu484%H?Q_T^221>ei|&F_e`k}<0<-@7YW\V;:96mat.NWmhcu484%H?Q_T^021>ei|&F_e`k}<0<-@7YW\V9:=6mat.NWmhcu484%IU^>6:amp*JSadoy0<0!EYR\TQY79?1hby!CThofv979&LR[S]ZP1308gkr(D]cfi2>>/G[TZrtadxnblcjd^lfpZ`2:+W692iex"B[ilgq848)ZLMo7n`{/MVji`t;:7l0ocz LUknaw:56'N:<6mat.NWmhcu4;4%H3 K2078gkr(D]cfi2=>/F1[URX88?0ocz LUknaw:56'N9S]ZP1078gkr(D]cfi2=>/F1[URX:o1hby!CThofv949&X;:7n`{/MVji`t;:7$YIJ?j;blw+IRnelx7>3Q{sho`v`gsmmUscQk;blw+IRnelx7?3h4cov,HQojm{682#J>0:amp*JSadoy0>0!D033?fhs'E^bah|33?,G6430:+B5WY^T<<;4cov,HQojm{682#J=_QV\5430:+B5WY^T>k5lnu-OPlkbz595"\?>;blw+IRnelx7?3 ]EF3f?fhs'E^bah|33?]wwlkdzlkiiQwos]g?fhs'E^bah|34?d8gkr(D]cfi2;>/F24>ei|&F_e`k}<5<-@4773jd#AZfmdp?0;(C:8?0ocz LUknaw:36'N9S]ZP0078gkr(D]cfi2;>/F1[URX98?0ocz LUknaw:36'N9S]ZP2g9`jq)K\`gn~1:1.P32?fhs'E^bah|34?,QAB7b3jd#AZfmdp?0;Ys{`gh~ho{ee]{kwYc3jd#AZfmdp?1;`6:+B682iex"B[ilgq808)L8;;7n`{/MVji`t;=7$O><;4cov,HQojm{6>2#J=_QV\4436:+B5WY^T=<;4cov,HQojm{6>2#J=_QV\6c=df}%GXdcjr=7=*T763jd#AZfmdp?1;(UMN;n7n`{/MVji`t;=7UdclrdcwaaYg{Uo7n`{/MVji`t;>7l0ocz LUknaw:16'N:<6mat.NWmhcu4?4%H/F1[URX88?0ocz LUknaw:16'N9S]ZP1078gkr(D]cfi29>/F1[URX:o1hby!CThofv909&X;:7n`{/MVji`t;>7$YIJ?j;blw+IRnelx7:3Q{sho`v`gsmmUscQk;blw+IRnelx7;3h4cov,HQojm{6<2#J>0:amp*JSadoy0:0!D033?fhs'E^bah|37?,G6434:+B5WY^T<<;4cov,HQojm{6<2#J=_QV\5434:+B5WY^T>k5lnu-OPlkbz5=5"\?>;blw+IRnelx7;3 ]EF3f?fhs'E^bah|37?]wwlkdzlkiiQwos]g?fhs'E^bah|38?d8gkr(D]cfi27>/F24>ei|&F_e`k}<9<-@4773jd#AZfmdp?<;(C:8?0ocz LUknaw:?6'N9S]ZP0078gkr(D]cfi27>/F1[URX98?0ocz LUknaw:?6'N9S]ZP2g9`jq)K\`gn~161.P32?fhs'E^bah|38?,QAB7b3jd#AZfmdp?<;Ys{`gh~ho{ee]{kwYc3jd#AZfmdp?=;`::+B682iex"B[ilgq8<8)L8;;7n`{/MVji`t;17$O><;4cov,HQojm{622#J=_QV\443::+B5WY^T=<;4cov,HQojm{622#J=_QV\647::+C_X8<0ocz LUknaw:>6'OS\R^[_135?fhs'E^bah|39?,F\UYW\V;::6mat.NWmhcu404%IU^PPU]167=df}%GXdcjr=;=*@^WW}ybakaalgg[kcsWo1hby!CThofv9?9&X;:7n`{/MVji`t;17$YIJ?j;blw+IRnelx753Q{sho`v`gsmmUscQl;blw+IRnelx_Oh5lnu-OPlkbz]I%Hk5lnu-OPlkbz]I%H0:amp*JSadoyXN ]EF0f?fhs'E^bah|P1^llp5679&iex"B[ilgq[4Yig}:;<ei|&F_e`k}_0]mkq6788%hby!CThofvZ7Xff~;<=?PD3,G57`?1^F1*A44<2iex"B[ilgq[4Yig}:;<#J=_QV\562?1^F1*A4XX]U9?95lnu-OPlkbzV;Tbbz?013,gkr(D]cfiQ>_omw4566WM8%H?Q_T^100>ei|&F_e`k}_0]mkq6788%hby!CThofvZ7Xff~;<=?PD3,G6ZVSW=9?7n`{/MVji`tX9Vddx=>?1.amp*JSadoyS_omw4566'jd#AZfmdp\5Zhh|9:;=RJ=.E0\TQY1:l1hby!CThofvZ7Xff~;<=? cov,HQojm{U:Sca{0122[A4)Y::0ocz LUknawY6Wge<=>>/blw+IRnelxT=R``t1235ZB5&\CFo6mat.Vji`t;87l0ocz Thofv969&JOn7n`{/Uknaw:76'Nm7n`{/Uknaw:76'N:j6mat.Vji`t;87$O>h5lnu-Wmhcu494%]<>4cov,Plkbz5:5"_KH1e9`jq)Sadoy0=0Ptrkngwcf|lnTtb|Pc:amp*Rnelx7=3h4cov,Plkbz5;5"NKj;blw+Qojm{6:2#Ji;blw+Qojm{6:2#J>f:amp*Rnelx7=3 K2068gkr(\`gn~1?1.E0\TQY79=1hby![ilgq848)L;U[XR?>4:amp*Rnelx7=3 K2^RW[7c2:+TBOj1hby![ilgq878a3jd#Ygbes>1:+EBm2iex"Zfmdp?6;(Cn2iex"Zfmdp?6;(C9o1hby![ilgq878)L;;?7n`{/Uknaw:56'N9S]ZP0068gkr(\`gn~1<1.E0\TQY69=1hby![ilgq878)L;U[XR<>4:amp*Rnelx7>3 K2^RW[6c1:+TBOj1hby![ilgq868a3jd#Ygbes>0:+EBm2iex"Zfmdp?7;(Cn2iex"Zfmdp?7;(C9o1hby![ilgq868)L;;?7n`{/Uknaw:46'N9S]ZP0068gkr(\`gn~1=1.E0\TQY69=1hby![ilgq868)L;U[XR<>4:amp*Rnelx7?3 K2^RW[6c0:+TBO8n0ocz Thofv959W}yban|jaugg[}iuWk1hby![ilgqPFcei|&^bah|[C/PFC<=df}%\_1>1b:amp*QT494%Hn5lnu-TW969&M;h7n`{/VQ?4;(C:m1hby!XS=2=*@^W9;1hby!XS=2=*@^WWY^T<<<4cov,SV:76'OS\R^[_03f?fhs'^Y7<3 JXQ]wwlkumgkfiiQaeu]a?fhs'^Y7<3 ^d:amp*QT494%^HI>a:amp*QT494Tx~gbcsgbp`bXpfxT56mat.UP848e3jd#Z]31?,Gg>ei|&]X0<0!D0a8gkr(_Z6:2#J=109`jq)P[5;5"I6mat.UP848)MQZT\YQ?139`jq)P[5;5"HV__QV\544>/G[TZVSW;;n7n`{/VQ?5;(BPYUdc}eocnaaYim}Ui7n`{/VQ?5;(Vl2iex"Y\<0<-V@A>3jd#Z]32?`8gkr(_Z692#Jl;blw+RU;:7$O=n5lnu-TW949&M8:=6mat.UP878)L;U[XR>>1:amp*QT4;4%H?Q_T^3g?fhs'^Y7>3 JXQ31?fhs'^Y7>3 JXQ]SPZ66:2iex"Y\<3<-A]VXX]U:=?5lnu-TW949&LR[S]ZP2008gkr(_Z692#KWP^RW[67b3jd#Z]32?,F\UYs{`gyicobee]maqYe3jd#Z]32?,R`>ei|&]X0?0!RDE2e>ei|&]X0?0Ptrkngwcf|lnTtb|P9:amp*QT4:4i7n`{/VQ?7;(Ck2iex"Y\<2<-@4e/F154=df}%\_1=1.E0\TQY7981hby!XS=1=*A4XX]U:=<5lnu-TW959&M8T\YQ=d:amp*QT4:4%IU^>e:amp*QT4:4%IU^Ptrknv`hfelnTbhzPb:amp*QT4:4%]i5lnu-TW959&[OL=l5lnu-TW959W}yban|jaugg[}iuWh1hby!aIQN1vf=df}%eE]B=r/Fg?fhs'gC[@?|!D0f8gkr(f@ZG> K2b9`jq)iAYF9~#_j;blw+kOWD;x%^HIm;bq,jJpbzekrh6m|/oMuawjfq'Nn7n} nNtfvig~&M;n7n} nNtfvig~&M8:?6m|/oMuawjfq'N9S]ZP0018gv)iGoy`lw!D3]SPZ7a3jy$bBxjrmcz*@^W9=1h"`@vdpoe|(BPYU[XR>>4:ap+kIqm{fju#KWP^RW[4733jy$bBxjrmcz*@^WWY^T><:4cr-mKscudhs%IU^PPU]065=d{&dDzh|cax,F\UYs{`gyicobee]maqYc3jy$bBxjrmcz*T`Jz'N:o6g`bl-@3:+Bc3`eia"K\<1<-@4b2:klfh)B[5:5"Iohjd%N_1>1.Pg8mjdj'LY7<3 ]EF3a?liee&OX0=0Ptrkngwcf|lnTtb|Pa:klfh)B[5;5o6g`bl-FW979&Mn0eblb/DQ?5;(C9m1bcoc ER>2:+B59;1bcoc ER>2:+B5WY^T<<<4in`n+@U;97$O>R^[_031?liee&OX0<0!D3]SPZ46:2cdn`!JS=3=*A4XX]U8o6g`bl-FW979&Xo0eblb/DQ?5;(UMNk0eblb/DQ?6;eohjd%N_1<1.E3g?liee&OX0?0!D331?liee&OX0?0!D3]SPZ66:2cdn`!JS=0=*A4XX]U:=?5foco,AV:56'N9S]ZP2b9jkgk(MZ692#_j;hmai*CT4;4%^HI>b:klfh)B[585Sy}fmbpfeqccWqeySl5foco,AV:46j1bcoc ER>0:+Bc3`eia"K\<2<-@4b2:klfh)B[595"I/F1[URX;j1bcoc ER>0:+Wb3`eia"K\<2<-V@A6j2cdn`!JS=1=[qunejxnmykk_ymq[2=ngkg$I74in`n+@t)Lh1bcoc Es,G5d=ngkg$I K289jkgk(M{$Zn6g`bl-Fv+TBOm1bcoc INPGV@Wun2cdn`!FOSFQATt)L8:0eblb/HMQ@WCVz'N:==5foco,MJTCZL[y"Iohjd%BC^>m;hmai*OH[9$Oo6g`bl-JKV6)L8i0eblb/HMP4+B5981bcoc INQ3*A4XX]U;=<5foco,MJU7&M8T\YQ>109jkgk(AFY;"I5foco,MJUXNZGTJKj>.E37?liee&CD_RH\M^DE`4(C98>0eblb/HMP[CUJWOLo=#J=129jkgk(AFYTJ^CPFGf2*T723`eia"G@S^DPIZ@Al8$YIJ?>;hmai*OH[VLXARHId330?liee&CD_RH\M^DE`7(C9=1bcoc INQ\BVKXNOn9"I?>4:klfh)NGZUM_@QIFe0-@77>3`eia"G@S^DPIZ@Al;$O>R^[_13:?liee&CD_RH\M^DE`7(C:VZ_S%H??<;hmai*OH[VLXARHId5,R50=ngkg$EB]PFRO\BCb3&[OL=<5foco,MJUXNZGTJKj:129jkgk(AFYTJ^CPFGf6*A733`eia"G@S^DPIZ@Al<$O=<:4in`n+LITWOYFSKHk5/F156=ngkg$EB]PFRO\BCb2&X;>7damm.KLWZ@TEVLMh8 ]EF32?liee&CD_RH\M^DE`3743`eia"G@S^DPIZ@Al?$O=95foco,MJUXNZGTJKj9.E320>ohjd%BC^QISL]EBa0)L;;27damm.KLWZ@TEVLMh; K2^RW[57>3`eia"G@S^DPIZ@Al?$O>R^[_030?liee&CD_RH\M^DE`3(V9<1bcoc INQ\BVKXNOn="_KH109jkgk(AFYTJ^CPFGf456=ngkg$EB]PFRO\BCb0&M;?7damm.KLWZ@TEVLMh: K1068mjdj'@EXSK]B_GDg3+B5901bcoc INQ\BVKXNOn<"I189jkgk(AFYTJ^CPFGf4*A4XX]U9=>5foco,MJUXNZGTJKj8.P36?liee&CD_RH\M^DE`2(UMNn0eblb/HMTVWCVzo1bcoc INUQV@Wu&M;;7damm.KLSWTBY{$O=<>4in`n+LIPZ[OZ~#J=f:klfh)NG^XYI\|!Q038mjdj'@E\^_K^r/PFC<=ngkg$Z]O}b:klfh)QXHx%Hn5foco,RUGu&M;h7damm.TSEw(C:k1bcoc VQCq*Tb.DZS[URX88>0ebl|/Bnfew7)MQZT\YQ>159jkgu(Keoj~< JXQ]SPZ4582cdn~!Lldcq5+C_XV~xe`|jn`of`Zhb|Vn0ebl|/Bnfew7)Yo1bco} Cmgbv4(UMNh0ebl|/HMBGQtc3`ei"G@ABVq*Acohjz%BCIAAEs,Gb>ohjz%BCIAAEs,G5c=ngky$EBJ@NDp-@7cohjz%BCA?!CD`8mjdt'@EG=#Jl;hmaw*OHD8$O=n5focq,MJJ6&M8i7dams.KLH4(Vl2cdn~!FOM3-V@Af3`ei"G@RV3`?lie{&CD^Z?!De9jkgu(AFX\=#J>d:klfv)NG[]:"I<>2:klfv)NG[]:"Iohjz%BC_Y>.E0\TQY3m2cdn~!FOSU2*@^W9o1bco} INPT5+C_XV~xe`|jn`of`Zhb|Vi0ebl|/HMQS4(Vm2cdn~!FOSU2*WC@9k1bco} INPT5Zrtadiyilzjd^zlvZ?.SGDe>ohjz%Gclj>c:klfv)Kghn:"Ij4in`p+Iifl8$O=i5focq,Hjgc9'N9=?5focq,Hjgc9'N9S]ZP0008mjdt'Eejh< K2^RW[4753`ei"B`ae3-@7YW\V8:>6g`br-Okdb6&M8T\YQ<139jkgu(Dfko=#J=_QV\0443:klfv)S[VLXARHId0,G64>6g`br-WWZ@TEVLMh< ^159jkgu(\ZUM_@QIFe3-V@A682cdn~![S^DPIZ@Al;;97dams.VP[CUJWOLo>#J>3:klfv)S[VLXARHId3,G545#KWP^RW[4423`ei"Z\_GQN[C@c:'OS\Rz|ilpfjdkblVdnxR?=;hmaw*RTWOYFSKHk2/S20>ohjz%__RH\M^DE`7(UMN30bLJ`uuNF4a=iIMe~xAK?.QCGa>hFLf@H>POCWE55=iIMe~xAK?_N@VB+B692dJHb{{LD2\KGSA&M;:=6`NDnwwH@6XGK_M"I<>0:lB@jssDL:TCO[I.P;8jDBh}}FN=i5aAEmvpIC6&YKOi6`NDnwwH@7XGK_M==5aAEmvpIC6WFH^J#J>1:lB@jssDL;TCO[I.E325>hFLf@H?POCWE*A46>2dJHb{{LD3\KGSA&M8T\YQ?179mEAir|EO:SBLZF/F1[URX98:0bLJ`uuNF5ZIE]O$Z=?5aAEmvpIC6WFH^J#\JG69mEVYA[Kh0bL]PFR@-TDBd3gKXSK]M_H@VB2=iIZUBCOl4n@Q\MJD)XHNj7cO\_HMAkprc3gKXSDAMotv'K@ehF[VCDNb{{.SGDb>hF[VCDNb{{.UPPP2=iIfCHl4n@mvpJC)XHNh7cO`uuMF[JDRNl1eMb{{OD]LFP@)Lo1eMb{{OD]LFP@)L8l0bLaztNG\KGSA&M8:<6`NotvLAZIE]O$NT]?:;oClqqIBWFH^J#KWP^RW[5723gKdyyAJ_N@VB+C_XVZ_S?:;oClqqIBWFH^J#KWP^RW[1463gKdyyAJ_N@VB+C_XV~xe`|jn`of`Zhb|Vo0bLaztNG\KGSA&X;;7cO`uuMF[JDRN'XNK45aBDPQ[CUEl2dII_\PFR@-TDBb3gHN^_QISC]LFP@682dII_\PFR@\KGSA&M;:7cLJRS]EWGYHJ\L%H;o@FVWYA[KUDNXH!D335?kDBZ[UM_OQ@BTD-@7YW\V:::6`MESP\BVDXGK_M"I<<4nCGQVZ@TJVEIYK JXQ34?kDBZ[UM_OQ@BTD-A]VXX]U;=:5aBDPQ[CUEWFH^J#KWP^RW[4703gHN^_QISC]LFP@)MQZT\YQ=229mF@TUWOYISBLZF/G[TZrtadxnblcjd^lfpZ773gHN^_QISC]LFP@)Y880bOK]R^DPFZIE]O$YIJ?=;o@FVWYA[KUDNXH!UHO:?kDBZ[UBCOj4nCGQVZOHJ'ZJHh5aBDPQ[LIEW@H^J:5aBS]JKGdhEZVCDNRAMUG,G6ZVSW9;?7cL]_HMA[JDRN'N9S]ZP1028jGTXAFHTCO[I.DZS50=iJ[UBCOQ@BTD-A]VXX]U;=85aBS]JKGYHJ\L%IU^PPU]250=iJ[UBCOQ@BTD-A]VXX]U9><5aBS]JKGYHJ\L%IU^Ptrknv`hfelnTbhzPe:lAVZOHJVEIYK ^119mFWYNGKUDNXH!RDE2`>hEZVCDNRAMUG]wwlkdzlkiiQwos]7?kEF[11eOL]!P@F:?kEF[VEIYKl4nBCP[JDRN'Nh7cMNS^MAQC(C9j1eOL]POCWE*A4692dHM^Q@BTD-@7YW\V::=6`LAR]LFP@)L;U[XR?>1:l@EVYHJ\L%H?Q_T^025>hDIZUDNXH!D3]SPZ5692dHM^Q@BTD-@7YW\V>:=6`LAR]LFP@)L;U[XR;>1:l@EVYHJ\L%H?Q_T^4a?kEF[VEIYK ^d:l@EVYHJ\L%^HIn;oFWEFMXNZHn7cJ[ABI\BVD)XHNm7cJ[ABI\BVDXGK_M=<5aDUC@OZ@TJVEIYK*^109m@QGDCVLXNRAMUG,G57=iL]KHGRH\B^MAQC(C9880bIZNCJ]EWGYHJ\L%H??8;oFWEFMXNZHTCO[I.E0\TQY79>1eHYOLK^DPFZIE]O$O>R^[_034?kBSIJATJ^LPOCWE*A4XX]U9=:5aDUC@OZ@TJVEIYK K2^RW[6703gN_MNEPFR@\KGSA&M8T\YQ;169m@QGDCVLXNRAMUG,G6ZVSW<;:7cJ[ABI\BVDXGK_M"\?<;oFWEFMXNZHTCO[I.SGDe>hC\HI@SDAMe:lGPDELW@EI"]OKf:lGPDELW@EISDLZF79m@lhKMh1eHd`CE/RB@g=iL`dGIRAMUGf8jAoiDLUDNXH!Dd9m@lhKMVEIYK K1d9m@lhKMVEIYK K2g9m@lhKMVEIYK JXQ37?kBnfEOTCO[I.DZS[URX88>0bIgaLD]LFP@)MQZT\YQ>159m@lhKMVEIYK JXQ]SPZ4582dOecBJ_N@VB+C_XV~xe`|jn`of`Zhb|Vn0bIgaLD]LFP@)Yo1eHd`CE^MAQC(UMN<0bIgaODc8jAoiGL$[MIl4nEkmK@YHJ\Lo7cJfnNG\KGSA&Mo0bIgaOD]LFP@)L8o0bIgaOD]LFP@)L;;87cJfnNG\KGSA&M8T\YQ?129m@lhHMVEIYK K2^RW[4743gNbbBKPOCWE*A4XX]U9h6`KioMF[JDRN'[<7cJ`uuMFf>hCg|~DI#^NDb9m@jssGLUDNXHj;oFlqqIBWFH^J#Ji;oFlqqIBWFH^J#J>f:lGkprHMVEIYK K2068jAir|FOTCO[I.E0\TQY79=1eHb{{OD]LFP@)L;U[XR?j;oFlqqIBWFH^J#_:;oKSH7?#^ND`9mMUJ5W@H^J55aLEQ\BVDd3gFO_RH\B/RB@a=iDMYTJ^LPICWE<>hKLZUBCOm4nMFP[LIE&YKOn6`CDR]JKGir|l1e@I]PIN@lqq"HMm1e@I]PIN@lqq"V9<1e@I]PIN@lqq(E]OEN"B[[d:lO@VYNGKe~x#Jj;oNGWZOHJf"I?j;oNGWZOHJf"I<>3:lO@VYNGKe~x#J=_QV\4454nMFP[LIEg|~%X_][3:lLA2=iGL$[MI64nNG\KGSAi2dDIRAMUG,Gf>hHMVEIYK K1c9mK@YHJ\L%H?m4nNG\KGSA&LR[=<5aOD]LFP@)MQZT\YQ?109mK@YHJ\L%IU^PPU]254=iGLUDNXH!EYR\TQY5981eCHQ@BTD-A]VXX]U8=<5aOD]LFP@)MQZT\YQ;1e9mK@YHJ\L%IU^Ptrknv`hfelnTbhzPa:lLAZIE]O$Zo6`@E^MAQC(UMN=0b_OBLVGa?kTFEE]N"]OKc:lQEHJPMVEIYKk4nSCNHRCXGK_M"Ih4nSCNHRCXGK_M"I?i;oPBIIQBWFH^J#J=119mVDKK_LUDNXH!EYR21>hUIDF\IRAMUG,F\UYW\V::96`]ALNTAZIE]O$NT]Q_T^321>hUIDF\IRAMUG,F\UYW\V89=6`]ALNTAZIE]O$NT]Q{shoqakgjmmUeiyQj;oPBIIQBWFH^J#_8;oPBIPQBj2dYM@[XE/RB@f=iZHG^[HQ@BTDf?kTFE\]NSBLZF/Fe?kTFE\]NSBLZF/F2b>hUID_\IRAMUG,G646hUID_\IRAMUG,R0>hUIZ20b_O\.QCG=>hUIZUDNXHm;oPBWZIE]O$Oo6`]AR]LFP@)L8i0b_O\_N@VB+B5l2dYM^Q@BTD-A]V6:2dYM^Q@BTD-A]VXX]U;=?5aR@Q\KGSA&LR[S]ZP1008jWGTWFH^J#KWP^RW[7753gXJ_RAMUG,F\UYW\V9:>6`]AR]LFP@)MQZT\YQ;1d9mVDUXGK_M"HV__uqjiwciidooSck{_c9mVDUXGK_M"\:4nSGP<>hUMZ$[MI94nSGPkpr>3gXN_b{{.Ec8jWCTg|~%HhUGDIXSBLZF/G[TZVSW9;?7c\@MBQ\KGSA&LR[S]ZP1068jWIJKZUDNXH!EYR\TQY59=1e^BCLS^MAQC(BPYU[XR=>4:lQKHETWFH^J#KWP^RW[1473gXDAN]POCWE*@^WW}ybakaalgg[kcsWm1e^BCLS^MAQC(V>2dYC@YJa:lQKHQB&YKOn6`]OLUF[JDRNm1e^BCXE^MAQC(Cm2dYC@YJ_N@VB+B6m2dYC@YJ_N@VB+B5n2dYC@YJ_N@VB+C_X8>0b_ABWD]LFP@)MQZT\YQ?159mVJKPMVEIYK JXQ]SPZ76<2dYC@YJ_N@VB+C_XVZ_S??;;oPLIRCXGK_M"HV__QV\776>3:lV@VYNGKe~x#J=_QV\545hQEHUBCO _AE`8jSKFW@EIcxzj;oTNEZOHJf(BKk;oTNEZOHJf(\?:;oTNEZOHJf"O[IOD,LQQbtfe&HJOY|!D3`8vdk(JHI_~#_k;scn+GGD\{$YIJo4r`o,@QGDC{i0~lc DUC@Ow(Cl2xja"J[ABIq*A7c3{kf#IZNCJp-@7etfe&N_MNE}.SGD=>tfe&XJ_HBm;scn+WGTME$Oo6|nm.PBW@J)L8i0~lc R@QFH+B5981ym`!]ARGO*A4XX]U;=<5}al-QEVCK&M8T\YQ>109qeh)UIZOG"Itfe&XJ_HB!EYR26>tfe&XJ_HB!EYR\TQY79;1ym`!]ARGO*@^WWY^T=c:pbi*TF[LD%H??>;scn+WGTMG$O>R^[_132?wgj'[KXIC K2^RW[4763{kf#_O\EO,G6ZVSW;;:7ob/SCPAK(C:VZ_S>?>;scn+WGTMG$O>R^[_532?wgj'[KXIC K2^RW[0d;scn+WGTz{$O>R^[_3f8vdk(ZHYy~#KWP008vdk(ZHYy~#KWP^RW[5753{kf#_O\rs,F\UYW\V;:>6|nm.PBWwt)MQZT\YQ=1d9qeh)UIZxy"HV__uqjiwciidooSck{_c9qeh)UIZxy"\j4r`o,VDUuz'XNKk5}al-QWZ@TEVLMh;scn+WUXNZGTJKj>.E31?wgj'[YTJ^CPFGf2*A76:2xja"\\_GQN[C@c9'N9=<5}al-QWZ@TEVLMh< ^129qeh)U[VLXARHId0,QAB`6|nm.PP[CUJWOLo>#J>139qeh)U[VLXARHId3,G647>8:pbi*TTWOYFSKHk3/G[TZVSW8;37ob/SQ\BVKXNOn8"HV__QV\64>6|nm.PP[CUJWOLo8#J=129qeh)U[VLXARHId5,F\U7?3{kf#_]PFRO\BCb3&LR[S]ZP00:8vdk(ZZUM_@QIFe6-A]VXX]U:=55}al-QWZ@TEVLMh9 JXQ]SPZ4602xja"\\_GQN[C@c<'OS\R^[_207?wgj'[YTJ^CPFGf7*@^WW}ybakaalgg[kcsW8;0~lc RR]EWHYANm>%]<=4r`o,VVYA[DUMJi:!RDEe?wgj'[YTJ^CPFGf654=uid%Y_RH\M^DE`0(C9;1ym`!]S^DPIZ@Al<$O=<<4r`o,VVYA[DUMJi;!D334?wgj'[YTJ^CPFGf6*A4XX]U;=:5}al-QWZ@TEVLMh8 K2^RW[4763{kf#_]PFRO\BCb2&X;87ob/SQ\BVKXNOn>"_KHf:pbi*TTWOYFSKHk6038vdk(ZZUM_@QIFe4-@447:pbi*TTWOYFSKHk7/F1[URX88=0~lc RR]EWHYANm=%H?Q_T^323>tfe&XXSK]B_GDg3+B5WY^T><94r`o,VVYA[DUMJi9!D3]SPZ56?2xja"\\_GQN[C@c?'N9S]ZP4058vdk(ZZUM_@QIFe5-@7YW\V?:=6|nm.PP[CUJWOLo;#_>3:pbi*TTWOYFSKHk7/PFCc=uid%Y_RH\M^DE`=763{kf#_]PFRO\BCb?&M;97ob/SQ\BVKXNOn3"I?>2:pbi*TTWOYFSKHk8/F152=uid%Y_RH\M^DE`=(C:VZ_S=?8;scn+WUXNZGTJKj7.E0\TQY69>1ym`!]S^DPIZ@Al1$O>R^[_334?wgj'[YTJ^CPFGf;*A4XX]U8=:5}al-QWZ@TEVLMh5 K2^RW[1703{kf#_]PFRO\BCb?&M8T\YQ:169qeh)U[VLXARHId9,G6ZVSW?;:7ob/SQ\BVKXNOn3"\?<;scn+WUXNZGTJKj7.SGDf>tfe&XnjIaaee9qeh)UmoNdbh Ke:pbi*TbnMeei#J>e:pbi*TbnMeei#J=129qeh)UmoNdbh K2^RW[5743{kf#_kiDnlf*A4XX]U:h6|nm.PfbAiim'[m7ob/Sge@jhb&[OL96xnltg~DEv?=1>0LMv=a58E>7<6sZn86?o8:`8271d68o0::5<7{o0a5?70j6<=;b02e>40?:11]>lj52zw2`6<63|;o87>4}%0b5?7c:2.:i>4=a79a6d5=83>=689n:474M4f82.95k4=a29Yfc<5s;k1=h4ri8694?"6m?02?6`>e483?>o>93:1(;2d:i84=;:k;`?6=,8o=64=4n0g6>6=e78:7>h6m<0?76g77;29 4c12090b5$0g5><56:54i9394?"6m?02?6`>e48;?>o0l3:1(;2d:i84m;:k`f?6=3`i;6=44i6d94?"6m?02?6`>e48:?>o013:1(;2d:i84k;:k206<722c=>=4?::k7b2<722cj>7>5$0g5><56k54i`694?"6m?02?6`>e48f?>o2:00;66g:5c83>>o2080;66g:eg83>>oc03:17d;8e;29?l06l3:17d6m:18'5`0=1:1e=h;51798md6=83.:i;463:l2a0<6821b5;4?:%3f2??43g;n97?:;:k:42<3`3j6=4+1d49=6=i9l?1=>54i8a94?"6m?02?6`>e4826>=n1l0;6)?j6;;0?k7b=3;:76a90283>>i3nh0;66a:8583>>i2n;0;66a:1983>>i19;0;66a:bd83>>i2:j0;66a=0083>!7b>3;mm6`>e48;?>i2f783>!7b>3;mm6`>e48a?>i6n10;6)?j6;3ee>h6m<0:76a>fe83>!7b>3;mm6`>e48b?>i6no0;6)?j6;3ee>h6m<0276a=0283>!7b>3;mm6`>e484?>i58<0;6)?j6;3ee>h6m<0=76a=0683>!7b>3;mm6`>e486?>i5800;6)?j6;3ee>h6m<0?76a=0c83>!7b>3;mm6`>e480?>i58m0;6)?j6;3ee>h6m<0976a:e383>>i3m?0;66a:3e83>>i2=l0;66a:9483>>-2kj0;66a>fc83>!7b>3;mm6`>e483?>i3mm0;66a:0883>>i2>k0;66a:7483>>i2i=0;66a:b183>>i2l=0;66al4;29?g?2290:6=4?{%0bg?7b:2B9m=5f1d094?"5ij0:i?5+1e396g=#9l>1>o54}c`7>5<5290;w)lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+637951=#>;>1=95r}|8yg?5290:6=4?{%0bg?7b:2B9m=5f1d094?"5ij0:i?5+1e3962=#9l>1>:54}c`0>5<5290;w)lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+637951=#>;>1=95r}|8yg?7290:6=4?{%0bg?7b:2B9m=5f1d094?"5ij0:i?5+1e3960=#9l>1>854}c`1>5<5290;w)lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+637951=#>;>1=95r}|8yg>b290:6=4?{%0bg?7b:2B9m=5f1d094?"5ij0:i?5+1e3961=#9l>1>954}c`2>5<5290;w)lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+637951=#>;>1=95r}|8yg>f290:6=4?{%0bg?7b:2B9m=5f1d094?"5ij0:i?5+1e3966=#9l>1>>54}cce>5<5290;w)lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+637951=#>;>1=95r}|8yg>?290:6=4?{%0bg?7b:2B9m=5f1d094?"5ij0:i?5+1e3967=#9l>1>?54}ccf>5<5290;w)lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+637951=#>;>1=95r}|8yg>1290:6=4?{%0bg?7b:2B9m=5f1d094?"5ij0:i?5+1e3964=#9l>1><54}ccg>5<5290;w)lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+637951=#>;>1=95r}|8yg>3290:6=4?{%0bg?7b:2B9m=5f1d094?"5ij0:i?5+1e3965=#9l>1>=54}cc`>5<5290;w)lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+637951=#>;>1=95r}|8yg>5290:6=4?{%0bg?7b:2B9m=5f1d094?"5ij0:i?5+1e395c=#9l>1=k54}cca>5<5290;w)lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+637951=#>;>1=95r}|8yg1b290:6=4?{%0bg?7b:2B9m=5f1d094?"5ij0:i?5+1e395f=#9l>1=n54}cc:>5<5290;w)lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+637951=#>;>1=95r}|8yg1d290:6=4?{%0bg?7b:2B9m=5f1d094?"5ij0:i?5+1e395g=#9l>1=o54}cc;>5<5290;w)lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+637951=#>;>1=95r}|8yged290:6=4?{%0bg?7b:2B9m=5f1d094?"5ij0:i?5+1e396<=#9l>1>454}cag>5<5290;w)lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+637951=#>;>1=95r}|8ygeb29096=4?{%0bg?db3A8j<6g>4883>!4fk3;?565`1d394?"5ij0:i<5Y2`g95~J5j90:w[j8:3y'5`>=9l;0Z<:=:3y'273=991/:?:5119~yx{e09K6dd<^;kn6:3:8 4c32;207pll2;296?6=8r.9mn4me:J1e5=n9=31<7*=ab820<=N5i91b=9750;&1ef<6<010c:18'6de=9l;0D?om;W0ba?7|D;h;64381!05=3;;7)8=4;33?x{zu2wi4=4?:083>5}#:hi1=h<4H3c3?l7b:3:1(?ol:0g1?!7c93;o7)?j4;3g?>{eih0;6?4?:1y'6de=jl1C>l>4i06:>5<#:hi1=974;n3f5?6=,;kh6;W0ba?7|D;h;64381!05=3;?7)8=4;37?x{zu2wi;l4?:083>5}#:hi1=h<4H3c3?l7b:3:1(?ol:0g1?!7c93?j7)?j4;7b?>{ei>0;6?4?:1y'6de=jl1C>l>4i06:>5<#:hi1=974;n3f5?6=,;kh6;W0ba?7|D;h;64381!05=3;?7)8=4;37?x{zu2wi;54?:083>5}#:hi1=h<4H3c3?l7b:3:1(?ol:0g1?!7c93?97)?j4;71?>{ei?0;6?4?:1y'6de=jl1C>l>4i06:>5<#:hi1=974;n3f5?6=,;kh6;W0ba?7|D;h;64381!05=3;?7)8=4;37?x{zu2wi=9:50;394?6|,;kh6o6m;0;6)"6l809h6*>e581`>=zj8>>6=4=:183!4fk3hn7Ee09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1==5+636955=zutw0qo?;6;296?6=8r.9mn4me:J1e5=n9=31<7*=ab820<={e>;;1<7?50;2x 7gd28o97E4883>!4fk3;?565`1d394?"5ij0:i<5Y2`g95~J5j90:w[j8:3y'5`>=9l;0Z<:=:3y'273=9=1/:?:5159~yx{m47>51;294~"5ij0:i?5G2`28m4c5290/>lm51d08 4b62:80(l>4i06:>5<#:hi1=974;n3f5?6=,;kh6;W0ba?7|D;h;64381!05=3;?7)8=4;37?x{zu2wim>4?:083>5}#:hi1=h<4H3c3?l7b:3:1(?ol:0g1?!7c93>>7)?j4;66?>{ejk0;6?4?:1y'6de=jl1C>l>4i06:>5<#:hi1=974;n3f5?6=,;kh6;W0ba?7|D;h;64381!05=3;?7)8=4;37?x{zu2wim84?:083>5}#:hi1=h<4H3c3?l7b:3:1(?ol:0g1?!7c93>j7)?j4;6b?>{ejj0;6?4?:1y'6de=jl1C>l>4i06:>5<#:hi1=974;n3f5?6=,;kh6;W0ba?7|D;h;64381!05=3;?7)8=4;37?x{zu2wi9?o50;394?6|,;kh6o6m;0;6)"6l80?i6*>e587a>=zj<8i6=4=:183!4fk3hn7Ee09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1=95+636951=zutw0qo?kd;296?6=8r.9mn4me:J1e5=n9=31<7*=ab820<=0qpsr;|`61f<7280;6=u+2`a95`4<@;k;7d?j2;29 7gd28o97)?k1;73?!7b<3?;76sm54f94?4=83:p(?ol:cg8L7g73`;?57>5$3c`>42>32e:i<4?:%0bg?7b92\9mh4>{M0a4?7|^m=1>v*>e982a4=Q9=81>v*924820>"1:=0:86sr}|9~f0>5290:6=4?{%0bg?7b:2B9m=5f1d094?"5ij0:i?5+1e3974=#9l>1?<54}c7;7?6=:3:1N5i91b=9750;&1ef<6<010c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>742<,?8?6<:4}|~?xd2n90;6<4?:1y'6de=9l80D?o?;h3f6?6=,;kh6t$3c`>gc<@;k;7d?;9;29 7gd28>276a>e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&560<6<2.=>94>4:~yx=zjm31<7?50;2x 7gd28o97E4883>!4fk3;?565`1d394?"5ij0:i<5Y2`g95~J5j90:w[j8:3y'5`>=9l;0Z<:=:3y'273=9=1/:?:5159~yx{51;294~"5ij0:i?5G2`28m4c5290/>lm51d08 4b62l>4i06:>5<#:hi1=974;n3f5?6=,;kh6;W0ba?7|D;h;64381!05=3;?7)8=4;37?x{zu2wi:o6m;0;6)"6l80?j6*>e587b>=zj?;m6=4=:183!4fk3hn7Ee09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1=95+636951=zutw0qo6l:182>5<7s-8jo7?j2:J1e5=n9l81<7*=ab82a7=#9m;19k5+1d691c=e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1=95+636951=zutw0qoo>:182>5<7s-8jo7?j2:J1e5=n9l81<7*=ab82a7=#9m;1?55+1d697==e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1=95+636951=zutw0qo78:182>5<7s-8jo7?j2:J1e5=n9l81<7*=ab82a7=#9m;1:=5+1d6925=6=4=:183!4fk3hn7Ee09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1=95+636951=zutw0qo76:182>5<7s-8jo7?j2:J1e5=n9l81<7*=ab82a7=#9m;1:<5+1d6924=e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1=95+636951=zutw0qo7m:182>5<7s-8jo7?j2:J1e5=n9l81<7*=ab82a7=#9m;1:?5+1d6927=e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1=95+636951=zutw0qo7k:182>5<7s-8jo7?j2:J1e5=n9l81<7*=ab82a7=#9m;1>;5+1d6963=e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1=95+636951=zutw0qo7i:182>5<7s-8jo7?j2:J1e5=n9l81<7*=ab82a7=#9m;1?=5+1d6975=e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1=95+636951=zutw0qo8?4;295?6=8r.9mn4>e39K6d61b<3th=<>4?:283>5}#:hi1>4k4H3c3?l7313:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;9:T207<5s-<997?=;%410?753twvq6gk4;29 7gd2m>0Z?oj:0yO6g6=9r\o;7a2<^8>96?u+6379f>"1:=0i7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th?jo4?:083>5}#:hi1=h<4H3c3?j7b:3:1(?ol:0g1?!7c93??7)?j4;77?>{e6*925826>{zut1bh94?:%0bg?b33_8ji7?tL3`3>4}Ql>09w)?j8;f7?S73:38p(;<::c9'272=j2wvqp5`1d394?"5ij0:i<5Y2`g95~J5j90:w[j8:3y'5`>=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e=1?1<7?50;2x 7gd28o97E329086=4?{%0bg?4>m2B9m=5f15;94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9=30Z<:=:3y'273=9;1/:?:5139~yx{1<7*=ab8g0>P5il0:wAg=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f0`4290:6=4?{%0bg?7b:2B9m=5`1d094?"5ij0:i?5+1e3916=#9l>19>54}c7e6?6=;3:142>3_;?>744<,?8?6<<4}|~?lb3290/>lm5d59U6dc=9rF9n=4>{Wf4>7}#9l21h95Y15096~"1:<0i7)8=4;`8yx{z3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c72=?6=93:1:5`8 4c32=h07pl:1983>7<729q/>lm5bd9K6d626=4+2`a951?<3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;31?!05<3;97psr}:a245=83;1<7>t$3c`>4c53A8j<6a>e383>!4fk3;n>6*>d086a>"6m=0>i65rb731>5<5290;w)lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+637957=#>;>1=?5r}|8yg3en3:1=7>50z&1ef<6m;1C>l>4o0g1>5<#:hi1=h<4$0f2>11<,8o?6994;|`6f`<72;0;6=u+2`a9f`=O:h:0e<:6:18'6de=9=307b?j1;29 7gd28o:7[{e=;n1<7?50;2x 7gd28o97E4883>!4fk3;?565`1d394?"5ij0:i<5Y2`g95~J5j90:w[j8:3y'5`>=9l;0Z<:=:3y'273=9;1/:?:5139~yx{7>51;294~"5ij0:i?5G2`28k4c5290/>lm51d08 4b62:k0(l>4i06:>5<#:hi1=974;n3f5?6=,;kh6;W0ba?7|D;h;64381!05=3;97)8=4;31?x{zu2wi99j50;394?6|,;kh6i6m;0;6)"6l809i6*>e581a>=zj<>h6=4=:183!4fk3hn7Ee09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1=?5+636957=zutw0qo?i7;295?6=8r.9mn4>e39K6d64$0g7>16<3th:j;4?:383>5}#:hi1nh5G2`28m42>290/>lm515;8?j7b93:1(?ol:0g2?S4fm3;p@?l?:0yU`2<5s-;n47?j1:T207<5s-<997?=;%410?753twvq6sm1g;94?7=83:p(?ol:0g1?M4f82e:i?4?:%0bg?7b:2.:h<4;2:&2a1<3:21vn5<7s-8jo7lj;I0b4>o6<00;6)=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5139'272=9;1vqps4}c3ea?6=93:1:2g8 4c32:o07pl>fe83>7<729q/>lm5bd9K6d626=4+2`a951?<3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;31?!05<3;97psr}:a656=83;1<7>t$3c`>4c53A8j<6a>e383>!4fk3;n>6*>d080g>"6m=08o65rb0de>5<5290;w)lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+637957=#>;>1=?5r}|8yg47<3:1=7>50z&1ef<6m;1C>l>4o0g1>5<#:hi1=h<4$0f2>6?<,8o?6>74;|`146<72;0;6=u+2`a9f`=O:h:0e<:6:18'6de=9=307b?j1;29 7gd28o:7[{e:9<1<7?50;2x 7gd28o97E4883>!4fk3;?565`1d394?"5ij0:i<5Y2`g95~J5j90:w[j8:3y'5`>=9l;0Z<:=:3y'273=9;1/:?:5139~yx{51;294~"5ij0:i?5G2`28k4c5290/>lm51d08 4b62:n0(0;6?4?:1y'6de=jl1C>l>4i06:>5<#:hi1=974;n3f5?6=,;kh6;W0ba?7|D;h;64381!05=3;97)8=4;31?x{zu2wi>=o50;394?6|,;kh6i6m;0;6)"6l808j6*>e580b>=zj;:26=4=:183!4fk3hn7Ee09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1=?5+636957=zutw0qoe39K6d617<3th95}#:hi1nh5G2`28m42>290/>lm515;8?j7b93:1(?ol:0g2?S4fm3;p@?l?:0yU`2<5s-;n47?j1:T207<5s-<997?=;%410?753twvq6sm21g94?7=83:p(?ol:0g1?M4f82e:i?4?:%0bg?7b:2.:h<4;3:&2a1<3;21vn?>k:181>5<7s-8jo7lj;I0b4>o6<00;6)=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5139'272=9;1vqps4}c7f7?6=93:1:268 4c32:>07pl:e383>7<729q/>lm5bd9K6d626=4+2`a951?<3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;31?!05<3;97psr}:a0`1=83;1<7>t$3c`>4c53A8j<6a>e383>!4fk3;n>6*>d0862>"6m=0>:65rb5g5>5<5290;w)lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+637957=#>;>1=?5r}|8yg34m3:1=7>50z&1ef<6m;1C>l>4o0g1>5<#:hi1=h<4$0f2>60<,8o?6>84;|`67a<72;0;6=u+2`a9f`=O:h:0e<:6:18'6de=9=307b?j1;29 7gd28o:7[{e=4883>!4fk3;?565`1d394?"5ij0:i<5Y2`g95~J5j90:w[j8:3y'5`>=9l;0Z<:=:3y'273=9;1/:?:5139~yx{51;294~"5ij0:i?5G2`28k4c5290/>lm51d08 4b62:=0(l>4i06:>5<#:hi1=974;n3f5?6=,;kh6;W0ba?7|D;h;64381!05=3;97)8=4;31?x{zu2wi9nj50;394?6|,;kh6-6m;0;6)"6l80>o6*>e586g>=zj489U6dc=9rF9n=4>{Wf4>7}#9l21=974V061>7}#>;?1=?5+636957=zutw0ei:50;&1ef{M0a4?7|^m=1>v*>e98g0>P6<;09w)8=5;`8 3432k1vqps4o0g2>5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zj8lh6=4>:183!4fk3;n>6F=a19l5`4=83.9mn4>e39'5a7=7>50z&1ef5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422880(;<;:008yx{z3th?ih4?:083>5}#:hi1=h<4H3c3?j7b:3:1(?ol:0g1?!7c93?>7)?j4;76?>{e6*925826>{zut1vn8>n:182>5<7s-8jo7?j2:J1e5=h9l81<7*=ab82a7=#9m;1955+1d691==52;294~"5ij0ii6F=a19j51?=83.9mn4>4898k4c6290/>lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6<<4$707>444<729q/>lm51d08L7g73f;n>7>5$3c`>4c53-;o=7;m;%3f0?3e32wi9;l50;094?6|,;kh6ok4H3c3?l7313:1(?ol:06:?>i6m80;6)P5il0:wAe09U514=:r.=>84>2:&561<6:2wvqp5rb455>5<6290;w)N5i91d=h<50;&1ef<6m;1/=i?5589'5`2==010qo;85;296?6=8r.9mn4me:J1e5=n9=31<7*=ab820<=376sm5`694?4=83:p(?ol:cg8L7g73`;?57>5$3c`>42>32e:i<4?:%0bg?7b92\9mh4>{M0a4?7|^m=1>v*>e982a4=Q9=81>v*924826>"1:=0:>6sr}|9~f0d6290:6=4?{%0bg?7b:2B9m=5`1d094?"5ij0:i?5+1e390<=#9l>18454}c7a4?6=:3:1N5i91b=9750;&1ef<6<010c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>744<,?8?6<<4}|~?xd2l<0;6<4?:1y'6de=9l80D?o?;n3f6?6=,;kh6t$3c`>gc<@;k;7d?;9;29 7gd28>276a>e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&560<6:2.=>94>2:~yx=zjj?1<7?50;2x 7gd28o97Et$3c`>gc<@;k;7d?;9;29 7gd28>276a>e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&560<6:2.=>94>2:~yx=zj?:<6=4=:183!4fk3hn7Ee09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi:=;50;094?6|,;kh6ok4H3c3?l7313:1(?ol:06:?>i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d7<729q/>lm5bd9K6d626=4+2`a951?<3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c43N5i91b=9750;&1ef<6<010c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f37629086=4?{%0bg?4f12B9m=5f15c94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9=k0Z<:=:3y'273=j2.=>94m;|~y>o6P5il0:wA4c9U514=:r.=>84>4:&561<6<2wvqp5`1d394?"5ij0:i<5Y2`g95~J5j90:w[j8:3y'5`>=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e>9l1<7850;2x 7gd28><7E{M0a4?7|^8n;6?u+1d:951?<^8>96?u+6379f>"1:=0i7psr}:kg4?6=,;kh6i>4V3cf>4}K:k:1=vX>d181!7b03n;7[?;2;0x 3422k1/:?:5b:~yx=nl80;6)a=zutF9mk4;{W0:`?5|^m?1>v*9228g5>"4m00=>85rVe496~"1::0:845+3d;92736?u+6319`4=#;l31:?;4}Wf5>7}#>;91=974$2g:>3433t.=n54>3:U6a7<,:o26;<:;|Tg2?4|,?886i>4$2g:>3423t.=n54l;|T2a2<5s_n>6?u+6319`4=#;l31:?;4}%4a52z&2a=<51j1]=9<52z&560<6?2.=>94>7:~yI4fn3;pZ?o::3yU`0<5s-<9?7<6c:&0a<<1:<1v(;l7:058yx{P6<;09w)8=5;34?!05<3;<7psrL3ce>4}Q:h?1>vXk5;0x 3442;2o7)=j9;411>{#>k21=:5r}|9l5`7=83.9mn4>e098yg7303:1=7>50z&1ef<5j:1C>l>4o0g2>5<#:hi1=h?4;|`1e7<7280;6=u+2`a95a`<@;k;7b?j1;29 7gd28o:76sm61;94?5=83:p(?ol:3c:?M4f82c:8l4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9820d=Q9=81>v*9248a?!05<3h0qpsr;h37f?6=,;kh6<:6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{5<6290;w)N5i91d=h?50;&1ef<6m810qo8?c;292?6=8r.9mn485:J1e5=n9=k1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;742f3_;?>740<,?8?6<84}|~?l73j3:1(?ol:06:?M4fj2\9mh4>{M0a4?7|^m=1>v*>e9820g=Q9=81>v*924822>"1:=0::6sr}|9j500=83.9mn4>489K6dd<^;kn6P5il0:wA609U514=:r.=>84>6:&561<6>2wvqp5f17694?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<8;;W376?4|,?8>6<84$707>4050z&1ef<0=2B9m=5f15c94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9=k0Z<:=:3y'273=9?1/:?:5179~yx{i6=4+2`a951?<^;kn6:6X>4381!05=3;=7)8=4;35?x{zu2c::<4?:%0bg?7312B9mo5Y2`g95~J5j90:w[j8:3y'5`>=9?;0Z<:=:3y'273=9?1/:?:5179~yx{o6><0;6)P5il0:wA649U514=:r.=>84>6:&561<6>2wvqp5f17494?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9?<0Z<:=:3y'273=9?1/:?:5179~yx{5<4290;w)N5i91b=9o50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951g<^8>96?u+6379f>"1:=0i7psr}:k20g<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:8o5Y15096~"1:<0i7)8=4;`8yx{z3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c424?6=;3:1N5i91b=9o50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 3422l1/:?:5e:~yx=n9=h1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;742e3_;?>7`=#>;>1i6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi8kk50;094?6|,;kh6ok4H3c3?l7313:1(?ol:06:?>i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d7<729q/>lm5bd9K6d626=4+2`a951?<3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c6e`?6=:3:1N5i91b=9750;&1ef<6<010c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f1`a29096=4?{%0bg?db3A8j<6g>4883>!4fk3;?565`1d394?"5ij0:i<5Y2`g95~J5j90:w[j8:3y'5`>=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e=9=1<7850;2x 7gd28><7E{M0a4?7|^8n;6?u+1d:951?<^8>96?u+6379f>"1:=0i7psr}:kg4?6=,;kh6i>4V3cf>4}K:k:1=vX>d181!7b03n;7[?;2;0x 3422k1/:?:5b:~yx=nl80;6)a=zutF9mk4;{W0:`?5|^m?1>v*9228g5>"4m00=>85rVe496~"1::0:845+3d;92736?u+6319`4=#;l31:?;4}Wf5>7}#>;91=974$2g:>3433t.=n54>3:U6a7<,:o26;<:;|Tg2?4|,?886i>4$2g:>3423t.=n54l;|T2a2<5s_n>6?u+6319`4=#;l31:?;4}%4a52z&2a=<51j1]=9<52z&560<6?2.=>94>7:~yI4fn3;pZ?o::3yU`0<5s-<9?7<6c:&0a<<1:<1v(;l7:058yx{P6<;09w)8=5;34?!05<3;<7psrL3ce>4}Q:h?1>vXk5;0x 3442;2o7)=j9;411>{#>k21=:5r}|9l5`7=83.9mn4>e098yg3783:1?7>50z&1ef<5i01C>l>4i06b>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 3422k1/:?:5b:~yx=n9=h1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5b:&561e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&560;:a155=83<1<7>t$3c`>23<@;k;7d?;a;29 7gd28>27E{zut1b=9l50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951d<^8>96?u+637953=#>;>1=;5r}|8m431290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;362>P6<;09w)8=5;35?!05<3;=7psr}:k224<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h651738R4252;q/:?;5179'272=9?1vqps4i047>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?94:T207<5s-<997?9;%410?713twvq6a>e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&560l>4i06b>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997?9;%410?713twvq6g>4c83>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=9l4V061>7}#>;?1=;5+636953=zutw0e<;9:18'6de=9=30D?om;W0ba?7|D;h;6:6X>4381!05=3;=7)8=4;35?x{zu2c::<4?:%0bg?7312B9mo5Y2`g95~J5j90:w[j8:3y'5`>=9?;0Z<:=:3y'273=9?1/:?:5179~yx{i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d2<729q/>lm5749K6d6j6=4+2`a951?<@;ki7[o6N5ik1]>lk51zN1f5<6s_n<6?u+1d:951d<^8>96?u+637953=#>;>1=;5r}|8m431290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;362>P6<;09w)8=5;35?!05<3;=7psr}:k224<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h651738R4252;q/:?;5179'272=9?1vqps4i047>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?94:T207<5s-<997?9;%410?713twvq6g>6483>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=;;4V061>7}#>;?1=;5+636953=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f065290?6=4?{%0bg?7b82B9m=5f15c94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9=k0Z<:=:3y'273=j2.=>94m;|~y>o6P5il0:wA4c9U514=:r.=>84m;%410?d27[2\:8?4={%411?d<,?8?6o5r}|8k4c6290/>lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn8>7:180>5<7s-8jo79:;I0b4>o6N5ik1]>lk51zN1f5<6s_n<6?u+1d:951g<^8>96?u+6379a>"1:=0n7psr}:k20g<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5e:&561e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&560l>4i06:>5<#:hi1=974;n3f5?6=,;kh6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{52;294~"5ij0ii6F=a19j51?=83.9mn4>4898k4c6290/>lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn868:181>5<7s-8jo7lj;I0b4>o6<00;6)=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&5615$3c`>42>32e:i<4?:%0bg?7b92\9mh4>{M0a4?7|^m=1>v*>e982a4=Q9=81>v*9248a?!05<3h0qpsr;|`6=6<72?0;6=u+2`a9511<@;k;7)?9a;3:?l7313:1(?ol:06:?S4fm3;p@?l?:0yU5a6=:r.:i54>489U514=:r.=>84m;%410?dlk51zN1f5<6s_;o<7a6<^8>96?u+6379f>"1:=0i7psr}:kg5?6=,;kh6i?4V3cf>7}K:k:1=vX>d181!7b03n:7[?;2;0x 3422m1/:?:5d:~yI4fn3>pZ?7k:2yU`0<5s-<9?7j>;%1f=?05=2w]h;4={%417?7312.8i449249~ 3d?2890q[<6d;1xRa3=:r.=>>4k1:&0a<<1:<1vZi852z&566<6<01/?h756368y!0e03;87pX=9e80Sb22;q/:?=5d09'7`?=>;?0q[j9:3y'275=l91/?h756378y!0e03i0q[?j7;0xRa3=:r.=>>4k1:&0a<<1:<1v(;l7:0:8yx{P6<;09w)8=5;34?!05<3;<7psrL3ce>4}Q:h?1>vXk5;0x 3442;3h7)=j9;411>{#>k21=:5r}|9j6=b=83.9mn4=8e9U6dc=:rF9n=4>{W3g4?4|,8o36?6k;W376?4|,?8>6<94$707>41lh51zT1e0<5s_n>6?u+63196=b<,:o26;<:;|&5f=<6?2wvq6a>e083>!4fk3;n=65rb4:b>5<4290;w)N5i91b=9o50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 3422k1/:?:5b:~yx=n9=h1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5b:&561e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&560;:a1=b=8391<7>t$3c`>23<@;k;7d?;a;29 7gd28>27[lm515;8R7gb28qG>o>51zTg3?4|,8o36<:m;W376?4|,?8>6o5+6369f>{zut1d=h?50;&1ef<6m81]>lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:a1=c=8391<7>t$3c`>23<@;k;7d?;a;29 7gd28>27[o6P5il0:wA4c9U514=:r.=>84>6:&561<6>2wvqp5`1d394?"5ij0:i<5Y2`g95~J5j90:w[j8:3y'5`>=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e=1l1<7=50;2x 7gd2>?0D?o?;h37e?6=,;kh6<:6;W0ba?7|D;h;64381!05=3;=7)8=4;35?x{zu2c:8o4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9820g=Q9=81>v*924822>"1:=0::6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi94>50;194?6|,;kh6:;4H3c3?l73i3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997?9;%410?713twvq6g>4c83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<62.=>94>6:~yx=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&5615$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37e>P6<;09w)8=5;35?!05<3;=7psr}:k20g<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:8o5Y15096~"1:<0::6*925822>{zut1b=8850;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28?=7[?;2;0x 34228<0(;<;:048yx{z3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c7;g?6=>3:142f3_;?>7g=#>;>1n6sr}|9j51d=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9l4V061>7}#>;?1n6*9258a?x{zu2c:9;4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98213=Q9=81>v*9248a?!05<3h0qpsr;h355?6=,;kh6<:6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e=0>1<7=50;2x 7gd2>?0D?o?;h37e?6=,;kh6<:6;I0bf>P5il0:wA4`9U514=:r.=>84j;%410?c27E5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c7e2?6=:3:1N5i91b=9750;&1ef<6<010c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f0`329096=4?{%0bg?db3A8j<6g>4883>!4fk3;?565`1d394?"5ij0:i<5Y2`g95~J5j90:w[j8:3y'5`>=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e=o?1<7<50;2x 7gd2ko0D?o?;h37=?6=,;kh6<:6;:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th>j:4?:383>5}#:hi1nh5G2`28m42>290/>lm515;8?j7b93:1(?ol:0g2?S4fm3;p@?l?:0yU`2<5s-;n47?j1:T207<5s-<997l4$707>g=zutw0qo8?1;292?6=8r.9mn4>469K6d6<,85<#:hi1=974V3cf>4}K:k:1=vX>d181!7b03;?56X>4381!05=3h0(;<;:c9~yx{P5il0:wA84m;%410?d:18'6de=l81]>lk52zN1f5<6s_;o<7a7<^8>96?u+6379`>"1:=0o7psrL3ce>1}Q:0n1?vXk5;0x 3442m;0(>k6:706?xPc>38p(;<<:06:?!5b13<996s+6c:956=z^;3o6>uYd481!05;3n:7)=j9;411>{Ql?09w)8=3;37=>"4m00=>95r$7`;>45v*9228g4>"4m00=>85r$7`;>f=z^8o<6?uYd481!05;3n:7)=j9;411>{#>k21=55r}|9j6{W3g4?4|,8o36?7l;W376?4|,?8>6<94$707>41lh51zT1e0<5s_n>6?u+63196!4fk383h6X=ad81I4e83;pZ=:1n0Z<:=:3y'273=9>1/:?:5169~yxJ5io0:w[>4=8e9'7`?=>;?0q)8m8;34?x{z3f;n=7>5$3c`>4c632wi9k650;194?6|,;kh6?o6;I0b4>o6N5ik1]>lk51zN1f5<6s_n<6?u+1d:951g<^8>96?u+6379f>"1:=0i7psr}:k20g<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:8o5Y15096~"1:<0i7)8=4;`8yx{z3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c7e=?6=93:1:18'6de=9l;07pl:fc83>6<729q/>lm5749K6d6j6=4+2`a951?<^;kn6=9=h0Z<:=:3y'273=j2.=>94m;|~y>i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d6<729q/>lm5749K6d6j6=4+2`a951?<^;kn64381!05=3;=7)8=4;35?x{zu2e:i<4?:%0bg?7b92\9mh4>{M0a4?7|^m=1>v*>e982a4=Q9=81>v*9248a?!05<3h0qpsr;|`6ba<72:0;6=u+2`a930=O:h:0e<:n:18'6de=9=30Z?oj:0yO6g6=9r\o;742f3_;?>740<,?8?6<84}|~?l73j3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;b:T207<5s-<997?9;%410?713twvq6a>e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&5604?:1y'6de=?<1C>l>4i06b>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 34228<0(;<;:048yx{z3`;?n7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;35?!05<3;=7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th>jk4?:583>5}#:hi1;85G2`28m42f290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<:n;W376?4|,?8>6<84$707>4027[o6=?0;6)N5ik1]>lk51zN1f5<6s_n<6?u+1d:9500<^8>96?u+637953=#>;>1=;5r}|8k4c6290/>lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn8hn:185>5<7s-8jo7?j0:J1e5=n9=k1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515c8R4252;q/:?;5b:&5614c83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<63:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?:6:T207<5s-<997l4$707>g=zutw0e<8>:18'6de=9=30Z?oj:0yO6g6=9r\o;74063_;?>7g=#>;>1n6sr}|9j532=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;:4V061>7}#>;?1n6*9258a?x{zu2e:i<4?:%0bg?7b92\9mh4>{M0a4?7|^m=1>v*>e982a4=Q9=81>v*9248a?!05<3h0qpsr;|`547<72:0;6=u+2`a930=O:h:0e<:n:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3o0(;<;:d9~yx{i6=4+2`a951?<@;ki7[lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn?j9:181>5<7s-8jo7lj;I0b4>o6<00;6)=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&5619:k20<<72-8jo7?;9:T1e`<6sE8i<7?tV0f3>7}#9l21=974V061>7}#>;?1n6*9258a?x{zu2co<7>5$3c`>a6<^;kn6!4fk3n:7[52z&2a=v*922820<=#;l31:?;4}%4a7}#>;91h<5+3d;92734j53zTg1?4|,?886i?4$2g:>3423t\o:7a6<,:o26;<:;|&5f=7}#>;91h<5+3d;9273k3:1(?ol:3;`?S4fm38p@?l?:0yU5a6=:r.:i54=9b9U514=:r.=>84>7:&561<6?2wvqAk2.8i449249~ 3d?28=0qps4i3:g>5<#:hi1>5j4V3cf>7}K:k:1=vX>d181!7b0383h6X>4381!05=3;<7)8=4;34?x{zD;km6j6=4+2`a951?<^;kn6=9=h0Z<:=:3y'273=j2.=>94m;|~y>i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d4<729q/>lm52c18L7g73f;n=7>5$3c`>4c632wi>io50;194?6|,;kh6:;4H3c3?l73i3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997l4$707>g=zutw0e<:m:18'6de=9=30Z?oj:0yO6g6=9r\o;742e3_;?>7g=#>;>1n6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi>il50;194?6|,;kh6:;4H3c3?l73i3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997l4$707>g=zutw0e<:m:18'6de=9=30Z?oj:0yO6g6=9r\o;742e3_;?>7g=#>;>1n6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi>im50;194?6|,;kh6:;4H3c3?l73i3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997?9;%410?713twvq6g>4c83>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=9l4V061>7}#>;?1=;5+636953=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f7bc290?6=4?{%0bg?123A8j<6g>4`83>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1=;5+636953=zutw0e<:m:18'6de=9=30Z?oj:0yO6g6=9r\o;742e3_;?>740<,?8?6<84}|~?l72>3:1(?ol:06:?M4fj2\9mh4>{M0a4?7|^m=1>v*>e98213=Q9=81>v*924822>"1:=0::6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi>ik50;694?6|,;kh6:;4H3c3?l73i3:1(?ol:06:?M4fj2\9mh4>{M0a4?7|^m=1>v*>e9820d=Q9=81>v*924822>"1:=0::6sr}|9j51d=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9l4V061>7}#>;?1=;5+636953=zutw0e<;9:18'6de=9=30Z?oj:0yO6g6=9r\o;74313_;?>740<,?8?6<84}|~?j7b93:1(?ol:0g2?S4fm3;p@?l?:0yU`2<5s-;n47?j1:T207<5s-<997l4$707>g=zutw0qo42f3_;?>740<,?8?6<84}|~?l73j3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;b:T207<5s-<997?9;%410?713twvq6g>5783>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6=?1]=9<52z&560<6>2.=>94>6:~yx=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&5615$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<62.=>94>6:~yx=n9=h1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5179'272=9?1vqps4i075>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28?=7[?;2;0x 34228<0(;<;:048yx{z3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c0g=?6=03:142f3_;?>7g=#>;>1n6sr}|9j51d=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9l4V061>7}#>;?1n6*9258a?x{zu2c:9;4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98213=Q9=81>v*9248a?!05<3h0qpsr;h355?6=,;kh6<:6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{=9??0Z<:=:3y'273=j2.=>94m;|~y>o6>?0;6)P5il0:wA679U514=:r.=>84m;%410?d7>50z&1ef5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zj::86=4=:183!4fk3hn7Ee09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi?=650;494?6|,;kh6<:8;I0b4>"6>h0:56g>4883>!4fk3;?56X=ad82I4e83;pZ=9=30Z<:=:3y'273=j2.=>94m;|~y>oc83:1(?ol:e28R7gb28qG>o>51zT2`5<5s-;n47j?;W376?4|,?8>6o5+6369f>{zut1bh<4?:%0bg?b63_8ji74}Q9m:1>v*>e98g5>P6<;09w)8=5;f8 3432m1vqpB=ag87S4>l39pZi;52z&566>4>489'7`?=>;?0q)8m8;30?xP51m08w[j::3y'275=l81/?h756378ySb12;q/:?=515;8 6c>2?8?7p*9b9827>{Q:0n1?vXk5;0x 3442m;0(>k6:706?xPc>38p(;<<:e28 6c>2?8>7p*9b98`?xP6m>09w[j::3y'275=l81/?h756378y!0e03;37psr;h0:g?6=,;kh6?7l;W0ba?4|D;h;6{zuE8jj7?tV3c6>7}Ql<09w)8=3;0:g>"4m00=>85r$7`;>41l;52zTg1?4|,?886?6k;%1f=?05=2w/:o65169~yx=h9l;1<7*=ab82a4=53;294~"5ij09m45G2`28m42f290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;37e>P6<;09w)8=5;`8 3432k1vqps4i06a>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 3422k1/:?:5b:~yx=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&5615<7s-8jo79:;I0b4>o6P5il0:wA4`9U514=:r.=>84m;%410?d27[lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn>>?:180>5<7s-8jo79:;I0b4>o6N5ik1]>lk51zN1f5<6s_n<6?u+1d:951g<^8>96?u+637953=#>;>1=;5r}|8m42e290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;35?!05<3;=7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th8<<4?:283>5}#:hi1;85G2`28m42f290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;37e>P6<;09w)8=5;35?!05<3;=7psr}:k20g<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5179'272=9?1vqps4o0g2>5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zj::96=4;:183!4fk3=>7E{zut1b=9l50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951d<^8>96?u+637953=#>;>1=;5r}|8m431290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<;9;W376?4|,?8>6<84$707>4050z&1ef<6m91C>l>4i06b>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 3422k1/:?:5b:~yx=n9=h1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5b:&5615783>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6=?1]=9<52z&560g=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f6632909=7>50z&1ef<0=2B9m=5f15c94?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<:n;W376?4|,?8>64727E{zut1b=8850;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9500<^8>96?u+637954=#>;>1=<5r}|8m406290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<8>;W376?4|,?8>64727[;|~y>o6><0;6)P5il0:wA649U514=:r.=>84>1:&561<692wvqp5f17494?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9?<0Z<:=:3y'273=981/:?:5109~yx{4381!05=3;:7)8=4;32?x{zu2c::44?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9822<=Q9=81>v*924825>"1:=0:=6sr}|9j51e=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9m4V061>7}#>;?1=<5+636954=zutw0e<:k:18'6de=9=30Z?oj:0yO6g6=9r\o;742c3_;?>747<,?8?6;%410?763twvq6g>4g83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<694>1:~yx=n9<:1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;74373_;?>747<,?8?6{M0a4?7|^m=1>v*>e98214=Q9=81>v*924825>"1:=0:=6sr}|9j504=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=8<4V061>7}#>;?1=<5+636954=zutw0e<;<:18'6de=9=30Z?oj:0yO6g6=9r\o;74343_;?>741<,?8?6<94}|~?l72<3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?:4:T207<5s-<997?8;%410?703twvq6g>5483>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=8;4V061>7}#>;?1=:5+636952=zutw0e<;8:18'6de=9=30Z?oj:0yO6g6=9r\o;74303_;?>7g=#>;>1n6sr}|9j50>=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=864V061>7}#>;?1n6*9258a?x{zu2c:944?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9821<=Q9=81>v*9248a?!05<3h0qpsr;h36e?6=,;kh6<:6;W0ba?7|D;h;6m6X>4381!05=3h0(;<;:c9~yx{=994m;|~y>o6=m0;6)P5il0:wA5e9U514=:r.=>84m;%410?d27[lm515;8R7gb28qG>o>51zTg3?4|,8o36<;i;W376?4|,?8>6o5+6369f>{zut1b=;>50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9536<^8>96?u+6379f>"1:=0i7psr}:k227<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10::?5Y15096~"1:<0i7)8=4;`8yx{z3`;=?7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;357>P6<;09w)8=5;`8 3432k1vqps4o0g2>5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zj::>6=4=1;294~"5ij0<96F=a19j51g=83.9mn4>489K6dd<^;kn6P5il0:wA4c9U514=:r.=>84>1:&561<692wvqp5f14494?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9<<0Z<:=:3y'273=981/:?:5109~yx{4381!05=3;:7)8=4;32?x{zu2c::84?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98220=Q9=81>v*924825>"1:=0:=6sr}|9j530=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;84V061>7}#>;?1=<5+636954=zutw0e<88:18'6de=9=30Z?oj:0yO6g6=9r\o;74003_;?>747<,?8?6;%410?763twvq6g>6883>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6>01]=9<52z&560<692.=>94>1:~yx=n9=i1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;742d3_;?>747<,?8?6{M0a4?7|^m=1>v*>e9820a=Q9=81>v*924825>"1:=0:=6sr}|9j51c=83.9mn4>489K6dd<^;kn6P5il0:wA4g9U514=:r.=>84>1:&561<692wvqp5f14294?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<;?;W376?4|,?8>64727E{zut1b=8<50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9504<^8>96?u+637954=#>;>1=<5r}|8m434290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<;<;W376?4|,?8>6<94$707>4127[o6=<0;6)N5ik1]>lk51zN1f5<6s_n<6?u+1d:9503<^8>96?u+637952=#>;>1=:5r}|8m430290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<;8;W376?4|,?8>6o5+6369f>{zut1b=8650;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:950><^8>96?u+6379f>"1:=0i7psr}:k21<<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:945Y15096~"1:<0i7)8=4;`8yx{z3`;>m7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;36e>P6<;09w)8=5;`8 3432k1vqps4i07a>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28?i7[?;2;0x 3422k1/:?:5b:~yx=n95e83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6=m1]=9<52z&560g=zutw0e<;i:18'6de=9=30Z?oj:0yO6g6=9r\o;743a3_;?>7g=#>;>1n6sr}|9j536=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;>4V061>7}#>;?1n6*9258a?x{zu2c::?4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98227=Q9=81>v*9248a?!05<3h0qpsr;h357?6=,;kh6<:6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{5<4290;w)N5i91b=9o50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951g<^8>96?u+6379f>"1:=0i7psr}:k20g<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:8o5Y15096~"1:<0i7)8=4;`8yx{z3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c144?6=:3:1N5i91b=9750;&1ef<6<010c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f61029086=4?{%0bg?4f12B9m=5f15c94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9=k0Z<:=:3y'273=j2.=>94m;|~y>o6P5il0:wA4c9U514=:r.=>84>4:&561<6<2wvqp5`1d394?"5ij0:i<5Y2`g95~J5j90:w[j8:3y'5`>=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e;><1<7850;2x 7gd28><7E{M0a4?7|^8n;6?u+1d:951?<^8>96?u+6379f>"1:=0i7psr}:kg4?6=,;kh6i>4V3cf>4}K:k:1=vX>d181!7b03n;7[?;2;0x 3422k1/:?:5b:~yx=nl80;6)a=zutF9mk4;{W0:`?5|^m?1>v*9228g5>"4m00=>85rVe496~"1::0:845+3d;92736?u+6319`4=#;l31:?;4}Wf5>7}#>;91=974$2g:>3433t.=n54>3:U6a7<,:o26;<:;|Tg2?4|,?886i>4$2g:>3423t.=n54>3:U5`1=:r\o97a7<,:o26;<:;|&5f=<602wvq6g=9b83>!4fk382o6X=ad81I4e83;pZ=:0i0Z<:=:3y'273=9>1/:?:5169~yxJ5io0:w[>4=9b9'7`?=>;?0q)8m8;34?x{z3`83h7>5$3c`>7>c3_8ji74}Q9m:1>v*>e981v*924823>"1:=0:;6sr}M0bb?7|^;k>6?uYd481!05;383h6*lm51d38?xd4?;0;6>4?:1y'6de=:h30D?o?;h37e?6=,;kh6<:6;I0bf>P5il0:wA4`9U514=:r.=>84m;%410?d27[lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn>9<:182>5<7s-8jo755;294~"5ij0<96F=a19j51g=83.9mn4>489K6dd<^;kn64381!05=3;=7)8=4;35?x{zu2c:9;4?:%0bg?7312B9mo5Y2`g95~J5j90:w[j8:3y'5`>=9<<0Z<:=:3y'273=9?1/:?:5179~yx{;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{53;294~"5ij0<96F=a19j51g=83.9mn4>489K6dd<^;kn64381!05=3;=7)8=4;35?x{zu2e:i<4?:%0bg?7b92\9mh4>{M0a4?7|^m=1>v*>e982a4=Q9=81>v*9248a?!05<3h0qpsr;|`03=<72;0;6=u+2`a9f`=O:h:0e<:6:18'6de=9=307b?j1;29 7gd28o:7[50z&1ef<6<>1C>l>4$04b>4?26=4+2`a951?<^;kn6P6<;09w)8=5;`8 3432k1vqps4ie294?"5ij0o<6X=ad82I4e83;pZ=l91]=9<52z&560lm5d09U6dc=:rF9n=4>{W3g4?4|,8o36i?4V061>7}#>;?1h6*9258g?x{zD;km69uY28f97~Pc=38p(;<<:e38 6c>2?8>7pXk6;0x 34428>27)=j9;411>{#>k21=>5rV3;g>6}Ql<09w)8=3;f2?!5b13<996sYd781!05;3;?56*v*9228g5>"4m00=>85rVe496~"1::0o<6*v*9228g5>"4m00=>85r$7`;>4>k2\:8?4={%411?703-<987?8;|~H7ga28q]>l;52zTg1?4|,?886?7l;%1f=?05=2w/:o65169~yx=n:1n1<7*=ab81vB=b182S7c838p(k6:706?x"1j10:;6sr}:m2a4<72-8jo7?j1:9~f61f29086=4?{%0bg?4f12B9m=5f15c94?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<:n;W376?4|,?8>6o5+6369f>{zut1b=9l50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951d<^8>96?u+6379f>"1:=0i7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th8;o4?:083>5}#:hi1>o=4H3c3?j7b93:1(?ol:0g2?>{e;>n1<7=50;2x 7gd2>?0D?o?;h37e?6=,;kh6<:6;W0ba?7|D;h;64381!05=3;=7)8=4;35?x{zu2c:8o4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9820g=Q9=81>v*924822>"1:=0::6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi?:k50;194?6|,;kh6:;4H3c3?l73i3:1(?ol:06:?M4fj2\9mh4>{M0a4?7|^m=1>v*>e9820d=Q9=81>v*924822>"1:=0::6sr}|9j51d=83.9mn4>489K6dd<^;kn6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{54;294~"5ij0<96F=a19j51g=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1=;5+636953=zutw0e<:m:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;=7)8=4;35?x{zu2c:9;4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98213=Q9=81>v*924822>"1:=0::6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi?:m50;694?6|,;kh6o6P5il0:wA4`9U514=:r.=>84m;%410?d27[lm515;8R7gb28qG>o>51zTg3?4|,8o36<;9;W376?4|,?8>6o5+6369f>{zut1d=h?50;&1ef<6m81]>lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:a72?=8391<7>t$3c`>23<@;k;7d?;a;29 7gd28>27E{zut1b=9l50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951d<^8>96?u+637953=#>;>1=;5r}|8k4c6290/>lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn>6=:181>5<7s-8jo7lj;I0b4>o6<00;6)=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&5619:k20<<72-8jo7?;9:T1e`<6sE8i<7?tV0f3>7}#9l21=974V061>7}#>;?1n6*9258a?x{zu2co<7>5$3c`>a6<^;kn6!4fk3n:7[52z&2a=v*922820<=#;l31:?;4}%4a7}#>;91h<5+3d;92734j53zTg1?4|,?886i?4$2g:>3423t\o:7a6<,:o26;<:;|&5f=<6;2w]=h952zTg1?4|,?886i?4$2g:>3423t.=n54>8:~y>o51j0;6)P5il09wA1vqpB=ag82S4f=38pZi;52z&566<51j1/?h756378y!0e03;<7psr;h0;`?6=,;kh6?6k;W0ba?4|D;h;6{zuE8jj7?tV3c6>7}Ql<09w)8=3;0;`>"4m00=>85r$7`;>41:18'6de=9l;07pl<8583>6<729q/>lm52`;8L7g73`;?m7>5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<6g=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f6>2290:6=4?{%0bg?4e;2B9m=5`1d394?"5ij0:i<54}c1;3?6=;3:1N5i91b=9o50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 34228<0(;<;:048yx{z3`;?n7>5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<62.=>94>6:~yx=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&5615$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<62.=>94>6:~yx=n9=h1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;742e3_;?>740<,?8?6<84}|~?j7b93:1(?ol:0g2?S4fm3;p@?l?:0yU`2<5s-;n47?j1:T207<5s-<997l4$707>g=zutw0qo=79;290?6=8r.9mn485:J1e5=n9=k1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515c8R4252;q/:?;5179'272=9?1vqps4i06a>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 34228<0(;<;:048yx{z3`;>:7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;362>P6<;09w)8=5;35?!05<3;=7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th84l4?:583>5}#:hi1;85G2`28m42f290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<:n;W376?4|,?8>6<84$707>4027E{zut1b=8850;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9500<^8>96?u+637953=#>;>1=;5r}|8k4c6290/>lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn>69:186>5<7s-8jo7?j0:J1e5=n9=k1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515c8R4252;q/:?;5b:&5614c83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<63:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?:6:T207<5s-<997l4$707>g=zutw0e<8>:18'6de=9=30Z?oj:0yO6g6=9r\o;74063_;?>7g=#>;>1n6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi?5=50;194?6|,;kh6:;4H3c3?l73i3:1(?ol:06:?M4fj2\9mh4>{M0a4?7|^m=1>v*>e9820d=Q9=81>v*924822>"1:=0::6sr}|9j51d=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9l4V061>7}#>;?1=;5+636953=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f1e529096=4?{%0bg?db3A8j<6g>4883>!4fk3;?565`1d394?"5ij0:i<5Y2`g95~J5j90:w[j8:3y'5`>=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;37?!05<3;?7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th?oh4?:783>5}#:hi1=994H3c3?!71i3;27d?;9;29 7gd28>27[52z&2a=<6<01]=9<52z&560lm5d19U6dc=9rF9n=4>{W3g4?4|,8o36i>4V061>7}#>;?1n6*9258a?x{zu2co=7>5$3c`>a7<^;kn6?uC2c295~P6l909w)?j8;f2?S73:38p(;<::e9'272=l2wvqAl39pZi;52z&566>4>489'7`?=>;>0q)8m8;30?xP51m08w[j::3y'275=l81/?h756378ySb12;q/:?=5d19'7`?=>;?0q)8m8;a8yS7b?38pZi;52z&5665<#:hi1>4m4V3cf>7}K:k:1=vX>d181!7b0382o6X>4381!05=3;<7)8=4;34?x{zD;km65j50;&1ef<50m1]>lk52zN1f5<6s_;o<77>c3_;?>741<,?8?6<94}|O6d`=9r\9m84={Wf6>7}#>;91>5j4$2g:>3423t.=n54>7:~y>i6m80;6)=zj=i86=4<:183!4fk38j56F=a19j51g=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1n6*9258a?x{zu2c:8o4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9820g=Q9=81>v*9248a?!05<3h0qpsr;n3f5?6=,;kh6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{h87>51;294~"5ij09n>5G2`28k4c6290/>lm51d38?xd3k?0;6>4?:1y'6de=?<1C>l>4i06b>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 3422k1/:?:5b:~yx=n9=h1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5b:&561e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&5600;6>4?:1y'6de=?<1C>l>4i06b>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 3422k1/:?:5b:~yx=n9=h1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5b:&561e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&560l>4i06b>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 34228:0(;<;:028yx{z3`;?n7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;35?!05<3;=7psr}:k213<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h651448R4252;q/:?;5179'272=9?1vqps4o0g2>5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zj=i26=48:183!4fk3=>7E{zut1b=9l50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 34228:0(;<;:028yx{z3`;>:7>5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<6=?1]=9<52z&560<6>2.=>94>6:~yx=n9?;1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;74063_;?>740<,?8?6<84}|~?l71<3:1(?ol:06:?M4fj2\9mh4>{M0a4?7|^m=1>v*>e98221=Q9=81>v*924822>"1:=0::6sr}|9j533=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;;4V061>7}#>;?1=;5+636953=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f1ef290<6=4?{%0bg?123A8j<6g>4`83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<694>0:~yx=n9=h1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;742e3_;?>740<,?8?6<84}|~?l72>3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?:6:T207<5s-<997?9;%410?713twvq6g>6083>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=;?4V061>7}#>;?1=;5+636953=zutw0e<8;:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;=7)8=4;35?x{zu2c::84?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98220=Q9=81>v*924822>"1:=0::6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi8nl50;:94?6|,;kh6:;4H3c3?l73i3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997??;%410?773twvq6g>4c83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<694>0:~yx=n9<<1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;74313_;?>746<,?8?6<>4}|~?l7193:1(?ol:06:?M4fj2\9mh4>{M0a4?7|^m=1>v*>e98224=Q9=81>v*924822>"1:=0::6sr}|9j532=83.9mn4>489K6dd<^;kn6P5il0:wA649U514=:r.=>84>6:&561<6>2wvqp5f17494?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9?<0Z<:=:3y'273=9?1/:?:5179~yx{5{M0a4?7|^m=1>v*>e9820d=Q9=81>v*924824>"1:=0:<6sr}|9j51d=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9l4V061>7}#>;?1==5+636955=zutw0e<;9:18'6de=9=30D?om;W0ba?7|D;h;6:6X>4381!05=3;;7)8=4;33?x{zu2c::<4?:%0bg?7312B9mo5Y2`g95~J5j90:w[j8:3y'5`>=9?;0Z<:=:3y'273=9?1/:?:5179~yx{o6><0;6)N5ik1]>lk51zN1f5<6s_n<6?u+1d:9533<^8>96?u+637953=#>;>1=;5r}|8m401290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<89;W376?4|,?8>6<84$707>4050z&1ef<6m91C>l>4i06b>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 3422k1/:?:5b:~yx=n9=h1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5b:&5615783>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6=?1]=9<52z&560g=zutw0e<8;:18'6de=9=30Z?oj:0yO6g6=9r\o;74033_;?>7g=#>;>1n6sr}|9j533=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;;4V061>7}#>;?1n6*9258a?x{zu2c::;4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98223=Q9=81>v*9248a?!05<3h0qpsr;n3f5?6=,;kh6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{52;294~"5ij0ii6F=a19j51?=83.9mn4>4898k4c6290/>lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn<9j:180>5<7s-8jo7?j0:J1e5=n9=k1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515c8R4252;q/:?;5b:&5614c83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6g=zutw0qo?8c;292?6=8r.9mn4>469K6d6<,85<#:hi1=974V3cf>4}K:k:1=vX>d181!7b03;?56X>4381!05=3h0(;<;:c9~yx{P5il0:wA84m;%410?d:18'6de=l81]>lk52zN1f5<6s_;o<7a7<^8>96?u+6379`>"1:=0o7psrL3ce>1}Q:0n1?vXk5;0x 3442m;0(>k6:706?xPc>38p(;<<:06:?!5b13<996s+6c:956=z^;3o6>uYd481!05;3n:7)=j9;411>{Ql?09w)8=3;37=>"4m00=>95r$7`;>45v*9228g4>"4m00=>85r$7`;>f=z^8o<6?uYd481!05;3n:7)=j9;411>{#>k21=55r}|9j6{W3g4?4|,8o36?7l;W376?4|,?8>6<94$707>41lh51zT1e0<5s_n>6?u+63196!4fk383h6X=ad81I4e83;pZ=:1n0Z<:=:3y'273=9>1/:?:5169~yxJ5io0:w[>4=8e9'7`?=>;?0q)8m8;34?x{z3f;n=7>5$3c`>4c632wi=:650;194?6|,;kh6?o6;I0b4>o6P5il0:wA4`9U514=:r.=>84m;%410?d27[lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn<96:182>5<7s-8jo753;294~"5ij0<96F=a19j51g=83.9mn4>489K6dd<^;kn6P5il0:wA4c9U514=:r.=>84>0:&561<682wvqp5`1d394?"5ij0:i<5Y2`g95~J5j90:w[j8:3y'5`>=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e9>n1<7=50;2x 7gd2>?0D?o?;h37e?6=,;kh6<:6;W0ba?7|D;h;64381!05=3;=7)8=4;35?x{zu2c:8o4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9820g=Q9=81>v*924822>"1:=0::6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi=:h50;094?6|,;kh6ok4H3c3?l7313:1(?ol:06:?>i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d8783>7<729q/>lm5bd9K6d626=4+2`a951?<3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c3;f?6=;3:142f3_;?>7g=#>;>1n6sr}|9j51d=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9l4V061>7}#>;?1n6*9258a?x{zu2e:i<4?:%0bg?7b92\9mh4>{M0a4?7|^m=1>v*>e982a4=Q9=81>v*9248a?!05<3h0qpsr;|`2<<<72?0;6=u+2`a9511<@;k;7)?9a;3:?l7313:1(?ol:06:?S4fm3;p@?l?:0yU5a6=:r.:i54>489U514=:r.=>84m;%410?dlk51zN1f5<6s_;o<7a6<^8>96?u+6379f>"1:=0i7psr}:kg5?6=,;kh6i?4V3cf>7}K:k:1=vX>d181!7b03n:7[?;2;0x 3422m1/:?:5d:~yI4fn3>pZ?7k:2yU`0<5s-<9?7j>;%1f=?05=2w]h;4={%417?7312.8i449249~ 3d?2890q[<6d;1xRa3=:r.=>>4k1:&0a<<1:<1vZi852z&566<6<01/?h756368y!0e03;87pX=9e80Sb22;q/:?=5d09'7`?=>;?0q[j9:3y'275=l91/?h756378y!0e03i0q[?j7;0xRa3=:r.=>>4k1:&0a<<1:<1v(;l7:0:8yx{P6<;09w)8=5;34?!05<3;<7psrL3ce>4}Q:h?1>vXk5;0x 3442;3h7)=j9;411>{#>k21=:5r}|9j6=b=83.9mn4=8e9U6dc=:rF9n=4>{W3g4?4|,8o36?6k;W376?4|,?8>6<94$707>41lh51zT1e0<5s_n>6?u+63196=b<,:o26;<:;|&5f=<6?2wvq6a>e083>!4fk3;n=65rb0:3>5<4290;w)N5i91b=9o50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951g<^8>96?u+6379f>"1:=0i7psr}:k20g<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:8o5Y15096~"1:<0i7)8=4;`8yx{z3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c3;5?6=93:1:18'6de=9l;07pl>8283>6<729q/>lm5749K6d6j6=4+2`a951?<@;ki7[o6N5ik1]>lk51zN1f5<6s_n<6?u+1d:951d<^8>96?u+637955=#>;>1==5r}|8k4c6290/>lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn<6;:180>5<7s-8jo79:;I0b4>o6N5ik1]>lk51zN1f5<6s_n<6?u+1d:951g<^8>96?u+637955=#>;>1==5r}|8m42e290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;33?!05<3;;7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th:484?:783>5}#:hi1;85G2`28m42f290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;37e>P6<;09w)8=5;35?!05<3;=7psr}:k20g<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5179'272=9?1vqps4i075>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?:6:T207<5s-<997?9;%410?713twvq6g>6083>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=;?4V061>7}#>;?1=;5+636953=zutw0e<8;:18'6de=9=30Z?oj:0yO6g6=9r\o;74033_;?>740<,?8?6<84}|~?j7b93:1(?ol:0g2?S4fm3;p@?l?:0yU`2<5s-;n47?j1:T207<5s-<997l4$707>g=zutw0qo?72;290?6=8r.9mn4>e19K6d6j6=4+2`a951?<^;kn6=9=h0Z<:=:3y'273=j2.=>94m;|~y>o6=?0;6)P5il0:wA579U514=:r.=>84m;%410?d50z&1ef<0=2B9m=5f15c94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9=k0Z<:=:3y'273=9?1/:?:5179~yx{i6=4+2`a951?<^;kn6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{55;294~"5ij0<96F=a19j51g=83.9mn4>489K6dd<^;kn64381!05=3;<7)8=4;34?x{zu2c:9;4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98213=Q9=81>v*924823>"1:=0:;6sr}|9j537=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;?4V061>7}#>;?1=:5+636952=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f7?229096=4?{%0bg?db3A8j<6g>4883>!4fk3;?565`1d394?"5ij0:i<5Y2`g95~J5j90:w[j8:3y'5`>=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e:0h1<7850;2x 7gd28><7E{M0a4?7|^8n;6?u+1d:951?<^8>96?u+6379f>"1:=0i7psr}:kg4?6=,;kh6i>4V3cf>4}K:k:1=vX>d181!7b03n;7[?;2;0x 3422k1/:?:5b:~yx=nl80;6)a=zutF9mk4;{W0:`?5|^m?1>v*9228g5>"4m00=>85rVe496~"1::0:845+3d;92736?u+6319`4=#;l31:?;4}Wf5>7}#>;91=974$2g:>3433t.=n54>3:U6a7<,:o26;<:;|Tg2?4|,?886i>4$2g:>3423t.=n54>3:U5`1=:r\o97a7<,:o26;<:;|&5f=<602wvq6g=9b83>!4fk382o6X=ad81I4e83;pZ=:0i0Z<:=:3y'273=9>1/:?:5169~yxJ5io0:w[>4=9b9'7`?=>;?0q)8m8;34?x{z3`83h7>5$3c`>7>c3_8ji74}Q9m:1>v*>e981v*924823>"1:=0:;6sr}M0bb?7|^;k>6?uYd481!05;383h6*lm51d38?xd51>0;6>4?:1y'6de=:h30D?o?;h37e?6=,;kh6<:6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{i6=4+2`a951?<^;kn6=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e:021<7?50;2x 7gd2;h87E290?6=4?{%0bg?123A8j<6g>4`83>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1=;5+636953=zutw0e<:m:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;=7)8=4;35?x{zu2c:9;4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98213=Q9=81>v*924822>"1:=0::6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi>4850;194?6|,;kh6:;4H3c3?l73i3:1(?ol:06:?M4fj2\9mh4>{M0a4?7|^m=1>v*>e9820d=Q9=81>v*924822>"1:=0::6sr}|9j51d=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9l4V061>7}#>;?1=;5+636953=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f7c429096=4?{%0bg?db3A8j<6g>4883>!4fk3;?565`1d394?"5ij0:i<5Y2`g95~J5j90:w[j8:3y'5`>=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e:lk1<7<50;2x 7gd2ko0D?o?;h37=?6=,;kh6<:6;:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th9j=4?:283>5}#:hi1>l74H3c3?l73i3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997l4$707>g=zutw0e<:m:18'6de=9=30Z?oj:0yO6g6=9r\o;742e3_;?>742<,?8?6<:4}|~?j7b93:1(?ol:0g2?S4fm3;p@?l?:0yU`2<5s-;n47?j1:T207<5s-<997l4$707>g=zutw0qo469K6d6<,85<#:hi1=974V3cf>4}K:k:1=vX>d181!7b03;?56X>4381!05=3h0(;<;:c9~yx{P5il0:wA84m;%410?d:18'6de=l81]>lk52zN1f5<6s_;o<7a7<^8>96?u+6379`>"1:=0o7psrL3ce>1}Q:0n1?vXk5;0x 3442m;0(>k6:706?xPc>38p(;<<:06:?!5b13<996s+6c:956=z^;3o6>uYd481!05;3n:7)=j9;411>{Ql?09w)8=3;37=>"4m00=>95r$7`;>45v*9228g4>"4m00=>85r$7`;>f=z^8o<6?uYd481!05;3n:7)=j9;411>{#>k21=55r}|9j6{W3g4?4|,8o36?7l;W376?4|,?8>6<94$707>41lh51zT1e0<5s_n>6?u+63196!4fk383h6X=ad81I4e83;pZ=:1n0Z<:=:3y'273=9>1/:?:5169~yxJ5io0:w[>4=8e9'7`?=>;?0q)8m8;34?x{z3f;n=7>5$3c`>4c632wi>h:50;194?6|,;kh6?o6;I0b4>o6P5il0:wA4`9U514=:r.=>84m;%410?d27[lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn?k::182>5<7s-8jo754;294~"5ij0<96F=a19j51g=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1=;5+636953=zutw0e<:m:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;=7)8=4;35?x{zu2c:9;4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98213=Q9=81>v*924822>"1:=0::6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi>h650;32>5<7s-8jo79:;I0b4>o6P5il0:wA4`9U514=:r.=>84>6:&561<6>2wvqp5f15`94?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<:m;W376?4|,?8>6<84$707>4027[2\:8?4={%411?713-<987?9;|~y>o6>80;6)P5il0:wA609U514=:r.=>84>6:&561<6>2wvqp5f17694?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9?>0Z<:=:3y'273=9?1/:?:5179~yx{6=4+2`a951?<@;ki7[o6>?0;6)P5il0:wA679U514=:r.=>84>6:&561<6>2wvqp5f17594?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9?=0Z<:=:3y'273=9?1/:?:5179~yx{4381!05=3;=7)8=4;35?x{zu2c:8n4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9820f=Q9=81>v*924822>"1:=0::6sr}|9j51b=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9j4V061>7}#>;?1=;5+636953=zutw0e<:j:18'6de=9=30Z?oj:0yO6g6=9r\o;742b3_;?>740<,?8?6<84}|~?l73n3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;f:T207<5s-<997?9;%410?713twvq6g>5183>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6=91]=9<52z&560g=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f7c>290:=7>50z&1ef<0=2B9m=5f15c94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9=k0Z<:=:3y'273=9?1/:?:5179~yx{i6=4+2`a951?<^;kn6:6X>4381!05=3;=7)8=4;35?x{zu2c::<4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98224=Q9=81>v*924822>"1:=0::6sr}|9j532=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;:4V061>7}#>;?1=;5+636953=zutw0e<8::18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;=7)8=4;35?x{zu2c::;4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98223=Q9=81>v*924822>"1:=0::6sr}|9j531=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;94V061>7}#>;?1=;5+636953=zutw0e<87:18'6de=9=30Z?oj:0yO6g6=9r\o;740?3_;?>740<,?8?6<84}|~?l7113:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?99:T207<5s-<997?9;%410?713twvq6g>4b83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<62.=>94>6:~yx=n9=n1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515f8R4252;q/:?;5179'272=9?1vqps4i06f>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>n7[?;2;0x 34228<0(;<;:048yx{z3`;?j7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37b>P6<;09w)8=5;35?!05<3;=7psr}:k215<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:9=5Y15096~"1:<0i7)8=4;`8yx{z3`;>=7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;365>P6<;09w)8=5;`8 3432k1vqps4o0g2>5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zj;o=6=4;:183!4fk3;n<6F=a19j51g=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1n6*9258a?x{zu2c:8o4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9820g=Q9=81>v*9248a?!05<3h0qpsr;h362?6=,;kh6<:6;W0ba?7|D;h;6:6X>4381!05=3h0(;<;:c9~yx{5<593:1N5i91b=9o50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 34228;0(;<;:038yx{z3`;?n7>5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<694>1:~yx=n9<<1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651448R4252;q/:?;5109'272=981vqps4i042>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28<:7[?;2;0x 34228;0(;<;:038yx{z3`;=87>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;350>P6<;09w)8=5;32?!05<3;:7psr}:k220<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10::85Y15096~"1:<0:=6*925825>{zut1b=;850;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9530<^8>96?u+637954=#>;>1=<5r}|8m400290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<88;W376?4|,?8>64727[;|~y>o6>00;6)P5il0:wA689U514=:r.=>84>1:&561<692wvqp5f15a94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9=i0Z<:=:3y'273=981/:?:5109~yx{o6=4+2`a951?<^;kn64381!05=3;:7)8=4;32?x{zu2c:8k4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9820c=Q9=81>v*924825>"1:=0:=6sr}|9j506=83.9mn4>489K6dd<^;kn6=6X>4381!05=3;:7)8=4;32?x{zu2c:9?4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98217=Q9=81>v*924823>"1:=0:;6sr}|9j505=83.9mn4>489K6dd<^;kn686X>4381!05=3;<7)8=4;34?x{zu2c:984?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98210=Q9=81>v*9248a?!05<3h0qpsr;h363?6=,;kh6<:6;W0ba?7|D;h;6;6X>4381!05=3h0(;<;:c9~yx{=9<30Z<:=:3y'273=j2.=>94m;|~y>o6=h0;6)P5il0:wA5`9U514=:r.=>84m;%410?d27[lm515;8R7gb28qG>o>51zTg3?4|,8o36<;l;W376?4|,?8>6o5+6369f>{zut1b=8j50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:950b<^8>96?u+6379f>"1:=0i7psr}:k21`<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:9h5Y15096~"1:<0i7)8=4;`8yx{z3`;>j7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;36b>P6<;09w)8=5;`8 3432k1vqps4i043>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28<;7[?;2;0x 3422k1/:?:5b:~yx=n9?81<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651708R4252;q/:?;5b:&5616283>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6>:1]=9<52z&560g=zutw0qolm5749K6d6j6=4+2`a951?<@;ki7[;|~y>o6N5ik1]>lk51zN1f5<6s_n<6?u+1d:951d<^8>96?u+637954=#>;>1=<5r}|8m431290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<;9;W376?4|,?8>64727[;|~y>o6>=0;6)P5il0:wA659U514=:r.=>84>1:&561<692wvqp5f17794?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9??0Z<:=:3y'273=981/:?:5109~yx{4381!05=3;:7)8=4;32?x{zu2c::54?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9822==Q9=81>v*924825>"1:=0:=6sr}|9j53?=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;74V061>7}#>;?1=<5+636954=zutw0e<:l:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;:7)8=4;32?x{zu2c:8i4?:%0bg?7312B9mo5Y2`g95~J5j90:w[j8:3y'5`>=9=n0Z<:=:3y'273=981/:?:5109~yx{n6=4+2`a951?<@;ki7[;|~y>o6N5ik1]>lk51zN1f5<6s_n<6?u+1d:951`<^8>96?u+637954=#>;>1=<5r}|8m437290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;364>P6<;09w)8=5;32?!05<3;:7psr}:k214<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h651438R4252;q/:?;5109'272=981vqps4i071>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28?97[?;2;0x 34228;0(;<;:038yx{z3`;>?7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;367>P6<;09w)8=5;34?!05<3;<7psr}:k211<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h651468R4252;q/:?;5169'272=9>1vqps4i076>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28?>7[?;2;0x 3422k1/:?:5b:~yx=n9<=1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651458R4252;q/:?;5b:&5615983>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6=11]=9<52z&560g=zutw0e<;n:18'6de=9=30Z?oj:0yO6g6=9r\o;743f3_;?>7g=#>;>1n6sr}|9j50d=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=8l4V061>7}#>;?1n6*9258a?x{zu2c:9n4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9821f=Q9=81>v*9248a?!05<3h0qpsr;h36`?6=,;kh6<:6;W0ba?7|D;h;6h6X>4381!05=3h0(;<;:c9~yx{=994m;|~y>o6>90;6)P5il0:wA619U514=:r.=>84m;%410?d27[lm515;8R7gb28qG>o>51zTg3?4|,8o36<8<;W376?4|,?8>6o5+6369f>{zut1d=h?50;&1ef<6m81]>lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:a6`b=8391<7>t$3c`>4c73A8j<6g>4`83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6g=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f66>29096=4?{%0bg?db3A8j<6g>4883>!4fk3;?565`1d394?"5ij0:i<5Y2`g95~J5j90:w[j8:3y'5`>=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e;881<7=50;2x 7gd2;k27E5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;37?!05<3;?7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th8=<4?:783>5}#:hi1=994H3c3?!71i3;27d?;9;29 7gd28>27[52z&2a=<6<01]=9<52z&560lm5d19U6dc=9rF9n=4>{W3g4?4|,8o36i>4V061>7}#>;?1n6*9258a?x{zu2co=7>5$3c`>a7<^;kn6?uC2c295~P6l909w)?j8;f2?S73:38p(;<::e9'272=l2wvqAl39pZi;52z&566>4>489'7`?=>;>0q)8m8;30?xP51m08w[j::3y'275=l81/?h756378ySb12;q/:?=5d19'7`?=>;?0q)8m8;a8yS7b?38pZi;52z&5665<#:hi1>4m4V3cf>7}K:k:1=vX>d181!7b0382o6X>4381!05=3;<7)8=4;34?x{zD;km65j50;&1ef<50m1]>lk52zN1f5<6s_;o<77>c3_;?>741<,?8?6<94}|O6d`=9r\9m84={Wf6>7}#>;91>5j4$2g:>3423t.=n54>7:~y>i6m80;6)=zj::j6=4<:183!4fk38j56F=a19j51g=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1n6*9258a?x{zu2c:8o4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9820g=Q9=81>v*9248a?!05<3h0qpsr;n3f5?6=,;kh6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{51;294~"5ij09n>5G2`28k4c6290/>lm51d38?xd48m0;694?:1y'6de=?<1C>l>4i06b>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 34228<0(;<;:048yx{z3`;?n7>5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<62.=>94>6:~yx=n9<<1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651448R4252;q/:?;5179'272=9?1vqps4o0g2>5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zj::n6=48:183!4fk3=>7E{zut1b=9l50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 34228<0(;<;:048yx{z3`;>:7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;362>P6<;09w)8=5;35?!05<3;=7psr}:k224<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10::<5Y15096~"1:<0::6*925822>{zut1b=;:50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?285$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;351>P6<;09w)8=5;35?!05<3;=7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th85}#:hi1;85G2`28m42f290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<:n;W376?4|,?8>6<84$707>4027[o6=?0;6)P5il0:wA579U514=:r.=>84>6:&561<6>2wvqp5f17394?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9?;0Z<:=:3y'273=9?1/:?:5179~yx{o6><0;6)P5il0:wA649U514=:r.=>84>6:&561<6>2wvqp5`1d394?"5ij0:i<5Y2`g95~J5j90:w[j8:3y'5`>=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e;9i1<7:50;2x 7gd28o;7E5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;`8 3432k1vqps4i075>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28?=7[?;2;0x 3422k1/:?:5b:~yx=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&5615$3c`>42>32e:i<4?:%0bg?7b92\9mh4>{M0a4?7|^m=1>v*>e982a4=Q9=81>v*9248a?!05<3h0qpsr;|`05f<72:0;6=u+2`a96d?<@;k;7d?;a;29 7gd28>27[lm515;8R7gb28qG>o>51zTg3?4|,8o36<:m;W376?4|,?8>6<:4$707>4250z&1ef<6<>1C>l>4$04b>4?26=4+2`a951?<^;kn6P6<;09w)8=5;`8 3432k1vqps4ie294?"5ij0o<6X=ad82I4e83;pZ=l91]=9<52z&560lm5d09U6dc=:rF9n=4>{W3g4?4|,8o36i?4V061>7}#>;?1h6*9258g?x{zD;km69uY28f97~Pc=38p(;<<:e38 6c>2?8>7pXk6;0x 34428>27)=j9;411>{#>k21=>5rV3;g>6}Ql<09w)8=3;f2?!5b13<996sYd781!05;3;?56*v*9228g5>"4m00=>85rVe496~"1::0o<6*7}Ql<09w)8=3;f2?!5b13<996s+6c:95==zut1b>4m50;&1ef<51j1]>lk52zN1f5<6s_;o<77?d3_;?>741<,?8?6<94}|O6d`=9r\9m84={Wf6>7}#>;91>4m4$2g:>3423t.=n54>7:~y>o50m0;6)P5il09wA1vqpB=ag82S4f=38pZi;52z&566<50m1/?h756378y!0e03;<7psr;n3f5?6=,;kh6;:a742=8391<7>t$3c`>7g>3A8j<6g>4`83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6g=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f672290:6=4?{%0bg?4e;2B9m=5`1d394?"5ij0:i<54}c123?6=<3:1N5i91b=9o50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951g<^8>96?u+637953=#>;>1=;5r}|8m42e290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;35?!05<3;=7psr}:k213<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:9;5Y15096~"1:<0::6*925822>{zut1d=h?50;&1ef<6m81]>lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:a74>=8321<7>t$3c`>23<@;k;7d?;a;29 7gd28>27[o6N5ik1]>lk51zN1f5<6s_n<6?u+1d:951d<^8>96?u+637953=#>;>1=;5r}|8m431290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<;9;W376?4|,?8>6<84$707>4027[o6>=0;6)P5il0:wA659U514=:r.=>84>6:&561<6>2wvqp5f17794?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<8:;W376?4|,?8>6<84$707>4027[2\:8?4={%411?713-<987?9;|~y>i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d=<729q/>lm5749K6d6j6=4+2`a951?<^;kn64381!05=3;=7)8=4;35?x{zu2c:9;4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98213=Q9=81>v*924822>"1:=0::6sr}|9j537=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;?4V061>7}#>;?1=;5+636953=zutw0e<8;:18'6de=9=30Z?oj:0yO6g6=9r\o;74033_;?>740<,?8?6<84}|~?l71=3:1(?ol:06:?M4fj2\9mh4>{M0a4?7|^m=1>v*>e98220=Q9=81>v*924822>"1:=0::6sr}|9j530=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;84V061>7}#>;?1=;5+636953=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f671290?6=4?{%0bg?7b82B9m=5f15c94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9=k0Z<:=:3y'273=j2.=>94m;|~y>o6P5il0:wA4c9U514=:r.=>84m;%410?d27[2\:8?4={%411?d<,?8?6o5r}|8k4c6290/>lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn>?k:181>5<7s-8jo7lj;I0b4>o6<00;6)=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&561{M0a4?7|^m=1>v*>e9820d=Q9=81>v*9248a?!05<3h0qpsr;h37f?6=,;kh6<:6;W0ba?7|D;h;64381!05=3;?7)8=4;37?x{zu2e:i<4?:%0bg?7b92\9mh4>{M0a4?7|^m=1>v*>e982a4=Q9=81>v*9248a?!05<3h0qpsr;|`060<72?0;6=u+2`a9511<@;k;7)?9a;3:?l7313:1(?ol:06:?S4fm3;p@?l?:0yU5a6=:r.:i54>489U514=:r.=>84m;%410?dlk51zN1f5<6s_;o<7a6<^8>96?u+6379f>"1:=0i7psr}:kg5?6=,;kh6i?4V3cf>7}K:k:1=vX>d181!7b03n:7[?;2;0x 3422m1/:?:5d:~yI4fn3>pZ?7k:2yU`0<5s-<9?7j>;%1f=?05=2w]h;4={%417?7312.8i449249~ 3d?2890q[<6d;1xRa3=:r.=>>4k1:&0a<<1:<1vZi852z&566<6<01/?h756368y!0e03;87pX=9e80Sb22;q/:?=5d09'7`?=>;?0q[j9:3y'275=l91/?h756378y!0e03i0q[?j7;0xRa3=:r.=>>4k1:&0a<<1:<1v(;l7:0:8yx{P6<;09w)8=5;34?!05<3;<7psrL3ce>4}Q:h?1>vXk5;0x 3442;3h7)=j9;411>{#>k21=:5r}|9j6=b=83.9mn4=8e9U6dc=:rF9n=4>{W3g4?4|,8o36?6k;W376?4|,?8>6<94$707>41lh51zT1e0<5s_n>6?u+63196=b<,:o26;<:;|&5f=<6?2wvq6a>e083>!4fk3;n=65rb23f>5<4290;w)N5i91b=9o50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951g<^8>96?u+6379f>"1:=0i7psr}:k20g<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:8o5Y15096~"1:<0i7)8=4;`8yx{z3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c12b?6=93:1:18'6de=9l;07pl<2083>1<729q/>lm5749K6d6j6=4+2`a951?<^;kn6P5il0:wA4c9U514=:r.=>84>6:&561<6>2wvqp5f14494?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9<<0Z<:=:3y'273=9?1/:?:5179~yx{5<>290;w){M0a4?7|^m=1>v*>e9820d=Q9=81>v*924822>"1:=0::6sr}|9j51d=83.9mn4>489K6dd<^;kn6:6X>4381!05=3;=7)8=4;35?x{zu2c::<4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98224=Q9=81>v*924822>"1:=0::6sr}|9j532=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;:4V061>7}#>;?1=;5+636953=zutw0e<8::18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;=7)8=4;35?x{zu2c::;4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98223=Q9=81>v*924822>"1:=0::6sr}|9j531=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;94V061>7}#>;?1=;5+636953=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f64429026=4?{%0bg?123A8j<6g>4`83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<62.=>94>6:~yx=n9=h1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5179'272=9?1vqps4i075>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28?=7[?;2;0x 34228<0(;<;:048yx{z3`;==7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;355>P6<;09w)8=5;35?!05<3;=7psr}:k221<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10::95Y15096~"1:<0::6*925822>{zut1b=;;50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28<>7[?;2;0x 34228<0(;<;:048yx{z3`;=:7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;352>P6<;09w)8=5;35?!05<3;=7psr}:k222<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:::5Y15096~"1:<0::6*925822>{zut1d=h?50;&1ef<6m81]>lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:a776=83>1<7>t$3c`>4c73A8j<6g>4`83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6g=zutw0e<;9:18'6de=9=30Z?oj:0yO6g6=9r\o;74313_;?>7g=#>;>1n6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi??950;094?6|,;kh6ok4H3c3?l7313:1(?ol:06:?>i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d6<729q/>lm52`;8L7g73`;?m7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37e>P6<;09w)8=5;`8 3432k1vqps4i06a>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 34228>0(;<;:068yx{z3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c11b?6=>3:1290/>lm515;8R7gb28qG>o>51zT2`5<5s-;n47?;9:T207<5s-<997l4$707>g=zutw0ei>50;&1ef{M0a4?7|^8n;6?u+1d:9`5=Q9=81>v*9248a?!05<3h0qpsr;hf2>5<#:hi1h<5Y2`g96~J5j90:w[?k0;0x 4c?2m;0Z<:=:3y'273=l2.=>94k;|~H7ga2=q]>4j53zTg1?4|,?886i?4$2g:>3423t\o:742>3-9n578=5:'2g>=9:1vZ?7k:2yU`0<5s-<9?7j>;%1f=?05=2w]h;4={%417?7312.8i449259~ 3d?2890q[<6d;1xRa3=:r.=>>4k1:&0a<<1:<1vZi852z&566;%1f=?05=2w/:o65199~yx=n:0i1<7*=ab81=f=Q:ho1>vB=b182S7c838p(k6:706?x"1j10:;6sr}:k17}#9l21>5j4V061>7}#>;?1=:5+636952=zutF9mk4>{W0b1?4|^m?1>v*92281{M0a4?7|^m=1>v*>e9820d=Q9=81>v*9248a?!05<3h0qpsr;h37f?6=,;kh6<:6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{5<6290;w)N5i91d=h?50;&1ef<6m810qo==b;290?6=8r.9mn485:J1e5=n9=k1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515c8R4252;q/:?;5179'272=9?1vqps4i06a>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?;b:T207<5s-<997?9;%410?713twvq6g>5783>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6=?1]=9<52z&560<6>2.=>94>6:~yx=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&561{M0a4?7|^m=1>v*>e9820d=Q9=81>v*924822>"1:=0::6sr}|9j51d=83.9mn4>489K6dd<^;kn6:6X>4381!05=3;=7)8=4;35?x{zu2c::<4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98224=Q9=81>v*924822>"1:=0::6sr}|9j532=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;:4V061>7}#>;?1=;5+636953=zutw0e<8::18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;=7)8=4;35?x{zu2c::;4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98223=Q9=81>v*924822>"1:=0::6sr}|9j531=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;94V061>7}#>;?1=;5+636953=zutw0e<87:18'6de=9=30Z?oj:0yO6g6=9r\o;740?3_;?>740<,?8?6<84}|~?l7113:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?99:T207<5s-<997l4$707>g=zutw0e<:l:18'6de=9=30Z?oj:0yO6g6=9r\o;742d3_;?>7g=#>;>1n6sr}|9j51b=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9j4V061>7}#>;?1n6*9258a?x{zu2c:8h4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9820`=Q9=81>v*9248a?!05<3h0qpsr;h37b?6=,;kh6<:6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{=9<;0Z<:=:3y'273=j2.=>94m;|~y>i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d47=83:p(?ol:678L7g73`;?m7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37e>P6<;09w)8=5;35?!05<3;=7psr}:k20g<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:8o5Y15096~"1:<0::6*925822>{zut1b=8850;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9500<^8>96?u+637953=#>;>1=;5r}|8m406290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<8>;W376?4|,?8>6<84$707>4027[o6><0;6)N5ik1]>lk51zN1f5<6s_n<6?u+1d:9533<^8>96?u+637953=#>;>1=;5r}|8m401290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<89;W376?4|,?8>6<84$707>4027[o6>10;6)P5il0:wA699U514=:r.=>84>6:&561<6>2wvqp5f17;94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9?30Z<:=:3y'273=j2.=>94m;|~y>o6P5il0:wA4b9U514=:r.=>84m;%410?d27[lm515;8R7gb28qG>o>51zTg3?4|,8o36<:j;W376?4|,?8>6o5+6369f>{zut1b=9h50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951`<^8>96?u+6379f>"1:=0i7psr}:k215<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:9=5Y15096~"1:<0i7)8=4;`8yx{z3`;>=7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;365>P6<;09w)8=5;`8 3432k1vqps4o0g2>5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zj:8j6=4;:183!4fk3;n<6F=a19j51g=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1n6*9258a?x{zu2c:8o4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9820g=Q9=81>v*9248a?!05<3h0qpsr;h362?6=,;kh6<:6;W0ba?7|D;h;6:6X>4381!05=3h0(;<;:c9~yx{5<5290;w)lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:a76g=8391<7>t$3c`>7g>3A8j<6g>4`83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&5603`;?57>5$3c`>42>3_8ji7?tL3`3>4}Q9m:1>v*>e9820<=Q9=81>v*9248a?!05<3h0qpsr;hf3>5<#:hi1h=5Y2`g95~J5j90:w[?k0;0x 4c?2m:0Z<:=:3y'273=j2.=>94m;|~y>oc93:1(?ol:e38R7gb2;qG>o>51zT2`5<5s-;n47j>;W376?4|,?8>6i5+6369`>{zuE8jj7:tV3;g>6}Ql<09w)8=3;f2?!5b13<996sYd781!05;3;?56*v*9228g5>"4m00=>85rVe496~"1::0:845+3d;92726?u+6319`4=#;l31:?;4}Wf5>7}#>;91h=5+3d;9273v*9228g5>"4m00=>85r$7`;>4>k2\:8?4={%411?703-<987?8;|~H7ga28q]>l;52zTg1?4|,?886?7l;%1f=?05=2w/:o65169~yx=n:1n1<7*=ab81vB=b182S7c838p(k6:706?x"1j10:;6sr}:m2a4<72-8jo7?j1:9~f65529086=4?{%0bg?4f12B9m=5f15c94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9=k0Z<:=:3y'273=j2.=>94m;|~y>o6P5il0:wA4c9U514=:r.=>84m;%410?d50z&1ef<5j:1C>l>4o0g2>5<#:hi1=h?4;|`070<72=0;6=u+2`a930=O:h:0e<:n:18'6de=9=30Z?oj:0yO6g6=9r\o;742f3_;?>740<,?8?6<84}|~?l73j3:1(?ol:06:?M4fj2\9mh4>{M0a4?7|^m=1>v*>e9820g=Q9=81>v*924822>"1:=0::6sr}|9j500=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=884V061>7}#>;?1=;5+636953=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f651290:=7>50z&1ef<0=2B9m=5f15c94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9=k0Z<:=:3y'273=9?1/:?:5179~yx{i6=4+2`a951?<@;ki7[o6=?0;6)P5il0:wA579U514=:r.=>84>6:&561<6>2wvqp5f17394?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9?;0Z<:=:3y'273=9?1/:?:5179~yx{P5il0:wA649U514=:r.=>84>6:&561<6>2wvqp5f17494?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9?<0Z<:=:3y'273=9?1/:?:5179~yx{4381!05=3;=7)8=4;35?x{zu2c::44?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9822<=Q9=81>v*924822>"1:=0::6sr}|9j51e=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9m4V061>7}#>;?1n6*9258a?x{zu2c:8i4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9820a=Q9=81>v*9248a?!05<3h0qpsr;h37a?6=,;kh6<:6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{m6=4+2`a951?<^;kn6=9<:0Z<:=:3y'273=j2.=>94m;|~y>o6=80;6)P5il0:wA509U514=:r.=>84m;%410?dl>4i06b>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 34228<0(;<;:048yx{z3`;?n7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;35?!05<3;=7psr}:k213<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:9;5Y15096~"1:<0::6*925822>{zut1b=;?50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9537<^8>96?u+637953=#>;>1=;5r}|8m403290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<8;;W376?4|,?8>6<84$707>4027E{zut1b=;850;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9530<^8>96?u+637953=#>;>1=;5r}|8m400290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<88;W376?4|,?8>6<84$707>4027[o6>00;6)P5il0:wA689U514=:r.=>84>6:&561<6>2wvqp5f15a94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9=i0Z<:=:3y'273=j2.=>94m;|~y>o6P5il0:wA4e9U514=:r.=>84m;%410?d27[lm515;8R7gb28qG>o>51zTg3?4|,8o36<:i;W376?4|,?8>6o5+6369f>{zut1b=8>50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9506<^8>96?u+6379f>"1:=0i7psr}:k214<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:9<5Y15096~"1:<0i7)8=4;`8yx{z3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c100?6=<3:142f3_;?>7g=#>;>1n6sr}|9j51d=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9l4V061>7}#>;?1n6*9258a?x{zu2c:9;4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98213=Q9=81>v*9248a?!05<3h0qpsr;n3f5?6=,;kh6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{52;294~"5ij0ii6F=a19j51?=83.9mn4>4898k4c6290/>lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn>:;:180>5<7s-8jo74c83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<694>4:~yx=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&5619:k20<<72-8jo7?;9:T1e`<6sE8i<7?tV0f3>7}#9l21=974V061>7}#>;?1n6*9258a?x{zu2co<7>5$3c`>a6<^;kn6!4fk3n:7[52z&2a=v*922820<=#;l31:?;4}%4a7}#>;91h<5+3d;92734j53zTg1?4|,?886i?4$2g:>3423t\o:7a6<,:o26;<:;|&5f=7}#>;91h<5+3d;9273k3:1(?ol:3;`?S4fm38p@?l?:0yU5a6=:r.:i54=9b9U514=:r.=>84>7:&561<6?2wvqAk2.8i449249~ 3d?28=0qps4i3:g>5<#:hi1>5j4V3cf>7}K:k:1=vX>d181!7b0383h6X>4381!05=3;<7)8=4;34?x{zD;km6j6=4+2`a951?<^;kn6=9=h0Z<:=:3y'273=j2.=>94m;|~y>i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d4<729q/>lm52c18L7g73f;n=7>5$3c`>4c632wi?>h50;694?6|,;kh6:;4H3c3?l73i3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997?9;%410?713twvq6g>4c83>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=9l4V061>7}#>;?1=;5+636953=zutw0e<;9:18'6de=9=30Z?oj:0yO6g6=9r\o;74313_;?>740<,?8?6<84}|~?j7b93:1(?ol:0g2?S4fm3;p@?l?:0yU`2<5s-;n47?j1:T207<5s-<997l4$707>g=zutw0qo=;0;2954<729q/>lm5749K6d6j6=4+2`a951?<^;kn6P5il0:wA4c9U514=:r.=>84>6:&561<6>2wvqp5f14494?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9<<0Z<:=:3y'273=9?1/:?:5179~yx{4381!05=3;=7)8=4;35?x{zu2c::84?:%0bg?7312B9mo5Y2`g95~J5j90:w[j8:3y'5`>=9??0Z<:=:3y'273=9?1/:?:5179~yx{4381!05=3;=7)8=4;35?x{zu2c::54?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9822==Q9=81>v*924822>"1:=0::6sr}|9j53?=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;74V061>7}#>;?1=;5+636953=zutw0e<:l:18'6de=9=30Z?oj:0yO6g6=9r\o;742d3_;?>740<,?8?6<84}|~?l73l3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;d:T207<5s-<997l4$707>g=zutw0e<:j:18'6de=9=30Z?oj:0yO6g6=9r\o;742b3_;?>7g=#>;>1n6sr}|9j51`=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9h4V061>7}#>;?1n6*9258a?x{zu2c:9=4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98215=Q9=81>v*9248a?!05<3h0qpsr;h365?6=,;kh6<:6;W0ba?7|D;h;6=6X>4381!05=3h0(;<;:c9~yx{5<693:1N5i91b=9o50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951g<^8>96?u+637953=#>;>1=;5r}|8m42e290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<:m;W376?4|,?8>6<84$707>4027[2\:8?4={%411?713-<987?9;|~y>o6>80;6)P5il0:wA609U514=:r.=>84>6:&561<6>2wvqp5f17694?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9?>0Z<:=:3y'273=9?1/:?:5179~yx{6=4+2`a951?<@;ki7[o6>?0;6)P5il0:wA679U514=:r.=>84>6:&561<6>2wvqp5f17594?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9?=0Z<:=:3y'273=9?1/:?:5179~yx{4381!05=3;=7)8=4;35?x{zu2c:8n4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9820f=Q9=81>v*924822>"1:=0::6sr}|9j51b=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9j4V061>7}#>;?1n6*9258a?x{zu2c:8h4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9820`=Q9=81>v*9248a?!05<3h0qpsr;h37b?6=,;kh6<:6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{=9<;0Z<:=:3y'273=j2.=>94m;|~y>i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d1<729q/>lm51d28L7g73`;?m7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37e>P6<;09w)8=5;`8 3432k1vqps4i06a>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 3422k1/:?:5b:~yx=n9<<1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651448R4252;q/:?;5b:&561e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&560l>4i06:>5<#:hi1=974;n3f5?6=,;kh6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{53;294~"5ij09m45G2`28m42f290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<:n;W376?4|,?8>6o5+6369f>{zut1b=9l50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951d<^8>96?u+637951=#>;>1=95r}|8k4c6290/>lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn>:k:185>5<7s-8jo7?;7:J1e5=#9?k1=45f15;94?"5ij0:845Y2`g95~J5j90:w[?k0;0x 4c?28>27[?;2;0x 3422k1/:?:5b:~yx=nl90;6)g=zutw0ei?50;&1efv*9248g?!05<3n0qpsC2`d90~P51m08w[j::3y'275=l81/?h756378ySb12;q/:?=515;8 6c>2?8>7p*9b9827>{Q:0n1?vXk5;0x 3442m;0(>k6:706?xPc>38p(;<<:06:?!5b13<986s+6c:956=z^;3o6>uYd481!05;3n:7)=j9;411>{Ql?09w)8=3;f3?!5b13<996s+6c:9g>{Q9l=1>vXk5;0x 3442m;0(>k6:706?x"1j10:46sr}:k1=f<72-8jo7<6c:T1e`<5sE8i<7?tV0f3>7}#9l21>4m4V061>7}#>;?1=:5+636952=zutF9mk4>{W0b1?4|^m?1>v*92281=f=#;l31:?;4}%4a52z&2a=<50m1]=9<52z&560<6?2.=>94>7:~yI4fn3;pZ?o::3yU`0<5s-<9?7<7d:&0a<<1:<1v(;l7:058yx{5}#:hi1>l74H3c3?l73i3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997l4$707>g=zutw0e<:m:18'6de=9=30Z?oj:0yO6g6=9r\o;742e3_;?>7g=#>;>1n6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi?9950;394?6|,;kh6?l<;I0b4>i6m80;6)=zj:>26=4;:183!4fk3=>7E{zut1b=9l50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 34228<0(;<;:048yx{z3`;>:7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;362>P6<;09w)8=5;35?!05<3;=7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th88l4?:0394?6|,;kh6:;4H3c3?l73i3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997?9;%410?713twvq6g>4c83>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=9l4V061>7}#>;?1=;5+636953=zutw0e<;9:18'6de=9=30Z?oj:0yO6g6=9r\o;74313_;?>740<,?8?6<84}|~?l7193:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?91:T207<5s-<997?9;%410?713twvq6g>6583>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6>=1]=9<52z&560<6>2.=>94>6:~yx=n9??1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;74023_;?>740<,?8?6<84}|~?l71>3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?96:T207<5s-<997?9;%410?713twvq6g>6683>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6>>1]=9<52z&560<6>2.=>94>6:~yx=n9?21<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6517:8R4252;q/:?;5179'272=9?1vqps4i04:>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28<27[?;2;0x 34228<0(;<;:048yx{z3`;?o7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37g>P6<;09w)8=5;35?!05<3;=7psr}:k20a<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:8i5Y15096~"1:<0::6*925822>{zut1b=9k50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951c<^8>96?u+6379f>"1:=0i7psr}:k20c<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:8k5Y15096~"1:<0i7)8=4;`8yx{z3`;><7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;364>P6<;09w)8=5;`8 3432k1vqps4i072>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28?:7[?;2;0x 3422k1/:?:5b:~yx=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&561{M0a4?7|^m=1>v*>e9820d=Q9=81>v*924822>"1:=0::6sr}|9j51d=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9l4V061>7}#>;?1=;5+636953=zutw0e<;9:18'6de=9=30Z?oj:0yO6g6=9r\o;74313_;?>740<,?8?6<84}|~?l7193:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?91:T207<5s-<997?9;%410?713twvq6g>6583>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6>=1]=9<52z&560<6>2.=>94>6:~yx=n9??1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;74023_;?>740<,?8?6<84}|~?l71>3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?96:T207<5s-<997?9;%410?713twvq6g>6683>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6>>1]=9<52z&560<6>2.=>94>6:~yx=n9?21<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6517:8R4252;q/:?;5179'272=9?1vqps4i04:>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28<27[?;2;0x 34228<0(;<;:048yx{z3`;?o7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37g>P6<;09w)8=5;35?!05<3;=7psr}:k20a<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:8i5Y15096~"1:<0::6*925822>{zut1b=9k50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951c<^8>96?u+6379f>"1:=0i7psr}:k20c<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:8k5Y15096~"1:<0i7)8=4;`8yx{z3`;><7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;364>P6<;09w)8=5;`8 3432k1vqps4i072>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28?:7[?;2;0x 3422k1/:?:5b:~yx=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&561{M0a4?7|^m=1>v*>e9820d=Q9=81>v*9248a?!05<3h0qpsr;h37f?6=,;kh6<:6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e;=l1<7<50;2x 7gd2ko0D?o?;h37=?6=,;kh6<:6;:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th89;4?:383>5}#:hi1nh5G2`28m42>290/>lm515;8?j7b93:1(?ol:0g2?S4fm3;p@?l?:0yU`2<5s-;n47?j1:T207<5s-<997l4$707>g=zutw0qo=:d;297?6=8r.9mn4=a89K6d6j6=4+2`a951?<^;kn6=9=h0Z<:=:3y'273=9=1/:?:5159~yx{5<1290;w)N5i91/=;o5189j51?=83.9mn4>489U6dc=9rF9n=4>{W3g4?4|,8o36<:6;W376?4|,?8>6o5+6369f>{zut1bh=4?:%0bg?b73_8ji7?tL3`3>4}Q9m:1>v*>e98g4>P6<;09w)8=5;`8 3432k1vqps4ie394?"5ij0o=6X=ad81I4e83;pZ=l81]=9<52z&5607}#>;91h<5+3d;92734j53zTg1?4|,?886i?4$2g:>3423t\o:742>3-9n578=4:'2g>=9:1vZ?7k:2yU`0<5s-<9?7j>;%1f=?05=2w]h;4={%417?b73-9n578=5:'2g>=k2w]=h952zTg1?4|,?886i?4$2g:>3423t.=n54>8:~y>o51j0;6)P5il09wA1vqpB=ag82S4f=38pZi;52z&566<51j1/?h756378y!0e03;<7psr;h0;`?6=,;kh6?6k;W0ba?4|D;h;6{zuE8jj7?tV3c6>7}Ql<09w)8=3;0;`>"4m00=>85r$7`;>41:18'6de=9l;07pl<5183>6<729q/>lm52`;8L7g73`;?m7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37e>P6<;09w)8=5;`8 3432k1vqps4i06a>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 3422k1/:?:5b:~yx=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&561;<:180>5<7s-8jo79:;I0b4>o6P5il0:wA4`9U514=:r.=>84m;%410?d27[lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn>;;:187>5<7s-8jo79:;I0b4>o6P5il0:wA4`9U514=:r.=>84>6:&561<6>2wvqp5f15`94?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<:m;W376?4|,?8>6<84$707>4027[2\:8?4={%411?713-<987?9;|~y>i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d47=83:p(?ol:678L7g73`;?m7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37e>P6<;09w)8=5;35?!05<3;=7psr}:k20g<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5179'272=9?1vqps4i075>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28?=7[?;2;0x 34228<0(;<;:048yx{z3`;==7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;355>P6<;09w)8=5;35?!05<3;=7psr}:k221<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10::95Y15096~"1:<0::6*925822>{zut1b=;;50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28<>7[?;2;0x 34228<0(;<;:048yx{z3`;=:7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;352>P6<;09w)8=5;35?!05<3;=7psr}:k222<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:::5Y15096~"1:<0::6*925822>{zut1b=;650;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:953><^8>96?u+637953=#>;>1=;5r}|8m40>290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<86;W376?4|,?8>6<84$707>4027[o6P5il0:wA4e9U514=:r.=>84>6:&561<6>2wvqp5f15g94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9=o0Z<:=:3y'273=9?1/:?:5179~yx{m6=4+2`a951?<^;kn6=9<:0Z<:=:3y'273=j2.=>94m;|~y>o6=80;6)P5il0:wA509U514=:r.=>84m;%410?d50z&1ef<6m91C>l>4i06b>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 3422k1/:?:5b:~yx=n9=h1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5b:&5615783>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6=?1]=9<52z&560g=zutw0qo=:7;2964<729q/>lm5749K6d6j6=4+2`a951?<@;ki7[;|~y>o6N5ik1]>lk51zN1f5<6s_n<6?u+1d:951d<^8>96?u+637954=#>;>1=<5r}|8m431290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<;9;W376?4|,?8>64727[;|~y>o6>=0;6)P5il0:wA659U514=:r.=>84>1:&561<692wvqp5f17794?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9??0Z<:=:3y'273=981/:?:5109~yx{4381!05=3;:7)8=4;32?x{zu2c::54?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9822==Q9=81>v*924825>"1:=0:=6sr}|9j53?=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;74V061>7}#>;?1=<5+636954=zutw0e<:l:18'6de=9=30Z?oj:0yO6g6=9r\o;742d3_;?>747<,?8?6;%410?763twvq6g>4d83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<694>1:~yx=n9=l1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515d8R4252;q/:?;5109'272=981vqps4i073>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?:0:T207<5s-<997?>;%410?763twvq6g>5083>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6=81]=9<52z&560<692.=>94>1:~yx=n9<81<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651408R4252;q/:?;5169'272=9>1vqps4i070>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?:3:T207<5s-<997?8;%410?703twvq6g>5583>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6==1]=9<52z&560<6?2.=>94>7:~yx=n95683>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6=>1]=9<52z&560g=zutw0e<;6:18'6de=9=30Z?oj:0yO6g6=9r\o;743>3_;?>7g=#>;>1n6sr}|9j50g=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=8o4V061>7}#>;?1n6*9258a?x{zu2c:9o4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9821g=Q9=81>v*9248a?!05<3h0qpsr;h36g?6=,;kh6<:6;W0ba?7|D;h;6o6X>4381!05=3h0(;<;:c9~yx{=994m;|~y>o6=o0;6)P5il0:wA5g9U514=:r.=>84m;%410?d27[lm515;8R7gb28qG>o>51zTg3?4|,8o36<8=;W376?4|,?8>6o5+6369f>{zut1b=;=50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9535<^8>96?u+6379f>"1:=0i7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th8954?:3394?6|,;kh6:;4H3c3?l73i3:1(?ol:06:?M4fj2\9mh4>{M0a4?7|^m=1>v*>e9820d=Q9=81>v*924825>"1:=0:=6sr}|9j51d=83.9mn4>489K6dd<^;kn6:6X>4381!05=3;:7)8=4;32?x{zu2c::<4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98224=Q9=81>v*924825>"1:=0:=6sr}|9j532=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;:4V061>7}#>;?1=<5+636954=zutw0e<8::18'6de=9=30Z?oj:0yO6g6=9r\o;74023_;?>747<,?8?63:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?96:T207<5s-<997?>;%410?763twvq6g>6683>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6>>1]=9<52z&560<692.=>94>1:~yx=n9?21<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6517:8R4252;q/:?;5109'272=981vqps4i04:>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28<27[?;2;0x 34228;0(;<;:038yx{z3`;?o7>5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<694>1:~yx=n9=n1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;742c3_;?>747<,?8?6{M0a4?7|^m=1>v*>e9820`=Q9=81>v*924825>"1:=0:=6sr}|9j51`=83.9mn4>489K6dd<^;kn6P5il0:wA519U514=:r.=>84>1:&561<692wvqp5f14394?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9<;0Z<:=:3y'273=981/:?:5109~yx{P5il0:wA529U514=:r.=>84>7:&561<6?2wvqp5f14694?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9<>0Z<:=:3y'273=9>1/:?:5169~yx{6=4+2`a951?<^;kn6=9<=0Z<:=:3y'273=j2.=>94m;|~y>o6=10;6)P5il0:wA599U514=:r.=>84m;%410?d27[lm515;8R7gb28qG>o>51zTg3?4|,8o36<;n;W376?4|,?8>6o5+6369f>{zut1b=8l50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:950d<^8>96?u+6379f>"1:=0i7psr}:k21f<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:9n5Y15096~"1:<0i7)8=4;`8yx{z3`;>h7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;36`>P6<;09w)8=5;`8 3432k1vqps4i07f>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28?n7[?;2;0x 3422k1/:?:5b:~yx=n96183>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6>91]=9<52z&560g=zutw0e<8<:18'6de=9=30Z?oj:0yO6g6=9r\o;74043_;?>7g=#>;>1n6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi?8750;02>5<7s-8jo79:;I0b4>o6N5ik1]>lk51zN1f5<6s_n<6?u+1d:951g<^8>96?u+637954=#>;>1=<5r}|8m42e290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;32?!05<3;:7psr}:k213<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:9;5Y15096~"1:<0:=6*925825>{zut1b=;?50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9537<^8>96?u+637954=#>;>1=<5r}|8m403290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<8;;W376?4|,?8>64727[;|~y>o6>?0;6)P5il0:wA679U514=:r.=>84>1:&561<692wvqp5f17594?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9?=0Z<:=:3y'273=981/:?:5109~yx{4381!05=3;:7)8=4;32?x{zu2c:8n4?:%0bg?7312B9mo5Y2`g95~J5j90:w[j8:3y'5`>=9=i0Z<:=:3y'273=981/:?:5109~yx{o6=4+2`a951?<@;ki7[;|~y>o6N5ik1]>lk51zN1f5<6s_n<6?u+1d:951c<^8>96?u+637954=#>;>1=<5r}|8m42a290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;37b>P6<;09w)8=5;32?!05<3;:7psr}:k215<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h651428R4252;q/:?;5109'272=981vqps4i072>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28?:7[?;2;0x 34228;0(;<;:038yx{z3`;>>7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;366>P6<;09w)8=5;34?!05<3;<7psr}:k216<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h651418R4252;q/:?;5169'272=9>1vqps4i077>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28??7[?;2;0x 34228=0(;<;:058yx{z3`;>97>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;361>P6<;09w)8=5;`8 3432k1vqps4i074>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28?<7[?;2;0x 3422k1/:?:5b:~yx=n9<21<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6514:8R4252;q/:?;5b:&5615883>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6=01]=9<52z&560g=zutw0e<;m:18'6de=9=30Z?oj:0yO6g6=9r\o;743e3_;?>7g=#>;>1n6sr}|9j50e=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=8m4V061>7}#>;?1n6*9258a?x{zu2c:9i4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9821a=Q9=81>v*9248a?!05<3h0qpsr;h36a?6=,;kh6<:6;W0ba?7|D;h;6i6X>4381!05=3h0(;<;:c9~yx{=9?:0Z<:=:3y'273=j2.=>94m;|~y>o6>;0;6)P5il0:wA639U514=:r.=>84m;%410?d27[lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn>;n:187>5<7s-8jo7?j0:J1e5=n9=k1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515c8R4252;q/:?;5b:&5614c83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<63:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?:6:T207<5s-<997l4$707>g=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f6>c29096=4?{%0bg?db3A8j<6g>4883>!4fk3;?565`1d394?"5ij0:i<5Y2`g95~J5j90:w[j8:3y'5`>=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e;021<7=50;2x 7gd2;k27E5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;37?!05<3;?7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th85:4?:783>5}#:hi1=994H3c3?!71i3;27d?;9;29 7gd28>27[52z&2a=<6<01]=9<52z&560lm5d19U6dc=9rF9n=4>{W3g4?4|,8o36i>4V061>7}#>;?1n6*9258a?x{zu2co=7>5$3c`>a7<^;kn6?uC2c295~P6l909w)?j8;f2?S73:38p(;<::e9'272=l2wvqAl39pZi;52z&566>4>489'7`?=>;>0q)8m8;30?xP51m08w[j::3y'275=l81/?h756378ySb12;q/:?=5d19'7`?=>;?0q)8m8;30?xP6m>09w[j::3y'275=l81/?h756378y!0e03;37psr;h0:g?6=,;kh6?7l;W0ba?4|D;h;6{zuE8jj7?tV3c6>7}Ql<09w)8=3;0:g>"4m00=>85r$7`;>41l;52zTg1?4|,?886?6k;%1f=?05=2w/:o65169~yx=h9l;1<7*=ab82a4=53;294~"5ij09m45G2`28m42f290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<:n;W376?4|,?8>6o5+6369f>{zut1b=9l50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951d<^8>96?u+6379f>"1:=0i7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th85=4?:083>5}#:hi1>o=4H3c3?j7b93:1(?ol:0g2?>{e;081<7;50;2x 7gd2>?0D?o?;h37e?6=,;kh6<:6;W0ba?7|D;h;64381!05=3;=7)8=4;35?x{zu2c:8o4?:%0bg?7312B9mo5Y2`g95~J5j90:w[j8:3y'5`>=9=h0Z<:=:3y'273=9?1/:?:5179~yx{4381!05=3;=7)8=4;35?x{zu2e:i<4?:%0bg?7b92\9mh4>{M0a4?7|^m=1>v*>e982a4=Q9=81>v*9248a?!05<3h0qpsr;|`0=6<72<0;6=u+2`a930=O:h:0e<:n:18'6de=9=30Z?oj:0yO6g6=9r\o;742f3_;?>740<,?8?6<84}|~?l73j3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;b:T207<5s-<997?9;%410?713twvq6g>5783>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6=?1]=9<52z&560<6>2.=>94>6:~yx=n9?;1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651738R4252;q/:?;5179'272=9?1vqps4o0g2>5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zj:3?6=4::183!4fk3=>7E{zut1b=9l50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 34228<0(;<;:048yx{z3`;>:7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;362>P6<;09w)8=5;35?!05<3;=7psr}:k224<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10::<5Y15096~"1:<0::6*925822>{zut1d=h?50;&1ef<6m81]>lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:a7<3=83?1<7>t$3c`>23<@;k;7d?;a;29 7gd28>27[o6N5ik1]>lk51zN1f5<6s_n<6?u+1d:951d<^8>96?u+637953=#>;>1=;5r}|8m431290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<;9;W376?4|,?8>6<84$707>4027[i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d0<729q/>lm51d28L7g73`;?m7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37e>P6<;09w)8=5;`8 3432k1vqps4i06a>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 3422k1/:?:5b:~yx=n9<<1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651448R4252;q/:?;5b:&5616083>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6>81]=9<52z&560g=zutw0qo=7e;297?6=8r.9mn485:J1e5=n9=k1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;742f3_;?>740<,?8?6<84}|~?l73j3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;b:T207<5s-<997?9;%410?713twvq6a>e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&560l>4i06:>5<#:hi1=974;n3f5?6=,;kh6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{56;294~"5ij0:8:5G2`28 40f2830e<:6:18'6de=9=30Z?oj:0yO6g6=9r\:h=4={%3f7}#9l21h=5Y15096~"1:<0i7)8=4;`8yx{z3`n:6=4+2`a9`4=Q:ho1>vB=b182S7c838p(a7<,:o26;<:;|Tg2?4|,?886<:6;%1f=?05=2w/:o65129~R7?c2:q]h84={%417?b63-9n578=5:U`3<5s-<9?7?;9:&0a<<1:=1v(;l7:018yS4>l39pZi;52z&566>4k0:&0a<<1:<1v(;l7:018yS7b?38pZi;52z&5665<#:hi1>4m4V3cf>7}K:k:1=vX>d181!7b0382o6X>4381!05=3;<7)8=4;34?x{zD;km65j50;&1ef<50m1]>lk52zN1f5<6s_;o<77>c3_;?>741<,?8?6<94}|O6d`=9r\9m84={Wf6>7}#>;91>5j4$2g:>3423t.=n54>7:~y>i6m80;6)=zj;3;6=4<:183!4fk38j56F=a19j51g=83.9mn4>489K6dd<^;kn6=9=h0Z<:=:3y'273=j2.=>94m;|~y>i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d4<729q/>lm52c18L7g73f;n=7>5$3c`>4c632wi>4<50;794?6|,;kh6:;4H3c3?l73i3:1(?ol:06:?M4fj2\9mh4>{M0a4?7|^m=1>v*>e9820d=Q9=81>v*924822>"1:=0::6sr}|9j51d=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9l4V061>7}#>;?1=;5+636953=zutw0e<;9:18'6de=9=30D?om;W0ba?7|D;h;6:6X>4381!05=3;=7)8=4;35?x{zu2c::<4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98224=Q9=81>v*924822>"1:=0::6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi>5h50;194?6|,;kh6:;4H3c3?l73i3:1(?ol:06:?M4fj2\9mh4>{M0a4?7|^m=1>v*>e9820d=Q9=81>v*924822>"1:=0::6sr}|9j51d=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9l4V061>7}#>;?1=;5+636953=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f7`629096=4?{%0bg?db3A8j<6g>4883>!4fk3;?565`1d394?"5ij0:i<5Y2`g95~J5j90:w[j8:3y'5`>=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e:ok1<7=50;2x 7gd2;k27E5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;37?!05<3;?7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th9j44?:783>5}#:hi1=994H3c3?!71i3;27d?;9;29 7gd28>27[52z&2a=<6<01]=9<52z&560lm5d19U6dc=9rF9n=4>{W3g4?4|,8o36i>4V061>7}#>;?1n6*9258a?x{zu2co=7>5$3c`>a7<^;kn6?uC2c295~P6l909w)?j8;f2?S73:38p(;<::e9'272=l2wvqAl39pZi;52z&566>4>489'7`?=>;>0q)8m8;30?xP51m08w[j::3y'275=l81/?h756378ySb12;q/:?=5d19'7`?=>;?0q)8m8;a8yS7b?38pZi;52z&5665<#:hi1>4m4V3cf>7}K:k:1=vX>d181!7b0382o6X>4381!05=3;<7)8=4;34?x{zD;km65j50;&1ef<50m1]>lk52zN1f5<6s_;o<77>c3_;?>741<,?8?6<94}|O6d`=9r\9m84={Wf6>7}#>;91>5j4$2g:>3423t.=n54>7:~y>i6m80;6)=zj;l96=4<:183!4fk38j56F=a19j51g=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1n6*9258a?x{zu2c:8o4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9820g=Q9=81>v*9248a?!05<3h0qpsr;n3f5?6=,;kh6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{51;294~"5ij09n>5G2`28k4c6290/>lm51d38?xd5n<0;694?:1y'6de=?<1C>l>4i06b>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 34228<0(;<;:048yx{z3`;?n7>5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<62.=>94>6:~yx=n9<<1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651448R4252;q/:?;5179'272=9?1vqps4o0g2>5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zj;l=6=4>1;294~"5ij0<96F=a19j51g=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1=;5+636953=zutw0e<:m:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;=7)8=4;35?x{zu2c:9;4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98213=Q9=81>v*924822>"1:=0::6sr}|9j537=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;?4V061>7}#>;?1=;5+636953=zutw0e<8;:18'6de=9=30Z?oj:0yO6g6=9r\o;74033_;?>740<,?8?6<84}|~?l71=3:1(?ol:06:?M4fj2\9mh4>{M0a4?7|^m=1>v*>e98220=Q9=81>v*924822>"1:=0::6sr}|9j530=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;84V061>7}#>;?1=;5+636953=zutw0e<88:18'6de=9=30Z?oj:0yO6g6=9r\o;74003_;?>740<,?8?6<84}|~?l7103:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?98:T207<5s-<997?9;%410?713twvq6g>6883>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6>01]=9<52z&560<6>2.=>94>6:~yx=n9=i1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515a8R4252;q/:?;5179'272=9?1vqps4i06g>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>o7[?;2;0x 34228<0(;<;:048yx{z3`;?i7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37a>P6<;09w)8=5;35?!05<3;=7psr}:k20c<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:8k5Y15096~"1:<0::6*925822>{zut1b=8>50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9506<^8>96?u+637953=#>;>1=;5r}|8m436290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<;>;W376?4|,?8>6o5+6369f>{zut1d=h?50;&1ef<6m81]>lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:a6c1=83;:6=4?{%0bg?123A8j<6g>4`83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<62.=>94>6:~yx=n9=h1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5179'272=9?1vqps4i075>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28?=7[?;2;0x 34228<0(;<;:048yx{z3`;==7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;355>P6<;09w)8=5;35?!05<3;=7psr}:k221<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10::95Y15096~"1:<0::6*925822>{zut1b=;;50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28<>7[?;2;0x 34228<0(;<;:048yx{z3`;=:7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;352>P6<;09w)8=5;35?!05<3;=7psr}:k222<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:::5Y15096~"1:<0::6*925822>{zut1b=;650;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:953><^8>96?u+637953=#>;>1=;5r}|8m40>290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<86;W376?4|,?8>6<84$707>4027[o6P5il0:wA4e9U514=:r.=>84>6:&561<6>2wvqp5f15g94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9=o0Z<:=:3y'273=9?1/:?:5179~yx{m6=4+2`a951?<^;kn6<6X>4381!05=3;=7)8=4;35?x{zu2c:9<4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98214=Q9=81>v*9248a?!05<3h0qpsr;n3f5?6=,;kh6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{54;294~"5ij0:i=5G2`28m42f290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<:n;W376?4|,?8>6o5+6369f>{zut1b=9l50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951d<^8>96?u+6379f>"1:=0i7psr}:k213<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:9;5Y15096~"1:<0i7)8=4;`8yx{z3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c1:=?6=:3:1N5i91b=9750;&1ef<6<010c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f6?a290=6=4?{%0bg?73?2B9m=5+17c95<=n9=31<7*=ab820<=Q:ho1=vB=b182S7c838p(!4fk3n;7[52z&2a=7}#9l21h<5Y15096~"1:<0o7)8=4;f8yx{K:hl18vX=9e80Sb22;q/:?=5d09'7`?=>;?0q[j9:3y'275=9=30(>k6:706?x"1j10:?6sY28f97~Pc=38p(;<<:e38 6c>2?8>7pXk6;0x 34428>27)=j9;410>{#>k21=>5rV3;g>6}Ql<09w)8=3;f2?!5b13<996sYd781!05;3n;7)=j9;411>{#>k21=>5rV0g4>7}Ql<09w)8=3;f2?!5b13<996s+6c:95==zut1b>4m50;&1ef<51j1]>lk52zN1f5<6s_;o<77?d3_;?>741<,?8?6<94}|O6d`=9r\9m84={Wf6>7}#>;91>4m4$2g:>3423t.=n54>7:~y>o50m0;6)P5il09wA1vqpB=ag82S4f=38pZi;52z&566<50m1/?h756378y!0e03;<7psr;n3f5?6=,;kh6;:a7t$3c`>7g>3A8j<6g>4`83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6g=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f6?d290:6=4?{%0bg?4e;2B9m=5`1d394?"5ij0:i<54}c1:`?6==3:1N5i91b=9o50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951g<^8>96?u+637953=#>;>1=;5r}|8m42e290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;35?!05<3;=7psr}:k213<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h651448R4252;q/:?;5179'272=9?1vqps4i042>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28<:7[?;2;0x 34228<0(;<;:048yx{z3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c1:e?6=;3:1N5i91b=9o50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 34228<0(;<;:048yx{z3`;?n7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;35?!05<3;=7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th8hl4?:383>5}#:hi1nh5G2`28m42>290/>lm515;8?j7b93:1(?ol:0g2?S4fm3;p@?l?:0yU`2<5s-;n47?j1:T207<5s-<997l4$707>g=zutw0qo=j0;296?6=8r.9mn4me:J1e5=n9=31<7*=ab820<=5<4290;w)N5i91b=9o50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951g<^8>96?u+6379f>"1:=0i7psr}:k20g<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:8o5Y15096~"1:<0:86*925820>{zut1d=h?50;&1ef<6m81]>lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:a7`1=83<1<7>t$3c`>4203A8j<6*>6`82=>o6<00;6)P5il0:wA7g=#>;>1n6sr}|9j`4<72-8jo7j>;W0ba?4|D;h;64381!05=3n0(;<;:e9~yxJ5io0?w[<6d;1xRa3=:r.=>>4k1:&0a<<1:<1vZi852z&566<6<01/?h756378y!0e03;87pX=9e80Sb22;q/:?=5d09'7`?=>;?0q[j9:3y'275=9=30(>k6:707?x"1j10:?6sY28f97~Pc=38p(;<<:e38 6c>2?8>7pXk6;0x 3442m:0(>k6:706?x"1j10h7pX>e681Sb22;q/:?=5d09'7`?=>;?0q)8m8;3;?x{z3`82o7>5$3c`>7?d3_8ji74}Q9m:1>v*>e981=f=Q9=81>v*924823>"1:=0:;6sr}M0bb?7|^;k>6?uYd481!05;382o6*c290/>lm529f8R7gb2;qG>o>51zT2`5<5s-;n47<7d:T207<5s-<997?8;%410?703twv@?oi:0yU6d3=:r\o977>c3-9n578=5:'2g>=9>1vqp5`1d394?"5ij0:i<54}c1gf?6=;3:142f3_;?>7g=#>;>1n6sr}|9j51d=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9l4V061>7}#>;?1n6*9258a?x{zu2e:i<4?:%0bg?7b92\9mh4>{M0a4?7|^m=1>v*>e982a4=Q9=81>v*9248a?!05<3h0qpsr;|`0`f<7280;6=u+2`a96g5<@;k;7b?j1;29 7gd28o:76sm3eg94?5=83:p(?ol:678L7g73`;?m7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37e>P6<;09w)8=5;`8 3432k1vqps4i06a>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 3422k1/:?:5b:~yx=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&5615$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37e>P6<;09w)8=5;33?!05<3;;7psr}:k20g<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5179'272=9?1vqps4i075>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?:6:T207<5s-<997??;%410?773twvq6g>6083>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6>81]=9<52z&560<6>2.=>94>6:~yx=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&561{M0a4?7|^m=1>v*>e9820d=Q9=81>v*9248a?!05<3h0qpsr;h37f?6=,;kh6<:6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{5<3290;w)=9=k0Z<:=:3y'273=981/:?:5109~yx{i6=4+2`a951?<@;ki7[o6=?0;6)N5ik1]>lk51zN1f5<6s_n<6?u+1d:9500<^8>96?u+637952=#>;>1=:5r}|8k4c6290/>lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn>k=:18:>5<7s-8jo79:;I0b4>o6P5il0:wA4`9U514=:r.=>84>1:&561<692wvqp5f15`94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9=h0Z<:=:3y'273=981/:?:5109~yx{4381!05=3;:7)8=4;32?x{zu2c::94?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98221=Q9=81>v*924825>"1:=0:=6sr}|9j533=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;;4V061>7}#>;?1=<5+636954=zutw0e<89:18'6de=9=30Z?oj:0yO6g6=9r\o;74013_;?>747<,?8?6;%410?763twvq6a>e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&560?0D?o?;h37e?6=,;kh6<:6;W0ba?7|D;h;64381!05=3;:7)8=4;32?x{zu2c:8o4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9820g=Q9=81>v*924825>"1:=0:=6sr}|9j500=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=884V061>7}#>;?1=<5+636954=zutw0e<8>:18'6de=9=30Z?oj:0yO6g6=9r\o;74063_;?>747<,?8?6;%410?763twvq6g>6483>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6><1]=9<52z&560<692.=>94>1:~yx=n9?<1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651748R4252;q/:?;5109'272=981vqps4i044>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?97:T207<5s-<997?>;%410?763twvq6g>6983>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=;64V061>7}#>;?1=:5+636952=zutw0e<86:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;<7)8=4;34?x{zu2c:8n4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9820f=Q9=81>v*9248a?!05<3h0qpsr;h37`?6=,;kh6<:6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{n6=4+2`a951?<^;kn6=9=l0Z<:=:3y'273=j2.=>94m;|~y>o6=90;6)P5il0:wA519U514=:r.=>84m;%410?d27[lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn>k;:1825?6=8r.9mn485:J1e5=n9=k1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515c8R4252;q/:?;5109'272=981vqps4i06a>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 34228;0(;<;:038yx{z3`;>:7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;362>P6<;09w)8=5;32?!05<3;:7psr}:k224<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10::<5Y15096~"1:<0:=6*925825>{zut1b=;:50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9532<^8>96?u+637954=#>;>1=<5r}|8m402290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<8:;W376?4|,?8>64727[2\:8?4={%411?763-<987?>;|~y>o6>>0;6)N5ik1]>lk51zN1f5<6s_n<6?u+1d:9531<^8>96?u+637954=#>;>1=<5r}|8m40?290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;35<>P6<;09w)8=5;34?!05<3;<7psr}:k22<<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h6517;8R4252;q/:?;5169'272=9>1vqps4i06`>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>h7[?;2;0x 3422k1/:?:5b:~yx=n9=n1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515f8R4252;q/:?;5b:&5614d83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6g=zutw0e<;?:18'6de=9=30Z?oj:0yO6g6=9r\o;74373_;?>7g=#>;>1n6sr}|9j507=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=8?4V061>7}#>;?1n6*9258a?x{zu2e:i<4?:%0bg?7b92\9mh4>{M0a4?7|^m=1>v*>e982a4=Q9=81>v*9248a?!05<3h0qpsr;|`0a0<72<0;6=u+2`a95`6<@;k;7d?;a;29 7gd28>27[lm515;8R7gb28qG>o>51zTg3?4|,8o36<:m;W376?4|,?8>6o5+6369f>{zut1b=8850;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9500<^8>96?u+6379f>"1:=0i7psr}:k224<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10::<5Y15096~"1:<0i7)8=4;`8yx{z3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c6b5?6=:3:1N5i91b=9750;&1ef<6<010c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f1gf29096=4?{%0bg?db3A8j<6g>4883>!4fk3;?565`1d394?"5ij0:i<5Y2`g95~J5j90:w[j8:3y'5`>=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;37?!05<3;?7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th?mi4?:783>5}#:hi1=994H3c3?!71i3;27d?;9;29 7gd28>27[52z&2a=<6<01]=9<52z&560lm5d19U6dc=9rF9n=4>{W3g4?4|,8o36i>4V061>7}#>;?1n6*9258a?x{zu2co=7>5$3c`>a7<^;kn6?uC2c295~P6l909w)?j8;f2?S73:38p(;<::e9'272=l2wvqAl39pZi;52z&566>4>489'7`?=>;>0q)8m8;30?xP51m08w[j::3y'275=l81/?h756378ySb12;q/:?=5d19'7`?=>;?0q)8m8;a8yS7b?38pZi;52z&5665<#:hi1>4m4V3cf>7}K:k:1=vX>d181!7b0382o6X>4381!05=3;<7)8=4;34?x{zD;km65j50;&1ef<50m1]>lk52zN1f5<6s_;o<77>c3_;?>741<,?8?6<94}|O6d`=9r\9m84={Wf6>7}#>;91>5j4$2g:>3423t.=n54>7:~y>i6m80;6)=zj=k96=4<:183!4fk38j56F=a19j51g=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1n6*9258a?x{zu2c:8o4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9820g=Q9=81>v*9248a?!05<3h0qpsr;n3f5?6=,;kh6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{j?7>51;294~"5ij09n>5G2`28k4c6290/>lm51d38?xd3i<0;6>4?:1y'6de=?<1C>l>4i06b>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 3422k1/:?:5b:~yx=n9=h1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5b:&561e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&560?0D?o?;h37e?6=,;kh6<:6;I0bf>P5il0:wA4`9U514=:r.=>84>0:&561<682wvqp5f15`94?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<:m;W376?4|,?8>6<>4$707>4627[2\:8?4={%411?773-<987??;|~y>o6>80;6)P5il0:wA609U514=:r.=>84>0:&561<682wvqp5f17694?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9?>0Z<:=:3y'273=991/:?:5119~yx{6=4+2`a951?<^;kn64381!05=3;;7)8=4;33?x{zu2c:::4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98222=Q9=81>v*924824>"1:=0:<6sr}|9j53>=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;64V061>7}#>;?1==5+636955=zutw0e<86:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;;7)8=4;33?x{zu2c:8n4?:%0bg?7312B9mo5Y2`g95~J5j90:w[j8:3y'5`>=9=i0Z<:=:3y'273=991/:?:5119~yx{o6=4+2`a951?<@;ki7[o6P5il0:wA4d9U514=:r.=>84>6:&561<6>2wvqp5f15d94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9=l0Z<:=:3y'273=9?1/:?:5179~yx{=6X>4381!05=3h0(;<;:c9~yx{5<693:1N5i91b=9o50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 34228:0(;<;:028yx{z3`;?n7>5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<694>0:~yx=n9<<1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651448R4252;q/:?;5119'272=991vqps4i042>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28<:7[?;2;0x 34228:0(;<;:028yx{z3`;=87>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;350>P6<;09w)8=5;33?!05<3;;7psr}:k220<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10::85Y15096~"1:<0:<6*925824>{zut1b=;850;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9530<^8>96?u+637955=#>;>1==5r}|8m400290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<88;W376?4|,?8>6<>4$707>4627[o6>00;6)N5ik1]>lk51zN1f5<6s_n<6?u+1d:953?<^8>96?u+637955=#>;>1==5r}|8m42d290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;37g>P6<;09w)8=5;33?!05<3;;7psr}:k20a<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h6515f8R4252;q/:?;5179'272=9?1vqps4i06f>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>n7[?;2;0x 34228<0(;<;:048yx{z3`;?j7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37b>P6<;09w)8=5;35?!05<3;=7psr}:k215<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:9=5Y15096~"1:<0::6*925822>{zut1b=8?50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9507<^8>96?u+6379f>"1:=0i7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th?m54?:0394?6|,;kh6:;4H3c3?l73i3:1(?ol:06:?M4fj2\9mh4>{M0a4?7|^m=1>v*>e9820d=Q9=81>v*924824>"1:=0:<6sr}|9j51d=83.9mn4>489K6dd<^;kn6:6X>4381!05=3;;7)8=4;33?x{zu2c::<4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98224=Q9=81>v*924824>"1:=0:<6sr}|9j532=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;:4V061>7}#>;?1==5+636955=zutw0e<8::18'6de=9=30Z?oj:0yO6g6=9r\o;74023_;?>746<,?8?6<>4}|~?l71>3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?96:T207<5s-<997??;%410?773twvq6g>6683>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6>>1]=9<52z&560<682.=>94>0:~yx=n9?21<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6517:8R4252;q/:?;5119'272=991vqps4i04:>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?99:T207<5s-<997??;%410?773twvq6g>4b83>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=9m4V061>7}#>;?1==5+636955=zutw0e<:k:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;=7)8=4;35?x{zu2c:8h4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9820`=Q9=81>v*924822>"1:=0::6sr}|9j51`=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9h4V061>7}#>;?1=;5+636953=zutw0e<;?:18'6de=9=30Z?oj:0yO6g6=9r\o;74373_;?>740<,?8?6<84}|~?l7293:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?:1:T207<5s-<997l4$707>g=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f1g>290:=7>50z&1ef<0=2B9m=5f15c94?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<:n;W376?4|,?8>6<>4$707>4627E{zut1b=8850;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9500<^8>96?u+637955=#>;>1==5r}|8m406290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<8>;W376?4|,?8>6<>4$707>4627[o6><0;6)P5il0:wA649U514=:r.=>84>0:&561<682wvqp5f17494?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9?<0Z<:=:3y'273=991/:?:5119~yx{4381!05=3;;7)8=4;33?x{zu2c::44?:%0bg?7312B9mo5Y2`g95~J5j90:w[j8:3y'5`>=9?30Z<:=:3y'273=991/:?:5119~yx{h6=4+2`a951?<@;ki7[o6N5ik1]>lk51zN1f5<6s_n<6?u+1d:951b<^8>96?u+637953=#>;>1=;5r}|8m42b290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<:j;W376?4|,?8>6<84$707>4027[o6=90;6)P5il0:wA519U514=:r.=>84>6:&561<6>2wvqp5f14394?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9<;0Z<:=:3y'273=j2.=>94m;|~y>i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d3<729q/>lm51d28L7g73`;?m7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37e>P6<;09w)8=5;`8 3432k1vqps4i06a>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 3422k1/:?:5b:~yx=n9<<1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651448R4252;q/:?;5b:&5616083>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6>81]=9<52z&560g=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f1ge290<6=4?{%0bg?123A8j<6g>4`83>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1=<5+636954=zutw0e<:m:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;:7)8=4;32?x{zu2c:9;4?:%0bg?7312B9mo5Y2`g95~J5j90:w[j8:3y'5`>=9<<0Z<:=:3y'273=981/:?:5109~yx{;|~y>o6>=0;6)N5ik1]>lk51zN1f5<6s_n<6?u+1d:9532<^8>96?u+637952=#>;>1=:5r}|8m402290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<8:;W376?4|,?8>6<94$707>417>50z&1ef5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zj?h<6=49:183!4fk3;?;6F=a19'53g=901b=9750;&1ef<6<01]>lk51zN1f5<6s_;o<742>3_;?>7g=#>;>1n6sr}|9j`5<72-8jo7j?;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{P5il09wA84k;%410?blh54zT1=a<4s_n>6?u+6319`4=#;l31:?;4}Wf5>7}#>;91=974$2g:>3423t.=n54>3:U6a7<,:o26;<:;|Tg2?4|,?886<:6;%1f=?05<2w/:o65129~R7?c2:q]h84={%417?b63-9n578=5:U`3<5s-<9?7j?;%1f=?05=2w/:o65c:U5`1=:r\o97a7<,:o26;<:;|&5f=<602wvq6g=9b83>!4fk382o6X=ad81I4e83;pZ=:0i0Z<:=:3y'273=9>1/:?:5169~yxJ5io0:w[>4=9b9'7`?=>;?0q)8m8;34?x{z3`83h7>5$3c`>7>c3_8ji74}Q9m:1>v*>e981v*924823>"1:=0:;6sr}M0bb?7|^;k>6?uYd481!05;383h6*lm51d38?xd1j80;6>4?:1y'6de=:h30D?o?;h37e?6=,;kh6<:6;I0bf>P5il0:wA4`9U514=:r.=>84m;%410?d27[lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn;l=:182>5<7s-8jo753;294~"5ij0<96F=a19j51g=83.9mn4>489K6dd<^;kn6P5il0:wA4c9U514=:r.=>84>6:&561<6>2wvqp5`1d394?"5ij0:i<5Y2`g95~J5j90:w[j8:3y'5`>=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e>k?1<7850;2x 7gd2>?0D?o?;h37e?6=,;kh6<:6;I0bf>P5il0:wA4`9U514=:r.=>84>6:&561<6>2wvqp5f15`94?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<:m;W376?4|,?8>6<84$707>4027E{zut1b=;?50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28<:7[?;2;0x 34228<0(;<;:048yx{z3`;=87>5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<6>=1]=9<52z&560<6>2.=>94>6:~yx=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&561{M0a4?7|^m=1>v*>e9820d=Q9=81>v*9248a?!05<3h0qpsr;h37f?6=,;kh6<:6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{5<5290;w)lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:a5t$3c`>gc<@;k;7d?;9;29 7gd28>276a>e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&5603`;?57>5$3c`>42>3_8ji7?tL3`3>4}Q9m:1>v*>e9820<=Q9=81>v*9248a?!05<3h0qpsr;hf3>5<#:hi1h=5Y2`g95~J5j90:w[?k0;0x 4c?2m:0Z<:=:3y'273=j2.=>94m;|~y>oc93:1(?ol:e38R7gb2;qG>o>51zT2`5<5s-;n47j>;W376?4|,?8>6i5+6369`>{zuE8jj7:tV3;g>6}Ql<09w)8=3;f2?!5b13<996sYd781!05;3;?56*v*9228g5>"4m00=>85rVe496~"1::0:845+3d;92726?u+6319`4=#;l31:?;4}Wf5>7}#>;91h=5+3d;9273v*9228g5>"4m00=>85r$7`;>4>k2\:8?4={%411?703-<987?8;|~H7ga28q]>l;52zTg1?4|,?886?7l;%1f=?05=2w/:o65169~yx=n:1n1<7*=ab81vB=b182S7c838p(k6:706?x"1j10:;6sr}:m2a4<72-8jo7?j1:9~f4?e29086=4?{%0bg?4f12B9m=5f15c94?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<:n;W376?4|,?8>6o5+6369f>{zut1b=9l50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951d<^8>96?u+6379f>"1:=0i7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th:5n4?:083>5}#:hi1>o=4H3c3?j7b93:1(?ol:0g2?>{e90n1<7=50;2x 7gd2>?0D?o?;h37e?6=,;kh6<:6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{i6=4+2`a951?<^;kn6=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e90l1<7950;2x 7gd2>?0D?o?;h37e?6=,;kh6<:6;W0ba?7|D;h;64381!05=3;:7)8=4;32?x{zu2c:8o4?:%0bg?7312B9mo5Y2`g95~J5j90:w[j8:3y'5`>=9=h0Z<:=:3y'273=981/:?:5109~yx{P5il0:wA609U514=:r.=>84>7:&561<6?2wvqp5f17694?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9?>0Z<:=:3y'273=9>1/:?:5169~yx{6=4+2`a951?<@;ki7[i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?da183>2<729q/>lm5749K6d6j6=4+2`a951?<^;kn64381!05=3;<7)8=4;34?x{zu2c:9;4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98213=Q9=81>v*924823>"1:=0:;6sr}|9j537=83.9mn4>489K6dd<^;kn64381!05=3;<7)8=4;34?x{zu2c::84?:%0bg?7312B9mo5Y2`g95~J5j90:w[j8:3y'5`>=9??0Z<:=:3y'273=9>1/:?:5169~yx{5<0290;w)=9=k0Z<:=:3y'273=981/:?:5109~yx{i6=4+2`a951?<@;ki7[;|~y>o6=?0;6)P5il0:wA579U514=:r.=>84>7:&561<6?2wvqp5f17394?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9?;0Z<:=:3y'273=9>1/:?:5169~yx{4381!05=3;<7)8=4;34?x{zu2e:i<4?:%0bg?7b92\9mh4>{M0a4?7|^m=1>v*>e982a4=Q9=81>v*9248a?!05<3h0qpsr;|`2e7<72>0;6=u+2`a930=O:h:0e<:n:18'6de=9=30Z?oj:0yO6g6=9r\o;742f3_;?>747<,?8?6{M0a4?7|^m=1>v*>e9820g=Q9=81>v*924825>"1:=0:=6sr}|9j500=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=884V061>7}#>;?1=:5+636952=zutw0e<8>:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;<7)8=4;34?x{zu2c::94?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98221=Q9=81>v*924823>"1:=0:;6sr}|9j533=83.9mn4>489K6dd<^;kn6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{57;294~"5ij0<96F=a19j51g=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1=<5+636954=zutw0e<:m:18'6de=9=30Z?oj:0yO6g6=9r\o;742e3_;?>741<,?8?6<94}|~?l72>3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?:6:T207<5s-<997?8;%410?703twvq6g>6083>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=;?4V061>7}#>;?1=:5+636952=zutw0e<8;:18'6de=9=30Z?oj:0yO6g6=9r\o;74033_;?>741<,?8?6<94}|~?l71=3:1(?ol:06:?M4fj2\9mh4>{M0a4?7|^m=1>v*>e98220=Q9=81>v*924823>"1:=0:;6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi=l:50;494?6|,;kh6o6P5il0:wA4`9U514=:r.=>84m;%410?d27[lm515;8R7gb28qG>o>51zTg3?4|,8o36<;9;W376?4|,?8>6o5+6369f>{zut1b=;?50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9537<^8>96?u+6379f>"1:=0i7psr}:k221<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10::95Y15096~"1:<0i7)8=4;`8yx{z3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c6:e?6=:3:1N5i91b=9750;&1ef<6<010c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f1g7290=6=4?{%0bg?73?2B9m=5+17c95<=n9=31<7*=ab820<=Q:ho1=vB=b182S7c838p(!4fk3n;7[52z&2a=7}#9l21h<5Y15096~"1:<0o7)8=4;f8yx{K:hl18vX=9e80Sb22;q/:?=5d09'7`?=>;?0q[j9:3y'275=9=30(>k6:706?x"1j10:?6sY28f97~Pc=38p(;<<:e38 6c>2?8>7pXk6;0x 34428>27)=j9;410>{#>k21=>5rV3;g>6}Ql<09w)8=3;f2?!5b13<996sYd781!05;3n;7)=j9;411>{#>k21=>5rV0g4>7}Ql<09w)8=3;f2?!5b13<996s+6c:95==zut1b>4m50;&1ef<51j1]>lk52zN1f5<6s_;o<77?d3_;?>741<,?8?6<94}|O6d`=9r\9m84={Wf6>7}#>;91>4m4$2g:>3423t.=n54>7:~y>o50m0;6)P5il09wA1vqpB=ag82S4f=38pZi;52z&566<50m1/?h756378y!0e03;<7psr;n3f5?6=,;kh6;:a0t$3c`>7g>3A8j<6g>4`83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6g=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f1?c290:6=4?{%0bg?4e;2B9m=5`1d394?"5ij0:i<54}c6:a?6=;3:1N5i91b=9o50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 34228:0(;<;:028yx{z3`;?n7>5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<694>0:~yx=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&5615$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37e>P6<;09w)8=5;35?!05<3;=7psr}:k20g<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:8o5Y15096~"1:<0::6*925822>{zut1d=h?50;&1ef<6m81]>lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:a0d`=8381<7>t$3c`>gc<@;k;7d?;9;29 7gd28>276a>e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&5603`;?57>5$3c`>42>3_8ji7?tL3`3>4}Q9m:1>v*>e9820<=Q9=81>v*9248a?!05<3h0qpsr;hf3>5<#:hi1h=5Y2`g95~J5j90:w[?k0;0x 4c?2m:0Z<:=:3y'273=j2.=>94m;|~y>oc93:1(?ol:e38R7gb2;qG>o>51zT2`5<5s-;n47j>;W376?4|,?8>6i5+6369`>{zuE8jj7:tV3;g>6}Ql<09w)8=3;f2?!5b13<996sYd781!05;3;?56*v*9228g5>"4m00=>85rVe496~"1::0:845+3d;92726?u+6319`4=#;l31:?;4}Wf5>7}#>;91h=5+3d;92736?u+6319`4=#;l31:?;4}%4a52z&2a=<51j1]=9<52z&560<6?2.=>94>7:~yI4fn3;pZ?o::3yU`0<5s-<9?7<6c:&0a<<1:<1v(;l7:058yx{P6<;09w)8=5;34?!05<3;<7psrL3ce>4}Q:h?1>vXk5;0x 3442;2o7)=j9;411>{#>k21=:5r}|9l5`7=83.9mn4>e098yg2e93:1?7>50z&1ef<5i01C>l>4i06b>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 3422k1/:?:5b:~yx=n9=h1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5b:&561e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&560;:a0g5=8391<7>t$3c`>23<@;k;7d?;a;29 7gd28>27E{zut1b=9l50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 34228:0(;<;:028yx{z3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c6a4?6=;3:1N5i91b=9o50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951g<^8>96?u+637953=#>;>1=;5r}|8m42e290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<:m;W376?4|,?8>6<84$707>407>50z&1ef5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zj<836=4=:183!4fk3hn7Ee09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi9??50;094?6|,;kh6ok4H3c3?l7313:1(?ol:06:?>i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d3<729q/>lm51558L7g73-;=m7?6;h37=?6=,;kh6<:6;W0ba?7|D;h;67a=#>;>1h6sr}M0bb?2|^;3o6>uYd481!05;3n:7)=j9;411>{Ql?09w)8=3;37=>"4m00=>85r$7`;>45v*922820<=#;l31:?:4}%4a7}#>;91h<5+3d;9273lm528a8R7gb2;qG>o>51zT2`5<5s-;n47<6c:T207<5s-<997?8;%410?703twv@?oi:0yU6d3=:r\o977?d3-9n578=5:'2g>=9>1vqp5f29f94?"5ij094i5Y2`g96~J5j90:w[?k0;0x 4c?2;2o7[?;2;0x 34228=0(;<;:058yx{K:hl1=vX=a481Sb22;q/:?=529f8 6c>2?8>7p*9b9823>{zu2e:i<4?:%0bg?7b921vn8?m:180>5<7s-8jo74c83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6g=zutw0qo;>c;295?6=8r.9mn4=b29K6d6=h4?:283>5}#:hi1;85G2`28m42f290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<:n;W376?4|,?8>6o5+6369f>{zut1b=9l50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951d<^8>96?u+6379f>"1:=0i7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th>=k4?:283>5}#:hi1;85G2`28m42f290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<:n;W376?4|,?8>6<>4$707>4627E{zut1d=h?50;&1ef<6m81]>lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:a176=8391<7>t$3c`>23<@;k;7d?;a;29 7gd28>27E{zut1b=9l50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951d<^8>96?u+637953=#>;>1=;5r}|8k4c6290/>lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn8?k:187>5<7s-8jo7?j0:J1e5=n9=k1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515c8R4252;q/:?;5b:&5614c83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<63:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?:6:T207<5s-<997l4$707>g=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f045290=6=4?{%0bg?123A8j<6g>4`83>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1=<5+636954=zutw0e<:m:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;:7)8=4;32?x{zu2c:9;4?:%0bg?7312B9mo5Y2`g95~J5j90:w[j8:3y'5`>=9<<0Z<:=:3y'273=981/:?:5109~yx{o6>=0;6)P5il0:wA659U514=:r.=>84>7:&561<6?2wvqp5`1d394?"5ij0:i<5Y2`g95~J5j90:w[j8:3y'5`>=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e=;91<7950;2x 7gd2>?0D?o?;h37e?6=,;kh6<:6;I0bf>P5il0:wA4`9U514=:r.=>84>1:&561<692wvqp5f15`94?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<:m;W376?4|,?8>64727E{zut1b=;?50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28<:7[?;2;0x 34228;0(;<;:038yx{z3`;=87>5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<6>=1]=9<52z&560<6?2.=>94>7:~yx=n9??1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651778R4252;q/:?;5169'272=9>1vqps4o0g2>5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zj<8?6=48:183!4fk3=>7E5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?;b:T207<5s-<997?>;%410?763twvq6g>5783>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=884V061>7}#>;?1=<5+636954=zutw0e<8>:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;<7)8=4;34?x{zu2c::94?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98221=Q9=81>v*924823>"1:=0:;6sr}|9j533=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;;4V061>7}#>;?1=:5+636952=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f042290?6=4?{%0bg?7b82B9m=5f15c94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9=k0Z<:=:3y'273=j2.=>94m;|~y>o6P5il0:wA4c9U514=:r.=>84m;%410?d27[2\:8?4={%411?d<,?8?6o5r}|8k4c6290/>lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn;?;:181>5<7s-8jo7lj;I0b4>o6<00;6)=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&5619:k20<<72-8jo7?;9:T1e`<6sE8i<7?tV0f3>7}#9l21=974V061>7}#>;?1n6*9258a?x{zu2co<7>5$3c`>a6<^;kn6!4fk3n:7[52z&2a=v*922820<=#;l31:?;4}%4a7}#>;91h<5+3d;92734j53zTg1?4|,?886i?4$2g:>3423t\o:7a6<,:o26;<:;|&5f=7}#>;91h<5+3d;9273k3:1(?ol:3;`?S4fm38p@?l?:0yU5a6=:r.:i54=9b9U514=:r.=>84>7:&561<6?2wvqAk2.8i449249~ 3d?28=0qps4i3:g>5<#:hi1>5j4V3cf>7}K:k:1=vX>d181!7b0383h6X>4381!05=3;<7)8=4;34?x{zD;km65;297?6=8r.9mn4=a89K6d6j6=4+2`a951?<@;ki7[lm515;8R7gb28qG>o>51zTg3?4|,8o36<:m;W376?4|,?8>6o5+6369f>{zut1d=h?50;&1ef<6m81]>lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:a240=83;1<7>t$3c`>7d43A8j<6a>e083>!4fk3;n=65rb73;>5<693:1N5i91b=9o50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951g<^8>96?u+637955=#>;>1==5r}|8m42e290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<:m;W376?4|,?8>6<>4$707>4627[2\:8?4={%411?773-<987??;|~y>o6>80;6)P5il0:wA609U514=:r.=>84>0:&561<682wvqp5f17694?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9?>0Z<:=:3y'273=991/:?:5119~yx{6=4+2`a951?<^;kn64381!05=3;;7)8=4;33?x{zu2c:::4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98222=Q9=81>v*924824>"1:=0:<6sr}|9j53>=83.9mn4>489K6dd<^;kn64381!05=3;=7)8=4;35?x{zu2c:8n4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9820f=Q9=81>v*9248a?!05<3h0qpsr;h37`?6=,;kh6<:6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{n6=4+2`a951?<^;kn6=9=l0Z<:=:3y'273=j2.=>94m;|~y>o6=90;6)P5il0:wA519U514=:r.=>84m;%410?d27[lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn;?6:1825?6=8r.9mn485:J1e5=n9=k1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515c8R4252;q/:?;5119'272=991vqps4i06a>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 34228:0(;<;:028yx{z3`;>:7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;362>P6<;09w)8=5;33?!05<3;;7psr}:k224<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10::<5Y15096~"1:<0:<6*925824>{zut1b=;:50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9532<^8>96?u+637955=#>;>1==5r}|8m402290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<8:;W376?4|,?8>6<>4$707>4627[2\:8?4={%411?773-<987??;|~y>o6>>0;6)P5il0:wA669U514=:r.=>84>0:&561<682wvqp5f17:94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9?20Z<:=:3y'273=9?1/:?:5179~yx{4381!05=3h0(;<;:c9~yx{o6=4+2`a951?<^;kn6=9=o0Z<:=:3y'273=j2.=>94m;|~y>o6P5il0:wA4g9U514=:r.=>84m;%410?d27[lm515;8R7gb28qG>o>51zTg3?4|,8o36<;>;W376?4|,?8>6o5+6369f>{zut1d=h?50;&1ef<6m81]>lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:a241=8391<7>t$3c`>4c73A8j<6g>4`83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6g=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f37d29086=4?{%0bg?123A8j<6g>4`83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<694>0:~yx=n9=h1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5119'272=991vqps4o0g2>5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zje09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi9n:50;094?6|,;kh6ok4H3c3?l7313:1(?ol:06:?>i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d7<729q/>lm5bd9K6d626=4+2`a951?<3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;d8 3432o1vqps4}c7`5?6=;3:14381!05=3h0(;<;:c9~yx{i6=4+2`a951?<^;kn6=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e=j81<7?50;2x 7gd2;h87E4`83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6g=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f0e2290=6=4?{%0bg?123A8j<6g>4`83>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1=<5+636954=zutw0e<:m:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;:7)8=4;32?x{zu2c:9;4?:%0bg?7312B9mo5Y2`g95~J5j90:w[j8:3y'5`>=9<<0Z<:=:3y'273=981/:?:5109~yx{o6>=0;6)P5il0:wA659U514=:r.=>84>7:&561<6?2wvqp5`1d394?"5ij0:i<5Y2`g95~J5j90:w[j8:3y'5`>=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e=j<1<7850;2x 7gd2>?0D?o?;h37e?6=,;kh6<:6;I0bf>P5il0:wA4`9U514=:r.=>84>1:&561<692wvqp5f15`94?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<:m;W376?4|,?8>64727E{zut1b=;?50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28<:7[?;2;0x 34228=0(;<;:058yx{z3`;=87>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;350>P6<;09w)8=5;34?!05<3;<7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th>o:4?:783>5}#:hi1;85G2`28m42f290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;37e>P6<;09w)8=5;32?!05<3;:7psr}:k20g<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5109'272=981vqps4i075>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?:6:T207<5s-<997?>;%410?763twvq6g>6083>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=;?4V061>7}#>;?1=:5+636952=zutw0e<8;:18'6de=9=30Z?oj:0yO6g6=9r\o;74033_;?>741<,?8?6<94}|~?j7b93:1(?ol:0g2?S4fm3;p@?l?:0yU`2<5s-;n47?j1:T207<5s-<997l4$707>g=zutw0qo;l8;293?6=8r.9mn485:J1e5=n9=k1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;742f3_;?>747<,?8?6{M0a4?7|^m=1>v*>e9820g=Q9=81>v*924825>"1:=0:=6sr}|9j500=83.9mn4>489K6dd<^;kn6P5il0:wA609U514=:r.=>84>1:&561<692wvqp5f17694?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<8;;W376?4|,?8>6<94$707>4127[i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d2<729q/>lm5749K6d6j6=4+2`a951?<@;ki7[;|~y>o6N5ik1]>lk51zN1f5<6s_n<6?u+1d:951d<^8>96?u+637954=#>;>1=<5r}|8m431290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;362>P6<;09w)8=5;32?!05<3;:7psr}:k224<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h651738R4252;q/:?;5169'272=9>1vqps4i047>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?285$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;351>P6<;09w)8=5;34?!05<3;<7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th>ol4?:783>5}#:hi1=h>4H3c3?l73i3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997l4$707>g=zutw0e<:m:18'6de=9=30Z?oj:0yO6g6=9r\o;742e3_;?>7g=#>;>1n6sr}|9j500=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=884V061>7}#>;?1n6*9258a?x{zu2c::<4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98224=Q9=81>v*9248a?!05<3h0qpsr;h350?6=,;kh6<:6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{5<5290;w)lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:a17c=8381<7>t$3c`>gc<@;k;7d?;9;29 7gd28>276a>e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&560l>4i06:>5<#:hi1=974;n3f5?6=,;kh6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{53;294~"5ij09m45G2`28m42f290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<:n;W376?4|,?8>6o5+6369f>{zut1b=9l50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951d<^8>96?u+637951=#>;>1=95r}|8k4c6290/>lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn8=m:185>5<7s-8jo7?;7:J1e5=#9?k1=45f15;94?"5ij0:845Y2`g95~J5j90:w[?k0;0x 4c?28>27[?;2;0x 3422k1/:?:5b:~yx=nl90;6)g=zutw0ei?50;&1efv*9248g?!05<3n0qpsC2`d90~P51m08w[j::3y'275=l81/?h756378ySb12;q/:?=515;8 6c>2?8>7p*9b9827>{Q:0n1?vXk5;0x 3442m;0(>k6:706?xPc>38p(;<<:06:?!5b13<986s+6c:956=z^;3o6>uYd481!05;3n:7)=j9;411>{Ql?09w)8=3;f3?!5b13<996s+6c:9g>{Q9l=1>vXk5;0x 3442m;0(>k6:706?x"1j10:46sr}:k1=f<72-8jo7<6c:T1e`<5sE8i<7?tV0f3>7}#9l21>4m4V061>7}#>;?1=:5+636952=zutF9mk4>{W0b1?4|^m?1>v*92281=f=#;l31:?;4}%4a52z&2a=<50m1]=9<52z&560<6?2.=>94>7:~yI4fn3;pZ?o::3yU`0<5s-<9?7<7d:&0a<<1:<1v(;l7:058yx{?=4?:283>5}#:hi1>l74H3c3?l73i3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997l4$707>g=zutw0e<:m:18'6de=9=30Z?oj:0yO6g6=9r\o;742e3_;?>7g=#>;>1n6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi9>?50;394?6|,;kh6?l<;I0b4>i6m80;6)=zj<986=4;:183!4fk3=>7E5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?;b:T207<5s-<997?9;%410?713twvq6g>5783>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6=?1]=9<52z&560<6>2.=>94>6:~yx=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&5615$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<62.=>94>6:~yx=n9=h1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5179'272=9?1vqps4i075>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?:6:T207<5s-<997?9;%410?713twvq6g>6083>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=;?4V061>7}#>;?1=;5+636953=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f05529086=4?{%0bg?7b82B9m=5f15c94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9=k0Z<:=:3y'273=j2.=>94m;|~y>o6P5il0:wA4c9U514=:r.=>84m;%410?d3:1:7>50z&1ef<0=2B9m=5f15c94?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<:n;W376?4|,?8>64727E{zut1b=8850;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28?=7[?;2;0x 34228;0(;<;:038yx{z3`;==7>5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<6>81]=9<52z&560<6?2.=>94>7:~yx=n9?>1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651768R4252;q/:?;5169'272=9>1vqps4o0g2>5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zj<9<6=49:183!4fk3=>7E5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?;b:T207<5s-<997?>;%410?763twvq6g>5783>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=884V061>7}#>;?1=<5+636954=zutw0e<8>:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;<7)8=4;34?x{zu2c::94?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98221=Q9=81>v*924823>"1:=0:;6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi9>650;494?6|,;kh6:;4H3c3?l73i3:1(?ol:06:?M4fj2\9mh4>{M0a4?7|^m=1>v*>e9820d=Q9=81>v*924825>"1:=0:=6sr}|9j51d=83.9mn4>489K6dd<^;kn6P5il0:wA579U514=:r.=>84>1:&561<692wvqp5f17394?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<8>;W376?4|,?8>6<94$707>4127[i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d1<729q/>lm51d28L7g73`;?m7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37e>P6<;09w)8=5;`8 3432k1vqps4i06a>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 3422k1/:?:5b:~yx=n9<<1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651448R4252;q/:?;5b:&561e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&560l>4i06:>5<#:hi1=974;n3f5?6=,;kh6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{52;294~"5ij0ii6F=a19j51?=83.9mn4>4898k4c6290/>lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn?=i:181>5<7s-8jo7lj;I0b4>o6<00;6)=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5f:&561{M0a4?7|^m=1>v*>e9820d=Q9=81>v*9248a?!05<3h0qpsr;h37f?6=,;kh6<:6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{5<6290;w)N5i91d=h?50;&1ef<6m810qo<<9;297?6=8r.9mn485:J1e5=n9=k1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515c8R4252;q/:?;5119'272=991vqps4i06a>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 34228<0(;<;:048yx{z3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c00e?6=;3:1N5i91b=9o50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951g<^8>96?u+637955=#>;>1==5r}|8m42e290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;35?!05<3;=7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th9?54?:283>5}#:hi1=h>4H3c3?l73i3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997l4$707>g=zutw0e<:m:18'6de=9=30Z?oj:0yO6g6=9r\o;742e3_;?>7g=#>;>1n6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi>>m50;194?6|,;kh6:;4H3c3?l73i3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997?>;%410?763twvq6g>4c83>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=9l4V061>7}#>;?1=:5+636952=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f75c29086=4?{%0bg?123A8j<6g>4`83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<694>7:~yx=n9=h1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5109'272=981vqps4o0g2>5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zj;9n6=4<:183!4fk3;n<6F=a19j51g=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1n6*9258a?x{zu2c:8o4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9820g=Q9=81>v*9248a?!05<3h0qpsr;n3f5?6=,;kh6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{52;294~"5ij0ii6F=a19j51?=83.9mn4>4898k4c6290/>lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn8:j:181>5<7s-8jo7lj;I0b4>o6<00;6)=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&5619:k20<<72-8jo7?;9:T1e`<6sE8i<7?tV0f3>7}#9l21=974V061>7}#>;?1n6*9258a?x{zu2co<7>5$3c`>a6<^;kn6!4fk3n:7[52z&2a=v*922820<=#;l31:?;4}%4a7}#>;91h<5+3d;92734j53zTg1?4|,?886i?4$2g:>3423t\o:7a6<,:o26;<:;|&5f=7}#>;91h<5+3d;9273k3:1(?ol:3;`?S4fm38p@?l?:0yU5a6=:r.:i54=9b9U514=:r.=>84>7:&561<6?2wvqAk2.8i449249~ 3d?28=0qps4i3:g>5<#:hi1>5j4V3cf>7}K:k:1=vX>d181!7b0383h6X>4381!05=3;<7)8=4;34?x{zD;km6j6=4+2`a951?<^;kn6=9=h0Z<:=:3y'273=j2.=>94m;|~y>i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d4<729q/>lm52c18L7g73f;n=7>5$3c`>4c632wi98=50;194?6|,;kh6:;4H3c3?l73i3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997l4$707>g=zutw0e<:m:18'6de=9=30Z?oj:0yO6g6=9r\o;742e3_;?>7g=#>;>1n6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi98:50;194?6|,;kh6:;4H3c3?l73i3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997??;%410?773twvq6g>4c83>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=9l4V061>7}#>;?1=;5+636953=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f03229086=4?{%0bg?123A8j<6g>4`83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<694>0:~yx=n9=h1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;742e3_;?>740<,?8?6<84}|~?j7b93:1(?ol:0g2?S4fm3;p@?l?:0yU`2<5s-;n47?j1:T207<5s-<997l4$707>g=zutw0qo;:6;297?6=8r.9mn485:J1e5=n9=k1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;742f3_;?>740<,?8?6<84}|~?l73j3:1(?ol:06:?M4fj2\9mh4>{M0a4?7|^m=1>v*>e9820g=Q9=81>v*924822>"1:=0::6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi98950;694?6|,;kh6:;4H3c3?l73i3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997??;%410?773twvq6g>4c83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<694>0:~yx=n9<<1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;74313_;?>740<,?8?6<84}|~?j7b93:1(?ol:0g2?S4fm3;p@?l?:0yU`2<5s-;n47?j1:T207<5s-<997l4$707>g=zutw0qo;:8;290?6=8r.9mn485:J1e5=n9=k1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515c8R4252;q/:?;5119'272=991vqps4i06a>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 34228:0(;<;:028yx{z3`;>:7>5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<6=?1]=9<52z&560<6>2.=>94>6:~yx=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&561{M0a4?7|^m=1>v*>e9820d=Q9=81>v*9248a?!05<3h0qpsr;h37f?6=,;kh6<:6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{=9?;0Z<:=:3y'273=j2.=>94m;|~y>o6>=0;6)P5il0:wA659U514=:r.=>84m;%410?d27[lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn;==:181>5<7s-8jo7lj;I0b4>o6<00;6)=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&5615$3c`>42>32e:i<4?:%0bg?7b92\9mh4>{M0a4?7|^m=1>v*>e982a4=Q9=81>v*9248a?!05<3h0qpsr;|`57`<72?0;6=u+2`a9511<@;k;7)?9a;3:?l7313:1(?ol:06:?S4fm3;p@?l?:0yU5a6=:r.:i54>489U514=:r.=>84m;%410?dlk51zN1f5<6s_;o<7a6<^8>96?u+6379f>"1:=0i7psr}:kg5?6=,;kh6i?4V3cf>7}K:k:1=vX>d181!7b03n:7[?;2;0x 3422m1/:?:5d:~yI4fn3>pZ?7k:2yU`0<5s-<9?7j>;%1f=?05=2w]h;4={%417?7312.8i449249~ 3d?2890q[<6d;1xRa3=:r.=>>4k1:&0a<<1:<1vZi852z&566<6<01/?h756368y!0e03;87pX=9e80Sb22;q/:?=5d09'7`?=>;?0q[j9:3y'275=l91/?h756378y!0e03i0q[?j7;0xRa3=:r.=>>4k1:&0a<<1:<1v(;l7:0:8yx{P6<;09w)8=5;34?!05<3;<7psrL3ce>4}Q:h?1>vXk5;0x 3442;3h7)=j9;411>{#>k21=:5r}|9j6=b=83.9mn4=8e9U6dc=:rF9n=4>{W3g4?4|,8o36?6k;W376?4|,?8>6<94$707>41lh51zT1e0<5s_n>6?u+63196=b<,:o26;<:;|&5f=<6?2wvq6a>e083>!4fk3;n=65rb710>5<4290;w)N5i91b=9o50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951g<^8>96?u+6379f>"1:=0i7psr}:k20g<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:8o5Y15096~"1:<0i7)8=4;`8yx{z3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c400?6=93:1:18'6de=9l;07pl93783>6<729q/>lm5749K6d6j6=4+2`a951?<^;kn64381!05=3;=7)8=4;35?x{zu2e:i<4?:%0bg?7b92\9mh4>{M0a4?7|^m=1>v*>e982a4=Q9=81>v*9248a?!05<3h0qpsr;|`572<72>0;6=u+2`a930=O:h:0e<:n:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;;7)8=4;33?x{zu2c:8o4?:%0bg?7312B9mo5Y2`g95~J5j90:w[j8:3y'5`>=9=h0Z<:=:3y'273=991/:?:5119~yx{2\:8?4={%411?773-<987??;|~y>o6>80;6)P5il0:wA609U514=:r.=>84>6:&561<6>2wvqp5f17694?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9?>0Z<:=:3y'273=9?1/:?:5179~yx{6=4+2`a951?<^;kn6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{57;294~"5ij0<96F=a19j51g=83.9mn4>489K6dd<^;kn6P5il0:wA4c9U514=:r.=>84>0:&561<682wvqp5f14494?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9<<0Z<:=:3y'273=9?1/:?:5179~yx{4381!05=3;=7)8=4;35?x{zu2c::84?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98220=Q9=81>v*924822>"1:=0::6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi:>;50;694?6|,;kh6o6P5il0:wA4`9U514=:r.=>84m;%410?d27[lm515;8R7gb28qG>o>51zTg3?4|,8o36<;9;W376?4|,?8>6o5+6369f>{zut1d=h?50;&1ef<6m81]>lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:a26g=83=1<7>t$3c`>23<@;k;7d?;a;29 7gd28>27E{zut1b=9l50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951d<^8>96?u+637954=#>;>1=<5r}|8m431290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;362>P6<;09w)8=5;32?!05<3;:7psr}:k224<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h651738R4252;q/:?;5109'272=981vqps4i047>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?94:T207<5s-<997?>;%410?763twvq6g>6483>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=;;4V061>7}#>;?1=:5+636952=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f35e290<6=4?{%0bg?123A8j<6g>4`83>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1=<5+636954=zutw0e<:m:18'6de=9=30Z?oj:0yO6g6=9r\o;742e3_;?>747<,?8?63:1(?ol:06:?M4fj2\9mh4>{M0a4?7|^m=1>v*>e98213=Q9=81>v*924825>"1:=0:=6sr}|9j537=83.9mn4>489K6dd<^;kn64381!05=3;<7)8=4;34?x{zu2c::84?:%0bg?7312B9mo5Y2`g95~J5j90:w[j8:3y'5`>=9??0Z<:=:3y'273=9>1/:?:5169~yx{5<4290;w)N5i91b=9o50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951g<^8>96?u+6379f>"1:=0i7psr}:k20g<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:8o5Y15096~"1:<0i7)8=4;`8yx{z3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c6g4?6=:3:1N5i91b=9750;&1ef<6<010c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f1b0290=6=4?{%0bg?73?2B9m=5+17c95<=n9=31<7*=ab820<=Q:ho1=vB=b182S7c838p(!4fk3n;7[52z&2a=7}#9l21h<5Y15096~"1:<0o7)8=4;f8yx{K:hl18vX=9e80Sb22;q/:?=5d09'7`?=>;?0q[j9:3y'275=9=30(>k6:706?x"1j10:?6sY28f97~Pc=38p(;<<:e38 6c>2?8>7pXk6;0x 34428>27)=j9;410>{#>k21=>5rV3;g>6}Ql<09w)8=3;f2?!5b13<996sYd781!05;3n;7)=j9;411>{#>k21o6sY1d596~Pc=38p(;<<:e38 6c>2?8>7p*9b982<>{zu2c95n4?:%0bg?4>k2\9mh4={M0a4?7|^8n;6?u+1d:9696?u+637952=#>;>1=:5r}|N1ec<6s_8j9784>7:&561<6?2wvqA5<#:hi1=h?4;|`7`4<72:0;6=u+2`a96d?<@;k;7d?;a;29 7gd28>27[lm515;8R7gb28qG>o>51zTg3?4|,8o36<:m;W376?4|,?8>6o5+6369f>{zut1d=h?50;&1ef<6m81]>lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:a0a4=83;1<7>t$3c`>7d43A8j<6a>e083>!4fk3;n=65rb5f7>5<4290;w){M0a4?7|^m=1>v*>e9820d=Q9=81>v*924822>"1:=0::6sr}|9j51d=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9l4V061>7}#>;?1=;5+636953=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f1b229086=4?{%0bg?123A8j<6g>4`83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<62.=>94>6:~yx=n9=h1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5179'272=9?1vqps4o0g2>5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zj=n86=4<:183!4fk3;n<6F=a19j51g=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1n6*9258a?x{zu2c:8o4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9820g=Q9=81>v*9248a?!05<3h0qpsr;n3f5?6=,;kh6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{o47>52;294~"5ij0ii6F=a19j51?=83.9mn4>4898k4c6290/>lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn9k?:181>5<7s-8jo7lj;I0b4>o6<00;6)=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&5619:k20<<72-8jo7?;9:T1e`<6sE8i<7?tV0f3>7}#9l21=974V061>7}#>;?1n6*9258a?x{zu2co<7>5$3c`>a6<^;kn6!4fk3n:7[52z&2a=v*922820<=#;l31:?;4}%4a7}#>;91h<5+3d;92734j53zTg1?4|,?886i?4$2g:>3423t\o:7a6<,:o26;<:;|&5f=7}#>;91h<5+3d;9273k3:1(?ol:3;`?S4fm38p@?l?:0yU5a6=:r.:i54=9b9U514=:r.=>84>7:&561<6?2wvqAk2.8i449249~ 3d?28=0qps4i3:g>5<#:hi1>5j4V3cf>7}K:k:1=vX>d181!7b0383h6X>4381!05=3;<7)8=4;34?x{zD;km6j6=4+2`a951?<@;ki7[lm515;8R7gb28qG>o>51zTg3?4|,8o36<:m;W376?4|,?8>6o5+6369f>{zut1d=h?50;&1ef<6m81]>lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:a0ag=83;1<7>t$3c`>7d43A8j<6a>e083>!4fk3;n=65rb5f`>5<4290;w){M0a4?7|^m=1>v*>e9820d=Q9=81>v*9248a?!05<3h0qpsr;h37f?6=,;kh6<:6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{5<4290;w){M0a4?7|^m=1>v*>e9820d=Q9=81>v*924822>"1:=0::6sr}|9j51d=83.9mn4>489K6dd<^;kn6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{oi7>53;294~"5ij0<96F=a19j51g=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1=;5+636953=zutw0e<:m:18'6de=9=30Z?oj:0yO6g6=9r\o;742e3_;?>740<,?8?6<84}|~?j7b93:1(?ol:0g2?S4fm3;p@?l?:0yU`2<5s-;n47?j1:T207<5s-<997l4$707>g=zutw0qo:kf;290?6=8r.9mn485:J1e5=n9=k1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515c8R4252;q/:?;5179'272=9?1vqps4i06a>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?;b:T207<5s-<997?9;%410?713twvq6g>5783>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6=?1]=9<52z&560<6>2.=>94>6:~yx=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&561{M0a4?7|^m=1>v*>e9820d=Q9=81>v*9248a?!05<3h0qpsr;h37f?6=,;kh6<:6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{=9?;0Z<:=:3y'273=j2.=>94m;|~y>i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d6<729q/>lm5749K6d6j6=4+2`a951?<^;kn64381!05=3;<7)8=4;34?x{zu2e:i<4?:%0bg?7b92\9mh4>{M0a4?7|^m=1>v*>e982a4=Q9=81>v*9248a?!05<3h0qpsr;|`7a7<72:0;6=u+2`a930=O:h:0e<:n:18'6de=9=30Z?oj:0yO6g6=9r\o;742f3_;?>747<,?8?6{M0a4?7|^m=1>v*>e9820g=Q9=81>v*924823>"1:=0:;6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi8h=50;194?6|,;kh6o6P5il0:wA4`9U514=:r.=>84m;%410?d27[lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn98j:181>5<7s-8jo7lj;I0b4>o6<00;6)=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&561a:k20<<72-8jo7?;9:T1e`<6sE8i<7?tV0f3>7}#9l21=974V061>7}#>;?1n6*9258a?x{zu2co<7>5$3c`>a6<^;kn6!4fk3n:7[52z&2a=v*922820<=#;l31:?;4}%4a7}#>;91h<5+3d;92734j53zTg1?4|,?886i?4$2g:>3423t\o:7a6<,:o26;<:;|&5f=7}#>;91h<5+3d;9273k3:1(?ol:3;`?S4fm38p@?l?:0yU5a6=:r.:i54=9b9U514=:r.=>84>7:&561<6?2wvqAk2.8i449249~ 3d?28=0qps4i3:g>5<#:hi1>5j4V3cf>7}K:k:1=vX>d181!7b0383h6X>4381!05=3;<7)8=4;34?x{zD;km6j6=4+2`a951?<@;ki7[lm515;8R7gb28qG>o>51zTg3?4|,8o36<:m;W376?4|,?8>6o5+6369f>{zut1d=h?50;&1ef<6m81]>lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:a026=83;1<7>t$3c`>7d43A8j<6a>e083>!4fk3;n=65rb551>5<4290;w)=9=k0Z<:=:3y'273=9?1/:?:5179~yx{i6=4+2`a951?<@;ki7[i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d0<729q/>lm5749K6d6j6=4+2`a951?<@;ki7[o6N5ik1]>lk51zN1f5<6s_n<6?u+1d:951d<^8>96?u+637953=#>;>1=;5r}|8m431290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<;9;W376?4|,?8>6<84$707>4027E{zut1d=h?50;&1ef<6m81]>lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:a022=83?1<7>t$3c`>23<@;k;7d?;a;29 7gd28>27E{zut1b=9l50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 34228<0(;<;:048yx{z3`;>:7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;362>P6<;09w)8=5;35?!05<3;=7psr}:k224<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h651738R4252;q/:?;5179'272=9?1vqps4o0g2>5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zj==:6=4;:183!4fk3;n<6F=a19j51g=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1n6*9258a?x{zu2c:8o4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9820g=Q9=81>v*9248a?!05<3h0qpsr;h362?6=,;kh6<:6;W0ba?7|D;h;6:6X>4381!05=3h0(;<;:c9~yx{5<5290;w)lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:a00?=83<1<7>t$3c`>4203A8j<6*>6`82=>o6<00;6)P5il0:wA7g=#>;>1n6sr}|9j`4<72-8jo7j>;W0ba?4|D;h;64381!05=3n0(;<;:e9~yxJ5io0?w[<6d;1xRa3=:r.=>>4k1:&0a<<1:<1vZi852z&566<6<01/?h756378y!0e03;87pX=9e80Sb22;q/:?=5d09'7`?=>;?0q[j9:3y'275=9=30(>k6:707?x"1j10:?6sY28f97~Pc=38p(;<<:e38 6c>2?8>7pXk6;0x 3442m:0(>k6:706?x"1j10h7pX>e681Sb22;q/:?=5d09'7`?=>;?0q)8m8;3;?x{z3`82o7>5$3c`>7?d3_8ji74}Q9m:1>v*>e981=f=Q9=81>v*924823>"1:=0:;6sr}M0bb?7|^;k>6?uYd481!05;382o6*c290/>lm529f8R7gb2;qG>o>51zT2`5<5s-;n47<7d:T207<5s-<997?8;%410?703twv@?oi:0yU6d3=:r\o977>c3-9n578=5:'2g>=9>1vqp5`1d394?"5ij0:i<54}c666?6=;3:142f3_;?>7g=#>;>1n6sr}|9j51d=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9l4V061>7}#>;?1n6*9258a?x{zu2e:i<4?:%0bg?7b92\9mh4>{M0a4?7|^m=1>v*>e982a4=Q9=81>v*9248a?!05<3h0qpsr;|`716<7280;6=u+2`a96g5<@;k;7b?j1;29 7gd28o:76sm44794?5=83:p(?ol:678L7g73`;?m7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37e>P6<;09w)8=5;35?!05<3;=7psr}:k20g<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:8o5Y15096~"1:<0::6*925822>{zut1d=h?50;&1ef<6m81]>lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:a000=8391<7>t$3c`>23<@;k;7d?;a;29 7gd28>27[o6P5il0:wA4c9U514=:r.=>84>6:&561<6>2wvqp5`1d394?"5ij0:i<5Y2`g95~J5j90:w[j8:3y'5`>=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e<<=1<7:50;2x 7gd2>?0D?o?;h37e?6=,;kh6<:6;W0ba?7|D;h;64381!05=3;=7)8=4;35?x{zu2c:8o4?:%0bg?7312B9mo5Y2`g95~J5j90:w[j8:3y'5`>=9=h0Z<:=:3y'273=9?1/:?:5179~yx{2\:8?4={%411?713-<987?9;|~y>i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d1<729q/>lm51d28L7g73`;?m7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37e>P6<;09w)8=5;`8 3432k1vqps4i06a>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 3422k1/:?:5b:~yx=n9<<1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651448R4252;q/:?;5b:&561e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&560l>4i06:>5<#:hi1=974;n3f5?6=,;kh6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{56;294~"5ij0:8:5G2`28 40f2830e<:6:18'6de=9=30Z?oj:0yO6g6=9r\:h=4={%3f7}#9l21h=5Y15096~"1:<0i7)8=4;`8yx{z3`n:6=4+2`a9`4=Q:ho1>vB=b182S7c838p(a7<,:o26;<:;|Tg2?4|,?886<:6;%1f=?05=2w/:o65129~R7?c2:q]h84={%417?b63-9n578=5:U`3<5s-<9?7?;9:&0a<<1:=1v(;l7:018yS4>l39pZi;52z&566>4k0:&0a<<1:<1v(;l7:b9~R4c02;q]h84={%417?b63-9n578=5:'2g>=911vqp5f28a94?"5ij095n5Y2`g96~J5j90:w[?k0;0x 4c?2;3h7[?;2;0x 34228=0(;<;:058yx{K:hl1=vX=a481Sb22;q/:?=528a8 6c>2?8>7p*9b9823>{zu2c94i4?:%0bg?4?l2\9mh4={M0a4?7|^8n;6?u+1d:96=b<^8>96?u+637952=#>;>1=:5r}|N1ec<6s_8j97{e;o;1<7=50;2x 7gd2;k27E5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;`8 3432k1vqps4o0g2>5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zj:l96=4>:183!4fk38i?6F=a19l5`7=83.9mn4>e098yg5a;3:1?7>50z&1ef<0=2B9m=5f15c94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9=k0Z<:=:3y'273=991/:?:5119~yx{i6=4+2`a951?<^;kn6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{;?7>52;294~"5ij0ii6F=a19j51?=83.9mn4>4898k4c6290/>lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn9>l:180>5<7s-8jo74c83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<694>4:~yx=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&5619:k20<<72-8jo7?;9:T1e`<6sE8i<7?tV0f3>7}#9l21=974V061>7}#>;?1n6*9258a?x{zu2co<7>5$3c`>a6<^;kn6!4fk3n:7[52z&2a=v*922820<=#;l31:?;4}%4a7}#>;91h<5+3d;92734j53zTg1?4|,?886i?4$2g:>3423t\o:7a6<,:o26;<:;|&5f=7}#>;91h<5+3d;9273k3:1(?ol:3;`?S4fm38p@?l?:0yU5a6=:r.:i54=9b9U514=:r.=>84>7:&561<6?2wvqAk2.8i449249~ 3d?28=0qps4i3:g>5<#:hi1>5j4V3cf>7}K:k:1=vX>d181!7b0383h6X>4381!05=3;<7)8=4;34?x{zD;km6j6=4+2`a951?<@;ki7[lm515;8R7gb28qG>o>51zTg3?4|,8o36<:m;W376?4|,?8>6o5+6369f>{zut1d=h?50;&1ef<6m81]>lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:a053=83;1<7>t$3c`>7d43A8j<6a>e083>!4fk3;n=65rb524>5<3290;w){M0a4?7|^m=1>v*>e9820d=Q9=81>v*924822>"1:=0::6sr}|9j51d=83.9mn4>489K6dd<^;kn6:6X>4381!05=3;=7)8=4;35?x{zu2e:i<4?:%0bg?7b92\9mh4>{M0a4?7|^m=1>v*>e982a4=Q9=81>v*9248a?!05<3h0qpsr;|`74=<72?0;6=u+2`a930=O:h:0e<:n:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;=7)8=4;35?x{zu2c:8o4?:%0bg?7312B9mo5Y2`g95~J5j90:w[j8:3y'5`>=9=h0Z<:=:3y'273=9?1/:?:5179~yx{2\:8?4={%411?713-<987?9;|~y>o6>80;6)N5ik1]>lk51zN1f5<6s_n<6?u+1d:9537<^8>96?u+637953=#>;>1=;5r}|8m403290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<8;;W376?4|,?8>6<>4$707>4650z&1ef<0=2B9m=5f15c94?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<:n;W376?4|,?8>6<84$707>4027E{zut1b=8850;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28?=7[?;2;0x 34228<0(;<;:048yx{z3`;==7>5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<6>81]=9<52z&560<6>2.=>94>6:~yx=n9?>1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;74033_;?>740<,?8?6<84}|~?j7b93:1(?ol:0g2?S4fm3;p@?l?:0yU`2<5s-;n47?j1:T207<5s-<997l4$707>g=zutw0qo:?6;290?6=8r.9mn4>e19K6d6j6=4+2`a951?<^;kn6=9=h0Z<:=:3y'273=j2.=>94m;|~y>o6=?0;6)P5il0:wA579U514=:r.=>84m;%410?d7>50z&1ef5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zj=?m6=49:183!4fk3;?;6F=a19'53g=901b=9750;&1ef<6<01]>lk51zN1f5<6s_;o<742>3_;?>7g=#>;>1n6sr}|9j`5<72-8jo7j?;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{P5il09wA84k;%410?blh54zT1=a<4s_n>6?u+6319`4=#;l31:?;4}Wf5>7}#>;91=974$2g:>3423t.=n54>3:U6a7<,:o26;<:;|Tg2?4|,?886<:6;%1f=?05<2w/:o65129~R7?c2:q]h84={%417?b63-9n578=5:U`3<5s-<9?7j?;%1f=?05=2w/:o65c:U5`1=:r\o97a7<,:o26;<:;|&5f=<602wvq6g=9b83>!4fk382o6X=ad81I4e83;pZ=:0i0Z<:=:3y'273=9>1/:?:5169~yxJ5io0:w[>4=9b9'7`?=>;?0q)8m8;34?x{z3`83h7>5$3c`>7>c3_8ji74}Q9m:1>v*>e981v*924823>"1:=0:;6sr}M0bb?7|^;k>6?uYd481!05;383h6*lm51d38?xd3=k0;6>4?:1y'6de=:h30D?o?;h37e?6=,;kh6<:6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{i6=4+2`a951?<^;kn6=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e<4`83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<62.=>94>6:~yx=n9=h1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5179'272=9?1vqps4o0g2>5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zj=;<6=4=:183!4fk3hn7Ee09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi8?>50;194?6|,;kh6?o6;I0b4>o6P5il0:wA4`9U514=:r.=>84m;%410?d27[i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d3<729q/>lm51558L7g73-;=m7?6;h37=?6=,;kh6<:6;W0ba?7|D;h;67a=#>;>1h6sr}M0bb?2|^;3o6>uYd481!05;3n:7)=j9;411>{Ql?09w)8=3;37=>"4m00=>85r$7`;>45v*922820<=#;l31:?:4}%4a7}#>;91h<5+3d;9273lm528a8R7gb2;qG>o>51zT2`5<5s-;n47<6c:T207<5s-<997?8;%410?703twv@?oi:0yU6d3=:r\o977?d3-9n578=5:'2g>=9>1vqp5f29f94?"5ij094i5Y2`g96~J5j90:w[?k0;0x 4c?2;2o7[?;2;0x 34228=0(;<;:058yx{K:hl1=vX=a481Sb22;q/:?=529f8 6c>2?8>7p*9b9823>{zu2e:i<4?:%0bg?7b921vn9?7:180>5<7s-8jo74c83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6g=zutw0qo:>9;295?6=8r.9mn4=b29K6d65}#:hi1;85G2`28m42f290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<:n;W376?4|,?8>6<84$707>4027[o6=?0;6)P5il0:wA579U514=:r.=>84>0:&561<682wvqp5`1d394?"5ij0:i<5Y2`g95~J5j90:w[j8:3y'5`>=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e<8i1<7:50;2x 7gd2>?0D?o?;h37e?6=,;kh6<:6;W0ba?7|D;h;64381!05=3;=7)8=4;35?x{zu2c:8o4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9820g=Q9=81>v*924822>"1:=0::6sr}|9j500=83.9mn4>489K6dd<^;kn6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{:h7>54;294~"5ij0<96F=a19j51g=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1=;5+636953=zutw0e<:m:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;;7)8=4;33?x{zu2c:9;4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98213=Q9=81>v*924822>"1:=0::6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi8o6P5il0:wA4`9U514=:r.=>84m;%410?d27[lm515;8R7gb28qG>o>51zTg3?4|,8o36<;9;W376?4|,?8>6o5+6369f>{zut1d=h?50;&1ef<6m81]>lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:a036=8381<7>t$3c`>gc<@;k;7d?;9;29 7gd28>276a>e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&560<0;6;4?:1y'6de=9==0D?o?;%35e?7>3`;?57>5$3c`>42>3_8ji7?tL3`3>4}Q9m:1>v*>e9820<=Q9=81>v*9248a?!05<3h0qpsr;hf3>5<#:hi1h=5Y2`g95~J5j90:w[?k0;0x 4c?2m:0Z<:=:3y'273=j2.=>94m;|~y>oc93:1(?ol:e38R7gb2;qG>o>51zT2`5<5s-;n47j>;W376?4|,?8>6i5+6369`>{zuE8jj7:tV3;g>6}Ql<09w)8=3;f2?!5b13<996sYd781!05;3;?56*v*9228g5>"4m00=>85rVe496~"1::0:845+3d;92726?u+6319`4=#;l31:?;4}Wf5>7}#>;91h=5+3d;9273v*9228g5>"4m00=>85r$7`;>4>k2\:8?4={%411?703-<987?8;|~H7ga28q]>l;52zTg1?4|,?886?7l;%1f=?05=2w/:o65169~yx=n:1n1<7*=ab81vB=b182S7c838p(k6:706?x"1j10:;6sr}:m2a4<72-8jo7?j1:9~f10629086=4?{%0bg?4f12B9m=5f15c94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9=k0Z<:=:3y'273=j2.=>94m;|~y>o6P5il0:wA4c9U514=:r.=>84m;%410?d50z&1ef<5j:1C>l>4o0g2>5<#:hi1=h?4;|`726<72:0;6=u+2`a930=O:h:0e<:n:18'6de=9=30Z?oj:0yO6g6=9r\o;742f3_;?>740<,?8?6<84}|~?l73j3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;b:T207<5s-<997?9;%410?713twvq6a>e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&560?0;6?4?:1y'6de=jl1C>l>4i06:>5<#:hi1=974;n3f5?6=,;kh6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{=h7>56;294~"5ij0:8:5G2`28 40f2830e<:6:18'6de=9=30Z?oj:0yO6g6=9r\:h=4={%3f7}#9l21h=5Y15096~"1:<0i7)8=4;`8yx{z3`n:6=4+2`a9`4=Q:ho1>vB=b182S7c838p(a7<,:o26;<:;|Tg2?4|,?886<:6;%1f=?05=2w/:o65129~R7?c2:q]h84={%417?b63-9n578=5:U`3<5s-<9?7?;9:&0a<<1:=1v(;l7:018yS4>l39pZi;52z&566>4k0:&0a<<1:<1v(;l7:b9~R4c02;q]h84={%417?b63-9n578=5:'2g>=911vqp5f28a94?"5ij095n5Y2`g96~J5j90:w[?k0;0x 4c?2;3h7[?;2;0x 34228=0(;<;:058yx{K:hl1=vX=a481Sb22;q/:?=528a8 6c>2?8>7p*9b9823>{zu2c94i4?:%0bg?4?l2\9mh4={M0a4?7|^8n;6?u+1d:96=b<^8>96?u+637952=#>;>1=:5r}|N1ec<6s_8j97{e5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;`8 3432k1vqps4o0g2>5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zj=<36=4>:183!4fk38i?6F=a19l5`7=83.9mn4>e098yg21i3:197>50z&1ef<0=2B9m=5f15c94?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<:n;W376?4|,?8>6<84$707>4027E{zut1b=8850;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9500<^8>96?u+637953=#>;>1=;5r}|8m406290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<8>;W376?4|,?8>6<84$707>4050z&1ef<0=2B9m=5f15c94?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<:n;W376?4|,?8>6<84$707>4027E{zut1b=8850;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9500<^8>96?u+637953=#>;>1=;5r}|8m406290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<8>;W376?4|,?8>6<84$707>4050z&1ef<6m91C>l>4i06b>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 3422k1/:?:5b:~yx=n9=h1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5b:&561e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&560l>4i06:>5<#:hi1=974;n3f5?6=,;kh6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{56;294~"5ij0:8:5G2`28 40f28k0e<:6:18'6de=9=30Z?oj:0yO6g6=9r\:h=4={%3f7}#9l21h=5Y15096~"1:<0i7)8=4;`8yx{z3`n:6=4+2`a9`4=Q:ho1>vB=b182S7c838p(a7<,:o26;<:;|Tg2?4|,?886<:6;%1f=?05=2w/:o65129~R7?c2:q]h84={%417?b63-9n578=5:U`3<5s-<9?7?;9:&0a<<1:=1v(;l7:018yS4>l39pZi;52z&566>4k0:&0a<<1:<1v(;l7:b9~R4c02;q]h84={%417?b63-9n578=5:'2g>=911vqp5f28a94?"5ij095n5Y2`g96~J5j90:w[?k0;0x 4c?2;3h7[?;2;0x 34228=0(;<;:058yx{K:hl1=vX=a481Sb22;q/:?=528a8 6c>2?8>7p*9b9823>{zu2c94i4?:%0bg?4?l2\9mh4={M0a4?7|^8n;6?u+1d:96=b<^8>96?u+637952=#>;>1=:5r}|N1ec<6s_8j97{e>h>1<7=50;2x 7gd2;k27E4c83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6g=zutw0qo8n5;295?6=8r.9mn4=b29K6d65}#:hi1;85G2`28m42f290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<:n;W376?4|,?8>6o5+6369f>{zut1b=9l50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951d<^8>96?u+6379f>"1:=0i7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th=m54?:283>5}#:hi1;85G2`28m42f290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<:n;W376?4|,?8>6o5+6369f>{zut1b=9l50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951d<^8>96?u+6379f>"1:=0i7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th=m44?:583>5}#:hi1;85G2`28m42f290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;37e>P6<;09w)8=5;35?!05<3;=7psr}:k20g<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5179'272=9?1vqps4i075>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?:6:T207<5s-<997?9;%410?713twvq6a>e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&560l>4i06b>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 34228:0(;<;:028yx{z3`;?n7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;35?!05<3;=7psr}:k213<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h651448R4252;q/:?;5179'272=9?1vqps4i042>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?91:T207<5s-<997?9;%410?713twvq6a>e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&560l>4i06b>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 34228:0(;<;:028yx{z3`;?n7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;35?!05<3;=7psr}:k213<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h651448R4252;q/:?;5179'272=9?1vqps4i042>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?91:T207<5s-<997?9;%410?713twvq6a>e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&560l>4i06b>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 34228<0(;<;:048yx{z3`;?n7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;35?!05<3;=7psr}:k213<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h651448R4252;q/:?;5179'272=9?1vqps4i042>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?91:T207<5s-<997?9;%410?713twvq6a>e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&560l>4i06b>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 34228<0(;<;:048yx{z3`;?n7>5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<62.=>94>6:~yx=n9<<1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;74313_;?>740<,?8?6<84}|~?l7193:1(?ol:06:?M4fj2\9mh4>{M0a4?7|^m=1>v*>e98224=Q9=81>v*924822>"1:=0::6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi:l850;:94?6|,;kh6o6P5il0:wA4`9U514=:r.=>84m;%410?d27[lm515;8R7gb28qG>o>51zTg3?4|,8o36<;9;W376?4|,?8>6o5+6369f>{zut1b=;?50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9537<^8>96?u+6379f>"1:=0i7psr}:k221<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10::95Y15096~"1:<0i7)8=4;`8yx{z3`;=97>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;351>P6<;09w)8=5;`8 3432k1vqps4i045>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28<=7[?;2;0x 3422k1/:?:5b:~yx=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&5615$3c`>42>32e:i<4?:%0bg?7b92\9mh4>{M0a4?7|^m=1>v*>e982a4=Q9=81>v*9248a?!05<3h0qpsr;|`1g1<72;0;6=u+2`a9f`=O:h:0e<:6:18'6de=9=307b?j1;29 7gd28o:7[50z&1ef<5i01C>l>4i06b>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 3422k1/:?:5b:~yx=n9=h1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5159'272=9=1vqps4o0g2>5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zj;ii6=49:183!4fk3;?;6F=a19'53g=901b=9750;&1ef<6<01]>lk51zN1f5<6s_;o<742>3_;?>7g=#>;>1n6sr}|9j`5<72-8jo7j?;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{P5il09wA84k;%410?blh54zT1=a<4s_n>6?u+6319`4=#;l31:?;4}Wf5>7}#>;91=974$2g:>3423t.=n54>3:U6a7<,:o26;<:;|Tg2?4|,?886<:6;%1f=?05<2w/:o65129~R7?c2:q]h84={%417?b63-9n578=5:U`3<5s-<9?7j?;%1f=?05=2w/:o65c:U5`1=:r\o97a7<,:o26;<:;|&5f=<602wvq6g=9b83>!4fk382o6X=ad81I4e83;pZ=:0i0Z<:=:3y'273=9>1/:?:5169~yxJ5io0:w[>4=9b9'7`?=>;?0q)8m8;34?x{z3`83h7>5$3c`>7>c3_8ji74}Q9m:1>v*>e981v*924823>"1:=0:;6sr}M0bb?7|^;k>6?uYd481!05;383h6*lm51d38?xd5k80;6>4?:1y'6de=:h30D?o?;h37e?6=,;kh6<:6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{i6=4+2`a951?<^;kn6=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e:j81<7?50;2x 7gd2;h87E4`83>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1=;5+636953=zutw0e<:m:18'6de=9=30Z?oj:0yO6g6=9r\o;742e3_;?>740<,?8?6<84}|~?l72>3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?:6:T207<5s-<997?9;%410?713twvq6g>6083>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=;?4V061>7}#>;?1=;5+636953=zutw0e<8;:18'6de=9=30Z?oj:0yO6g6=9r\o;74033_;?>740<,?8?6<84}|~?l71=3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?95:T207<5s-<997?9;%410?713twvq6g>6783>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6>?1]=9<52z&560<6>2.=>94>6:~yx=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&561=9=k0Z<:=:3y'273=981/:?:5109~yx{i6=4+2`a951?<@;ki7[;|~y>o6=?0;6)P5il0:wA579U514=:r.=>84>1:&561<692wvqp5f17394?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9?;0Z<:=:3y'273=981/:?:5109~yx{4381!05=3;:7)8=4;32?x{zu2c::;4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98223=Q9=81>v*924825>"1:=0:=6sr}|9j531=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;94V061>7}#>;?1=<5+636954=zutw0e<87:18'6de=9=30Z?oj:0yO6g6=9r\o;740?3_;?>747<,?8?6{M0a4?7|^m=1>v*>e9822<=Q9=81>v*924825>"1:=0:=6sr}|9j51e=83.9mn4>489K6dd<^;kn64381!05=3;<7)8=4;34?x{zu2c:8h4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9820`=Q9=81>v*924823>"1:=0:;6sr}|9j51`=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9h4V061>7}#>;?1=:5+636952=zutw0e<;?:18'6de=9=30Z?oj:0yO6g6=9r\o;74373_;?>741<,?8?6<94}|~?l7293:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?:1:T207<5s-<997l4$707>g=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f7e1290:=7>50z&1ef<0=2B9m=5f15c94?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<:n;W376?4|,?8>64727E{zut1b=8850;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9500<^8>96?u+637954=#>;>1=<5r}|8m406290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<8>;W376?4|,?8>64727[;|~y>o6><0;6)P5il0:wA649U514=:r.=>84>1:&561<692wvqp5f17494?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9?<0Z<:=:3y'273=981/:?:5109~yx{4381!05=3;:7)8=4;32?x{zu2c::44?:%0bg?7312B9mo5Y2`g95~J5j90:w[j8:3y'5`>=9?30Z<:=:3y'273=981/:?:5109~yx{h6=4+2`a951?<@;ki7[o6P5il0:wA4e9U514=:r.=>84>7:&561<6?2wvqp5f15g94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9=o0Z<:=:3y'273=9>1/:?:5169~yx{m6=4+2`a951?<^;kn6<6X>4381!05=3;<7)8=4;34?x{zu2c:9<4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98214=Q9=81>v*9248a?!05<3h0qpsr;n3f5?6=,;kh6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{51083>5}#:hi1;85G2`28m42f290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;37e>P6<;09w)8=5;32?!05<3;:7psr}:k20g<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5109'272=981vqps4i075>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28?=7[?;2;0x 34228;0(;<;:038yx{z3`;==7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;355>P6<;09w)8=5;32?!05<3;:7psr}:k221<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10::95Y15096~"1:<0:=6*925825>{zut1b=;;50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9533<^8>96?u+637954=#>;>1=<5r}|8m401290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<89;W376?4|,?8>64727[;|~y>o6>10;6)P5il0:wA699U514=:r.=>84>1:&561<692wvqp5f17;94?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<86;W376?4|,?8>64727E{zut1b=9j50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951b<^8>96?u+637952=#>;>1=:5r}|8m42b290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<:j;W376?4|,?8>6<94$707>4127[o6=90;6)P5il0:wA519U514=:r.=>84>7:&561<6?2wvqp5f14394?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9<;0Z<:=:3y'273=j2.=>94m;|~y>i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d47=83:p(?ol:678L7g73`;?m7>5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<694>1:~yx=n9=h1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;742e3_;?>747<,?8?63:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?:6:T207<5s-<997?>;%410?763twvq6g>6083>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6>81]=9<52z&560<692.=>94>1:~yx=n9?>1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651768R4252;q/:?;5109'272=981vqps4i046>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28<>7[?;2;0x 34228;0(;<;:038yx{z3`;=:7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;352>P6<;09w)8=5;32?!05<3;:7psr}:k222<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:::5Y15096~"1:<0:=6*925825>{zut1b=;650;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:953><^8>96?u+637954=#>;>1=<5r}|8m40>290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;35=>P6<;09w)8=5;32?!05<3;:7psr}:k20f<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h6515a8R4252;q/:?;5169'272=9>1vqps4i06g>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>o7[?;2;0x 34228=0(;<;:058yx{z3`;?i7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37a>P6<;09w)8=5;34?!05<3;<7psr}:k20c<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:8k5Y15096~"1:<0:;6*925823>{zut1b=8>50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9506<^8>96?u+637952=#>;>1=:5r}|8m436290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<;>;W376?4|,?8>6o5+6369f>{zut1d=h?50;&1ef<6m81]>lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:a6f?=83?1<7>t$3c`>4c73A8j<6g>4`83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6g=zutw0e<;9:18'6de=9=30Z?oj:0yO6g6=9r\o;74313_;?>7g=#>;>1n6sr}|9j537=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;?4V061>7}#>;?1n6*9258a?x{zu2e:i<4?:%0bg?7b92\9mh4>{M0a4?7|^m=1>v*>e982a4=Q9=81>v*9248a?!05<3h0qpsr;|`1ga<72;0;6=u+2`a9f`=O:h:0e<:6:18'6de=9=307b?j1;29 7gd28o:7[50z&1ef<5i01C>l>4i06b>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 3422k1/:?:5b:~yx=n9=h1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5159'272=9=1vqps4o0g2>5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zj;n?6=49:183!4fk3;?;6F=a19'53g=901b=9750;&1ef<6<01]>lk51zN1f5<6s_;o<742>3_;?>7g=#>;>1n6sr}|9j`5<72-8jo7j?;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{P5il09wA84k;%410?blh54zT1=a<4s_n>6?u+6319`4=#;l31:?;4}Wf5>7}#>;91=974$2g:>3423t.=n54>3:U6a7<,:o26;<:;|Tg2?4|,?886<:6;%1f=?05<2w/:o65129~R7?c2:q]h84={%417?b63-9n578=5:U`3<5s-<9?7j?;%1f=?05=2w/:o65c:U5`1=:r\o97a7<,:o26;<:;|&5f=<602wvq6g=9b83>!4fk382o6X=ad81I4e83;pZ=:0i0Z<:=:3y'273=9>1/:?:5169~yxJ5io0:w[>4=9b9'7`?=>;?0q)8m8;34?x{z3`83h7>5$3c`>7>c3_8ji74}Q9m:1>v*>e981v*924823>"1:=0:;6sr}M0bb?7|^;k>6?uYd481!05;383h6*lm51d38?xd5kl0;6>4?:1y'6de=:h30D?o?;h37e?6=,;kh6<:6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{i6=4+2`a951?<^;kn6=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e:jl1<7?50;2x 7gd2;h87E4`83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<62.=>94>6:~yx=n9=h1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5179'272=9?1vqps4i075>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28?=7[?;2;0x 34228<0(;<;:048yx{z3`;==7>5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<6>81]=9<52z&560<6>2.=>94>6:~yx=n9?>1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651768R4252;q/:?;5179'272=9?1vqps4i046>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28<>7[?;2;0x 34228<0(;<;:048yx{z3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c0g6?6=?3:1N5i91b=9o50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 34228<0(;<;:048yx{z3`;?n7>5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<62.=>94>6:~yx=n9<<1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651448R4252;q/:?;5179'272=9?1vqps4i042>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?91:T207<5s-<997?9;%410?713twvq6g>6583>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6>=1]=9<52z&560<6>2.=>94>6:~yx=n9??1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651778R4252;q/:?;5179'272=9?1vqps4o0g2>5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zj;n;6=4<:183!4fk3;n<6F=a19j51g=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1n6*9258a?x{zu2c:8o4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9820g=Q9=81>v*9248a?!05<3h0qpsr;n3f5?6=,;kh6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{;h7>52;294~"5ij0ii6F=a19j51?=83.9mn4>4898k4c6290/>lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn9?9:185>5<7s-8jo7?;7:J1e5=#9?k1=45f15;94?"5ij0:845Y2`g95~J5j90:w[?k0;0x 4c?28>27[?;2;0x 3422k1/:?:5b:~yx=nl90;6)g=zutw0ei?50;&1efv*9248g?!05<3n0qpsC2`d90~P51m08w[j::3y'275=l81/?h756378ySb12;q/:?=515;8 6c>2?8>7p*9b9827>{Q:0n1?vXk5;0x 3442m;0(>k6:706?xPc>38p(;<<:06:?!5b13<986s+6c:956=z^;3o6>uYd481!05;3n:7)=j9;411>{Ql?09w)8=3;f3?!5b13<996s+6c:9g>{Q9l=1>vXk5;0x 3442m;0(>k6:706?x"1j10:46sr}:k1=f<72-8jo7<6c:T1e`<5sE8i<7?tV0f3>7}#9l21>4m4V061>7}#>;?1=:5+636952=zutF9mk4>{W0b1?4|^m?1>v*92281=f=#;l31:?;4}%4a52z&2a=<50m1]=9<52z&560<6?2.=>94>7:~yI4fn3;pZ?o::3yU`0<5s-<9?7<7d:&0a<<1:<1v(;l7:058yx{5}#:hi1>l74H3c3?l73i3:1(?ol:06:?M4fj2\9mh4>{M0a4?7|^m=1>v*>e9820d=Q9=81>v*9248a?!05<3h0qpsr;h37f?6=,;kh6<:6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{5<6290;w)N5i91d=h?50;&1ef<6m810qo:>1;297?6=8r.9mn485:J1e5=n9=k1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515c8R4252;q/:?;5179'272=9?1vqps4i06a>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 34228<0(;<;:048yx{z3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c626?6=;3:1N5i91b=9o50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 34228<0(;<;:048yx{z3`;?n7>5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<62.=>94>6:~yx=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&5615$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<694>0:~yx=n9=h1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5179'272=9?1vqps4o0g2>5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zj=;?6=4;:183!4fk3=>7E{zut1b=9l50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 34228<0(;<;:048yx{z3`;>:7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;362>P6<;09w)8=5;35?!05<3;=7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th?==4?:483>5}#:hi1=h>4H3c3?l73i3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997l4$707>g=zutw0e<:m:18'6de=9=30Z?oj:0yO6g6=9r\o;742e3_;?>7g=#>;>1n6sr}|9j500=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=884V061>7}#>;?1n6*9258a?x{zu2c::<4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98224=Q9=81>v*9248a?!05<3h0qpsr;n3f5?6=,;kh6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{9=7>52;294~"5ij0ii6F=a19j51?=83.9mn4>4898k4c6290/>lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn95<7s-8jo74c83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<694>4:~yx=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&5619:k20<<72-8jo7?;9:T1e`<6sE8i<7?tV0f3>7}#9l21=974V061>7}#>;?1n6*9258a?x{zu2co<7>5$3c`>a6<^;kn6!4fk3n:7[52z&2a=v*922820<=#;l31:?;4}%4a7}#>;91h<5+3d;92734j53zTg1?4|,?886i?4$2g:>3423t\o:7a6<,:o26;<:;|&5f=7}#>;91h<5+3d;9273k3:1(?ol:3;`?S4fm38p@?l?:0yU5a6=:r.:i54=9b9U514=:r.=>84>7:&561<6?2wvqAk2.8i449249~ 3d?28=0qps4i3:g>5<#:hi1>5j4V3cf>7}K:k:1=vX>d181!7b0383h6X>4381!05=3;<7)8=4;34?x{zD;km6j6=4+2`a951?<^;kn6=9=h0Z<:=:3y'273=j2.=>94m;|~y>i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d4<729q/>lm52c18L7g73f;n=7>5$3c`>4c632wi8?;50;694?6|,;kh6:;4H3c3?l73i3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997?9;%410?713twvq6g>4c83>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=9l4V061>7}#>;?1==5+636955=zutw0e<;9:18'6de=9=30Z?oj:0yO6g6=9r\o;74313_;?>740<,?8?6<84}|~?j7b93:1(?ol:0g2?S4fm3;p@?l?:0yU`2<5s-;n47?j1:T207<5s-<997l4$707>g=zutw0qo:=6;291?6=8r.9mn485:J1e5=n9=k1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515c8R4252;q/:?;5179'272=9?1vqps4i06a>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 34228<0(;<;:048yx{z3`;>:7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;362>P6<;09w)8=5;35?!05<3;=7psr}:k224<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10::<5Y15096~"1:<0:<6*925824>{zut1d=h?50;&1ef<6m81]>lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:a071=83?1<7>t$3c`>23<@;k;7d?;a;29 7gd28>27[o6P5il0:wA4c9U514=:r.=>84>6:&561<6>2wvqp5f14494?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9<<0Z<:=:3y'273=9?1/:?:5179~yx{i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d0<729q/>lm5749K6d6j6=4+2`a951?<^;kn6P5il0:wA4c9U514=:r.=>84>6:&561<6>2wvqp5f14494?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<;9;W376?4|,?8>6<84$707>4027[i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d0<729q/>lm51d28L7g73`;?m7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37e>P6<;09w)8=5;`8 3432k1vqps4i06a>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 3422k1/:?:5b:~yx=n9<<1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651448R4252;q/:?;5b:&5616083>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6>81]=9<52z&560g=zutw0qo887;296?6=8r.9mn4me:J1e5=n9=31<7*=ab820<=5<5290;w)lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:a2=4=83<1<7>t$3c`>4203A8j<6*>6`82=>o6<00;6)P5il0:wA7g=#>;>1n6sr}|9j`4<72-8jo7j>;W0ba?4|D;h;64381!05=3n0(;<;:e9~yxJ5io0?w[<6d;1xRa3=:r.=>>4k1:&0a<<1:<1vZi852z&566<6<01/?h756378y!0e03;87pX=9e80Sb22;q/:?=5d09'7`?=>;?0q[j9:3y'275=9=30(>k6:707?x"1j10:?6sY28f97~Pc=38p(;<<:e38 6c>2?8>7pXk6;0x 3442m:0(>k6:706?x"1j10h7pX>e681Sb22;q/:?=5d09'7`?=>;?0q)8m8;3;?x{z3`82o7>5$3c`>7?d3_8ji74}Q9m:1>v*>e981=f=Q9=81>v*924823>"1:=0:;6sr}M0bb?7|^;k>6?uYd481!05;382o6*c290/>lm529f8R7gb2;qG>o>51zT2`5<5s-;n47<7d:T207<5s-<997?8;%410?703twv@?oi:0yU6d3=:r\o977>c3-9n578=5:'2g>=9>1vqp5`1d394?"5ij0:i<54}c4442f3_;?>7g=#>;>1n6sr}|9j51d=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9l4V061>7}#>;?1n6*9258a?x{zu2e:i<4?:%0bg?7b92\9mh4>{M0a4?7|^m=1>v*>e982a4=Q9=81>v*9248a?!05<3h0qpsr;|`53<<7280;6=u+2`a96g5<@;k;7b?j1;29 7gd28o:76sm66c94?5=83:p(?ol:678L7g73`;?m7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37e>P6<;09w)8=5;35?!05<3;=7psr}:k20g<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:8o5Y15096~"1:<0::6*925822>{zut1d=h?50;&1ef<6m81]>lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:a22e=83>1<7>t$3c`>23<@;k;7d?;a;29 7gd28>27[;|~y>o6N5ik1]>lk51zN1f5<6s_n<6?u+1d:951d<^8>96?u+637954=#>;>1=<5r}|8m431290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;362>P6<;09w)8=5;34?!05<3;<7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th=;i4?:583>5}#:hi1;85G2`28m42f290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<:n;W376?4|,?8>64727E{zut1b=8850;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28?=7[?;2;0x 34228=0(;<;:058yx{z3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c44a?6==3:1N5i91b=9o50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951g<^8>96?u+637954=#>;>1=<5r}|8m42e290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;32?!05<3;:7psr}:k213<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:9;5Y15096~"1:<0:=6*925825>{zut1b=;?50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28<:7[?;2;0x 34228=0(;<;:058yx{z3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c44b?6=>3:1N5i91b=9o50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 34228=0(;<;:058yx{z3`;?n7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;34?!05<3;<7psr}:k213<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:9;5Y15096~"1:<0:;6*925823>{zut1b=;?50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9537<^8>96?u+637952=#>;>1=:5r}|8m403290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<8;;W376?4|,?8>6<94$707>4150z&1ef<6m91C>l>4i06b>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 3422k1/:?:5b:~yx=n9=h1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5b:&5615783>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6=?1]=9<52z&560g=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f35a29096=4?{%0bg?db3A8j<6g>4883>!4fk3;?565`1d394?"5ij0:i<5Y2`g95~J5j90:w[j8:3y'5`>=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e>=k1<7850;2x 7gd28><7E{M0a4?7|^8n;6?u+1d:951?<^8>96?u+6379f>"1:=0i7psr}:kg4?6=,;kh6i>4V3cf>4}K:k:1=vX>d181!7b03n;7[?;2;0x 3422k1/:?:5b:~yx=nl80;6)a=zutF9mk4;{W0:`?5|^m?1>v*9228g5>"4m00=>85rVe496~"1::0:845+3d;92736?u+6319`4=#;l31:?;4}Wf5>7}#>;91=974$2g:>3433t.=n54>3:U6a7<,:o26;<:;|Tg2?4|,?886i>4$2g:>3423t.=n54l;|T2a2<5s_n>6?u+6319`4=#;l31:?;4}%4a52z&2a=<51j1]=9<52z&560<6?2.=>94>7:~yI4fn3;pZ?o::3yU`0<5s-<9?7<6c:&0a<<1:<1v(;l7:058yx{P6<;09w)8=5;34?!05<3;<7psrL3ce>4}Q:h?1>vXk5;0x 3442;2o7)=j9;411>{#>k21=:5r}|9l5`7=83.9mn4>e098yg0383:1?7>50z&1ef<5i01C>l>4i06b>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997l4$707>g=zutw0e<:m:18'6de=9=30Z?oj:0yO6g6=9r\o;742e3_;?>7g=#>;>1n6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi:9?50;394?6|,;kh6?l<;I0b4>i6m80;6)=zj?>86=4<:183!4fk3=>7E5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;`8 3432k1vqps4o0g2>5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zj?>?6=4;:183!4fk3=>7E5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?;b:T207<5s-<997?9;%410?713twvq6g>5783>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=884V061>7}#>;?1=;5+636953=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f322290>6=4?{%0bg?123A8j<6g>4`83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<694>0:~yx=n9=h1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5179'272=9?1vqps4i075>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?:6:T207<5s-<997?9;%410?713twvq6g>6083>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=;?4V061>7}#>;?1=;5+636953=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f321290>6=4?{%0bg?123A8j<6g>4`83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<694>0:~yx=n9=h1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5179'272=9?1vqps4i075>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?:6:T207<5s-<997?9;%410?713twvq6g>6083>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=;?4V061>7}#>;?1=;5+636953=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f320290>6=4?{%0bg?123A8j<6g>4`83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<62.=>94>6:~yx=n9=h1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;742e3_;?>740<,?8?6<84}|~?l72>3:1(?ol:06:?M4fj2\9mh4>{M0a4?7|^m=1>v*>e98213=Q9=81>v*924822>"1:=0::6sr}|9j537=83.9mn4>489K6dd<^;kn6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{55;294~"5ij0<96F=a19j51g=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1=;5+636953=zutw0e<:m:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;=7)8=4;35?x{zu2c:9;4?:%0bg?7312B9mo5Y2`g95~J5j90:w[j8:3y'5`>=9<<0Z<:=:3y'273=9?1/:?:5179~yx{i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d2<729q/>lm51d28L7g73`;?m7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37e>P6<;09w)8=5;`8 3432k1vqps4i06a>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 3422k1/:?:5b:~yx=n9<<1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651448R4252;q/:?;5b:&5616083>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6>81]=9<52z&560g=zutw0e<8::18'6de=9=30Z?oj:0yO6g6=9r\o;74023_;?>7g=#>;>1n6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi:8h50;094?6|,;kh6ok4H3c3?l7313:1(?ol:06:?>i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d3<729q/>lm51558L7g73-;=m7?6;h37=?6=,;kh6<:6;W0ba?7|D;h;67a=#>;>1h6sr}M0bb?2|^;3o6>uYd481!05;3n:7)=j9;411>{Ql?09w)8=3;37=>"4m00=>85r$7`;>45v*922820<=#;l31:?:4}%4a7}#>;91h<5+3d;9273lm528a8R7gb2;qG>o>51zT2`5<5s-;n47<6c:T207<5s-<997?8;%410?703twv@?oi:0yU6d3=:r\o977?d3-9n578=5:'2g>=9>1vqp5f29f94?"5ij094i5Y2`g96~J5j90:w[?k0;0x 4c?2;2o7[?;2;0x 34228=0(;<;:058yx{K:hl1=vX=a481Sb22;q/:?=529f8 6c>2?8>7p*9b9823>{zu2e:i<4?:%0bg?7b921vn;8?:180>5<7s-8jo74c83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6g=zutw0qo891;295?6=8r.9mn4=b29K6d65}#:hi1;85G2`28m42f290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<:n;W376?4|,?8>6<84$707>4027[i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d6c83>7<729q/>lm5bd9K6d626=4+2`a951?<3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c342?6=>3:1290/>lm515;8R7gb28qG>o>51zT2`5<5s-;n47?;9:T207<5s-<997l4$707>g=zutw0ei>50;&1ef{M0a4?7|^8n;6?u+1d:9`5=Q9=81>v*9248a?!05<3h0qpsr;hf2>5<#:hi1h<5Y2`g96~J5j90:w[?k0;0x 4c?2m;0Z<:=:3y'273=l2.=>94k;|~H7ga2=q]>4j53zTg1?4|,?886i?4$2g:>3423t\o:742>3-9n578=5:'2g>=9:1vZ?7k:2yU`0<5s-<9?7j>;%1f=?05=2w]h;4={%417?7312.8i449259~ 3d?2890q[<6d;1xRa3=:r.=>>4k1:&0a<<1:<1vZi852z&566;%1f=?05=2w/:o65199~yx=n:0i1<7*=ab81=f=Q:ho1>vB=b182S7c838p(k6:706?x"1j10:;6sr}:k17}#9l21>5j4V061>7}#>;?1=:5+636952=zutF9mk4>{W0b1?4|^m?1>v*92281{M0a4?7|^m=1>v*>e9820d=Q9=81>v*9248a?!05<3h0qpsr;h37f?6=,;kh6<:6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{5<6290;w)N5i91d=h?50;&1ef<6m810qo?9f;297?6=8r.9mn485:J1e5=n9=k1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515c8R4252;q/:?;5179'272=9?1vqps4i06a>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 34228<0(;<;:048yx{z3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c344?6=;3:1N5i91b=9o50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951g<^8>96?u+637953=#>;>1=;5r}|8m42e290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<:m;W376?4|,?8>6<84$707>4050z&1ef<0=2B9m=5f15c94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9=k0Z<:=:3y'273=9?1/:?:5179~yx{i6=4+2`a951?<^;kn6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{7>53;294~"5ij0<96F=a19j51g=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1n6*9258a?x{zu2c:8o4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9820g=Q9=81>v*9248a?!05<3h0qpsr;n3f5?6=,;kh6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{53;294~"5ij0<96F=a19j51g=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1=;5+636953=zutw0e<:m:18'6de=9=30Z?oj:0yO6g6=9r\o;742e3_;?>740<,?8?6<84}|~?j7b93:1(?ol:0g2?S4fm3;p@?l?:0yU`2<5s-;n47?j1:T207<5s-<997l4$707>g=zutw0qo?84;290?6=8r.9mn485:J1e5=n9=k1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;742f3_;?>740<,?8?6<84}|~?l73j3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;b:T207<5s-<997?9;%410?713twvq6g>5783>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6=?1]=9<52z&560<6>2.=>94>6:~yx=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&561{M0a4?7|^m=1>v*>e9820d=Q9=81>v*9248a?!05<3h0qpsr;h37f?6=,;kh6<:6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{=9?;0Z<:=:3y'273=j2.=>94m;|~y>o6>=0;6)P5il0:wA659U514=:r.=>84m;%410?d27[lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn>o?:181>5<7s-8jo7lj;I0b4>o6<00;6)=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&5615$3c`>42>32e:i<4?:%0bg?7b92\9mh4>{M0a4?7|^m=1>v*>e982a4=Q9=81>v*9248a?!05<3h0qpsr;|`0ed<72:0;6=u+2`a96d?<@;k;7d?;a;29 7gd28>27[lm515;8R7gb28qG>o>51zTg3?4|,8o36<:m;W376?4|,?8>6<:4$707>4250z&1ef<6<>1C>l>4$04b>4?26=4+2`a951?<^;kn6P6<;09w)8=5;`8 3432k1vqps4ie294?"5ij0o<6X=ad82I4e83;pZ=l91]=9<52z&560lm5d09U6dc=:rF9n=4>{W3g4?4|,8o36i?4V061>7}#>;?1h6*9258g?x{zD;km69uY28f97~Pc=38p(;<<:e38 6c>2?8>7pXk6;0x 34428>27)=j9;411>{#>k21=>5rV3;g>6}Ql<09w)8=3;f2?!5b13<996sYd781!05;3;?56*v*9228g5>"4m00=>85rVe496~"1::0o<6*7}Ql<09w)8=3;f2?!5b13<996s+6c:95==zut1b>4m50;&1ef<51j1]>lk52zN1f5<6s_;o<77?d3_;?>741<,?8?6<94}|O6d`=9r\9m84={Wf6>7}#>;91>4m4$2g:>3423t.=n54>7:~y>o50m0;6)P5il09wA1vqpB=ag82S4f=38pZi;52z&566<50m1/?h756378y!0e03;<7psr;n3f5?6=,;kh6;:a7d7=8391<7>t$3c`>7g>3A8j<6g>4`83>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1n6*9258a?x{zu2c:8o4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9820g=Q9=81>v*9248a?!05<3h0qpsr;n3f5?6=,;kh6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{7>51;294~"5ij09n>5G2`28k4c6290/>lm51d38?xd4i:0;694?:1y'6de=?<1C>l>4i06b>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 34228:0(;<;:028yx{z3`;?n7>5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<62.=>94>6:~yx=n9<<1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;74313_;?>740<,?8?6<84}|~?j7b93:1(?ol:0g2?S4fm3;p@?l?:0yU`2<5s-;n47?j1:T207<5s-<997l4$707>g=zutw0qo=n5;297?6=8r.9mn485:J1e5=n9=k1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515c8R4252;q/:?;5109'272=981vqps4i06a>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?;b:T207<5s-<997?8;%410?703twvq6a>e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&5604?:1y'6de=?<1C>l>4i06b>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 34228=0(;<;:058yx{z3`;?n7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;32?!05<3;:7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th8m:4?:283>5}#:hi1=h>4H3c3?l73i3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997l4$707>g=zutw0e<:m:18'6de=9=30Z?oj:0yO6g6=9r\o;742e3_;?>7g=#>;>1n6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi89=50;094?6|,;kh6ok4H3c3?l7313:1(?ol:06:?>i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d3<729q/>lm51558L7g73-;=m7?6;h37=?6=,;kh6<:6;W0ba?7|D;h;67a=#>;>1h6sr}M0bb?2|^;3o6>uYd481!05;3n:7)=j9;411>{Ql?09w)8=3;37=>"4m00=>85r$7`;>45v*922820<=#;l31:?:4}%4a7}#>;91h<5+3d;9273lm528a8R7gb2;qG>o>51zT2`5<5s-;n47<6c:T207<5s-<997?8;%410?703twv@?oi:0yU6d3=:r\o977?d3-9n578=5:'2g>=9>1vqp5f29f94?"5ij094i5Y2`g96~J5j90:w[?k0;0x 4c?2;2o7[?;2;0x 34228=0(;<;:058yx{K:hl1=vX=a481Sb22;q/:?=529f8 6c>2?8>7p*9b9823>{zu2e:i<4?:%0bg?7b921vn9:;:180>5<7s-8jo74c83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6g=zutw0qo:;5;295?6=8r.9mn4=b29K6d65}#:hi1;85G2`28m42f290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<:n;W376?4|,?8>6<84$707>4027[i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d7<729q/>lm5bd9K6d626=4+2`a951?<3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c664?6=>3:1290/>lm515;8R7gb28qG>o>51zT2`5<5s-;n47?;9:T207<5s-<997l4$707>g=zutw0ei>50;&1ef{M0a4?7|^8n;6?u+1d:9`5=Q9=81>v*9248a?!05<3h0qpsr;hf2>5<#:hi1h<5Y2`g96~J5j90:w[?k0;0x 4c?2m;0Z<:=:3y'273=l2.=>94k;|~H7ga2=q]>4j53zTg1?4|,?886i?4$2g:>3423t\o:742>3-9n578=5:'2g>=9:1vZ?7k:2yU`0<5s-<9?7j>;%1f=?05=2w]h;4={%417?7312.8i449259~ 3d?2890q[<6d;1xRa3=:r.=>>4k1:&0a<<1:<1vZi852z&566;%1f=?05=2w/:o65199~yx=n:0i1<7*=ab81=f=Q:ho1>vB=b182S7c838p(k6:706?x"1j10:;6sr}:k17}#9l21>5j4V061>7}#>;?1=:5+636952=zutF9mk4>{W0b1?4|^m?1>v*92281{M0a4?7|^m=1>v*>e9820d=Q9=81>v*9248a?!05<3h0qpsr;h37f?6=,;kh6<:6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{5<6290;w)N5i91d=h?50;&1ef<6m810qo:;d;290?6=8r.9mn485:J1e5=n9=k1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515c8R4252;q/:?;5179'272=9?1vqps4i06a>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?;b:T207<5s-<997?9;%410?713twvq6g>5783>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6=?1]=9<52z&560<6>2.=>94>6:~yx=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&5615$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37e>P6<;09w)8=5;35?!05<3;=7psr}:k20g<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5179'272=9?1vqps4i075>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28?=7[?;2;0x 34228<0(;<;:048yx{z3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c67g?6=;3:142f3_;?>7g=#>;>1n6sr}|9j51d=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9l4V061>7}#>;?1n6*9258a?x{zu2e:i<4?:%0bg?7b92\9mh4>{M0a4?7|^m=1>v*>e982a4=Q9=81>v*9248a?!05<3h0qpsr;|`7<`<72;0;6=u+2`a9f`=O:h:0e<:6:18'6de=9=307b?j1;29 7gd28o:7[;3:1:7>50z&1ef<6<>1C>l>4$04b>4?26=4+2`a951?<^;kn6P6<;09w)8=5;`8 3432k1vqps4ie294?"5ij0o<6X=ad82I4e83;pZ=l91]=9<52z&560lm5d09U6dc=:rF9n=4>{W3g4?4|,8o36i?4V061>7}#>;?1h6*9258g?x{zD;km69uY28f97~Pc=38p(;<<:e38 6c>2?8>7pXk6;0x 34428>27)=j9;411>{#>k21=>5rV3;g>6}Ql<09w)8=3;f2?!5b13<996sYd781!05;3;?56*v*9228g5>"4m00=>85rVe496~"1::0o<6*7}Ql<09w)8=3;f2?!5b13<996s+6c:95==zut1b>4m50;&1ef<51j1]>lk52zN1f5<6s_;o<77?d3_;?>741<,?8?6<94}|O6d`=9r\9m84={Wf6>7}#>;91>4m4$2g:>3423t.=n54>7:~y>o50m0;6)P5il09wA1vqpB=ag82S4f=38pZi;52z&566<50m1/?h756378y!0e03;<7psr;n3f5?6=,;kh6;:a0=`=8391<7>t$3c`>7g>3A8j<6g>4`83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6g=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f1?7290:6=4?{%0bg?4e;2B9m=5`1d394?"5ij0:i<54}c6:5?6=;3:1N5i91b=9o50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951g<^8>96?u+637953=#>;>1=;5r}|8m42e290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<:m;W376?4|,?8>6<84$707>403:1>7>50z&1ef5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zj=i:6=49:183!4fk3;?;6F=a19'53g=901b=9750;&1ef<6<01]>lk51zN1f5<6s_;o<742>3_;?>7g=#>;>1n6sr}|9j`5<72-8jo7j?;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{P5il09wA84k;%410?blh54zT1=a<4s_n>6?u+6319`4=#;l31:?;4}Wf5>7}#>;91=974$2g:>3423t.=n54>3:U6a7<,:o26;<:;|Tg2?4|,?886<:6;%1f=?05<2w/:o65129~R7?c2:q]h84={%417?b63-9n578=5:U`3<5s-<9?7j?;%1f=?05=2w/:o65c:U5`1=:r\o97a7<,:o26;<:;|&5f=<602wvq6g=9b83>!4fk382o6X=ad81I4e83;pZ=:0i0Z<:=:3y'273=9>1/:?:5169~yxJ5io0:w[>4=9b9'7`?=>;?0q)8m8;34?x{z3`83h7>5$3c`>7>c3_8ji74}Q9m:1>v*>e981v*924823>"1:=0:;6sr}M0bb?7|^;k>6?uYd481!05;383h6*lm51d38?xd3j>0;6>4?:1y'6de=:h30D?o?;h37e?6=,;kh6<:6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{i6=4+2`a951?<^;kn6=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e4`83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6g=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f1de290:=7>50z&1ef<0=2B9m=5f15c94?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<:n;W376?4|,?8>6<>4$707>4627E{zut1b=8850;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9500<^8>96?u+637955=#>;>1==5r}|8m406290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<8>;W376?4|,?8>6<>4$707>4627[o6><0;6)P5il0:wA649U514=:r.=>84>0:&561<682wvqp5f17494?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9?<0Z<:=:3y'273=991/:?:5119~yx{4381!05=3;;7)8=4;33?x{zu2c::44?:%0bg?7312B9mo5Y2`g95~J5j90:w[j8:3y'5`>=9?30Z<:=:3y'273=991/:?:5119~yx{h6=4+2`a951?<@;ki7[o6N5ik1]>lk51zN1f5<6s_n<6?u+1d:951b<^8>96?u+637953=#>;>1=;5r}|8m42b290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<:j;W376?4|,?8>6<84$707>4027[o6=90;6)P5il0:wA519U514=:r.=>84m;%410?d27[lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn9ll:1825?6=8r.9mn485:J1e5=n9=k1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;742f3_;?>746<,?8?6<>4}|~?l73j3:1(?ol:06:?M4fj2\9mh4>{M0a4?7|^m=1>v*>e9820g=Q9=81>v*924824>"1:=0:<6sr}|9j500=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=884V061>7}#>;?1==5+636955=zutw0e<8>:18'6de=9=30Z?oj:0yO6g6=9r\o;74063_;?>746<,?8?6<>4}|~?l71<3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?94:T207<5s-<997??;%410?773twvq6g>6483>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6><1]=9<52z&560<682.=>94>0:~yx=n9?<1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651748R4252;q/:?;5119'272=991vqps4i044>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28<<7[?;2;0x 34228:0(;<;:028yx{z3`;=47>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;35<>P6<;09w)8=5;33?!05<3;;7psr}:k22<<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h6517;8R4252;q/:?;5119'272=991vqps4i06`>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?;c:T207<5s-<997??;%410?773twvq6g>4e83>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=9j4V061>7}#>;?1=;5+636953=zutw0e<:j:18'6de=9=30Z?oj:0yO6g6=9r\o;742b3_;?>740<,?8?6<84}|~?l73n3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;f:T207<5s-<997?9;%410?713twvq6g>5183>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6=91]=9<52z&560g=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f1dc290:=7>50z&1ef<0=2B9m=5f15c94?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<:n;W376?4|,?8>6<>4$707>4627E{zut1b=8850;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9500<^8>96?u+637955=#>;>1==5r}|8m406290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<8>;W376?4|,?8>6<>4$707>4627[o6><0;6)P5il0:wA649U514=:r.=>84>0:&561<682wvqp5f17494?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9?<0Z<:=:3y'273=991/:?:5119~yx{4381!05=3;;7)8=4;33?x{zu2c::44?:%0bg?7312B9mo5Y2`g95~J5j90:w[j8:3y'5`>=9?30Z<:=:3y'273=991/:?:5119~yx{h6=4+2`a951?<@;ki7[o6N5ik1]>lk51zN1f5<6s_n<6?u+1d:951b<^8>96?u+637953=#>;>1=;5r}|8m42b290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<:j;W376?4|,?8>6<84$707>4027[o6=90;6)P5il0:wA519U514=:r.=>84m;%410?d27[lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn9lj:1825?6=8r.9mn485:J1e5=n9=k1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;742f3_;?>746<,?8?6<>4}|~?l73j3:1(?ol:06:?M4fj2\9mh4>{M0a4?7|^m=1>v*>e9820g=Q9=81>v*924824>"1:=0:<6sr}|9j500=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=884V061>7}#>;?1==5+636955=zutw0e<8>:18'6de=9=30Z?oj:0yO6g6=9r\o;74063_;?>746<,?8?6<>4}|~?l71<3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?94:T207<5s-<997??;%410?773twvq6g>6483>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6><1]=9<52z&560<682.=>94>0:~yx=n9?<1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651748R4252;q/:?;5119'272=991vqps4i044>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28<<7[?;2;0x 34228:0(;<;:028yx{z3`;=47>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;35<>P6<;09w)8=5;33?!05<3;;7psr}:k22<<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h6517;8R4252;q/:?;5119'272=991vqps4i06`>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?;c:T207<5s-<997??;%410?773twvq6g>4e83>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=9j4V061>7}#>;?1=;5+636953=zutw0e<:j:18'6de=9=30Z?oj:0yO6g6=9r\o;742b3_;?>740<,?8?6<84}|~?l73n3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;f:T207<5s-<997?9;%410?713twvq6g>5183>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6=91]=9<52z&560g=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f1da290:=7>50z&1ef<0=2B9m=5f15c94?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<:n;W376?4|,?8>6<>4$707>4627E{zut1b=8850;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9500<^8>96?u+637955=#>;>1==5r}|8m406290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<8>;W376?4|,?8>6<>4$707>4627[o6><0;6)P5il0:wA649U514=:r.=>84>0:&561<682wvqp5f17494?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9?<0Z<:=:3y'273=991/:?:5119~yx{4381!05=3;;7)8=4;33?x{zu2c::44?:%0bg?7312B9mo5Y2`g95~J5j90:w[j8:3y'5`>=9?30Z<:=:3y'273=991/:?:5119~yx{h6=4+2`a951?<@;ki7[o6P5il0:wA4e9U514=:r.=>84>6:&561<6>2wvqp5f15g94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9=o0Z<:=:3y'273=9?1/:?:5179~yx{m6=4+2`a951?<^;kn6<6X>4381!05=3h0(;<;:c9~yx{=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;`8 3432k1vqps4i075>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28?=7[?;2;0x 3422k1/:?:5b:~yx=n9?;1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651738R4252;q/:?;5b:&5616583>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6>=1]=9<52z&560g=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f34d29096=4?{%0bg?db3A8j<6g>4883>!4fk3;?565`1d394?"5ij0:i<5Y2`g95~J5j90:w[j8:3y'5`>=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e>:;1<7850;2x 7gd28><7E{M0a4?7|^8n;6?u+1d:951?<^8>96?u+6379f>"1:=0i7psr}:kg4?6=,;kh6i>4V3cf>4}K:k:1=vX>d181!7b03n;7[?;2;0x 3422k1/:?:5b:~yx=nl80;6)a=zutF9mk4;{W0:`?5|^m?1>v*9228g5>"4m00=>85rVe496~"1::0:845+3d;92736?u+6319`4=#;l31:?;4}Wf5>7}#>;91=974$2g:>3433t.=n54>3:U6a7<,:o26;<:;|Tg2?4|,?886i>4$2g:>3423t.=n54l;|T2a2<5s_n>6?u+6319`4=#;l31:?;4}%4a52z&2a=<51j1]=9<52z&560<6?2.=>94>7:~yI4fn3;pZ?o::3yU`0<5s-<9?7<6c:&0a<<1:<1v(;l7:058yx{P6<;09w)8=5;34?!05<3;<7psrL3ce>4}Q:h?1>vXk5;0x 3442;2o7)=j9;411>{#>k21=:5r}|9l5`7=83.9mn4>e098yg05l3:1?7>50z&1ef<5i01C>l>4i06b>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 3422k1/:?:5b:~yx=n9=h1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5b:&561e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&560;:a27`=8391<7>t$3c`>23<@;k;7d?;a;29 7gd28>27E{zut1b=9l50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 34228<0(;<;:048yx{z3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c4;7?6=:3:1N5i91b=9750;&1ef<6<010c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f3>f290=6=4?{%0bg?73?2B9m=5+17c95<=n9=31<7*=ab820<=Q:ho1=vB=b182S7c838p(!4fk3n;7[52z&2a=7}#9l21h<5Y15096~"1:<0o7)8=4;f8yx{K:hl18vX=9e80Sb22;q/:?=5d09'7`?=>;?0q[j9:3y'275=9=30(>k6:706?x"1j10:?6sY28f97~Pc=38p(;<<:e38 6c>2?8>7pXk6;0x 34428>27)=j9;410>{#>k21=>5rV3;g>6}Ql<09w)8=3;f2?!5b13<996sYd781!05;3n;7)=j9;411>{#>k21o6sY1d596~Pc=38p(;<<:e38 6c>2?8>7p*9b982<>{zu2c95n4?:%0bg?4>k2\9mh4={M0a4?7|^8n;6?u+1d:9696?u+637952=#>;>1=:5r}|N1ec<6s_8j9784>7:&561<6?2wvqA5<#:hi1=h?4;|`5<1<72:0;6=u+2`a96d?<@;k;7d?;a;29 7gd28>27[lm515;8R7gb28qG>o>51zTg3?4|,8o36<:m;W376?4|,?8>6o5+6369f>{zut1d=h?50;&1ef<6m81]>lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:a2=3=83;1<7>t$3c`>7d43A8j<6a>e083>!4fk3;n=65rb7:4>5<4290;w){M0a4?7|^m=1>v*>e9820d=Q9=81>v*924822>"1:=0::6sr}|9j51d=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9l4V061>7}#>;?1=;5+636953=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f3>?29086=4?{%0bg?123A8j<6g>4`83>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1=;5+636953=zutw0e<:m:18'6de=9=30Z?oj:0yO6g6=9r\o;742e3_;?>740<,?8?6<84}|~?j7b93:1(?ol:0g2?S4fm3;p@?l?:0yU`2<5s-;n47?j1:T207<5s-<997l4$707>g=zutw0qo876;297?6=8r.9mn4>e19K6d6j6=4+2`a951?<^;kn6=9=h0Z<:=:3y'273=j2.=>94m;|~y>i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d7<729q/>lm5bd9K6d626=4+2`a951?<3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c4:5?6=:3:1N5i91b=9750;&1ef<6<010c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f3?1290=6=4?{%0bg?73?2B9m=5+17c95<=n9=31<7*=ab820<=Q:ho1=vB=b182S7c838p(!4fk3n;7[52z&2a=7}#9l21h<5Y15096~"1:<0o7)8=4;f8yx{K:hl18vX=9e80Sb22;q/:?=5d09'7`?=>;?0q[j9:3y'275=9=30(>k6:706?x"1j10:?6sY28f97~Pc=38p(;<<:e38 6c>2?8>7pXk6;0x 34428>27)=j9;410>{#>k21=>5rV3;g>6}Ql<09w)8=3;f2?!5b13<996sYd781!05;3n;7)=j9;411>{#>k21o6sY1d596~Pc=38p(;<<:e38 6c>2?8>7p*9b982<>{zu2c95n4?:%0bg?4>k2\9mh4={M0a4?7|^8n;6?u+1d:9696?u+637952=#>;>1=:5r}|N1ec<6s_8j9784>7:&561<6?2wvqA5<#:hi1=h?4;|`527[lm515;8R7gb28qG>o>51zTg3?4|,8o36<:m;W376?4|,?8>6o5+6369f>{zut1d=h?50;&1ef<6m81]>lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:a2=b=83;1<7>t$3c`>7d43A8j<6a>e083>!4fk3;n=65rb7:e>5<0290;w)=9=k0Z<:=:3y'273=991/:?:5119~yx{i6=4+2`a951?<@;ki7[o6=?0;6)N5ik1]>lk51zN1f5<6s_n<6?u+1d:9500<^8>96?u+637955=#>;>1==5r}|8m406290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<8>;W376?4|,?8>6<84$707>4027[o6><0;6)P5il0:wA649U514=:r.=>84>6:&561<6>2wvqp5`1d394?"5ij0:i<5Y2`g95~J5j90:w[j8:3y'5`>=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e>0:1<7950;2x 7gd2>?0D?o?;h37e?6=,;kh6<:6;I0bf>P5il0:wA4`9U514=:r.=>84>0:&561<682wvqp5f15`94?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<:m;W376?4|,?8>6<>4$707>4627[2\:8?4={%411?713-<987?9;|~y>o6>80;6)P5il0:wA609U514=:r.=>84>6:&561<6>2wvqp5f17694?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9?>0Z<:=:3y'273=9?1/:?:5179~yx{6=4+2`a951?<^;kn6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{53;294~"5ij0:i=5G2`28m42f290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<:n;W376?4|,?8>6o5+6369f>{zut1b=9l50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951d<^8>96?u+6379f>"1:=0i7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th=5?4?:583>5}#:hi1;85G2`28m42f290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<:n;W376?4|,?8>64727E{zut1b=8850;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9500<^8>96?u+637952=#>;>1=:5r}|8k4c6290/>lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn;7<:187>5<7s-8jo79:;I0b4>o6P5il0:wA4`9U514=:r.=>84>7:&561<6?2wvqp5f15`94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9=h0Z<:=:3y'273=9>1/:?:5169~yx{;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{53;294~"5ij0:i=5G2`28m42f290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<:n;W376?4|,?8>6o5+6369f>{zut1b=9l50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951d<^8>96?u+6379f>"1:=0i7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th=5:4?:383>5}#:hi1nh5G2`28m42>290/>lm515;8?j7b93:1(?ol:0g2?S4fm3;p@?l?:0yU`2<5s-;n47?j1:T207<5s-<997l4$707>g=zutw0qo8n2;292?6=8r.9mn4>469K6d6<,85<#:hi1=974V3cf>4}K:k:1=vX>d181!7b03;?56X>4381!05=3h0(;<;:c9~yx{P5il0:wA84m;%410?d:18'6de=l81]>lk52zN1f5<6s_;o<7a7<^8>96?u+6379`>"1:=0o7psrL3ce>1}Q:0n1?vXk5;0x 3442m;0(>k6:706?xPc>38p(;<<:06:?!5b13<996s+6c:956=z^;3o6>uYd481!05;3n:7)=j9;411>{Ql?09w)8=3;37=>"4m00=>95r$7`;>45v*9228g4>"4m00=>85r$7`;>f=z^8o<6?uYd481!05;3n:7)=j9;411>{#>k21=55r}|9j6{W3g4?4|,8o36?7l;W376?4|,?8>6<94$707>41lh51zT1e0<5s_n>6?u+63196!4fk383h6X=ad81I4e83;pZ=:1n0Z<:=:3y'273=9>1/:?:5169~yxJ5io0:w[>4=8e9'7`?=>;?0q)8m8;34?x{z3f;n=7>5$3c`>4c632wi:4650;194?6|,;kh6?o6;I0b4>o6P5il0:wA4`9U514=:r.=>84m;%410?d27[lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn;76:182>5<7s-8jo753;294~"5ij0<96F=a19j51g=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1n6*9258a?x{zu2c:8o4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9820g=Q9=81>v*9248a?!05<3h0qpsr;n3f5?6=,;kh6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{54;294~"5ij0<96F=a19j51g=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1=;5+636953=zutw0e<:m:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;=7)8=4;35?x{zu2c:9;4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98213=Q9=81>v*924822>"1:=0::6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi:4j50;794?6|,;kh6:;4H3c3?l73i3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997??;%410?773twvq6g>4c83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<62.=>94>6:~yx=n9<<1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;74313_;?>740<,?8?6<84}|~?l7193:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?91:T207<5s-<997?9;%410?713twvq6a>e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&560l>4i06b>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 34228:0(;<;:028yx{z3`;?n7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;35?!05<3;=7psr}:k213<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h651448R4252;q/:?;5179'272=9?1vqps4i042>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28<:7[?;2;0x 34228<0(;<;:048yx{z3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c4:b?6==3:1N5i91b=9o50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951g<^8>96?u+637953=#>;>1=;5r}|8m42e290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;35?!05<3;=7psr}:k213<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:9;5Y15096~"1:<0::6*925822>{zut1b=;?50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28<:7[?;2;0x 34228<0(;<;:048yx{z3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c4b4?6=>3:1N5i91b=9o50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951g<^8>96?u+637955=#>;>1==5r}|8m42e290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;35?!05<3;=7psr}:k213<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:9;5Y15096~"1:<0::6*925822>{zut1b=;?50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28<:7[?;2;0x 34228<0(;<;:048yx{z3`;=87>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;350>P6<;09w)8=5;35?!05<3;=7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th=5l4?:683>5}#:hi1=h>4H3c3?l73i3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997l4$707>g=zutw0e<:m:18'6de=9=30Z?oj:0yO6g6=9r\o;742e3_;?>7g=#>;>1n6sr}|9j500=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=884V061>7}#>;?1n6*9258a?x{zu2c::<4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98224=Q9=81>v*9248a?!05<3h0qpsr;h350?6=,;kh6<:6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{6=4+2`a951?<^;kn6=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e91i1<7<50;2x 7gd2ko0D?o?;h37=?6=,;kh6<:6;:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th:544?:283>5}#:hi1>l74H3c3?l73i3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997l4$707>g=zutw0e<:m:18'6de=9=30Z?oj:0yO6g6=9r\o;742e3_;?>742<,?8?6<:4}|~?j7b93:1(?ol:0g2?S4fm3;p@?l?:0yU`2<5s-;n47?j1:T207<5s-<997l4$707>g=zutw0qo?68;292?6=8r.9mn4>469K6d6<,85<#:hi1=974V3cf>4}K:k:1=vX>d181!7b03;?56X>4381!05=3h0(;<;:c9~yx{P5il0:wA84m;%410?d:18'6de=l81]>lk52zN1f5<6s_;o<7a7<^8>96?u+6379`>"1:=0o7psrL3ce>1}Q:0n1?vXk5;0x 3442m;0(>k6:706?xPc>38p(;<<:06:?!5b13<996s+6c:956=z^;3o6>uYd481!05;3n:7)=j9;411>{Ql?09w)8=3;37=>"4m00=>95r$7`;>45v*9228g4>"4m00=>85r$7`;>f=z^8o<6?uYd481!05;3n:7)=j9;411>{#>k21=55r}|9j6{W3g4?4|,8o36?7l;W376?4|,?8>6<94$707>41lh51zT1e0<5s_n>6?u+63196!4fk383h6X=ad81I4e83;pZ=:1n0Z<:=:3y'273=9>1/:?:5169~yxJ5io0:w[>4=8e9'7`?=>;?0q)8m8;34?x{z3f;n=7>5$3c`>4c632wi=5j50;194?6|,;kh6?o6;I0b4>o6N5ik1]>lk51zN1f5<6s_n<6?u+1d:951g<^8>96?u+6379f>"1:=0i7psr}:k20g<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:8o5Y15096~"1:<0i7)8=4;`8yx{z3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c3;a?6=93:1:18'6de=9l;07pl>9183>6<729q/>lm5749K6d6j6=4+2`a951?<^;kn64381!05=3;=7)8=4;35?x{zu2e:i<4?:%0bg?7b92\9mh4>{M0a4?7|^m=1>v*>e982a4=Q9=81>v*9248a?!05<3h0qpsr;|`2=4<72:0;6=u+2`a930=O:h:0e<:n:18'6de=9=30Z?oj:0yO6g6=9r\o;742f3_;?>7g=#>;>1n6sr}|9j51d=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9l4V061>7}#>;?1n6*9258a?x{zu2e:i<4?:%0bg?7b92\9mh4>{M0a4?7|^m=1>v*>e982a4=Q9=81>v*9248a?!05<3h0qpsr;|`2=7<72:0;6=u+2`a930=O:h:0e<:n:18'6de=9=30Z?oj:0yO6g6=9r\o;742f3_;?>7g=#>;>1n6sr}|9j51d=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9l4V061>7}#>;?1n6*9258a?x{zu2e:i<4?:%0bg?7b92\9mh4>{M0a4?7|^m=1>v*>e982a4=Q9=81>v*9248a?!05<3h0qpsr;|`2=6<72=0;6=u+2`a930=O:h:0e<:n:18'6de=9=30Z?oj:0yO6g6=9r\o;742f3_;?>740<,?8?6<84}|~?l73j3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;b:T207<5s-<997?9;%410?713twvq6g>5783>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=884V061>7}#>;?1==5+636955=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f4?3290?6=4?{%0bg?123A8j<6g>4`83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<62.=>94>6:~yx=n9=h1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;742e3_;?>740<,?8?6<84}|~?l72>3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?:6:T207<5s-<997?9;%410?713twvq6a>e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&560l>4i06b>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997?9;%410?713twvq6g>4c83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<62.=>94>6:~yx=n9<<1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651448R4252;q/:?;5119'272=991vqps4o0g2>5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zj83=6=4;:183!4fk3=>7E{zut1b=9l50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 34228<0(;<;:048yx{z3`;>:7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;362>P6<;09w)8=5;35?!05<3;=7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th:4k4?:983>5}#:hi1=h>4H3c3?l73i3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997l4$707>g=zutw0e<:m:18'6de=9=30Z?oj:0yO6g6=9r\o;742e3_;?>7g=#>;>1n6sr}|9j500=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=884V061>7}#>;?1n6*9258a?x{zu2c::<4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98224=Q9=81>v*9248a?!05<3h0qpsr;h350?6=,;kh6<:6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{6=4+2`a951?<^;kn6=9?<0Z<:=:3y'273=j2.=>94m;|~y>i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?db483>7<729q/>lm5bd9K6d626=4+2`a951?<3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c3`6?6=;3:142f3_;?>7g=#>;>1n6sr}|9j51d=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9l4V061>7}#>;?1=95+636951=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f4e6290=6=4?{%0bg?73?2B9m=5+17c95<=n9=31<7*=ab820<=Q:ho1=vB=b182S7c838p(!4fk3n;7[52z&2a=7}#9l21h<5Y15096~"1:<0o7)8=4;f8yx{K:hl18vX=9e80Sb22;q/:?=5d09'7`?=>;?0q[j9:3y'275=9=30(>k6:706?x"1j10:?6sY28f97~Pc=38p(;<<:e38 6c>2?8>7pXk6;0x 34428>27)=j9;410>{#>k21=>5rV3;g>6}Ql<09w)8=3;f2?!5b13<996sYd781!05;3n;7)=j9;411>{#>k21o6sY1d596~Pc=38p(;<<:e38 6c>2?8>7p*9b982<>{zu2c95n4?:%0bg?4>k2\9mh4={M0a4?7|^8n;6?u+1d:9696?u+637952=#>;>1=:5r}|N1ec<6s_8j9784>7:&561<6?2wvqA5<#:hi1=h?4;|`2f3<72:0;6=u+2`a96d?<@;k;7d?;a;29 7gd28>27E5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;`8 3432k1vqps4o0g2>5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zj8h<6=4>:183!4fk38i?6F=a19l5`7=83.9mn4>e098yg7e13:1?7>50z&1ef<0=2B9m=5f15c94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9=k0Z<:=:3y'273=9?1/:?:5179~yx{i6=4+2`a951?<^;kn6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{53;294~"5ij0<96F=a19j51g=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1n6*9258a?x{zu2c:8o4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9820g=Q9=81>v*9248a?!05<3h0qpsr;n3f5?6=,;kh6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{53;294~"5ij0<96F=a19j51g=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1n6*9258a?x{zu2c:8o4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9820g=Q9=81>v*9248a?!05<3h0qpsr;n3f5?6=,;kh6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{54;294~"5ij0<96F=a19j51g=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1=;5+636953=zutw0e<:m:18'6de=9=30Z?oj:0yO6g6=9r\o;742e3_;?>740<,?8?6<84}|~?l72>3:1(?ol:06:?M4fj2\9mh4>{M0a4?7|^m=1>v*>e98213=Q9=81>v*924824>"1:=0:<6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi=oj50;694?6|,;kh6:;4H3c3?l73i3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997?9;%410?713twvq6g>4c83>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=9l4V061>7}#>;?1=;5+636953=zutw0e<;9:18'6de=9=30Z?oj:0yO6g6=9r\o;74313_;?>740<,?8?6<84}|~?j7b93:1(?ol:0g2?S4fm3;p@?l?:0yU`2<5s-;n47?j1:T207<5s-<997l4$707>g=zutw0qo?me;290?6=8r.9mn485:J1e5=n9=k1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;742f3_;?>740<,?8?6<84}|~?l73j3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;b:T207<5s-<997?9;%410?713twvq6g>5783>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6=?1]=9<52z&560<682.=>94>0:~yx=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&5615$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37e>P6<;09w)8=5;35?!05<3;=7psr}:k20g<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5179'272=9?1vqps4i075>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28?=7[?;2;0x 34228<0(;<;:048yx{z3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c3a42f3_;?>7g=#>;>1n6sr}|9j51d=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9l4V061>7}#>;?1n6*9258a?x{zu2c:9;4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98213=Q9=81>v*9248a?!05<3h0qpsr;h355?6=,;kh6<:6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{=9??0Z<:=:3y'273=j2.=>94m;|~y>o6>?0;6)P5il0:wA679U514=:r.=>84m;%410?d7>50z&1ef5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zj:h96=4=:183!4fk3hn7Ee09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi?o650;494?6|,;kh6<:8;I0b4>"6>h0:56g>4883>!4fk3;?56X=ad82I4e83;pZ=9=30Z<:=:3y'273=j2.=>94m;|~y>oc83:1(?ol:e28R7gb28qG>o>51zT2`5<5s-;n47j?;W376?4|,?8>6o5+6369f>{zut1bh<4?:%0bg?b63_8ji74}Q9m:1>v*>e98g5>P6<;09w)8=5;f8 3432m1vqpB=ag87S4>l39pZi;52z&566>4>489'7`?=>;?0q)8m8;30?xP51m08w[j::3y'275=l81/?h756378ySb12;q/:?=515;8 6c>2?8?7p*9b9827>{Q:0n1?vXk5;0x 3442m;0(>k6:706?xPc>38p(;<<:e28 6c>2?8>7p*9b98`?xP6m>09w[j::3y'275=l81/?h756378y!0e03;37psr;h0:g?6=,;kh6?7l;W0ba?4|D;h;6{zuE8jj7?tV3c6>7}Ql<09w)8=3;0:g>"4m00=>85r$7`;>41l;52zTg1?4|,?886?6k;%1f=?05=2w/:o65169~yx=h9l;1<7*=ab82a4=53;294~"5ij09m45G2`28m42f290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;37e>P6<;09w)8=5;`8 3432k1vqps4i06a>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 3422k1/:?:5b:~yx=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&561oi:180>5<7s-8jo79:;I0b4>o6P5il0:wA4`9U514=:r.=>84m;%410?d27[lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn>l?:180>5<7s-8jo79:;I0b4>o6P5il0:wA4`9U514=:r.=>84>6:&561<6>2wvqp5f15`94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9=h0Z<:=:3y'273=9?1/:?:5179~yx{5<4290;w)=9=k0Z<:=:3y'273=9?1/:?:5179~yx{i6=4+2`a951?<@;ki7[i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d1<729q/>lm51d28L7g73`;?m7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37e>P6<;09w)8=5;`8 3432k1vqps4i06a>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 3422k1/:?:5b:~yx=n9<<1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651448R4252;q/:?;5b:&561e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&560?0D?o?;h37e?6=,;kh6<:6;I0bf>P5il0:wA4`9U514=:r.=>84>1:&561<692wvqp5f15`94?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<:m;W376?4|,?8>64727[2\:8?4={%411?763-<987?>;|~y>o6>80;6)P5il0:wA609U514=:r.=>84>1:&561<692wvqp5f17694?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9?>0Z<:=:3y'273=981/:?:5109~yx{6=4+2`a951?<^;kn64381!05=3;:7)8=4;32?x{zu2c:::4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98222=Q9=81>v*924825>"1:=0:=6sr}|9j53>=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;64V061>7}#>;?1=<5+636954=zutw0e<86:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;:7)8=4;32?x{zu2c:8n4?:%0bg?7312B9mo5Y2`g95~J5j90:w[j8:3y'5`>=9=i0Z<:=:3y'273=9>1/:?:5169~yx{o6=4+2`a951?<^;kn64381!05=3;<7)8=4;34?x{zu2c:8k4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9820c=Q9=81>v*924823>"1:=0:;6sr}|9j506=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=8>4V061>7}#>;?1n6*9258a?x{zu2c:9<4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98214=Q9=81>v*9248a?!05<3h0qpsr;n3f5?6=,;kh6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{51083>5}#:hi1;85G2`28m42f290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;37e>P6<;09w)8=5;32?!05<3;:7psr}:k20g<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5109'272=981vqps4i075>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28?=7[?;2;0x 34228;0(;<;:038yx{z3`;==7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;355>P6<;09w)8=5;32?!05<3;:7psr}:k221<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10::95Y15096~"1:<0:=6*925825>{zut1b=;;50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9533<^8>96?u+637954=#>;>1=<5r}|8m401290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<89;W376?4|,?8>64727[;|~y>o6>10;6)P5il0:wA699U514=:r.=>84>1:&561<692wvqp5f17;94?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<86;W376?4|,?8>64727E{zut1b=9j50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951b<^8>96?u+637952=#>;>1=:5r}|8m42b290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<:j;W376?4|,?8>6<94$707>4127[o6=90;6)P5il0:wA519U514=:r.=>84m;%410?d27[lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn>l::1825?6=8r.9mn485:J1e5=n9=k1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;742f3_;?>747<,?8?6{M0a4?7|^m=1>v*>e9820g=Q9=81>v*924825>"1:=0:=6sr}|9j500=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=884V061>7}#>;?1=<5+636954=zutw0e<8>:18'6de=9=30Z?oj:0yO6g6=9r\o;74063_;?>747<,?8?6;%410?763twvq6g>6483>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6><1]=9<52z&560<692.=>94>1:~yx=n9?<1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651748R4252;q/:?;5109'272=981vqps4i044>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28<<7[?;2;0x 34228;0(;<;:038yx{z3`;=47>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;35<>P6<;09w)8=5;32?!05<3;:7psr}:k22<<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h6517;8R4252;q/:?;5109'272=981vqps4i06`>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?;c:T207<5s-<997?8;%410?703twvq6g>4e83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<694>7:~yx=n9=o1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515g8R4252;q/:?;5169'272=9>1vqps4i06e>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>m7[?;2;0x 34228=0(;<;:058yx{z3`;><7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;364>P6<;09w)8=5;`8 3432k1vqps4i072>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28?:7[?;2;0x 3422k1/:?:5b:~yx=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&561{M0a4?7|^m=1>v*>e9820d=Q9=81>v*9248a?!05<3h0qpsr;h37f?6=,;kh6<:6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e;k31<7<50;2x 7gd2ko0D?o?;h37=?6=,;kh6<:6;:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th8nk4?:383>5}#:hi1nh5G2`28m42>290/>lm515;8?j7b93:1(?ol:0g2?S4fm3;p@?l?:0yU`2<5s-;n47?j1:T207<5s-<997l4$707>g=zutw0qo=l7;297?6=8r.9mn4=a89K6d6j6=4+2`a951?<^;kn6=9=h0Z<:=:3y'273=9=1/:?:5159~yx{5<1290;w)N5i91/=;o5189j51?=83.9mn4>489U6dc=9rF9n=4>{W3g4?4|,8o36<:6;W376?4|,?8>6o5+6369f>{zut1bh=4?:%0bg?b73_8ji7?tL3`3>4}Q9m:1>v*>e98g4>P6<;09w)8=5;`8 3432k1vqps4ie394?"5ij0o=6X=ad81I4e83;pZ=l81]=9<52z&5607}#>;91h<5+3d;92734j53zTg1?4|,?886i?4$2g:>3423t\o:742>3-9n578=4:'2g>=9:1vZ?7k:2yU`0<5s-<9?7j>;%1f=?05=2w]h;4={%417?b73-9n578=5:'2g>=k2w]=h952zTg1?4|,?886i?4$2g:>3423t.=n54>8:~y>o51j0;6)P5il09wA1vqpB=ag82S4f=38pZi;52z&566<51j1/?h756378y!0e03;<7psr;h0;`?6=,;kh6?6k;W0ba?4|D;h;6{zuE8jj7?tV3c6>7}Ql<09w)8=3;0;`>"4m00=>85r$7`;>41:18'6de=9l;07pl6<729q/>lm52`;8L7g73`;?m7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37e>P6<;09w)8=5;`8 3432k1vqps4i06a>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 3422k1/:?:5b:~yx=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&561lk:180>5<7s-8jo79:;I0b4>o6P5il0:wA4`9U514=:r.=>84m;%410?d27[lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn>lj:187>5<7s-8jo79:;I0b4>o6N5ik1]>lk51zN1f5<6s_n<6?u+1d:951g<^8>96?u+637955=#>;>1==5r}|8m42e290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<:m;W376?4|,?8>6<84$707>4027[2\:8?4={%411?713-<987?9;|~y>i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d6<729q/>lm51d28L7g73`;?m7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37e>P6<;09w)8=5;`8 3432k1vqps4i06a>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 3422k1/:?:5b:~yx=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&5615$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37e>P6<;09w)8=5;32?!05<3;:7psr}:k20g<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5109'272=981vqps4i075>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?:6:T207<5s-<997?8;%410?703twvq6a>e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&560l>4i06b>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 34228;0(;<;:038yx{z3`;?n7>5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<694>1:~yx=n9<<1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;74313_;?>741<,?8?6<94}|~?j7b93:1(?ol:0g2?S4fm3;p@?l?:0yU`2<5s-;n47?j1:T207<5s-<997l4$707>g=zutw0qo=l2;290?6=8r.9mn485:J1e5=n9=k1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515c8R4252;q/:?;5109'272=981vqps4i06a>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?;b:T207<5s-<997?>;%410?763twvq6g>5783>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=884V061>7}#>;?1=:5+636952=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f6e4290?6=4?{%0bg?123A8j<6g>4`83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<694>1:~yx=n9=h1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;742e3_;?>747<,?8?63:1(?ol:06:?M4fj2\9mh4>{M0a4?7|^m=1>v*>e98213=Q9=81>v*924823>"1:=0:;6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi?n:50;794?6|,;kh6o6P5il0:wA4`9U514=:r.=>84m;%410?d27[lm515;8R7gb28qG>o>51zTg3?4|,8o36<;9;W376?4|,?8>6o5+6369f>{zut1b=;?50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9537<^8>96?u+6379f>"1:=0i7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th???4?:383>5}#:hi1nh5G2`28m42>290/>lm515;8?j7b93:1(?ol:0g2?S4fm3;p@?l?:0yU`2<5s-;n47?j1:T207<5s-<997l4$707>g=zutw0qo:<7;292?6=8r.9mn4>469K6d6<,85<#:hi1=974V3cf>4}K:k:1=vX>d181!7b03;?56X>4381!05=3h0(;<;:c9~yx{P5il0:wA84m;%410?d:18'6de=l81]>lk52zN1f5<6s_;o<7a7<^8>96?u+6379`>"1:=0o7psrL3ce>1}Q:0n1?vXk5;0x 3442m;0(>k6:706?xPc>38p(;<<:06:?!5b13<996s+6c:956=z^;3o6>uYd481!05;3n:7)=j9;411>{Ql?09w)8=3;37=>"4m00=>95r$7`;>45v*9228g4>"4m00=>85r$7`;>f=z^8o<6?uYd481!05;3n:7)=j9;411>{#>k21=55r}|9j6{W3g4?4|,8o36?7l;W376?4|,?8>6<94$707>41lh51zT1e0<5s_n>6?u+63196!4fk383h6X=ad81I4e83;pZ=:1n0Z<:=:3y'273=9>1/:?:5169~yxJ5io0:w[>4=8e9'7`?=>;?0q)8m8;34?x{z3f;n=7>5$3c`>4c632wi8>=50;194?6|,;kh6?o6;I0b4>o6P5il0:wA4`9U514=:r.=>84m;%410?d27[lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn9=;:182>5<7s-8jo7897>53;294~"5ij0<96F=a19j51g=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1=;5+636953=zutw0e<:m:18'6de=9=30Z?oj:0yO6g6=9r\o;742e3_;?>740<,?8?6<84}|~?j7b93:1(?ol:0g2?S4fm3;p@?l?:0yU`2<5s-;n47?j1:T207<5s-<997l4$707>g=zutw0qo895;296?6=8r.9mn4me:J1e5=n9=31<7*=ab820<=5<1290;w)N5i91/=;o5189j51?=83.9mn4>489U6dc=9rF9n=4>{W3g4?4|,8o36<:6;W376?4|,?8>6o5+6369f>{zut1bh=4?:%0bg?b73_8ji7?tL3`3>4}Q9m:1>v*>e98g4>P6<;09w)8=5;`8 3432k1vqps4ie394?"5ij0o=6X=ad81I4e83;pZ=l81]=9<52z&5607}#>;91h<5+3d;92734j53zTg1?4|,?886i?4$2g:>3423t\o:742>3-9n578=4:'2g>=9:1vZ?7k:2yU`0<5s-<9?7j>;%1f=?05=2w]h;4={%417?b73-9n578=5:'2g>=k2w]=h952zTg1?4|,?886i?4$2g:>3423t.=n54>8:~y>o51j0;6)P5il09wA1vqpB=ag82S4f=38pZi;52z&566<51j1/?h756378y!0e03;<7psr;h0;`?6=,;kh6?6k;W0ba?4|D;h;6{zuE8jj7?tV3c6>7}Ql<09w)8=3;0;`>"4m00=>85r$7`;>41:18'6de=9l;07pl96783>6<729q/>lm52`;8L7g73`;?m7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37e>P6<;09w)8=5;`8 3432k1vqps4i06a>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 3422k1/:?:5b:~yx=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&5615<7s-8jo79:;I0b4>o6P5il0:wA4`9U514=:r.=>84>6:&561<6>2wvqp5f15`94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9=h0Z<:=:3y'273=9?1/:?:5179~yx{5<5290;w)lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:a23`=8381<7>t$3c`>gc<@;k;7d?;9;29 7gd28>276a>e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&5603`;?57>5$3c`>42>3_8ji7?tL3`3>4}Q9m:1>v*>e9820<=Q9=81>v*9248a?!05<3h0qpsr;hf3>5<#:hi1h=5Y2`g95~J5j90:w[?k0;0x 4c?2m:0Z<:=:3y'273=j2.=>94m;|~y>oc93:1(?ol:e38R7gb2;qG>o>51zT2`5<5s-;n47j>;W376?4|,?8>6i5+6369`>{zuE8jj7:tV3;g>6}Ql<09w)8=3;f2?!5b13<996sYd781!05;3;?56*v*9228g5>"4m00=>85rVe496~"1::0:845+3d;92726?u+6319`4=#;l31:?;4}Wf5>7}#>;91h=5+3d;9273v*9228g5>"4m00=>85r$7`;>4>k2\:8?4={%411?703-<987?8;|~H7ga28q]>l;52zTg1?4|,?886?7l;%1f=?05=2w/:o65169~yx=n:1n1<7*=ab81vB=b182S7c838p(k6:706?x"1j10:;6sr}:m2a4<72-8jo7?j1:9~f30d29086=4?{%0bg?4f12B9m=5f15c94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9=k0Z<:=:3y'273=j2.=>94m;|~y>o6P5il0:wA4c9U514=:r.=>84m;%410?d50z&1ef<5j:1C>l>4o0g2>5<#:hi1=h?4;|`52`<72:0;6=u+2`a930=O:h:0e<:n:18'6de=9=30Z?oj:0yO6g6=9r\o;742f3_;?>740<,?8?6<84}|~?l73j3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;b:T207<5s-<997?9;%410?713twvq6a>e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&560l>4i06b>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 34228;0(;<;:038yx{z3`;?n7>5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<694>1:~yx=n9<<1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651448R4252;q/:?;5169'272=9>1vqps4i042>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?91:T207<5s-<997?8;%410?703twvq6g>6583>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6>=1]=9<52z&560<6?2.=>94>7:~yx=n9??1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;74023_;?>741<,?8?6<94}|~?j7b93:1(?ol:0g2?S4fm3;p@?l?:0yU`2<5s-;n47?j1:T207<5s-<997l4$707>g=zutw0qo881;293?6=8r.9mn485:J1e5=n9=k1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515c8R4252;q/:?;5109'272=981vqps4i06a>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 34228=0(;<;:058yx{z3`;>:7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;362>P6<;09w)8=5;34?!05<3;<7psr}:k224<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h651738R4252;q/:?;5169'272=9>1vqps4i047>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?285$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<6><1]=9<52z&560<6?2.=>94>7:~yx=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&5615$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37e>P6<;09w)8=5;32?!05<3;:7psr}:k20g<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5109'272=981vqps4i075>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28?=7[?;2;0x 34228=0(;<;:058yx{z3`;==7>5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<6>81]=9<52z&560<6?2.=>94>7:~yx=n9?>1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651768R4252;q/:?;5169'272=9>1vqps4i046>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?95:T207<5s-<997?8;%410?703twvq6a>e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&560l>4i06b>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 34228;0(;<;:038yx{z3`;?n7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;34?!05<3;<7psr}:k213<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:9;5Y15096~"1:<0:;6*925823>{zut1b=;?50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28<:7[?;2;0x 34228=0(;<;:058yx{z3`;=87>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;350>P6<;09w)8=5;34?!05<3;<7psr}:k220<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h651778R4252;q/:?;5169'272=9>1vqps4o0g2>5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zj?=?6=4::183!4fk3;n<6F=a19j51g=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1n6*9258a?x{zu2c:8o4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9820g=Q9=81>v*9248a?!05<3h0qpsr;h362?6=,;kh6<:6;W0ba?7|D;h;6:6X>4381!05=3h0(;<;:c9~yx{=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e>:0;6?4?:1y'6de=jl1C>l>4i06:>5<#:hi1=974;n3f5?6=,;kh6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{lk51zN1f5<6s_;o<742>3_;?>7g=#>;>1n6sr}|9j`5<72-8jo7j?;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{P5il09wA84k;%410?blh54zT1=a<4s_n>6?u+6319`4=#;l31:?;4}Wf5>7}#>;91=974$2g:>3423t.=n54>3:U6a7<,:o26;<:;|Tg2?4|,?886<:6;%1f=?05<2w/:o65129~R7?c2:q]h84={%417?b63-9n578=5:U`3<5s-<9?7j?;%1f=?05=2w/:o65c:U5`1=:r\o97a7<,:o26;<:;|&5f=<602wvq6g=9b83>!4fk382o6X=ad81I4e83;pZ=:0i0Z<:=:3y'273=9>1/:?:5169~yxJ5io0:w[>4=9b9'7`?=>;?0q)8m8;34?x{z3`83h7>5$3c`>7>c3_8ji74}Q9m:1>v*>e981v*924823>"1:=0:;6sr}M0bb?7|^;k>6?uYd481!05;383h6*lm51d38?xd1<3:1?7>50z&1ef<5i01C>l>4i06b>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997l4$707>g=zutw0e<:m:18'6de=9=30Z?oj:0yO6g6=9r\o;742e3_;?>7g=#>;>1n6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi:84?:083>5}#:hi1>o=4H3c3?j7b93:1(?ol:0g2?>{e>>0;6:4?:1y'6de=?<1C>l>4i06b>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 34228<0(;<;:048yx{z3`;?n7>5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<62.=>94>6:~yx=n9<<1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;74313_;?>740<,?8?6<84}|~?l7193:1(?ol:06:?M4fj2\9mh4>{M0a4?7|^m=1>v*>e98224=Q9=81>v*924822>"1:=0::6sr}|9j532=83.9mn4>489K6dd<^;kn64381!05=3;=7)8=4;35?x{zu2e:i<4?:%0bg?7b92\9mh4>{M0a4?7|^m=1>v*>e982a4=Q9=81>v*9248a?!05<3h0qpsr;|`5N5i91b=9o50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 34228<0(;<;:048yx{z3`;?n7>5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<62.=>94>6:~yx=n9<<1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;74313_;?>740<,?8?6<84}|~?l7193:1(?ol:06:?M4fj2\9mh4>{M0a4?7|^m=1>v*>e98224=Q9=81>v*924822>"1:=0::6sr}|9j532=83.9mn4>489K6dd<^;kn64381!05=3;=7)8=4;35?x{zu2e:i<4?:%0bg?7b92\9mh4>{M0a4?7|^m=1>v*>e982a4=Q9=81>v*9248a?!05<3h0qpsr;|`5=?6=?3:1N5i91b=9o50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 34228<0(;<;:048yx{z3`;?n7>5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<62.=>94>6:~yx=n9<<1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;74313_;?>740<,?8?6<84}|~?l7193:1(?ol:06:?M4fj2\9mh4>{M0a4?7|^m=1>v*>e98224=Q9=81>v*924822>"1:=0::6sr}|9j532=83.9mn4>489K6dd<^;kn64381!05=3;=7)8=4;35?x{zu2e:i<4?:%0bg?7b92\9mh4>{M0a4?7|^m=1>v*>e982a4=Q9=81>v*9248a?!05<3h0qpsr;|`5e?6=?3:1N5i91b=9o50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 34228<0(;<;:048yx{z3`;?n7>5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<62.=>94>6:~yx=n9<<1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;74313_;?>740<,?8?6<84}|~?l7193:1(?ol:06:?M4fj2\9mh4>{M0a4?7|^m=1>v*>e98224=Q9=81>v*924822>"1:=0::6sr}|9j532=83.9mn4>489K6dd<^;kn6P5il0:wA649U514=:r.=>84>6:&561<6>2wvqp5`1d394?"5ij0:i<5Y2`g95~J5j90:w[j8:3y'5`>=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e>k0;654?:1y'6de=?<1C>l>4i06b>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997?9;%410?713twvq6g>4c83>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=9l4V061>7}#>;?1=;5+636953=zutw0e<;9:18'6de=9=30D?om;W0ba?7|D;h;6:6X>4381!05=3;=7)8=4;35?x{zu2c::<4?:%0bg?7312B9mo5Y2`g95~J5j90:w[j8:3y'5`>=9?;0Z<:=:3y'273=9?1/:?:5179~yx{P5il0:wA649U514=:r.=>84>6:&561<6>2wvqp5f17494?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<89;W376?4|,?8>6<84$707>40=9=k0Z<:=:3y'273=j2.=>94m;|~y>o6P5il0:wA4c9U514=:r.=>84m;%410?d27[2\:8?4={%411?d<,?8?6o5r}|8m406290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<8>;W376?4|,?8>6o5+6369f>{zut1b=;:50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9532<^8>96?u+6379f>"1:=0i7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th:m:4?:383>5}#:hi1nh5G2`28m42>290/>lm515;8?j7b93:1(?ol:0g2?S4fm3;p@?l?:0yU`2<5s-;n47?j1:T207<5s-<997l4$707>g=zutw0qo?nd;296?6=8r.9mn4me:J1e5=n9=31<7*=ab820<=5<1290;w)N5i91/=;o5189j51?=83.9mn4>489U6dc=9rF9n=4>{W3g4?4|,8o36<:6;W376?4|,?8>6o5+6369f>{zut1bh=4?:%0bg?b73_8ji7?tL3`3>4}Q9m:1>v*>e98g4>P6<;09w)8=5;`8 3432k1vqps4ie394?"5ij0o=6X=ad81I4e83;pZ=l81]=9<52z&5607}#>;91h<5+3d;92734j53zTg1?4|,?886i?4$2g:>3423t\o:742>3-9n578=4:'2g>=9:1vZ?7k:2yU`0<5s-<9?7j>;%1f=?05=2w]h;4={%417?b73-9n578=5:'2g>=k2w]=h952zTg1?4|,?886i?4$2g:>3423t.=n54>8:~y>o51j0;6)P5il09wA1vqpB=ag82S4f=38pZi;52z&566<51j1/?h756378y!0e03;<7psr;h0;`?6=,;kh6?6k;W0ba?4|D;h;6{zuE8jj7?tV3c6>7}Ql<09w)8=3;0;`>"4m00=>85r$7`;>41:18'6de=9l;07pl>a983>6<729q/>lm52`;8L7g73`;?m7>5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<6g=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f4g>290:6=4?{%0bg?4e;2B9m=5`1d394?"5ij0:i<54}c3bf?6=;3:1N5i91b=9o50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951g<^8>96?u+6379f>"1:=0i7psr}:k20g<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:8o5Y15096~"1:<0i7)8=4;`8yx{z3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c3bg?6=;3:1N5i91b=9o50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951g<^8>96?u+637953=#>;>1=;5r}|8m42e290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;35?!05<3;=7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th:ml4?:283>5}#:hi1=h>4H3c3?l73i3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997l4$707>g=zutw0e<:m:18'6de=9=30Z?oj:0yO6g6=9r\o;742e3_;?>7g=#>;>1n6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi=lk50;:94?6|,;kh6:;4H3c3?l73i3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997?>;%410?763twvq6g>4c83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<694>1:~yx=n9<<1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;74313_;?>741<,?8?6<94}|~?l7193:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?91:T207<5s-<997?8;%410?703twvq6g>6583>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=;:4V061>7}#>;?1=:5+636952=zutw0e<8::18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;<7)8=4;34?x{zu2c::;4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98223=Q9=81>v*924823>"1:=0:;6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi=lh50;:94?6|,;kh6:;4H3c3?l73i3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997?>;%410?763twvq6g>4c83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<694>1:~yx=n9<<1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;74313_;?>741<,?8?6<94}|~?l7193:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?91:T207<5s-<997?8;%410?703twvq6g>6583>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=;:4V061>7}#>;?1=:5+636952=zutw0e<8::18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;<7)8=4;34?x{zu2c::;4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98223=Q9=81>v*924823>"1:=0:;6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi=o>50;:94?6|,;kh6:;4H3c3?l73i3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997?>;%410?763twvq6g>4c83>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=9l4V061>7}#>;?1=<5+636954=zutw0e<;9:18'6de=9=30D?om;W0ba?7|D;h;6:6X>4381!05=3;<7)8=4;34?x{zu2c::<4?:%0bg?7312B9mo5Y2`g95~J5j90:w[j8:3y'5`>=9?;0Z<:=:3y'273=9>1/:?:5169~yx{o6><0;6)P5il0:wA649U514=:r.=>84>7:&561<6?2wvqp5f17494?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<89;W376?4|,?8>6<94$707>4150z&1ef<0=2B9m=5f15c94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9=k0Z<:=:3y'273=981/:?:5109~yx{i6=4+2`a951?<@;ki7[o6=?0;6)P5il0:wA579U514=:r.=>84>7:&561<6?2wvqp5f17394?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<8>;W376?4|,?8>6<94$707>4127E{zut1b=;;50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9533<^8>96?u+637952=#>;>1=:5r}|8m401290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;352>P6<;09w)8=5;34?!05<3;<7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th:n?4?:483>5}#:hi1=h>4H3c3?l73i3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997l4$707>g=zutw0e<:m:18'6de=9=30Z?oj:0yO6g6=9r\o;742e3_;?>7g=#>;>1n6sr}|9j500=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=884V061>7}#>;?1n6*9258a?x{zu2c::<4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98224=Q9=81>v*9248a?!05<3h0qpsr;n3f5?6=,;kh6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{52;294~"5ij0ii6F=a19j51?=83.9mn4>4898k4c6290/>lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn5<7s-8jo7?;7:J1e5=#9?k1=45f15;94?"5ij0:845Y2`g95~J5j90:w[?k0;0x 4c?28>27[?;2;0x 3422k1/:?:5b:~yx=nl90;6)g=zutw0ei?50;&1efv*9248g?!05<3n0qpsC2`d90~P51m08w[j::3y'275=l81/?h756378ySb12;q/:?=515;8 6c>2?8>7p*9b9827>{Q:0n1?vXk5;0x 3442m;0(>k6:706?xPc>38p(;<<:06:?!5b13<986s+6c:956=z^;3o6>uYd481!05;3n:7)=j9;411>{Ql?09w)8=3;f3?!5b13<996s+6c:9g>{Q9l=1>vXk5;0x 3442m;0(>k6:706?x"1j10:46sr}:k1=f<72-8jo7<6c:T1e`<5sE8i<7?tV0f3>7}#9l21>4m4V061>7}#>;?1=:5+636952=zutF9mk4>{W0b1?4|^m?1>v*92281=f=#;l31:?;4}%4a52z&2a=<50m1]=9<52z&560<6?2.=>94>7:~yI4fn3;pZ?o::3yU`0<5s-<9?7<7d:&0a<<1:<1v(;l7:058yx{5}#:hi1>l74H3c3?l73i3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997l4$707>g=zutw0e<:m:18'6de=9=30Z?oj:0yO6g6=9r\o;742e3_;?>7g=#>;>1n6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi=n;50;394?6|,;kh6?l<;I0b4>i6m80;6)=zj8i<6=4<:183!4fk3=>7E5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;`8 3432k1vqps4o0g2>5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zj8i36=4<:183!4fk3=>7E5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;`8 3432k1vqps4o0g2>5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zj8i26=4;:183!4fk3=>7E5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 34228<0(;<;:048yx{z3`;>:7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;362>P6<;09w)8=5;35?!05<3;=7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th:ol4?:583>5}#:hi1;85G2`28m42f290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<:n;W376?4|,?8>6<84$707>4027E{zut1b=8850;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9500<^8>96?u+637953=#>;>1=;5r}|8k4c6290/>lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn5<7s-8jo79:;I0b4>o6N5ik1]>lk51zN1f5<6s_n<6?u+1d:951g<^8>96?u+637953=#>;>1=;5r}|8m42e290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;35?!05<3;=7psr}:k213<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h651448R4252;q/:?;5179'272=9?1vqps4i042>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?91:T207<5s-<997?9;%410?713twvq6a>e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&560l>4i06b>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 34228:0(;<;:028yx{z3`;?n7>5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<694>0:~yx=n9<<1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;74313_;?>746<,?8?6<>4}|~?l7193:1(?ol:06:?M4fj2\9mh4>{M0a4?7|^m=1>v*>e98224=Q9=81>v*924822>"1:=0::6sr}|9j532=83.9mn4>489K6dd<^;kn6P5il0:wA649U514=:r.=>84>6:&561<6>2wvqp5f17494?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9?<0Z<:=:3y'273=9?1/:?:5179~yx{5{M0a4?7|^m=1>v*>e9820d=Q9=81>v*924824>"1:=0:<6sr}|9j51d=83.9mn4>489K6dd<^;kn6P5il0:wA579U514=:r.=>84>6:&561<6>2wvqp5f17394?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9?;0Z<:=:3y'273=9?1/:?:5179~yx{o6><0;6)N5ik1]>lk51zN1f5<6s_n<6?u+1d:9533<^8>96?u+637953=#>;>1=;5r}|8m401290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<89;W376?4|,?8>6<84$707>403:147>50z&1ef<6m91C>l>4i06b>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 3422k1/:?:5b:~yx=n9=h1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5b:&5615783>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6=?1]=9<52z&560g=zutw0e<8;:18'6de=9=30Z?oj:0yO6g6=9r\o;74033_;?>7g=#>;>1n6sr}|9j533=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;;4V061>7}#>;?1n6*9258a?x{zu2c::;4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98223=Q9=81>v*9248a?!05<3h0qpsr;n3f5?6=,;kh6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{52;294~"5ij0ii6F=a19j51?=83.9mn4>4898k4c6290/>lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn5<7s-8jo7?;7:J1e5=#9?k1=45f15;94?"5ij0:845Y2`g95~J5j90:w[?k0;0x 4c?28>27[?;2;0x 3422k1/:?:5b:~yx=nl90;6)g=zutw0ei?50;&1efv*9248g?!05<3n0qpsC2`d90~P51m08w[j::3y'275=l81/?h756378ySb12;q/:?=515;8 6c>2?8>7p*9b9827>{Q:0n1?vXk5;0x 3442m;0(>k6:706?xPc>38p(;<<:06:?!5b13<986s+6c:956=z^;3o6>uYd481!05;3n:7)=j9;411>{Ql?09w)8=3;f3?!5b13<996s+6c:9g>{Q9l=1>vXk5;0x 3442m;0(>k6:706?x"1j10:46sr}:k1=f<72-8jo7<6c:T1e`<5sE8i<7?tV0f3>7}#9l21>4m4V061>7}#>;?1=:5+636952=zutF9mk4>{W0b1?4|^m?1>v*92281=f=#;l31:?;4}%4a52z&2a=<50m1]=9<52z&560<6?2.=>94>7:~yI4fn3;pZ?o::3yU`0<5s-<9?7<7d:&0a<<1:<1v(;l7:058yx{5}#:hi1>l74H3c3?l73i3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997l4$707>g=zutw0e<:m:18'6de=9=30Z?oj:0yO6g6=9r\o;742e3_;?>7g=#>;>1n6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi=hl50;394?6|,;kh6?l<;I0b4>i6m80;6)=zj8oo6=4<:183!4fk3=>7E5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;`8 3432k1vqps4o0g2>5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zj8on6=4<:183!4fk3=>7E5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;`8 3432k1vqps4o0g2>5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zj8om6=4<:183!4fk3=>7E{zut1b=9l50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 34228<0(;<;:048yx{z3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c3e4?6=;3:1N5i91b=9o50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 34228:0(;<;:028yx{z3`;?n7>5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<62.=>94>6:~yx=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&5615$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<62.=>94>6:~yx=n9=h1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;742e3_;?>740<,?8?6<84}|~?j7b93:1(?ol:0g2?S4fm3;p@?l?:0yU`2<5s-;n47?j1:T207<5s-<997l4$707>g=zutw0qo?i2;297?6=8r.9mn485:J1e5=n9=k1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515c8R4252;q/:?;5179'272=9?1vqps4i06a>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?;b:T207<5s-<997?9;%410?713twvq6a>e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&560l>4i06b>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 34228:0(;<;:028yx{z3`;?n7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;33?!05<3;;7psr}:k213<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h651448R4252;q/:?;5179'272=9?1vqps4o0g2>5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zj8oh6=47:183!4fk3;n<6F=a19j51g=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1n6*9258a?x{zu2c:8o4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9820g=Q9=81>v*9248a?!05<3h0qpsr;h362?6=,;kh6<:6;W0ba?7|D;h;6:6X>4381!05=3h0(;<;:c9~yx{=9?>0Z<:=:3y'273=j2.=>94m;|~y>o6><0;6)P5il0:wA649U514=:r.=>84m;%410?d27[2\:8?4={%411?d<,?8?6o5r}|8k4c6290/>lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn?l;:181>5<7s-8jo7lj;I0b4>o6<00;6)=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&5619:k20<<72-8jo7?;9:T1e`<6sE8i<7?tV0f3>7}#9l21=974V061>7}#>;?1n6*9258a?x{zu2co<7>5$3c`>a6<^;kn6!4fk3n:7[52z&2a=v*922820<=#;l31:?;4}%4a7}#>;91h<5+3d;92734j53zTg1?4|,?886i?4$2g:>3423t\o:7a6<,:o26;<:;|&5f=7}#>;91h<5+3d;9273k3:1(?ol:3;`?S4fm38p@?l?:0yU5a6=:r.:i54=9b9U514=:r.=>84>7:&561<6?2wvqAk2.8i449249~ 3d?28=0qps4i3:g>5<#:hi1>5j4V3cf>7}K:k:1=vX>d181!7b0383h6X>4381!05=3;<7)8=4;34?x{zD;km6j6=4+2`a951?<^;kn6=9=h0Z<:=:3y'273=j2.=>94m;|~y>i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d4<729q/>lm52c18L7g73f;n=7>5$3c`>4c632wi>o950;194?6|,;kh6:;4H3c3?l73i3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997??;%410?773twvq6g>4c83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<694>0:~yx=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&5615$3c`>42>32e:i<4?:%0bg?7b92\9mh4>{M0a4?7|^m=1>v*>e982a4=Q9=81>v*9248a?!05<3h0qpsr;|`0gc<72;0;6=u+2`a9f`=O:h:0e<:6:18'6de=9=307b?j1;29 7gd28o:7[50z&1ef<5i01C>l>4i06b>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 3422k1/:?:5b:~yx=n9=h1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5159'272=9=1vqps4o0g2>5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zj:n96=49:183!4fk3;?;6F=a19'53g=901b=9750;&1ef<6<01]>lk51zN1f5<6s_;o<742>3_;?>7g=#>;>1n6sr}|9j`5<72-8jo7j?;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{P5il09wA84k;%410?blh54zT1=a<4s_n>6?u+6319`4=#;l31:?;4}Wf5>7}#>;91=974$2g:>3423t.=n54>3:U6a7<,:o26;<:;|Tg2?4|,?886<:6;%1f=?05<2w/:o65129~R7?c2:q]h84={%417?b63-9n578=5:U`3<5s-<9?7j?;%1f=?05=2w/:o65c:U5`1=:r\o97a7<,:o26;<:;|&5f=<602wvq6g=9b83>!4fk382o6X=ad81I4e83;pZ=:0i0Z<:=:3y'273=9>1/:?:5169~yxJ5io0:w[>4=9b9'7`?=>;?0q)8m8;34?x{z3`83h7>5$3c`>7>c3_8ji74}Q9m:1>v*>e981v*924823>"1:=0:;6sr}M0bb?7|^;k>6?uYd481!05;383h6*lm51d38?xd4k00;6>4?:1y'6de=:h30D?o?;h37e?6=,;kh6<:6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{i6=4+2`a951?<^;kn6=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e;jk1<7?50;2x 7gd2;h87E4`83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<694>0:~yx=n9=h1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;742e3_;?>740<,?8?6<84}|~?l72>3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?:6:T207<5s-<997?9;%410?713twvq6a>e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&560l>4i06b>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997??;%410?773twvq6g>4c83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<62.=>94>6:~yx=n9<<1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651448R4252;q/:?;5179'272=9?1vqps4i042>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28<:7[?;2;0x 34228<0(;<;:048yx{z3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c1`a?6==3:1N5i91b=9o50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951g<^8>96?u+637953=#>;>1=;5r}|8m42e290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<:m;W376?4|,?8>6<84$707>4027[2\:8?4={%411?713-<987?9;|~y>o6>80;6)P5il0:wA609U514=:r.=>84>6:&561<6>2wvqp5`1d394?"5ij0:i<5Y2`g95~J5j90:w[j8:3y'5`>=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e;jh1<7:50;2x 7gd28o;7E5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;`8 3432k1vqps4i075>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28?=7[?;2;0x 3422k1/:?:5b:~yx=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&5615$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37e>P6<;09w)8=5;32?!05<3;:7psr}:k20g<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5169'272=9>1vqps4o0g2>5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zj:n?6=4=:183!4fk3hn7Ee09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi?i750;494?6|,;kh6<:8;I0b4>"6>h0:56g>4883>!4fk3;?56X=ad82I4e83;pZ=9=30Z<:=:3y'273=j2.=>94m;|~y>oc83:1(?ol:e28R7gb28qG>o>51zT2`5<5s-;n47j?;W376?4|,?8>6o5+6369f>{zut1bh<4?:%0bg?b63_8ji74}Q9m:1>v*>e98g5>P6<;09w)8=5;f8 3432m1vqpB=ag87S4>l39pZi;52z&566>4>489'7`?=>;?0q)8m8;30?xP51m08w[j::3y'275=l81/?h756378ySb12;q/:?=515;8 6c>2?8?7p*9b9827>{Q:0n1?vXk5;0x 3442m;0(>k6:706?xPc>38p(;<<:e28 6c>2?8>7p*9b98`?xP6m>09w[j::3y'275=l81/?h756378y!0e03;37psr;h0:g?6=,;kh6?7l;W0ba?4|D;h;6{zuE8jj7?tV3c6>7}Ql<09w)8=3;0:g>"4m00=>85r$7`;>41l;52zTg1?4|,?886?6k;%1f=?05=2w/:o65169~yx=h9l;1<7*=ab82a4=53;294~"5ij09m45G2`28m42f290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<:n;W376?4|,?8>6o5+6369f>{zut1b=9l50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951d<^8>96?u+6379f>"1:=0i7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th8h;4?:083>5}#:hi1>o=4H3c3?j7b93:1(?ol:0g2?>{e;m=1<7=50;2x 7gd2>?0D?o?;h37e?6=,;kh6<:6;W0ba?7|D;h;64381!05=3;;7)8=4;33?x{zu2c:8o4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9820g=Q9=81>v*924824>"1:=0:<6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi?k850;094?6|,;kh6ok4H3c3?l7313:1(?ol:06:?>i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d7<729q/>lm5bd9K6d626=4+2`a951?<3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c636?6=;3:142f3_;?>7g=#>;>1n6sr}|9j51d=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9l4V061>7}#>;?1=95+636951=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f166290=6=4?{%0bg?73?2B9m=5+17c95<=n9=31<7*=ab820<=Q:ho1=vB=b182S7c838p(!4fk3n;7[52z&2a=7}#9l21h<5Y15096~"1:<0o7)8=4;f8yx{K:hl18vX=9e80Sb22;q/:?=5d09'7`?=>;?0q[j9:3y'275=9=30(>k6:706?x"1j10:?6sY28f97~Pc=38p(;<<:e38 6c>2?8>7pXk6;0x 34428>27)=j9;410>{#>k21=>5rV3;g>6}Ql<09w)8=3;f2?!5b13<996sYd781!05;3n;7)=j9;411>{#>k21o6sY1d596~Pc=38p(;<<:e38 6c>2?8>7p*9b982<>{zu2c95n4?:%0bg?4>k2\9mh4={M0a4?7|^8n;6?u+1d:9696?u+637952=#>;>1=:5r}|N1ec<6s_8j9784>7:&561<6?2wvqA5<#:hi1=h?4;|`0b2<72:0;6=u+2`a96d?<@;k;7d?;a;29 7gd28>27[lm515;8R7gb28qG>o>51zTg3?4|,8o36<:m;W376?4|,?8>6o5+6369f>{zut1d=h?50;&1ef<6m81]>lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:a7c>=83;1<7>t$3c`>7d43A8j<6a>e083>!4fk3;n=65rb2db>5<2290;w)=9=k0Z<:=:3y'273=9?1/:?:5179~yx{i6=4+2`a951?<^;kn6:6X>4381!05=3;=7)8=4;35?x{zu2c::<4?:%0bg?7312B9mo5Y2`g95~J5j90:w[j8:3y'5`>=9?;0Z<:=:3y'273=9?1/:?:5179~yx{5<0290;w)=9=k0Z<:=:3y'273=9?1/:?:5179~yx{i6=4+2`a951?<^;kn6P5il0:wA579U514=:r.=>84>6:&561<6>2wvqp5f17394?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<8>;W376?4|,?8>6<84$707>4027E{zut1b=;;50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9533<^8>96?u+637953=#>;>1=;5r}|8k4c6290/>lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn>hl:184>5<7s-8jo79:;I0b4>o6N5ik1]>lk51zN1f5<6s_n<6?u+1d:951g<^8>96?u+637953=#>;>1=;5r}|8m42e290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;35?!05<3;=7psr}:k213<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h651448R4252;q/:?;5179'272=9?1vqps4i042>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?91:T207<5s-<997?9;%410?713twvq6g>6583>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=;:4V061>7}#>;?1=;5+636953=zutw0e<8::18'6de=9=30Z?oj:0yO6g6=9r\o;74023_;?>740<,?8?6<84}|~?j7b93:1(?ol:0g2?S4fm3;p@?l?:0yU`2<5s-;n47?j1:T207<5s-<997l4$707>g=zutw0qo=id;293?6=8r.9mn485:J1e5=n9=k1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;742f3_;?>740<,?8?6<84}|~?l73j3:1(?ol:06:?M4fj2\9mh4>{M0a4?7|^m=1>v*>e9820g=Q9=81>v*924822>"1:=0::6sr}|9j500=83.9mn4>489K6dd<^;kn6P5il0:wA609U514=:r.=>84>6:&561<6>2wvqp5f17694?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<8;;W376?4|,?8>6<84$707>4027[i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d0<729q/>lm51d28L7g73`;?m7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37e>P6<;09w)8=5;`8 3432k1vqps4i06a>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 3422k1/:?:5b:~yx=n9<<1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651448R4252;q/:?;5b:&5616083>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6>81]=9<52z&560g=zutw0qo=if;291?6=8r.9mn485:J1e5=n9=k1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;742f3_;?>741<,?8?6<94}|~?l73j3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;b:T207<5s-<997?8;%410?703twvq6g>5783>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6=?1]=9<52z&560<6?2.=>94>7:~yx=n9?;1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651738R4252;q/:?;5169'272=9>1vqps4o0g2>5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zj=8h6=4=:183!4fk3hn7Ee09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi8>?50;494?6|,;kh6<:8;I0b4>"6>h0:56g>4883>!4fk3;?56X=ad82I4e83;pZ=9=30Z<:=:3y'273=j2.=>94m;|~y>oc83:1(?ol:e28R7gb28qG>o>51zT2`5<5s-;n47j?;W376?4|,?8>6o5+6369f>{zut1bh<4?:%0bg?b63_8ji74}Q9m:1>v*>e98g5>P6<;09w)8=5;f8 3432m1vqpB=ag87S4>l39pZi;52z&566>4>489'7`?=>;?0q)8m8;30?xP51m08w[j::3y'275=l81/?h756378ySb12;q/:?=515;8 6c>2?8?7p*9b9827>{Q:0n1?vXk5;0x 3442m;0(>k6:706?xPc>38p(;<<:e28 6c>2?8>7p*9b98`?xP6m>09w[j::3y'275=l81/?h756378y!0e03;37psr;h0:g?6=,;kh6?7l;W0ba?4|D;h;6{zuE8jj7?tV3c6>7}Ql<09w)8=3;0:g>"4m00=>85r$7`;>41l;52zTg1?4|,?886?6k;%1f=?05=2w/:o65169~yx=h9l;1<7*=ab82a4=9h7>53;294~"5ij09m45G2`28m42f290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<:n;W376?4|,?8>6o5+6369f>{zut1b=9l50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951d<^8>96?u+6379f>"1:=0i7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th?>h4?:083>5}#:hi1>o=4H3c3?j7b93:1(?ol:0g2?>{e<;l1<7=50;2x 7gd2>?0D?o?;h37e?6=,;kh6<:6;W0ba?7|D;h;64381!05=3;;7)8=4;33?x{zu2c:8o4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9820g=Q9=81>v*924824>"1:=0:<6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi8>650;094?6|,;kh6ok4H3c3?l7313:1(?ol:06:?>i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d3<729q/>lm51558L7g73-;=m7?6;h37=?6=,;kh6<:6;W0ba?7|D;h;67a=#>;>1h6sr}M0bb?2|^;3o6>uYd481!05;3n:7)=j9;411>{Ql?09w)8=3;37=>"4m00=>85r$7`;>45v*922820<=#;l31:?:4}%4a7}#>;91h<5+3d;9273lm528a8R7gb2;qG>o>51zT2`5<5s-;n47<6c:T207<5s-<997?8;%410?703twv@?oi:0yU6d3=:r\o977?d3-9n578=5:'2g>=9>1vqp5f29f94?"5ij094i5Y2`g96~J5j90:w[?k0;0x 4c?2;2o7[?;2;0x 34228=0(;<;:058yx{K:hl1=vX=a481Sb22;q/:?=529f8 6c>2?8>7p*9b9823>{zu2e:i<4?:%0bg?7b921vn9=6:180>5<7s-8jo74c83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6g=zutw0qo:5}#:hi1;85G2`28m42f290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<:n;W376?4|,?8>6<84$707>4027[i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d6<729q/>lm5749K6d6j6=4+2`a951?<^;kn64381!05=3;=7)8=4;35?x{zu2e:i<4?:%0bg?7b92\9mh4>{M0a4?7|^m=1>v*>e982a4=Q9=81>v*9248a?!05<3h0qpsr;|`77`<72:0;6=u+2`a930=O:h:0e<:n:18'6de=9=30Z?oj:0yO6g6=9r\o;742f3_;?>740<,?8?6<84}|~?l73j3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;b:T207<5s-<997?9;%410?713twvq6a>e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&560l>4i06b>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 34228<0(;<;:048yx{z3`;?n7>5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<62.=>94>6:~yx=n9<<1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;74313_;?>740<,?8?6<84}|~?j7b93:1(?ol:0g2?S4fm3;p@?l?:0yU`2<5s-;n47?j1:T207<5s-<997l4$707>g=zutw0qo:;0;2942f3_;?>740<,?8?6<84}|~?l73j3:1(?ol:06:?M4fj2\9mh4>{M0a4?7|^m=1>v*>e9820g=Q9=81>v*924822>"1:=0::6sr}|9j500=83.9mn4>489K6dd<^;kn6P5il0:wA609U514=:r.=>84>6:&561<6>2wvqp5f17694?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<8;;W376?4|,?8>6<84$707>4027E{zut1b=;850;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9530<^8>96?u+637953=#>;>1=;5r}|8k4c6290/>lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn9=m:185>5<7s-8jo7?j0:J1e5=n9=k1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515c8R4252;q/:?;5b:&5614c83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<63:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?:6:T207<5s-<997l4$707>g=zutw0e<8>:18'6de=9=30Z?oj:0yO6g6=9r\o;74063_;?>7g=#>;>1n6sr}|9j532=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;:4V061>7}#>;?1n6*9258a?x{zu2e:i<4?:%0bg?7b92\9mh4>{M0a4?7|^m=1>v*>e982a4=Q9=81>v*9248a?!05<3h0qpsr;|`732<72;0;6=u+2`a9f`=O:h:0e<:6:18'6de=9=307b?j1;29 7gd28o:7[50z&1ef<6<>1C>l>4$04b>4?26=4+2`a951?<^;kn6P6<;09w)8=5;`8 3432k1vqps4ie294?"5ij0o<6X=ad82I4e83;pZ=l91]=9<52z&560lm5d09U6dc=:rF9n=4>{W3g4?4|,8o36i?4V061>7}#>;?1h6*9258g?x{zD;km69uY28f97~Pc=38p(;<<:e38 6c>2?8>7pXk6;0x 34428>27)=j9;411>{#>k21=>5rV3;g>6}Ql<09w)8=3;f2?!5b13<996sYd781!05;3;?56*v*9228g5>"4m00=>85rVe496~"1::0o<6*7}Ql<09w)8=3;f2?!5b13<996s+6c:95==zut1b>4m50;&1ef<51j1]>lk52zN1f5<6s_;o<77?d3_;?>741<,?8?6<94}|O6d`=9r\9m84={Wf6>7}#>;91>4m4$2g:>3423t.=n54>7:~y>o50m0;6)P5il09wA1vqpB=ag82S4f=38pZi;52z&566<50m1/?h756378y!0e03;<7psr;n3f5?6=,;kh6;:a02>=8391<7>t$3c`>7g>3A8j<6g>4`83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6g=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f11>290:6=4?{%0bg?4e;2B9m=5`1d394?"5ij0:i<54}c64e?6=;3:1N5i91b=9o50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951g<^8>96?u+637953=#>;>1=;5r}|8m42e290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<:m;W376?4|,?8>6<84$707>407>50z&1ef5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zj=296=49:183!4fk3;?;6F=a19'53g=901b=9750;&1ef<6<01]>lk51zN1f5<6s_;o<742>3_;?>7g=#>;>1n6sr}|9j`5<72-8jo7j?;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{P5il09wA84k;%410?blh54zT1=a<4s_n>6?u+6319`4=#;l31:?;4}Wf5>7}#>;91=974$2g:>3423t.=n54>3:U6a7<,:o26;<:;|Tg2?4|,?886<:6;%1f=?05<2w/:o65129~R7?c2:q]h84={%417?b63-9n578=5:U`3<5s-<9?7j?;%1f=?05=2w/:o65c:U5`1=:r\o97a7<,:o26;<:;|&5f=<602wvq6g=9b83>!4fk382o6X=ad81I4e83;pZ=:0i0Z<:=:3y'273=9>1/:?:5169~yxJ5io0:w[>4=9b9'7`?=>;?0q)8m8;34?x{z3`83h7>5$3c`>7>c3_8ji74}Q9m:1>v*>e981v*924823>"1:=0:;6sr}M0bb?7|^;k>6?uYd481!05;383h6*lm51d38?xd3?l0;6>4?:1y'6de=:h30D?o?;h37e?6=,;kh6<:6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{i6=4+2`a951?<^;kn6=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e<>l1<7?50;2x 7gd2;h87E729086=4?{%0bg?123A8j<6g>4`83>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1==5+636955=zutw0e<:m:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;;7)8=4;33?x{zu2e:i<4?:%0bg?7b92\9mh4>{M0a4?7|^m=1>v*>e982a4=Q9=81>v*9248a?!05<3h0qpsr;|`563<72;0;6=u+2`a9f`=O:h:0e<:6:18'6de=9=307b?j1;29 7gd28o:7[50z&1ef<6<>1C>l>4$04b>4?26=4+2`a951?<^;kn6P6<;09w)8=5;`8 3432k1vqps4ie294?"5ij0o<6X=ad82I4e83;pZ=l91]=9<52z&560lm5d09U6dc=:rF9n=4>{W3g4?4|,8o36i?4V061>7}#>;?1h6*9258g?x{zD;km69uY28f97~Pc=38p(;<<:e38 6c>2?8>7pXk6;0x 34428>27)=j9;411>{#>k21=>5rV3;g>6}Ql<09w)8=3;f2?!5b13<996sYd781!05;3;?56*v*9228g5>"4m00=>85rVe496~"1::0o<6*7}Ql<09w)8=3;f2?!5b13<996s+6c:95==zut1b>4m50;&1ef<51j1]>lk52zN1f5<6s_;o<77?d3_;?>741<,?8?6<94}|O6d`=9r\9m84={Wf6>7}#>;91>4m4$2g:>3423t.=n54>7:~y>o50m0;6)P5il09wA1vqpB=ag82S4f=38pZi;52z&566<50m1/?h756378y!0e03;<7psr;n3f5?6=,;kh6;:a271=8391<7>t$3c`>7g>3A8j<6g>4`83>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1n6*9258a?x{zu2c:8o4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9820g=Q9=81>v*9248a?!05<3h0qpsr;n3f5?6=,;kh6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{51;294~"5ij09n>5G2`28k4c6290/>lm51d38?xd1:00;6>4?:1y'6de=?<1C>l>4i06b>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 34228:0(;<;:028yx{z3`;?n7>5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<62.=>94>6:~yx=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&5617<729q/>lm5bd9K6d626=4+2`a951?<3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c50>5<1290;w)N5i91/=;o5189j51?=83.9mn4>489U6dc=9rF9n=4>{W3g4?4|,8o36<:6;W376?4|,?8>6o5+6369f>{zut1bh=4?:%0bg?b73_8ji7?tL3`3>4}Q9m:1>v*>e98g4>P6<;09w)8=5;`8 3432k1vqps4ie394?"5ij0o=6X=ad81I4e83;pZ=l81]=9<52z&5607}#>;91h<5+3d;92734j53zTg1?4|,?886i?4$2g:>3423t\o:742>3-9n578=4:'2g>=9:1vZ?7k:2yU`0<5s-<9?7j>;%1f=?05=2w]h;4={%417?b73-9n578=5:'2g>=k2w]=h952zTg1?4|,?886i?4$2g:>3423t.=n54>8:~y>o51j0;6)P5il09wA1vqpB=ag82S4f=38pZi;52z&566<51j1/?h756378y!0e03;<7psr;h0;`?6=,;kh6?6k;W0ba?4|D;h;6{zuE8jj7?tV3c6>7}Ql<09w)8=3;0;`>"4m00=>85r$7`;>41:18'6de=9l;07pl9f;297?6=8r.9mn4=a89K6d6j6=4+2`a951?<^;kn6=9=h0Z<:=:3y'273=j2.=>94m;|~y>i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d53;294~"5ij0<96F=a19j51g=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1=;5+636953=zutw0e<:m:18'6de=9=30Z?oj:0yO6g6=9r\o;742e3_;?>740<,?8?6<84}|~?j7b93:1(?ol:0g2?S4fm3;p@?l?:0yU`2<5s-;n47?j1:T207<5s-<997l4$707>g=zutw0qo5<1290;w)N5i91/=;o5189j51?=83.9mn4>489U6dc=9rF9n=4>{W3g4?4|,8o36<:6;W376?4|,?8>6o5+6369f>{zut1bh=4?:%0bg?b73_8ji7?tL3`3>4}Q9m:1>v*>e98g4>P6<;09w)8=5;`8 3432k1vqps4ie394?"5ij0o=6X=ad81I4e83;pZ=l81]=9<52z&5607}#>;91h<5+3d;92734j53zTg1?4|,?886i?4$2g:>3423t\o:742>3-9n578=4:'2g>=9:1vZ?7k:2yU`0<5s-<9?7j>;%1f=?05=2w]h;4={%417?b73-9n578=5:'2g>=k2w]=h952zTg1?4|,?886i?4$2g:>3423t.=n54>8:~y>o51j0;6)P5il09wA1vqpB=ag82S4f=38pZi;52z&566<51j1/?h756378y!0e03;<7psr;h0;`?6=,;kh6?6k;W0ba?4|D;h;6{zuE8jj7?tV3c6>7}Ql<09w)8=3;0;`>"4m00=>85r$7`;>41:18'6de=9l;07pl=1183>6<729q/>lm52`;8L7g73`;?m7>5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<6g=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f776290:6=4?{%0bg?4e;2B9m=5`1d394?"5ij0:i<54}c027?6=;3:1N5i91b=9o50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951g<^8>96?u+6379f>"1:=0i7psr}:k20g<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:8o5Y15096~"1:<0i7)8=4;`8yx{z3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c020?6=>3:1N5i91b=9o50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 34228<0(;<;:048yx{z3`;?n7>5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<62.=>94>6:~yx=n9<<1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;74313_;?>740<,?8?6<84}|~?l7193:1(?ol:06:?M4fj2\9mh4>{M0a4?7|^m=1>v*>e98224=Q9=81>v*924822>"1:=0::6sr}|9j532=83.9mn4>489K6dd<^;kn6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{57;294~"5ij0<96F=a19j51g=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1==5+636955=zutw0e<:m:18'6de=9=30Z?oj:0yO6g6=9r\o;742e3_;?>740<,?8?6<84}|~?l72>3:1(?ol:06:?M4fj2\9mh4>{M0a4?7|^m=1>v*>e98213=Q9=81>v*924822>"1:=0::6sr}|9j537=83.9mn4>489K6dd<^;kn6P5il0:wA659U514=:r.=>84>6:&561<6>2wvqp5f17794?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<8:;W376?4|,?8>6<84$707>403:1;7>50z&1ef<0=2B9m=5f15c94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9=k0Z<:=:3y'273=991/:?:5119~yx{i6=4+2`a951?<^;kn6P5il0:wA579U514=:r.=>84>6:&561<6>2wvqp5f17394?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<8>;W376?4|,?8>6<84$707>4027E{zut1b=;;50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28<>7[?;2;0x 34228<0(;<;:048yx{z3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c023?6=?3:1N5i91b=9o50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951g<^8>96?u+637953=#>;>1=;5r}|8m42e290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;35?!05<3;=7psr}:k213<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h651448R4252;q/:?;5179'272=9?1vqps4i042>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?91:T207<5s-<997?9;%410?713twvq6g>6583>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=;:4V061>7}#>;?1=;5+636953=zutw0e<8::18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;=7)8=4;35?x{zu2e:i<4?:%0bg?7b92\9mh4>{M0a4?7|^m=1>v*>e982a4=Q9=81>v*9248a?!05<3h0qpsr;|`15=<72>0;6=u+2`a930=O:h:0e<:n:18'6de=9=30Z?oj:0yO6g6=9r\o;742f3_;?>740<,?8?6<84}|~?l73j3:1(?ol:06:?M4fj2\9mh4>{M0a4?7|^m=1>v*>e9820g=Q9=81>v*924822>"1:=0::6sr}|9j500=83.9mn4>489K6dd<^;kn6P5il0:wA609U514=:r.=>84>6:&561<6>2wvqp5f17694?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<8;;W376?4|,?8>6<84$707>4027E{zut1d=h?50;&1ef<6m81]>lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:a644=83=1<7>t$3c`>4c73A8j<6g>4`83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6g=zutw0e<;9:18'6de=9=30Z?oj:0yO6g6=9r\o;74313_;?>7g=#>;>1n6sr}|9j537=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;?4V061>7}#>;?1n6*9258a?x{zu2c::94?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98221=Q9=81>v*9248a?!05<3h0qpsr;h351?6=,;kh6<:6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{5<5290;w)lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:a6g`=83<1<7>t$3c`>4203A8j<6*>6`82=>o6<00;6)P5il0:wA7g=#>;>1n6sr}|9j`4<72-8jo7j>;W0ba?4|D;h;64381!05=3n0(;<;:e9~yxJ5io0?w[<6d;1xRa3=:r.=>>4k1:&0a<<1:<1vZi852z&566<6<01/?h756378y!0e03;87pX=9e80Sb22;q/:?=5d09'7`?=>;?0q[j9:3y'275=9=30(>k6:707?x"1j10:?6sY28f97~Pc=38p(;<<:e38 6c>2?8>7pXk6;0x 3442m:0(>k6:706?x"1j10h7pX>e681Sb22;q/:?=5d09'7`?=>;?0q)8m8;3;?x{z3`82o7>5$3c`>7?d3_8ji74}Q9m:1>v*>e981=f=Q9=81>v*924823>"1:=0:;6sr}M0bb?7|^;k>6?uYd481!05;382o6*c290/>lm529f8R7gb2;qG>o>51zT2`5<5s-;n47<7d:T207<5s-<997?8;%410?703twv@?oi:0yU6d3=:r\o977>c3-9n578=5:'2g>=9>1vqp5`1d394?"5ij0:i<54}c0af?6=;3:142f3_;?>7g=#>;>1n6sr}|9j51d=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9l4V061>7}#>;?1n6*9258a?x{zu2e:i<4?:%0bg?7b92\9mh4>{M0a4?7|^m=1>v*>e982a4=Q9=81>v*9248a?!05<3h0qpsr;|`1ff<7280;6=u+2`a96g5<@;k;7b?j1;29 7gd28o:76sm2cf94?5=83:p(?ol:678L7g73`;?m7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37e>P6<;09w)8=5;35?!05<3;=7psr}:k20g<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:8o5Y15096~"1:<0::6*925822>{zut1d=h?50;&1ef<6m81]>lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:a70c=8381<7>t$3c`>gc<@;k;7d?;9;29 7gd28>276a>e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&560:0;6;4?:1y'6de=9==0D?o?;%35e?7>3`;?57>5$3c`>42>3_8ji7?tL3`3>4}Q9m:1>v*>e9820<=Q9=81>v*9248a?!05<3h0qpsr;hf3>5<#:hi1h=5Y2`g95~J5j90:w[?k0;0x 4c?2m:0Z<:=:3y'273=j2.=>94m;|~y>oc93:1(?ol:e38R7gb2;qG>o>51zT2`5<5s-;n47j>;W376?4|,?8>6i5+6369`>{zuE8jj7:tV3;g>6}Ql<09w)8=3;f2?!5b13<996sYd781!05;3;?56*v*9228g5>"4m00=>85rVe496~"1::0:845+3d;92726?u+6319`4=#;l31:?;4}Wf5>7}#>;91h=5+3d;9273v*9228g5>"4m00=>85r$7`;>4>k2\:8?4={%411?703-<987?8;|~H7ga28q]>l;52zTg1?4|,?886?7l;%1f=?05=2w/:o65169~yx=n:1n1<7*=ab81vB=b182S7c838p(k6:706?x"1j10:;6sr}:m2a4<72-8jo7?j1:9~f63a29086=4?{%0bg?4f12B9m=5f15c94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9=k0Z<:=:3y'273=j2.=>94m;|~y>o6P5il0:wA4c9U514=:r.=>84m;%410?d50z&1ef<5j:1C>l>4o0g2>5<#:hi1=h?4;|`024<728;1<7>t$3c`>23<@;k;7d?;a;29 7gd28>27E{zut1b=9l50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951d<^8>96?u+637953=#>;>1=;5r}|8m431290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<;9;W376?4|,?8>6<84$707>4027[o6>=0;6)P5il0:wA659U514=:r.=>84>6:&561<6>2wvqp5f17794?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9??0Z<:=:3y'273=9?1/:?:5179~yx{4381!05=3;=7)8=4;35?x{zu2c::54?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9822==Q9=81>v*924822>"1:=0::6sr}|9j53?=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;74V061>7}#>;?1=;5+636953=zutw0e<:l:18'6de=9=30Z?oj:0yO6g6=9r\o;742d3_;?>740<,?8?6<84}|~?l73l3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;d:T207<5s-<997?9;%410?713twvq6g>4d83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6g=zutw0e<;?:18'6de=9=30Z?oj:0yO6g6=9r\o;74373_;?>7g=#>;>1n6sr}|9j507=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=8?4V061>7}#>;?1n6*9258a?x{zu2e:i<4?:%0bg?7b92\9mh4>{M0a4?7|^m=1>v*>e982a4=Q9=81>v*9248a?!05<3h0qpsr;|`0ad<72;0;6=u+2`a9f`=O:h:0e<:6:18'6de=9=307b?j1;29 7gd28o:7[50z&1ef<6<>1C>l>4$04b>4?26=4+2`a951?<^;kn6P6<;09w)8=5;`8 3432k1vqps4ie294?"5ij0o<6X=ad82I4e83;pZ=l91]=9<52z&560lm5d09U6dc=:rF9n=4>{W3g4?4|,8o36i?4V061>7}#>;?1h6*9258g?x{zD;km69uY28f97~Pc=38p(;<<:e38 6c>2?8>7pXk6;0x 34428>27)=j9;411>{#>k21=>5rV3;g>6}Ql<09w)8=3;f2?!5b13<996sYd781!05;3;?56*v*9228g5>"4m00=>85rVe496~"1::0o<6*7}Ql<09w)8=3;f2?!5b13<996s+6c:95==zut1b>4m50;&1ef<51j1]>lk52zN1f5<6s_;o<77?d3_;?>741<,?8?6<94}|O6d`=9r\9m84={Wf6>7}#>;91>4m4$2g:>3423t.=n54>7:~y>o50m0;6)P5il09wA1vqpB=ag82S4f=38pZi;52z&566<50m1/?h756378y!0e03;<7psr;n3f5?6=,;kh6;:a7`d=8391<7>t$3c`>7g>3A8j<6g>4`83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6g=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f6cd290:6=4?{%0bg?4e;2B9m=5`1d394?"5ij0:i<54}c1f`?6=;3:1N5i91b=9o50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 34228:0(;<;:028yx{z3`;?n7>5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<694>0:~yx=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&5615$3c`>42>32e:i<4?:%0bg?7b92\9mh4>{M0a4?7|^m=1>v*>e982a4=Q9=81>v*9248a?!05<3h0qpsr;|`7<2<72;0;6=u+2`a9f`=O:h:0e<:6:18'6de=9=307b?j1;29 7gd28o:7[50z&1ef<6<>1C>l>4$04b>4?26=4+2`a951?<^;kn6P6<;09w)8=5;`8 3432k1vqps4ie294?"5ij0o<6X=ad82I4e83;pZ=l91]=9<52z&560lm5d09U6dc=:rF9n=4>{W3g4?4|,8o36i?4V061>7}#>;?1h6*9258g?x{zD;km69uY28f97~Pc=38p(;<<:e38 6c>2?8>7pXk6;0x 34428>27)=j9;411>{#>k21=>5rV3;g>6}Ql<09w)8=3;f2?!5b13<996sYd781!05;3;?56*v*9228g5>"4m00=>85rVe496~"1::0o<6*7}Ql<09w)8=3;f2?!5b13<996s+6c:95==zut1b>4m50;&1ef<51j1]>lk52zN1f5<6s_;o<77?d3_;?>741<,?8?6<94}|O6d`=9r\9m84={Wf6>7}#>;91>4m4$2g:>3423t.=n54>7:~y>o50m0;6)P5il09wA1vqpB=ag82S4f=38pZi;52z&566<50m1/?h756378y!0e03;<7psr;n3f5?6=,;kh6;:a0=2=8391<7>t$3c`>7g>3A8j<6g>4`83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6g=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f1>2290:6=4?{%0bg?4e;2B9m=5`1d394?"5ij0:i<54}c6;2?6=;3:1N5i91b=9o50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 34228<0(;<;:048yx{z3`;?n7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;35?!05<3;=7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th?454?:483>5}#:hi1;85G2`28m42f290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;37e>P6<;09w)8=5;32?!05<3;:7psr}:k20g<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5109'272=981vqps4i075>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?:6:T207<5s-<997?8;%410?703twvq6g>6083>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6>81]=9<52z&560<6?2.=>94>7:~yx=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&5615$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37e>P6<;09w)8=5;32?!05<3;:7psr}:k20g<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5169'272=9>1vqps4i075>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?:6:T207<5s-<997?8;%410?703twvq6g>6083>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6>81]=9<52z&560<6?2.=>94>7:~yx=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&5615$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<694>1:~yx=n9=h1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;742e3_;?>747<,?8?63:1(?ol:06:?M4fj2\9mh4>{M0a4?7|^m=1>v*>e98213=Q9=81>v*924825>"1:=0:=6sr}|9j537=83.9mn4>489K6dd<^;kn64381!05=3;<7)8=4;34?x{zu2c::84?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98220=Q9=81>v*924823>"1:=0:;6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi85l50;694?6|,;kh6o6P5il0:wA4`9U514=:r.=>84m;%410?d27[lm515;8R7gb28qG>o>51zTg3?4|,8o36<;9;W376?4|,?8>6o5+6369f>{zut1d=h?50;&1ef<6m81]>lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:a0<2=8381<7>t$3c`>gc<@;k;7d?;9;29 7gd28>276a>e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&5603`;?57>5$3c`>42>3_8ji7?tL3`3>4}Q9m:1>v*>e9820<=Q9=81>v*9248a?!05<3h0qpsr;hf3>5<#:hi1h=5Y2`g95~J5j90:w[?k0;0x 4c?2m:0Z<:=:3y'273=j2.=>94m;|~y>oc93:1(?ol:e38R7gb2;qG>o>51zT2`5<5s-;n47j>;W376?4|,?8>6i5+6369`>{zuE8jj7:tV3;g>6}Ql<09w)8=3;f2?!5b13<996sYd781!05;3;?56*v*9228g5>"4m00=>85rVe496~"1::0:845+3d;92726?u+6319`4=#;l31:?;4}Wf5>7}#>;91h=5+3d;9273v*9228g5>"4m00=>85r$7`;>4>k2\:8?4={%411?703-<987?8;|~H7ga28q]>l;52zTg1?4|,?886?7l;%1f=?05=2w/:o65169~yx=n:1n1<7*=ab81vB=b182S7c838p(k6:706?x"1j10:;6sr}:m2a4<72-8jo7?j1:9~f1?229086=4?{%0bg?4f12B9m=5f15c94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9=k0Z<:=:3y'273=j2.=>94m;|~y>o6P5il0:wA4c9U514=:r.=>84m;%410?d>3:1=7>50z&1ef<5j:1C>l>4o0g2>5<#:hi1=h?4;|`7=2<72:0;6=u+2`a930=O:h:0e<:n:18'6de=9=30Z?oj:0yO6g6=9r\o;742f3_;?>740<,?8?6<84}|~?l73j3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;b:T207<5s-<997?9;%410?713twvq6a>e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&560l>4i06:>5<#:hi1=974;n3f5?6=,;kh6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{56;294~"5ij0:8:5G2`28 40f2830e<:6:18'6de=9=30Z?oj:0yO6g6=9r\:h=4={%3f7}#9l21h=5Y15096~"1:<0i7)8=4;`8yx{z3`n:6=4+2`a9`4=Q:ho1>vB=b182S7c838p(a7<,:o26;<:;|Tg2?4|,?886<:6;%1f=?05=2w/:o65129~R7?c2:q]h84={%417?b63-9n578=5:U`3<5s-<9?7?;9:&0a<<1:=1v(;l7:018yS4>l39pZi;52z&566>4k0:&0a<<1:<1v(;l7:b9~R4c02;q]h84={%417?b63-9n578=5:'2g>=911vqp5f28a94?"5ij095n5Y2`g96~J5j90:w[?k0;0x 4c?2;3h7[?;2;0x 34228=0(;<;:058yx{K:hl1=vX=a481Sb22;q/:?=528a8 6c>2?8>7p*9b9823>{zu2c94i4?:%0bg?4?l2\9mh4={M0a4?7|^8n;6?u+1d:96=b<^8>96?u+637952=#>;>1=:5r}|N1ec<6s_8j97{e=jl1<7=50;2x 7gd2;k27E5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;`8 3432k1vqps4o0g2>5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zj:183!4fk38i?6F=a19l5`7=83.9mn4>e098yg3c93:1?7>50z&1ef<0=2B9m=5f15c94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9=k0Z<:=:3y'273=9?1/:?:5179~yx{i6=4+2`a951?<@;ki7[i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d7<729q/>lm5bd9K6d626=4+2`a951?<3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c464?6=>3:1290/>lm515;8R7gb28qG>o>51zT2`5<5s-;n47?;9:T207<5s-<997l4$707>g=zutw0ei>50;&1ef{M0a4?7|^8n;6?u+1d:9`5=Q9=81>v*9248a?!05<3h0qpsr;hf2>5<#:hi1h<5Y2`g96~J5j90:w[?k0;0x 4c?2m;0Z<:=:3y'273=l2.=>94k;|~H7ga2=q]>4j53zTg1?4|,?886i?4$2g:>3423t\o:742>3-9n578=5:'2g>=9:1vZ?7k:2yU`0<5s-<9?7j>;%1f=?05=2w]h;4={%417?7312.8i449259~ 3d?2890q[<6d;1xRa3=:r.=>>4k1:&0a<<1:<1vZi852z&566;%1f=?05=2w/:o65199~yx=n:0i1<7*=ab81=f=Q:ho1>vB=b182S7c838p(k6:706?x"1j10:;6sr}:k17}#9l21>5j4V061>7}#>;?1=:5+636952=zutF9mk4>{W0b1?4|^m?1>v*92281{M0a4?7|^m=1>v*>e9820d=Q9=81>v*9248a?!05<3h0qpsr;h37f?6=,;kh6<:6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{5<6290;w)N5i91d=h?50;&1ef<6m810qo8;e;297?6=8r.9mn485:J1e5=n9=k1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515c8R4252;q/:?;5179'272=9?1vqps4i06a>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 34228<0(;<;:048yx{z3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c465?6=:3:1N5i91b=9750;&1ef<6<010c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f33?29096=4?{%0bg?db3A8j<6g>4883>!4fk3;?565`1d394?"5ij0:i<5Y2`g95~J5j90:w[j8:3y'5`>=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e><7E{M0a4?7|^8n;6?u+1d:951?<^8>96?u+6379f>"1:=0i7psr}:kg4?6=,;kh6i>4V3cf>4}K:k:1=vX>d181!7b03n;7[?;2;0x 3422k1/:?:5b:~yx=nl80;6)a=zutF9mk4;{W0:`?5|^m?1>v*9228g5>"4m00=>85rVe496~"1::0:845+3d;92736?u+6319`4=#;l31:?;4}Wf5>7}#>;91=974$2g:>3433t.=n54>3:U6a7<,:o26;<:;|Tg2?4|,?886i>4$2g:>3423t.=n54l;|T2a2<5s_n>6?u+6319`4=#;l31:?;4}%4a52z&2a=<51j1]=9<52z&560<6?2.=>94>7:~yI4fn3;pZ?o::3yU`0<5s-<9?7<6c:&0a<<1:<1v(;l7:058yx{P6<;09w)8=5;34?!05<3;<7psrL3ce>4}Q:h?1>vXk5;0x 3442;2o7)=j9;411>{#>k21=:5r}|9l5`7=83.9mn4>e098yg02:3:1?7>50z&1ef<5i01C>l>4i06b>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 3422k1/:?:5b:~yx=n9=h1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5b:&561e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&560;:a203=8391<7>t$3c`>23<@;k;7d?;a;29 7gd28>27[o6P5il0:wA4c9U514=:r.=>84>6:&561<6>2wvqp5`1d394?"5ij0:i<5Y2`g95~J5j90:w[j8:3y'5`>=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e><<1<7=50;2x 7gd2>?0D?o?;h37e?6=,;kh6<:6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{i6=4+2`a951?<^;kn6=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e><=1<7:50;2x 7gd2>?0D?o?;h37e?6=,;kh6<:6;W0ba?7|D;h;64381!05=3;=7)8=4;35?x{zu2c:8o4?:%0bg?7312B9mo5Y2`g95~J5j90:w[j8:3y'5`>=9=h0Z<:=:3y'273=9?1/:?:5179~yx{;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{87>54;294~"5ij0:i=5G2`28m42f290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<:n;W376?4|,?8>6o5+6369f>{zut1b=9l50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951d<^8>96?u+6379f>"1:=0i7psr}:k213<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:9;5Y15096~"1:<0i7)8=4;`8yx{z3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c46=?6==3:1N5i91b=9o50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951g<^8>96?u+637952=#>;>1=:5r}|8m42e290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;34?!05<3;<7psr}:k213<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h651448R4252;q/:?;5169'272=9>1vqps4i042>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?91:T207<5s-<997?8;%410?703twvq6a>e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&560l>4i06b>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 34228;0(;<;:038yx{z3`;?n7>5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<694>7:~yx=n9<<1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651448R4252;q/:?;5169'272=9>1vqps4i042>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?91:T207<5s-<997?8;%410?703twvq6g>6583>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=;:4V061>7}#>;?1=:5+636952=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f33e29036=4?{%0bg?123A8j<6g>4`83>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1=<5+636954=zutw0e<:m:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;:7)8=4;32?x{zu2c:9;4?:%0bg?7312B9mo5Y2`g95~J5j90:w[j8:3y'5`>=9<<0Z<:=:3y'273=981/:?:5109~yx{P5il0:wA659U514=:r.=>84>7:&561<6?2wvqp5f17794?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9??0Z<:=:3y'273=9>1/:?:5169~yx{2\:8?4={%411?703-<987?8;|~y>i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d1<729q/>lm51d28L7g73`;?m7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37e>P6<;09w)8=5;`8 3432k1vqps4i06a>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 3422k1/:?:5b:~yx=n9<<1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651448R4252;q/:?;5b:&561e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&560l>4i06:>5<#:hi1=974;n3f5?6=,;kh6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{52;294~"5ij0ii6F=a19j51?=83.9mn4>4898k4c6290/>lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6k5+6369b>{zut1vn??l:180>5<7s-8jo74c83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6g=zutw0qo<>d;295?6=8r.9mn4=b29K6d65}#:hi1;85G2`28m42f290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<:n;W376?4|,?8>6<>4$707>4627E{zut1d=h?50;&1ef<6m81]>lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:a676=8391<7>t$3c`>23<@;k;7d?;a;29 7gd28>27[o6P5il0:wA4c9U514=:r.=>84>0:&561<682wvqp5`1d394?"5ij0:i<5Y2`g95~J5j90:w[j8:3y'5`>=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e:8o1<7=50;2x 7gd28o;7E5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;`8 3432k1vqps4o0g2>5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zj;896=4=:183!4fk3hn7Ee09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi>?650;094?6|,;kh6ok4H3c3?l7313:1(?ol:06:?>i6m80;6)P5il0:wAe09U514=:r.=>84i;%410?`6<729q/>lm52`;8L7g73`;?m7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37e>P6<;09w)8=5;`8 3432k1vqps4i06a>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 3422k1/:?:5b:~yx=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&5615<7s-8jo79:;I0b4>o6P5il0:wA4`9U514=:r.=>84>0:&561<682wvqp5f15`94?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<:m;W376?4|,?8>6<84$707>4050z&1ef<0=2B9m=5f15c94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9=k0Z<:=:3y'273=9?1/:?:5179~yx{i6=4+2`a951?<^;kn6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{53;294~"5ij0:i=5G2`28m42f290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<:n;W376?4|,?8>6o5+6369f>{zut1b=9l50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951d<^8>96?u+6379f>"1:=0i7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th98=4?:383>5}#:hi1nh5G2`28m42>290/>lm515;8?j7b93:1(?ol:0g2?S4fm3;p@?l?:0yU`2<5s-;n47?j1:T207<5s-<997l4$707>g=zutw0qo<;6;296?6=8r.9mn4me:J1e5=n9=31<7*=ab820<=5<5290;w)lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379b>"1:=0m7psr}:a617=8391<7>t$3c`>7g>3A8j<6g>4`83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6g=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f725290:6=4?{%0bg?4e;2B9m=5`1d394?"5ij0:i<54}c070?6=;3:1N5i91b=9o50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951g<^8>96?u+637955=#>;>1==5r}|8m42e290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;35?!05<3;=7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th9884?:283>5}#:hi1;85G2`28m42f290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<:n;W376?4|,?8>6<84$707>4027[i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d6<729q/>lm51d28L7g73`;?m7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37e>P6<;09w)8=5;`8 3432k1vqps4i06a>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 3422k1/:?:5b:~yx=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&561{M0a4?7|^m=1>v*>e9820d=Q9=81>v*924823>"1:=0:;6sr}|9j51d=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9l4V061>7}#>;?1=:5+636952=zutw0e<;9:18'6de=9=30Z?oj:0yO6g6=9r\o;74313_;?>741<,?8?6<94}|~?l7193:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?91:T207<5s-<997?8;%410?703twvq6g>6583>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6>=1]=9<52z&560<6?2.=>94>7:~yx=n9??1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;74023_;?>741<,?8?6<94}|~?l71>3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?96:T207<5s-<997?8;%410?703twvq6g>6683>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6>>1]=9<52z&560<6?2.=>94>7:~yx=n9?21<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6517:8R4252;q/:?;5169'272=9>1vqps4i04:>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28<27[?;2;0x 34228=0(;<;:058yx{z3`;?o7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37g>P6<;09w)8=5;34?!05<3;<7psr}:k20a<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:8i5Y15096~"1:<0:;6*925823>{zut1b=9k50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951c<^8>96?u+637952=#>;>1=:5r}|8m42a290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<:i;W376?4|,?8>6o5+6369f>{zut1b=8>50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9506<^8>96?u+6379f>"1:=0i7psr}:k214<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:9<5Y15096~"1:<0i7)8=4;`8yx{z3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c07=?6=:3:1N5i91b=9750;&1ef<6<010c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f72a29096=4?{%0bg?db3A8j<6g>4883>!4fk3;?565`1d394?"5ij0:i<5Y2`g95~J5j90:w[j8:3y'5`>=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e:<91<7<50;2x 7gd2ko0D?o?;h37=?6=,;kh6<:6;:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0m7)8=4;d8yx{z3th98l4?:283>5}#:hi1>l74H3c3?l73i3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997l4$707>g=zutw0e<:m:18'6de=9=30Z?oj:0yO6g6=9r\o;742e3_;?>7g=#>;>1n6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi>9l50;394?6|,;kh6?l<;I0b4>i6m80;6)=zj;>o6=4<:183!4fk3=>7E{zut1b=9l50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 34228<0(;<;:048yx{z3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c07a?6=;3:1N5i91b=9o50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951g<^8>96?u+637953=#>;>1=;5r}|8m42e290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<:m;W376?4|,?8>6<>4$707>4650z&1ef<6m91C>l>4i06b>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 3422k1/:?:5b:~yx=n9=h1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5b:&561e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&5604?:1y'6de=?<1C>l>4i06b>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 34228;0(;<;:038yx{z3`;?n7>5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<694>7:~yx=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&5615$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37e>P6<;09w)8=5;34?!05<3;<7psr}:k20g<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:8o5Y15096~"1:<0:=6*925825>{zut1d=h?50;&1ef<6m81]>lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:a604=8391<7>t$3c`>4c73A8j<6g>4`83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6g=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f73329096=4?{%0bg?db3A8j<6g>4883>!4fk3;?565`1d394?"5ij0:i<5Y2`g95~J5j90:w[j8:3y'5`>=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e:<21<7<50;2x 7gd2ko0D?o?;h37=?6=,;kh6<:6;:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th99k4?:383>5}#:hi1nh5G2`28m42>290/>lm515;8?j7b93:1(?ol:0g2?S4fm3;p@?l?:0yU`2<5s-;n47?j1:T207<5s-<997h4$707>c=zutw0qo<:5;297?6=8r.9mn4=a89K6d6j6=4+2`a951?<^;kn6=9=h0Z<:=:3y'273=j2.=>94m;|~y>i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d4<729q/>lm52c18L7g73f;n=7>5$3c`>4c632wi>8950;194?6|,;kh6:;4H3c3?l73i3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997l4$707>g=zutw0e<:m:18'6de=9=30Z?oj:0yO6g6=9r\o;742e3_;?>7g=#>;>1n6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi>8750;494?6|,;kh6:;4H3c3?l73i3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997?>;%410?763twvq6g>4c83>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=9l4V061>7}#>;?1=:5+636952=zutw0e<;9:18'6de=9=30Z?oj:0yO6g6=9r\o;74313_;?>741<,?8?6<94}|~?l7193:1(?ol:06:?M4fj2\9mh4>{M0a4?7|^m=1>v*>e98224=Q9=81>v*924823>"1:=0:;6sr}|9j532=83.9mn4>489K6dd<^;kn6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{m7>58;294~"5ij0<96F=a19j51g=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1=<5+636954=zutw0e<:m:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;:7)8=4;32?x{zu2c:9;4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98213=Q9=81>v*924823>"1:=0:;6sr}|9j537=83.9mn4>489K6dd<^;kn6P5il0:wA659U514=:r.=>84>7:&561<6?2wvqp5f17794?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<8:;W376?4|,?8>6<94$707>4127E{zut1d=h?50;&1ef<6m81]>lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:a60d=8321<7>t$3c`>23<@;k;7d?;a;29 7gd28>27[;|~y>o6P5il0:wA4c9U514=:r.=>84>7:&561<6?2wvqp5f14494?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9<<0Z<:=:3y'273=9>1/:?:5169~yx{o6>=0;6)N5ik1]>lk51zN1f5<6s_n<6?u+1d:9532<^8>96?u+637952=#>;>1=:5r}|8m402290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;351>P6<;09w)8=5;34?!05<3;<7psr}:k223<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h651748R4252;q/:?;5169'272=9>1vqps4o0g2>5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zj;?h6=47:183!4fk3=>7E{zut1b=9l50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 34228;0(;<;:038yx{z3`;>:7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;362>P6<;09w)8=5;34?!05<3;<7psr}:k224<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h651738R4252;q/:?;5169'272=9>1vqps4i047>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?94:T207<5s-<997?8;%410?703twvq6g>6483>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=;;4V061>7}#>;?1=:5+636952=zutw0e<89:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;<7)8=4;34?x{zu2e:i<4?:%0bg?7b92\9mh4>{M0a4?7|^m=1>v*>e982a4=Q9=81>v*9248a?!05<3h0qpsr;|`11a<7210;6=u+2`a930=O:h:0e<:n:18'6de=9=30Z?oj:0yO6g6=9r\o;742f3_;?>747<,?8?65783>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6=?1]=9<52z&560<6?2.=>94>7:~yx=n9?;1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;74063_;?>741<,?8?6<94}|~?l71<3:1(?ol:06:?M4fj2\9mh4>{M0a4?7|^m=1>v*>e98221=Q9=81>v*924823>"1:=0:;6sr}|9j533=83.9mn4>489K6dd<^;kn6P5il0:wA679U514=:r.=>84>7:&561<6?2wvqp5`1d394?"5ij0:i<5Y2`g95~J5j90:w[j8:3y'5`>=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e:5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;`8 3432k1vqps4i075>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28?=7[?;2;0x 3422k1/:?:5b:~yx=n9?;1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651738R4252;q/:?;5b:&5616583>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6>=1]=9<52z&560g=zutw0qo<90;296?6=8r.9mn4me:J1e5=n9=31<7*=ab820<=5<5290;w)lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:a63d=8381<7>t$3c`>gc<@;k;7d?;9;29 7gd28>276a>e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&56080;6>4?:1y'6de=:h30D?o?;h37e?6=,;kh6<:6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{i6=4+2`a951?<^;kn6=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e:?81<7?50;2x 7gd2;h87E4`83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6g=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f70229036=4?{%0bg?123A8j<6g>4`83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<694>1:~yx=n9=h1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;742e3_;?>741<,?8?6<94}|~?l72>3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?:6:T207<5s-<997?8;%410?703twvq6g>6083>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=;?4V061>7}#>;?1=:5+636952=zutw0e<8;:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;<7)8=4;34?x{zu2c::84?:%0bg?7312B9mo5Y2`g95~J5j90:w[j8:3y'5`>=9??0Z<:=:3y'273=9>1/:?:5169~yx{2\:8?4={%411?703-<987?8;|~y>i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d47=83:p(?ol:678L7g73`;?m7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37e>P6<;09w)8=5;32?!05<3;:7psr}:k20g<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5109'272=981vqps4i075>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28?=7[?;2;0x 34228=0(;<;:058yx{z3`;==7>5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<6>81]=9<52z&560<6?2.=>94>7:~yx=n9?>1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;74033_;?>741<,?8?6<94}|~?l71=3:1(?ol:06:?M4fj2\9mh4>{M0a4?7|^m=1>v*>e98220=Q9=81>v*924823>"1:=0:;6sr}|9j530=83.9mn4>489K6dd<^;kn6P5il0:wA669U514=:r.=>84>7:&561<6?2wvqp5f17:94?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<87;W376?4|,?8>6<94$707>4127[lm515;8R7gb28qG>o>51zTg3?4|,8o36<:l;W376?4|,?8>6o5+6369f>{zut1b=9j50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951b<^8>96?u+6379f>"1:=0i7psr}:k20`<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:8h5Y15096~"1:<0i7)8=4;`8yx{z3`;?j7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37b>P6<;09w)8=5;`8 3432k1vqps4i073>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28?;7[?;2;0x 3422k1/:?:5b:~yx=n9<;1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651438R4252;q/:?;5b:&561e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&560>0;6?0D?o?;h37e?6=,;kh6<:6;W0ba?7|D;h;64381!05=3;:7)8=4;32?x{zu2c:8o4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9820g=Q9=81>v*924823>"1:=0:;6sr}|9j500=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=884V061>7}#>;?1=:5+636952=zutw0e<8>:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;<7)8=4;34?x{zu2c::94?:%0bg?7312B9mo5Y2`g95~J5j90:w[j8:3y'5`>=9?>0Z<:=:3y'273=9>1/:?:5169~yx{6=4+2`a951?<@;ki7[o6>?0;6)N5ik1]>lk51zN1f5<6s_n<6?u+1d:9530<^8>96?u+637952=#>;>1=:5r}|8m400290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;353>P6<;09w)8=5;34?!05<3;<7psr}:k22=<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h6517:8R4252;q/:?;5169'272=9>1vqps4i04:>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28<27[?;2;0x 3422k1/:?:5b:~yx=n9=i1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515a8R4252;q/:?;5b:&5614e83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6g=zutw0e<:i:18'6de=9=30Z?oj:0yO6g6=9r\o;742a3_;?>7g=#>;>1n6sr}|9j506=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=8>4V061>7}#>;?1n6*9258a?x{zu2c:9<4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98214=Q9=81>v*9248a?!05<3h0qpsr;n3f5?6=,;kh6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{51083>5}#:hi1;85G2`28m42f290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<:n;W376?4|,?8>64727E{zut1b=8850;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9500<^8>96?u+637952=#>;>1=:5r}|8m406290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;355>P6<;09w)8=5;34?!05<3;<7psr}:k221<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h651768R4252;q/:?;5169'272=9>1vqps4i046>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?95:T207<5s-<997?8;%410?703twvq6g>6783>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=;84V061>7}#>;?1=:5+636952=zutw0e<88:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;<7)8=4;34?x{zu2c::54?:%0bg?7312B9mo5Y2`g95~J5j90:w[j8:3y'5`>=9?20Z<:=:3y'273=9>1/:?:5169~yx{=9=i0Z<:=:3y'273=j2.=>94m;|~y>o6P5il0:wA4e9U514=:r.=>84m;%410?d27[lm515;8R7gb28qG>o>51zTg3?4|,8o36<:i;W376?4|,?8>6o5+6369f>{zut1b=8>50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9506<^8>96?u+6379f>"1:=0i7psr}:k214<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:9<5Y15096~"1:<0i7)8=4;`8yx{z3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c05=?6=980;6=u+2`a930=O:h:0e<:n:18'6de=9=30Z?oj:0yO6g6=9r\o;742f3_;?>747<,?8?65783>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6=?1]=9<52z&560<6?2.=>94>7:~yx=n9?;1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;74063_;?>741<,?8?6<94}|~?l71<3:1(?ol:06:?M4fj2\9mh4>{M0a4?7|^m=1>v*>e98221=Q9=81>v*924823>"1:=0:;6sr}|9j533=83.9mn4>489K6dd<^;kn6P5il0:wA679U514=:r.=>84>7:&561<6?2wvqp5f17594?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<88;W376?4|,?8>6<94$707>4127E{zut1b=;750;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:953?<^8>96?u+6379f>"1:=0i7psr}:k20f<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:8n5Y15096~"1:<0i7)8=4;`8yx{z3`;?h7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37`>P6<;09w)8=5;`8 3432k1vqps4i06f>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>n7[?;2;0x 3422k1/:?:5b:~yx=n9=l1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515d8R4252;q/:?;5b:&5615183>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6=91]=9<52z&560g=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f70f290=6=4?{%0bg?7b82B9m=5f15c94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9=k0Z<:=:3y'273=j2.=>94m;|~y>o6P5il0:wA4c9U514=:r.=>84m;%410?d27[2\:8?4={%411?d<,?8?6o5r}|8m406290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<8>;W376?4|,?8>6o5+6369f>{zut1b=;:50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9532<^8>96?u+6379f>"1:=0i7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th9:n4?:383>5}#:hi1nh5G2`28m42>290/>lm515;8?j7b93:1(?ol:0g2?S4fm3;p@?l?:0yU`2<5s-;n47?j1:T207<5s-<997l4$707>g=zutw0qo<80;296?6=8r.9mn4me:J1e5=n9=31<7*=ab820<=5<5290;w)lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379b>"1:=0m7psr}:a63b=8391<7>t$3c`>7g>3A8j<6g>4`83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6g=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f70b290:6=4?{%0bg?4e;2B9m=5`1d394?"5ij0:i<54}c05b?6=;3:1N5i91b=9o50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951g<^8>96?u+6379f>"1:=0i7psr}:k20g<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:8o5Y15096~"1:<0i7)8=4;`8yx{z3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c045?6=;3:1N5i91b=9o50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951g<^8>96?u+637954=#>;>1=<5r}|8m42e290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;34?!05<3;<7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th9;?4?:283>5}#:hi1;85G2`28m42f290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;37e>P6<;09w)8=5;34?!05<3;<7psr}:k20g<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5169'272=9>1vqps4o0g2>5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zj;=86=4<:183!4fk3=>7E1vqps4i06a>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?;b:T207<5s-<997?8;%410?703twvq6a>e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&560?0D?o?;h37e?6=,;kh6<:6;I0bf>P5il0:wA4`9U514=:r.=>84>1:&561<692wvqp5f15`94?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<:m;W376?4|,?8>64727[2\:8?4={%411?763-<987?>;|~y>o6>80;6)P5il0:wA609U514=:r.=>84>1:&561<692wvqp5f17694?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9?>0Z<:=:3y'273=981/:?:5109~yx{6=4+2`a951?<^;kn64381!05=3;:7)8=4;32?x{zu2c:::4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98222=Q9=81>v*924825>"1:=0:=6sr}|9j53>=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;64V061>7}#>;?1=<5+636954=zutw0e<86:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;:7)8=4;32?x{zu2c:8n4?:%0bg?7312B9mo5Y2`g95~J5j90:w[j8:3y'5`>=9=i0Z<:=:3y'273=9>1/:?:5169~yx{o6=4+2`a951?<^;kn6=9=o0Z<:=:3y'273=j2.=>94m;|~y>o6P5il0:wA4g9U514=:r.=>84m;%410?d27[lm515;8R7gb28qG>o>51zTg3?4|,8o36<;>;W376?4|,?8>6o5+6369f>{zut1d=h?50;&1ef<6m81]>lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:a623=83;:6=4?{%0bg?123A8j<6g>4`83>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1=<5+636954=zutw0e<:m:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;:7)8=4;32?x{zu2c:9;4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98213=Q9=81>v*924825>"1:=0:=6sr}|9j537=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;?4V061>7}#>;?1=<5+636954=zutw0e<8;:18'6de=9=30Z?oj:0yO6g6=9r\o;74033_;?>747<,?8?6;%410?763twvq6g>6783>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6>?1]=9<52z&560<692.=>94>1:~yx=n9?=1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651758R4252;q/:?;5109'272=981vqps4i04;>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28<37[?;2;0x 34228;0(;<;:038yx{z3`;=57>5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<6>01]=9<52z&560<692.=>94>1:~yx=n9=i1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;742d3_;?>741<,?8?6<94}|~?l73l3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;d:T207<5s-<997l4$707>g=zutw0e<:j:18'6de=9=30Z?oj:0yO6g6=9r\o;742b3_;?>7g=#>;>1n6sr}|9j51`=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9h4V061>7}#>;?1n6*9258a?x{zu2c:9=4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98215=Q9=81>v*9248a?!05<3h0qpsr;h365?6=,;kh6<:6;W0ba?7|D;h;6=6X>4381!05=3h0(;<;:c9~yx{5<1290;w)N5i91b=9o50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951g<^8>96?u+6379f>"1:=0i7psr}:k20g<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:8o5Y15096~"1:<0i7)8=4;`8yx{z3`;>:7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;362>P6<;09w)8=5;`8 3432k1vqps4i042>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28<:7[?;2;0x 3422k1/:?:5b:~yx=n9?>1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651768R4252;q/:?;5b:&561e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&560l>4i06:>5<#:hi1=974;n3f5?6=,;kh6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{52;294~"5ij0ii6F=a19j51?=83.9mn4>4898k4c6290/>lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6k5+6369b>{zut1vn?96:180>5<7s-8jo74c83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6g=zutw0qo<8a;295?6=8r.9mn4=b29K6d65}#:hi1;85G2`28m42f290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<:n;W376?4|,?8>6<>4$707>4627E{zut1d=h?50;&1ef<6m81]>lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:a62b=8391<7>t$3c`>23<@;k;7d?;a;29 7gd28>27[o6P5il0:wA4c9U514=:r.=>84>0:&561<682wvqp5`1d394?"5ij0:i<5Y2`g95~J5j90:w[j8:3y'5`>=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e:>h1<7=50;2x 7gd28o;7E5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;`8 3432k1vqps4o0g2>5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zj;=m6=4=:183!4fk3hn7Ee09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi>5;50;094?6|,;kh6ok4H3c3?l7313:1(?ol:06:?>i6m80;6)P5il0:wAe09U514=:r.=>84i;%410?`6<729q/>lm52`;8L7g73`;?m7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37e>P6<;09w)8=5;`8 3432k1vqps4i06a>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 3422k1/:?:5b:~yx=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&5615<7s-8jo79:;I0b4>o6P5il0:wA4`9U514=:r.=>84>0:&561<682wvqp5f15`94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9=h0Z<:=:3y'273=9?1/:?:5179~yx{5<4290;w){M0a4?7|^m=1>v*>e9820d=Q9=81>v*924824>"1:=0:<6sr}|9j51d=83.9mn4>489K6dd<^;kn6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{7>53;294~"5ij0:i=5G2`28m42f290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<:n;W376?4|,?8>6o5+6369f>{zut1b=9l50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951d<^8>96?u+6379f>"1:=0i7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th94;4?:383>5}#:hi1nh5G2`28m42>290/>lm515;8?j7b93:1(?ol:0g2?S4fm3;p@?l?:0yU`2<5s-;n47?j1:T207<5s-<997l4$707>g=zutw0qo<7c;296?6=8r.9mn4me:J1e5=n9=31<7*=ab820<=5<4290;w)N5i91b=9o50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951g<^8>96?u+6379f>"1:=0i7psr}:k20g<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:8o5Y15096~"1:<0i7)8=4;`8yx{z3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c0;:18'6de=9l;07pl=8`83>6<729q/>lm5749K6d6j6=4+2`a951?<^;kn6P5il0:wA4c9U514=:r.=>84>6:&561<6>2wvqp5`1d394?"5ij0:i<5Y2`g95~J5j90:w[j8:3y'5`>=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e:1h1<7=50;2x 7gd2>?0D?o?;h37e?6=,;kh6<:6;W0ba?7|D;h;64381!05=3;;7)8=4;33?x{zu2c:8o4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9820g=Q9=81>v*924822>"1:=0::6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi>5750;194?6|,;kh6o6P5il0:wA4`9U514=:r.=>84m;%410?d27[lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn8k;:181>5<7s-8jo7lj;I0b4>o6<00;6)=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&5615$3c`>42>32e:i<4?:%0bg?7b92\9mh4>{M0a4?7|^m=1>v*>e982a4=Q9=81>v*9248a?!05<3h0qpsr;|`6a`<72;0;6=u+2`a9f`=O:h:0e<:6:18'6de=9=307b?j1;29 7gd28o:7[50z&1ef<5i01C>l>4i06b>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997l4$707>g=zutw0e<:m:18'6de=9=30Z?oj:0yO6g6=9r\o;742e3_;?>7g=#>;>1n6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi9h850;394?6|,;kh6?l<;I0b4>i6m80;6)=zj7E5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?;b:T207<5s-<997??;%410?773twvq6a>e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&560?0D?o?;h37e?6=,;kh6<:6;I0bf>P5il0:wA4`9U514=:r.=>84>1:&561<692wvqp5f15`94?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<:m;W376?4|,?8>64727[2\:8?4={%411?763-<987?>;|~y>o6>80;6)P5il0:wA609U514=:r.=>84>1:&561<692wvqp5f17694?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9?>0Z<:=:3y'273=981/:?:5109~yx{6=4+2`a951?<^;kn64381!05=3;:7)8=4;32?x{zu2c:::4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98222=Q9=81>v*924825>"1:=0:=6sr}|9j53>=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;64V061>7}#>;?1=<5+636954=zutw0e<86:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;:7)8=4;32?x{zu2c:8n4?:%0bg?7312B9mo5Y2`g95~J5j90:w[j8:3y'5`>=9=i0Z<:=:3y'273=981/:?:5109~yx{o6=4+2`a951?<@;ki7[o6P5il0:wA4d9U514=:r.=>84>7:&561<6?2wvqp5f15d94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9=l0Z<:=:3y'273=j2.=>94m;|~y>o6=90;6)P5il0:wA519U514=:r.=>84m;%410?d27[lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn8kn:1825?6=8r.9mn485:J1e5=n9=k1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;742f3_;?>747<,?8?6{M0a4?7|^m=1>v*>e9820g=Q9=81>v*924825>"1:=0:=6sr}|9j500=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=884V061>7}#>;?1=<5+636954=zutw0e<8>:18'6de=9=30Z?oj:0yO6g6=9r\o;74063_;?>747<,?8?6;%410?763twvq6g>6483>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6><1]=9<52z&560<692.=>94>1:~yx=n9?<1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651748R4252;q/:?;5109'272=981vqps4i044>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28<<7[?;2;0x 34228;0(;<;:038yx{z3`;=47>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;35<>P6<;09w)8=5;32?!05<3;:7psr}:k22<<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h6517;8R4252;q/:?;5109'272=981vqps4i06`>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?;c:T207<5s-<997?8;%410?703twvq6g>4e83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<694>7:~yx=n9=o1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515g8R4252;q/:?;5169'272=9>1vqps4i06e>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>m7[?;2;0x 3422k1/:?:5b:~yx=n9<:1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651428R4252;q/:?;5b:&5615083>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6=81]=9<52z&560g=zutw0qo;jb;2954<729q/>lm5749K6d6j6=4+2`a951?<@;ki7[;|~y>o6N5ik1]>lk51zN1f5<6s_n<6?u+1d:951d<^8>96?u+637954=#>;>1=<5r}|8m431290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<;9;W376?4|,?8>64727[;|~y>o6>=0;6)P5il0:wA659U514=:r.=>84>1:&561<692wvqp5f17794?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9??0Z<:=:3y'273=981/:?:5109~yx{4381!05=3;:7)8=4;32?x{zu2c::54?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9822==Q9=81>v*924825>"1:=0:=6sr}|9j53?=83.9mn4>489K6dd<^;kn6P5il0:wA4b9U514=:r.=>84>1:&561<692wvqp5f15f94?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<:k;W376?4|,?8>6<94$707>4127[o6P5il0:wA4g9U514=:r.=>84m;%410?d27[lm515;8R7gb28qG>o>51zTg3?4|,8o36<;>;W376?4|,?8>6o5+6369f>{zut1d=h?50;&1ef<6m81]>lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:a1`e=83;:6=4?{%0bg?123A8j<6g>4`83>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1=<5+636954=zutw0e<:m:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;:7)8=4;32?x{zu2c:9;4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98213=Q9=81>v*924825>"1:=0:=6sr}|9j537=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;?4V061>7}#>;?1=<5+636954=zutw0e<8;:18'6de=9=30Z?oj:0yO6g6=9r\o;74033_;?>747<,?8?6;%410?763twvq6g>6783>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6>?1]=9<52z&560<692.=>94>1:~yx=n9?=1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651758R4252;q/:?;5109'272=981vqps4i04;>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28<37[?;2;0x 34228;0(;<;:038yx{z3`;=57>5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<6>01]=9<52z&560<692.=>94>1:~yx=n9=i1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;742d3_;?>741<,?8?6<94}|~?l73l3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;d:T207<5s-<997?8;%410?703twvq6g>4d83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<694>7:~yx=n9=l1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515d8R4252;q/:?;5b:&5615183>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6=91]=9<52z&560g=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f0cc290>6=4?{%0bg?7b82B9m=5f15c94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9=k0Z<:=:3y'273=j2.=>94m;|~y>o6P5il0:wA4c9U514=:r.=>84m;%410?d27[2\:8?4={%411?d<,?8?6o5r}|8m406290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<8>;W376?4|,?8>6o5+6369f>{zut1d=h?50;&1ef<6m81]>lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:a0`>=8381<7>t$3c`>gc<@;k;7d?;9;29 7gd28>276a>e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&560l>4i06:>5<#:hi1=974;n3f5?6=,;kh6;W0ba?7|D;h;64381!05=3l0(;<;:g9~yx{n57>53;294~"5ij09m45G2`28m42f290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<:n;W376?4|,?8>6o5+6369f>{zut1b=9l50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951d<^8>96?u+6379f>"1:=0i7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th?il4?:083>5}#:hi1>o=4H3c3?j7b93:1(?ol:0g2?>{e?0D?o?;h37e?6=,;kh6<:6;I0bf>P5il0:wA4`9U514=:r.=>84>6:&561<6>2wvqp5f15`94?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<:m;W376?4|,?8>6<84$707>407>50z&1ef5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zj<>i6=49:183!4fk3;?;6F=a19'53g=901b=9750;&1ef<6<01]>lk51zN1f5<6s_;o<742>3_;?>7g=#>;>1n6sr}|9j`5<72-8jo7j?;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{P5il09wA84k;%410?blh54zT1=a<4s_n>6?u+6319`4=#;l31:?;4}Wf5>7}#>;91=974$2g:>3423t.=n54>3:U6a7<,:o26;<:;|Tg2?4|,?886<:6;%1f=?05<2w/:o65129~R7?c2:q]h84={%417?b63-9n578=5:U`3<5s-<9?7j?;%1f=?05=2w/:o65c:U5`1=:r\o97a7<,:o26;<:;|&5f=<602wvq6g=9b83>!4fk382o6X=ad81I4e83;pZ=:0i0Z<:=:3y'273=9>1/:?:5169~yxJ5io0:w[>4=9b9'7`?=>;?0q)8m8;34?x{z3`83h7>5$3c`>7>c3_8ji74}Q9m:1>v*>e981v*924823>"1:=0:;6sr}M0bb?7|^;k>6?uYd481!05;383h6*lm51d38?xd2<90;6>4?:1y'6de=:h30D?o?;h37e?6=,;kh6<:6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{i6=4+2`a951?<^;kn6=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e==;1<7?50;2x 7gd2;h87E4`83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<62.=>94>6:~yx=n9=h1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5179'272=9?1vqps4o0g2>5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zj<>?6=4<:183!4fk3=>7E{zut1b=9l50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951d<^8>96?u+637953=#>;>1=;5r}|8k4c6290/>lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn8:::180>5<7s-8jo79:;I0b4>o6P5il0:wA4`9U514=:r.=>84>6:&561<6>2wvqp5f15`94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9=h0Z<:=:3y'273=9?1/:?:5179~yx{5<4290;w){M0a4?7|^m=1>v*>e9820d=Q9=81>v*9248a?!05<3h0qpsr;h37f?6=,;kh6<:6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{5<4290;w){M0a4?7|^m=1>v*>e9820d=Q9=81>v*9248a?!05<3h0qpsr;h37f?6=,;kh6<:6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{5<4290;w)=9=k0Z<:=:3y'273=9?1/:?:5179~yx{i6=4+2`a951?<^;kn6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{53;294~"5ij0<96F=a19j51g=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1=;5+636953=zutw0e<:m:18'6de=9=30Z?oj:0yO6g6=9r\o;742e3_;?>740<,?8?6<84}|~?j7b93:1(?ol:0g2?S4fm3;p@?l?:0yU`2<5s-;n47?j1:T207<5s-<997l4$707>g=zutw0qo;;2;29e19K6d6j6=4+2`a951?<^;kn6=9=h0Z<:=:3y'273=j2.=>94m;|~y>o6=?0;6)P5il0:wA579U514=:r.=>84m;%410?d27[lm515;8R7gb28qG>o>51zTg3?4|,8o36<8;;W376?4|,?8>6o5+6369f>{zut1b=;;50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9533<^8>96?u+6379f>"1:=0i7psr}:k223<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10::;5Y15096~"1:<0i7)8=4;`8yx{z3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c754?6=:3:1N5i91b=9750;&1ef<6<010c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f00329096=4?{%0bg?db3A8j<6g>4883>!4fk3;?565`1d394?"5ij0:i<5Y2`g95~J5j90:w[j8:3y'5`>=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e=?k1<7850;2x 7gd28><7E{M0a4?7|^8n;6?u+1d:951?<^8>96?u+6379f>"1:=0i7psr}:kg4?6=,;kh6i>4V3cf>4}K:k:1=vX>d181!7b03n;7[?;2;0x 3422k1/:?:5b:~yx=nl80;6)a=zutF9mk4;{W0:`?5|^m?1>v*9228g5>"4m00=>85rVe496~"1::0:845+3d;92736?u+6319`4=#;l31:?;4}Wf5>7}#>;91=974$2g:>3433t.=n54>3:U6a7<,:o26;<:;|Tg2?4|,?886i>4$2g:>3423t.=n54l;|T2a2<5s_n>6?u+6319`4=#;l31:?;4}%4a52z&2a=<51j1]=9<52z&560<6?2.=>94>7:~yI4fn3;pZ?o::3yU`0<5s-<9?7<6c:&0a<<1:<1v(;l7:058yx{P6<;09w)8=5;34?!05<3;<7psrL3ce>4}Q:h?1>vXk5;0x 3442;2o7)=j9;411>{#>k21=:5r}|9l5`7=83.9mn4>e098yg3193:1?7>50z&1ef<5i01C>l>4i06b>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997l4$707>g=zutw0e<:m:18'6de=9=30Z?oj:0yO6g6=9r\o;742e3_;?>7g=#>;>1n6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi9;<50;394?6|,;kh6?l<;I0b4>i6m80;6)=zj<<86=4<:183!4fk3=>7E5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?;b:T207<5s-<997?9;%410?713twvq6a>e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&560<0;694?:1y'6de=?<1C>l>4i06b>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997?8;%410?703twvq6g>4c83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<694>7:~yx=n9<<1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651448R4252;q/:?;5169'272=9>1vqps4o0g2>5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zj<<=6=4;:183!4fk3=>7E{zut1b=9l50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 34228=0(;<;:058yx{z3`;>:7>5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<6=?1]=9<52z&560<6?2.=>94>7:~yx=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&5615$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37e>P6<;09w)8=5;34?!05<3;<7psr}:k20g<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5169'272=9>1vqps4i075>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?:6:T207<5s-<997?8;%410?703twvq6g>6083>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=;?4V061>7}#>;?1=:5+636952=zutw0e<8;:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;<7)8=4;34?x{zu2e:i<4?:%0bg?7b92\9mh4>{M0a4?7|^m=1>v*>e982a4=Q9=81>v*9248a?!05<3h0qpsr;|`62=<72=0;6=u+2`a95`6<@;k;7d?;a;29 7gd28>27[lm515;8R7gb28qG>o>51zTg3?4|,8o36<:m;W376?4|,?8>6o5+6369f>{zut1b=8850;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9500<^8>96?u+6379f>"1:=0i7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th>5:4?:383>5}#:hi1nh5G2`28m42>290/>lm515;8?j7b93:1(?ol:0g2?S4fm3;p@?l?:0yU`2<5s-;n47?j1:T207<5s-<997l4$707>g=zutw0qo;6b;296?6=8r.9mn4me:J1e5=n9=31<7*=ab820<=5<1290;w)N5i91/=;o5189j51?=83.9mn4>489U6dc=9rF9n=4>{W3g4?4|,8o36<:6;W376?4|,?8>6o5+6369f>{zut1bh=4?:%0bg?b73_8ji7?tL3`3>4}Q9m:1>v*>e98g4>P6<;09w)8=5;`8 3432k1vqps4ie394?"5ij0o=6X=ad81I4e83;pZ=l81]=9<52z&5607}#>;91h<5+3d;92734j53zTg1?4|,?886i?4$2g:>3423t\o:742>3-9n578=4:'2g>=9:1vZ?7k:2yU`0<5s-<9?7j>;%1f=?05=2w]h;4={%417?b73-9n578=5:'2g>=k2w]=h952zTg1?4|,?886i?4$2g:>3423t.=n54>8:~y>o51j0;6)P5il09wA1vqpB=ag82S4f=38pZi;52z&566<51j1/?h756378y!0e03;<7psr;h0;`?6=,;kh6?6k;W0ba?4|D;h;6{zuE8jj7?tV3c6>7}Ql<09w)8=3;0;`>"4m00=>85r$7`;>41:18'6de=9l;07pl:9983>6<729q/>lm52`;8L7g73`;?m7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37e>P6<;09w)8=5;`8 3432k1vqps4i06a>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 3422k1/:?:5b:~yx=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&5615<7s-8jo79:;I0b4>o6P5il0:wA4`9U514=:r.=>84m;%410?d27[lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn87l:187>5<7s-8jo79:;I0b4>o6N5ik1]>lk51zN1f5<6s_n<6?u+1d:951g<^8>96?u+637952=#>;>1=:5r}|8m42e290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<:m;W376?4|,?8>6<94$707>4127[2\:8?4={%411?703-<987?8;|~y>i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d0<729q/>lm5749K6d6j6=4+2`a951?<@;ki7[;|~y>o6N5ik1]>lk51zN1f5<6s_n<6?u+1d:951d<^8>96?u+637954=#>;>1=<5r}|8m431290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;362>P6<;09w)8=5;34?!05<3;<7psr}:k224<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10::<5Y15096~"1:<0:;6*925823>{zut1d=h?50;&1ef<6m81]>lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:a1t$3c`>23<@;k;7d?;a;29 7gd28>27[;|~y>o6N5ik1]>lk51zN1f5<6s_n<6?u+1d:951d<^8>96?u+637952=#>;>1=:5r}|8m431290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;362>P6<;09w)8=5;34?!05<3;<7psr}:k224<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10::<5Y15096~"1:<0:;6*925823>{zut1d=h?50;&1ef<6m81]>lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:a1<`=83<1<7>t$3c`>23<@;k;7d?;a;29 7gd28>27E{zut1b=9l50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 34228;0(;<;:038yx{z3`;>:7>5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<6=?1]=9<52z&560<692.=>94>1:~yx=n9?;1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651738R4252;q/:?;5169'272=9>1vqps4i047>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?285$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c7b4?6=>3:1N5i91b=9o50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 34228;0(;<;:038yx{z3`;?n7>5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<694>1:~yx=n9<<1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651448R4252;q/:?;5169'272=9>1vqps4i042>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28<:7[?;2;0x 34228=0(;<;:058yx{z3`;=87>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;350>P6<;09w)8=5;34?!05<3;<7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th>m<4?:783>5}#:hi1=h>4H3c3?l73i3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997l4$707>g=zutw0e<:m:18'6de=9=30Z?oj:0yO6g6=9r\o;742e3_;?>7g=#>;>1n6sr}|9j500=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=884V061>7}#>;?1n6*9258a?x{zu2c::<4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98224=Q9=81>v*9248a?!05<3h0qpsr;h350?6=,;kh6<:6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{5<5290;w)lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:a5a0=8381<7>t$3c`>gc<@;k;7d?;9;29 7gd28>276a>e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&5600;6?4?:1y'6de=jl1C>l>4i06:>5<#:hi1=974;n3f5?6=,;kh6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{52;294~"5ij0ii6F=a19j51?=83.9mn4>4898k4c6290/>lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6k5+6369b>{zut1vn5<7s-8jo74c83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6g=zutw0qo?k9;295?6=8r.9mn4=b29K6d65}#:hi1>o=4H3c3?j7b93:1(?ol:0g2?>{e9mi1<7=50;2x 7gd2>?0D?o?;h37e?6=,;kh6<:6;I0bf>P5il0:wA4`9U514=:r.=>84j;%410?c27E5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c01=?6=:3:1N5i91b=9750;&1ef<6<010c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f74c29096=4?{%0bg?db3A8j<6g>4883>!4fk3;?565`1d394?"5ij0:i<5Y2`g95~J5j90:w[j8:3y'5`>=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e::>1<7<50;2x 7gd2ko0D?o?;h37=?6=,;kh6<:6;:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0m7)8=4;d8yx{z3th9>l4?:283>5}#:hi1>l74H3c3?l73i3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997l4$707>g=zutw0e<:m:18'6de=9=30Z?oj:0yO6g6=9r\o;742e3_;?>7g=#>;>1n6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi>?l50;394?6|,;kh6?l<;I0b4>i6m80;6)=zj;8h6=4<:183!4fk3=>7E5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;`8 3432k1vqps4o0g2>5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zj;8n6=49:183!4fk3=>7E5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?;b:T207<5s-<997?>;%410?763twvq6g>5783>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=884V061>7}#>;?1=<5+636954=zutw0e<8>:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;<7)8=4;34?x{zu2c::94?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98221=Q9=81>v*924823>"1:=0:;6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi>?h50;494?6|,;kh6:;4H3c3?l73i3:1(?ol:06:?M4fj2\9mh4>{M0a4?7|^m=1>v*>e9820d=Q9=81>v*924825>"1:=0:=6sr}|9j51d=83.9mn4>489K6dd<^;kn6P5il0:wA579U514=:r.=>84>1:&561<692wvqp5f17394?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<8>;W376?4|,?8>6<94$707>4127[i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d3<729q/>lm5749K6d6j6=4+2`a951?<@;ki7[;|~y>o6N5ik1]>lk51zN1f5<6s_n<6?u+1d:951d<^8>96?u+637954=#>;>1=<5r}|8m431290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;362>P6<;09w)8=5;32?!05<3;:7psr}:k224<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h651738R4252;q/:?;5169'272=9>1vqps4i047>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?285$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c005?6=>3:1N5i91b=9o50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 34228;0(;<;:038yx{z3`;?n7>5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<694>1:~yx=n9<<1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;74313_;?>747<,?8?6{M0a4?7|^m=1>v*>e98224=Q9=81>v*924823>"1:=0:;6sr}|9j532=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;:4V061>7}#>;?1=:5+636952=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f755290<6=4?{%0bg?123A8j<6g>4`83>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1=<5+636954=zutw0e<:m:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;:7)8=4;32?x{zu2c:9;4?:%0bg?7312B9mo5Y2`g95~J5j90:w[j8:3y'5`>=9<<0Z<:=:3y'273=981/:?:5109~yx{o6>=0;6)P5il0:wA659U514=:r.=>84>7:&561<6?2wvqp5f17794?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9??0Z<:=:3y'273=9>1/:?:5169~yx{5<1290;w)N5i91b=9o50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951g<^8>96?u+6379f>"1:=0i7psr}:k20g<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:8o5Y15096~"1:<0i7)8=4;`8yx{z3`;>:7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;362>P6<;09w)8=5;`8 3432k1vqps4i042>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28<:7[?;2;0x 3422k1/:?:5b:~yx=n9?>1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651768R4252;q/:?;5b:&561e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&560l>4i06:>5<#:hi1=974;n3f5?6=,;kh6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi8k850;494?6|,;kh6<:8;I0b4>"6>h0:m6g>4883>!4fk3;?56X=ad82I4e83;pZ=9=30Z<:=:3y'273=j2.=>94m;|~y>oc83:1(?ol:e28R7gb28qG>o>51zT2`5<5s-;n47j?;W376?4|,?8>6o5+6369f>{zut1bh<4?:%0bg?b63_8ji74}Q9m:1>v*>e98g5>P6<;09w)8=5;f8 3432m1vqpB=ag87S4>l39pZi;52z&566>4>489'7`?=>;?0q)8m8;30?xP51m08w[j::3y'275=l81/?h756378ySb12;q/:?=515;8 6c>2?8?7p*9b9827>{Q:0n1?vXk5;0x 3442m;0(>k6:706?xPc>38p(;<<:e28 6c>2?8>7p*9b98`?xP6m>09w[j::3y'275=l81/?h756378y!0e03;37psr;h0:g?6=,;kh6?7l;W0ba?4|D;h;6{zuE8jj7?tV3c6>7}Ql<09w)8=3;0:g>"4m00=>85r$7`;>41l;52zTg1?4|,?886?6k;%1f=?05=2w/:o65169~yx=h9l;1<7*=ab82a4=m<7>53;294~"5ij09m45G2`28m42f290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;37e>P6<;09w)8=5;`8 3432k1vqps4i06a>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 3422k1/:?:5b:~yx=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&5615<7s-8jo79:;I0b4>o6P5il0:wA4`9U514=:r.=>84>6:&561<6>2wvqp5f15`94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9=h0Z<:=:3y'273=9?1/:?:5179~yx{5<4290;w)=9=k0Z<:=:3y'273=9?1/:?:5179~yx{i6=4+2`a951?<@;ki7[i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d6<729q/>lm51d28L7g73`;?m7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37e>P6<;09w)8=5;`8 3432k1vqps4i06a>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 3422k1/:?:5b:~yx=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&5615$3c`>42>32e:i<4?:%0bg?7b92\9mh4>{M0a4?7|^m=1>v*>e982a4=Q9=81>v*9248a?!05<3h0qpsr;|`a`?6=:3:1N5i91b=9750;&1ef<6<010c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f06a29096=4?{%0bg?db3A8j<6g>4883>!4fk3;?565`1d394?"5ij0:i<5Y2`g95~J5j90:w[j8:3y'5`>=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e=8=1<7850;2x 7gd28><7E{M0a4?7|^8n;6?u+1d:951?<^8>96?u+6379f>"1:=0i7psr}:kg4?6=,;kh6i>4V3cf>4}K:k:1=vX>d181!7b03n;7[?;2;0x 3422k1/:?:5b:~yx=nl80;6)a=zutF9mk4;{W0:`?5|^m?1>v*9228g5>"4m00=>85rVe496~"1::0:845+3d;92736?u+6319`4=#;l31:?;4}Wf5>7}#>;91=974$2g:>3433t.=n54>3:U6a7<,:o26;<:;|Tg2?4|,?886i>4$2g:>3423t.=n54l;|T2a2<5s_n>6?u+6319`4=#;l31:?;4}%4a52z&2a=<51j1]=9<52z&560<6?2.=>94>7:~yI4fn3;pZ?o::3yU`0<5s-<9?7<6c:&0a<<1:<1v(;l7:058yx{P6<;09w)8=5;34?!05<3;<7psrL3ce>4}Q:h?1>vXk5;0x 3442;2o7)=j9;411>{#>k21=:5r}|9l5`7=83.9mn4>e098yg37k3:1?7>50z&1ef<5i01C>l>4i06b>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997l4$707>g=zutw0e<:m:18'6de=9=30Z?oj:0yO6g6=9r\o;742e3_;?>7g=#>;>1n6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi9=j50;394?6|,;kh6?l<;I0b4>i6m80;6)=zj<:n6=4<:183!4fk3=>7E5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;`8 3432k1vqps4o0g2>5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zj<;;6=4=1;294~"5ij0<96F=a19j51g=83.9mn4>489K6dd<^;kn6P5il0:wA4c9U514=:r.=>84>1:&561<692wvqp5f14494?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9<<0Z<:=:3y'273=981/:?:5109~yx{4381!05=3;:7)8=4;32?x{zu2c::84?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98220=Q9=81>v*924825>"1:=0:=6sr}|9j530=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;84V061>7}#>;?1=<5+636954=zutw0e<88:18'6de=9=30Z?oj:0yO6g6=9r\o;74003_;?>747<,?8?6;%410?763twvq6g>6883>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6>01]=9<52z&560<692.=>94>1:~yx=n9=i1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515a8R4252;q/:?;5109'272=981vqps4i06g>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>o7[?;2;0x 34228;0(;<;:038yx{z3`;?i7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37a>P6<;09w)8=5;32?!05<3;:7psr}:k20c<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:8k5Y15096~"1:<0:=6*925825>{zut1b=8>50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28?;7[?;2;0x 34228;0(;<;:038yx{z3`;>=7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;365>P6<;09w)8=5;32?!05<3;:7psr}:k217<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:9?5Y15096~"1:<0:;6*925823>{zut1b=8=50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9505<^8>96?u+637952=#>;>1=:5r}|8m433290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;360>P6<;09w)8=5;34?!05<3;<7psr}:k210<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:985Y15096~"1:<0:;6*925823>{zut1b=8950;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9501<^8>96?u+6379f>"1:=0i7psr}:k21=<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:955Y15096~"1:<0i7)8=4;`8yx{z3`;>57>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;36=>P6<;09w)8=5;`8 3432k1vqps4i07b>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28?j7[?;2;0x 3422k1/:?:5b:~yx=n95b83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6=j1]=9<52z&560g=zutw0e<;j:18'6de=9=30Z?oj:0yO6g6=9r\o;743b3_;?>7g=#>;>1n6sr}|9j50`=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=8h4V061>7}#>;?1n6*9258a?x{zu2c::=4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98225=Q9=81>v*9248a?!05<3h0qpsr;h356?6=,;kh6<:6;W0ba?7|D;h;66X>4381!05=3h0(;<;:c9~yx{=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e=8;1<7<>:183!4fk3=>7E5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?;b:T207<5s-<997?>;%410?763twvq6g>5783>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6=?1]=9<52z&560<692.=>94>1:~yx=n9?;1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651738R4252;q/:?;5109'272=981vqps4i047>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?285$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;351>P6<;09w)8=5;32?!05<3;:7psr}:k223<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10::;5Y15096~"1:<0:=6*925825>{zut1b=;950;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9531<^8>96?u+637954=#>;>1=<5r}|8m40?290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<87;W376?4|,?8>64727[;|~y>o6P5il0:wA4b9U514=:r.=>84>1:&561<692wvqp5f15f94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9=n0Z<:=:3y'273=981/:?:5109~yx{n6=4+2`a951?<^;kn64381!05=3;:7)8=4;32?x{zu2c:9=4?:%0bg?7312B9mo5Y2`g95~J5j90:w[j8:3y'5`>=9<:0Z<:=:3y'273=981/:?:5109~yx{>6X>4381!05=3;<7)8=4;34?x{zu2c:9>4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98216=Q9=81>v*924823>"1:=0:;6sr}|9j502=83.9mn4>489K6dd<^;kn696X>4381!05=3;<7)8=4;34?x{zu2c:9:4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98212=Q9=81>v*9248a?!05<3h0qpsr;h3646X>4381!05=3h0(;<;:c9~yx{=994m;|~y>o6=k0;6)P5il0:wA5c9U514=:r.=>84m;%410?d27[lm515;8R7gb28qG>o>51zTg3?4|,8o36<;k;W376?4|,?8>6o5+6369f>{zut1b=8k50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:950c<^8>96?u+6379f>"1:=0i7psr}:k21c<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:9k5Y15096~"1:<0i7)8=4;`8yx{z3`;=<7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;354>P6<;09w)8=5;`8 3432k1vqps4i041>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28<97[?;2;0x 3422k1/:?:5b:~yx=n9?91<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651718R4252;q/:?;5b:&561e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&560?0D?o?;h37e?6=,;kh6<:6;I0bf>P5il0:wA4`9U514=:r.=>84>1:&561<692wvqp5f15`94?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<:m;W376?4|,?8>64727[2\:8?4={%411?763-<987?>;|~y>o6>80;6)P5il0:wA609U514=:r.=>84>1:&561<692wvqp5f17694?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9?>0Z<:=:3y'273=981/:?:5109~yx{6=4+2`a951?<^;kn64381!05=3;:7)8=4;32?x{zu2c:::4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98222=Q9=81>v*924825>"1:=0:=6sr}|9j53>=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;64V061>7}#>;?1=<5+636954=zutw0e<86:18'6de=9=30Z?oj:0yO6g6=9r\o;740>3_;?>747<,?8?6{M0a4?7|^m=1>v*>e9820f=Q9=81>v*924825>"1:=0:=6sr}|9j51b=83.9mn4>489K6dd<^;kn6P5il0:wA4d9U514=:r.=>84>1:&561<692wvqp5f15d94?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<:i;W376?4|,?8>64727E{zut1b=8?50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28?:7[?;2;0x 34228;0(;<;:038yx{z3`;>>7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;366>P6<;09w)8=5;32?!05<3;:7psr}:k216<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:9>5Y15096~"1:<0:;6*925823>{zut1b=8:50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9502<^8>96?u+637952=#>;>1=:5r}|8m432290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;361>P6<;09w)8=5;34?!05<3;<7psr}:k212<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:9:5Y15096~"1:<0i7)8=4;`8yx{z3`;>47>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;36<>P6<;09w)8=5;`8 3432k1vqps4i07:>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28?27[?;2;0x 3422k1/:?:5b:~yx=n95c83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6=k1]=9<52z&560g=zutw0e<;k:18'6de=9=30Z?oj:0yO6g6=9r\o;743c3_;?>7g=#>;>1n6sr}|9j50c=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=8k4V061>7}#>;?1n6*9258a?x{zu2c:9k4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9821c=Q9=81>v*9248a?!05<3h0qpsr;h354?6=,;kh6<:6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{=9?90Z<:=:3y'273=j2.=>94m;|~y>i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d77=83:p(?ol:678L7g73`;?m7>5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<694>1:~yx=n9=h1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;742e3_;?>747<,?8?63:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?:6:T207<5s-<997?>;%410?763twvq6g>6083>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6>81]=9<52z&560<692.=>94>1:~yx=n9?>1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651768R4252;q/:?;5109'272=981vqps4i046>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28<>7[?;2;0x 34228;0(;<;:038yx{z3`;=:7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;352>P6<;09w)8=5;32?!05<3;:7psr}:k222<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:::5Y15096~"1:<0:=6*925825>{zut1b=;650;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:953><^8>96?u+637954=#>;>1=<5r}|8m40>290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<86;W376?4|,?8>64727E{zut1b=9j50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28>o7[?;2;0x 34228;0(;<;:038yx{z3`;?i7>5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<694>1:~yx=n9=l1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;742a3_;?>747<,?8?6{M0a4?7|^m=1>v*>e98215=Q9=81>v*924825>"1:=0:=6sr}|9j507=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=8?4V061>7}#>;?1=<5+636954=zutw0e<;=:18'6de=9=30Z?oj:0yO6g6=9r\o;74353_;?>741<,?8?6<94}|~?l72;3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?:3:T207<5s-<997?8;%410?703twvq6g>5583>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=8:4V061>7}#>;?1=:5+636952=zutw0e<;::18'6de=9=30Z?oj:0yO6g6=9r\o;74323_;?>741<,?8?6<94}|~?l72?3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?:7:T207<5s-<997l4$707>g=zutw0e<;7:18'6de=9=30Z?oj:0yO6g6=9r\o;743?3_;?>7g=#>;>1n6sr}|9j50?=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=874V061>7}#>;?1n6*9258a?x{zu2c:9l4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9821d=Q9=81>v*9248a?!05<3h0qpsr;h36f?6=,;kh6<:6;W0ba?7|D;h;6n6X>4381!05=3h0(;<;:c9~yx{=994m;|~y>o6=l0;6)P5il0:wA5d9U514=:r.=>84m;%410?d27[lm515;8R7gb28qG>o>51zTg3?4|,8o36<8?;W376?4|,?8>6o5+6369f>{zut1b=;<50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9534<^8>96?u+6379f>"1:=0i7psr}:k226<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10::>5Y15096~"1:<0i7)8=4;`8yx{z3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c720?6=:80;6=u+2`a930=O:h:0e<:n:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;:7)8=4;32?x{zu2c:8o4?:%0bg?7312B9mo5Y2`g95~J5j90:w[j8:3y'5`>=9=h0Z<:=:3y'273=981/:?:5109~yx{4381!05=3;:7)8=4;32?x{zu2c::94?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98221=Q9=81>v*924825>"1:=0:=6sr}|9j533=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;;4V061>7}#>;?1=<5+636954=zutw0e<89:18'6de=9=30Z?oj:0yO6g6=9r\o;74013_;?>747<,?8?6;%410?763twvq6g>6983>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6>11]=9<52z&560<692.=>94>1:~yx=n9?31<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6517;8R4252;q/:?;5109'272=981vqps4i06`>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?;c:T207<5s-<997?>;%410?763twvq6g>4e83>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=9j4V061>7}#>;?1=<5+636954=zutw0e<:j:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;:7)8=4;32?x{zu2c:8k4?:%0bg?7312B9mo5Y2`g95~J5j90:w[j8:3y'5`>=9=l0Z<:=:3y'273=981/:?:5109~yx{;|~y>o6=80;6)P5il0:wA509U514=:r.=>84>1:&561<692wvqp5f14094?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9<80Z<:=:3y'273=9>1/:?:5169~yx{P5il0:wA559U514=:r.=>84>7:&561<6?2wvqp5f14794?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=91/:?:5169~yx{=9<20Z<:=:3y'273=j2.=>94m;|~y>o6=00;6)P5il0:wA589U514=:r.=>84m;%410?d27[lm515;8R7gb28qG>o>51zTg3?4|,8o36<;m;W376?4|,?8>6o5+6369f>{zut1b=8m50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:950e<^8>96?u+6379f>"1:=0i7psr}:k21a<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:9i5Y15096~"1:<0i7)8=4;`8yx{z3`;>i7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;36a>P6<;09w)8=5;`8 3432k1vqps4i07e>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28?m7[?;2;0x 3422k1/:?:5b:~yx=n9?:1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651728R4252;q/:?;5b:&5616383>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6>;1]=9<52z&560g=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f072290=6=4?{%0bg?7b82B9m=5f15c94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9=k0Z<:=:3y'273=j2.=>94m;|~y>o6P5il0:wA4c9U514=:r.=>84m;%410?d27[2\:8?4={%411?d<,?8?6o5r}|8m406290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<8>;W376?4|,?8>6o5+6369f>{zut1b=;:50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9532<^8>96?u+6379f>"1:=0i7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th>:i4?:383>5}#:hi1nh5G2`28m42>290/>lm515;8?j7b93:1(?ol:0g2?S4fm3;p@?l?:0yU`2<5s-;n47?j1:T207<5s-<997l4$707>g=zutw0qo;84;296?6=8r.9mn4me:J1e5=n9=31<7*=ab820<=5<4290;w)N5i91b=9o50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 3422k1/:?:5b:~yx=n9=h1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5b:&561e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&560o0;6<4?:1y'6de=:k90D?o?;n3f5?6=,;kh6;:a127=83>1<7>t$3c`>23<@;k;7d?;a;29 7gd28>27[o6P5il0:wA4c9U514=:r.=>84>0:&561<682wvqp5f14494?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<;9;W376?4|,?8>6<>4$707>4650z&1ef<0=2B9m=5f15c94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9=k0Z<:=:3y'273=991/:?:5119~yx{i6=4+2`a951?<^;kn6:6X>4381!05=3;;7)8=4;33?x{zu2c::<4?:%0bg?7312B9mo5Y2`g95~J5j90:w[j8:3y'5`>=9?;0Z<:=:3y'273=991/:?:5119~yx{5<2290;w){M0a4?7|^m=1>v*>e9820d=Q9=81>v*924824>"1:=0:<6sr}|9j51d=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9l4V061>7}#>;?1==5+636955=zutw0e<;9:18'6de=9=30Z?oj:0yO6g6=9r\o;74313_;?>746<,?8?6<>4}|~?l7193:1(?ol:06:?M4fj2\9mh4>{M0a4?7|^m=1>v*>e98224=Q9=81>v*924824>"1:=0:<6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi9:>50;694?6|,;kh6o6P5il0:wA4`9U514=:r.=>84m;%410?d27[lm515;8R7gb28qG>o>51zTg3?4|,8o36<;9;W376?4|,?8>6o5+6369f>{zut1d=h?50;&1ef<6m81]>lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:a121=8381<7>t$3c`>gc<@;k;7d?;9;29 7gd28>276a>e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&560l>4i06:>5<#:hi1=974;n3f5?6=,;kh6;W0ba?7|D;h;64381!05=3l0(;<;:g9~yx{53;294~"5ij09m45G2`28m42f290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;37e>P6<;09w)8=5;`8 3432k1vqps4i06a>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 3422k1/:?:5b:~yx=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&5615<7s-8jo79:;I0b4>o6N5ik1]>lk51zN1f5<6s_n<6?u+1d:951g<^8>96?u+637953=#>;>1=;5r}|8m42e290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<:m;W376?4|,?8>6<84$707>4050z&1ef<0=2B9m=5f15c94?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<:n;W376?4|,?8>6<84$707>4027E{zut1b=8850;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28?=7[?;2;0x 34228<0(;<;:048yx{z3`;==7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;355>P6<;09w)8=5;35?!05<3;=7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th>;l4?:283>5}#:hi1=h>4H3c3?l73i3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997l4$707>g=zutw0e<:m:18'6de=9=30Z?oj:0yO6g6=9r\o;742e3_;?>7g=#>;>1n6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi9l850;094?6|,;kh6ok4H3c3?l7313:1(?ol:06:?>i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d7<729q/>lm5bd9K6d626=4+2`a951?<3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c7bb?6=:3:1N5i91b=9750;&1ef<6<010c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7c=#>;>1j6sr}|9~f0g029086=4?{%0bg?4f12B9m=5f15c94?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<:n;W376?4|,?8>6o5+6369f>{zut1b=9l50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951d<^8>96?u+6379f>"1:=0i7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th>m54?:083>5}#:hi1>o=4H3c3?j7b93:1(?ol:0g2?>{e=h31<7:50;2x 7gd2>?0D?o?;h37e?6=,;kh6<:6;I0bf>P5il0:wA4`9U514=:r.=>84>0:&561<682wvqp5f15`94?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<:m;W376?4|,?8>6<>4$707>4627[2\:8?4={%411?713-<987?9;|~y>i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d6<729q/>lm5749K6d6j6=4+2`a951?<^;kn64381!05=3;<7)8=4;34?x{zu2e:i<4?:%0bg?7b92\9mh4>{M0a4?7|^m=1>v*>e982a4=Q9=81>v*9248a?!05<3h0qpsr;|`6ef<72:0;6=u+2`a930=O:h:0e<:n:18'6de=9=30Z?oj:0yO6g6=9r\o;742f3_;?>741<,?8?6<94}|~?l73j3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;b:T207<5s-<997?8;%410?703twvq6a>e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&560l>4i06b>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997?>;%410?763twvq6g>4c83>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=9l4V061>7}#>;?1=<5+636954=zutw0e<;9:18'6de=9=30D?om;W0ba?7|D;h;6:6X>4381!05=3;:7)8=4;32?x{zu2c::<4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98224=Q9=81>v*924823>"1:=0:;6sr}|9j532=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;:4V061>7}#>;?1=:5+636952=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f0gb290?6=4?{%0bg?7b82B9m=5f15c94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9=k0Z<:=:3y'273=j2.=>94m;|~y>o6P5il0:wA4c9U514=:r.=>84m;%410?d27[2\:8?4={%411?d<,?8?6o5r}|8k4c6290/>lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn8l=:181>5<7s-8jo7lj;I0b4>o6<00;6)=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&5615$3c`>42>32e:i<4?:%0bg?7b92\9mh4>{M0a4?7|^m=1>v*>e982a4=Q9=81>v*9248a?!05<3h0qpsr;|`6fa<72;0;6=u+2`a9f`=O:h:0e<:6:18'6de=9=307b?j1;29 7gd28o:7[50z&1ef<5i01C>l>4i06b>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997l4$707>g=zutw0e<:m:18'6de=9=30Z?oj:0yO6g6=9r\o;742e3_;?>7g=#>;>1n6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi9o:50;394?6|,;kh6?l<;I0b4>i6m80;6)=zj6=4<:183!4fk3=>7E5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;`8 3432k1vqps4o0g2>5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zj7E{zut1b=9l50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951d<^8>96?u+637954=#>;>1=<5r}|8m431290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;362>P6<;09w)8=5;32?!05<3;:7psr}:k224<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h651738R4252;q/:?;5109'272=981vqps4i047>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?94:T207<5s-<997?8;%410?703twvq6g>6483>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=;;4V061>7}#>;?1=:5+636952=zutw0e<89:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;<7)8=4;34?x{zu2c:::4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98222=Q9=81>v*924823>"1:=0:;6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi9o650;;94?6|,;kh6:;4H3c3?l73i3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997?>;%410?763twvq6g>4c83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<694>1:~yx=n9<<1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;74313_;?>747<,?8?6{M0a4?7|^m=1>v*>e98224=Q9=81>v*924823>"1:=0:;6sr}|9j532=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;:4V061>7}#>;?1=:5+636952=zutw0e<8::18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;<7)8=4;34?x{zu2c::;4?:%0bg?7312B9mo5Y2`g95~J5j90:w[j8:3y'5`>=9?<0Z<:=:3y'273=9>1/:?:5169~yx{;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{59;294~"5ij0<96F=a19j51g=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1=<5+636954=zutw0e<:m:18'6de=9=30Z?oj:0yO6g6=9r\o;742e3_;?>747<,?8?63:1(?ol:06:?M4fj2\9mh4>{M0a4?7|^m=1>v*>e98213=Q9=81>v*924825>"1:=0:=6sr}|9j537=83.9mn4>489K6dd<^;kn6P5il0:wA659U514=:r.=>84>7:&561<6?2wvqp5f17794?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<8:;W376?4|,?8>6<94$707>4127E{zut1b=;950;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9531<^8>96?u+637952=#>;>1=:5r}|8k4c6290/>lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn8ln:18:>5<7s-8jo79:;I0b4>o6P5il0:wA4`9U514=:r.=>84>1:&561<692wvqp5f15`94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9=h0Z<:=:3y'273=981/:?:5109~yx{2\:8?4={%411?763-<987?>;|~y>o6>80;6)N5ik1]>lk51zN1f5<6s_n<6?u+1d:9537<^8>96?u+637952=#>;>1=:5r}|8m403290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<8;;W376?4|,?8>6<94$707>4127E{zut1b=;850;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28<=7[?;2;0x 34228=0(;<;:058yx{z3`;=;7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;353>P6<;09w)8=5;34?!05<3;<7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th>no4?:883>5}#:hi1;85G2`28m42f290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<:n;W376?4|,?8>64727E{zut1b=8850;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28?=7[?;2;0x 34228;0(;<;:038yx{z3`;==7>5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<6>81]=9<52z&560<6?2.=>94>7:~yx=n9?>1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;74033_;?>741<,?8?6<94}|~?l71=3:1(?ol:06:?M4fj2\9mh4>{M0a4?7|^m=1>v*>e98220=Q9=81>v*924823>"1:=0:;6sr}|9j530=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;84V061>7}#>;?1=:5+636952=zutw0e<88:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;<7)8=4;34?x{zu2e:i<4?:%0bg?7b92\9mh4>{M0a4?7|^m=1>v*>e982a4=Q9=81>v*9248a?!05<3h0qpsr;|`6ff<72?0;6=u+2`a95`6<@;k;7d?;a;29 7gd28>27[lm515;8R7gb28qG>o>51zTg3?4|,8o36<:m;W376?4|,?8>6o5+6369f>{zut1b=8850;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9500<^8>96?u+6379f>"1:=0i7psr}:k224<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10::<5Y15096~"1:<0i7)8=4;`8yx{z3`;=87>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;350>P6<;09w)8=5;`8 3432k1vqps4o0g2>5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zje09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi9io50;094?6|,;kh6ok4H3c3?l7313:1(?ol:06:?>i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d7<729q/>lm5bd9K6d626=4+2`a951?<3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;d8 3432o1vqps4}c7g3?6=;3:14381!05=3h0(;<;:c9~yx{i6=4+2`a951?<^;kn6=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e=m21<7?50;2x 7gd2;h87E29086=4?{%0bg?123A8j<6g>4`83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6g=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f0be29036=4?{%0bg?123A8j<6g>4`83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<694>1:~yx=n9=h1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;742e3_;?>747<,?8?63:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?:6:T207<5s-<997?8;%410?703twvq6g>6083>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=;?4V061>7}#>;?1=:5+636952=zutw0e<8;:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;<7)8=4;34?x{zu2c::84?:%0bg?7312B9mo5Y2`g95~J5j90:w[j8:3y'5`>=9??0Z<:=:3y'273=9>1/:?:5169~yx{2\:8?4={%411?703-<987?8;|~y>i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d=<729q/>lm5749K6d6j6=4+2`a951?<^;kn64381!05=3;<7)8=4;34?x{zu2c:9;4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98213=Q9=81>v*924823>"1:=0:;6sr}|9j537=83.9mn4>489K6dd<^;kn6P5il0:wA659U514=:r.=>84>7:&561<6?2wvqp5f17794?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<8:;W376?4|,?8>6<94$707>4127E{zut1d=h?50;&1ef<6m81]>lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:a1ab=8321<7>t$3c`>23<@;k;7d?;a;29 7gd28>27E{zut1b=9l50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 34228;0(;<;:038yx{z3`;>:7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;362>P6<;09w)8=5;34?!05<3;<7psr}:k224<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10::<5Y15096~"1:<0:;6*925823>{zut1b=;:50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?285$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;351>P6<;09w)8=5;34?!05<3;<7psr}:k223<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h651748R4252;q/:?;5169'272=9>1vqps4o0g2>5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zj7E{zut1b=9l50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 34228;0(;<;:038yx{z3`;>:7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;362>P6<;09w)8=5;34?!05<3;<7psr}:k224<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h651738R4252;q/:?;5169'272=9>1vqps4i047>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?94:T207<5s-<997?8;%410?703twvq6g>6483>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=;;4V061>7}#>;?1=:5+636952=zutw0e<89:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;<7)8=4;34?x{zu2e:i<4?:%0bg?7b92\9mh4>{M0a4?7|^m=1>v*>e982a4=Q9=81>v*9248a?!05<3h0qpsr;|`6`c<7210;6=u+2`a930=O:h:0e<:n:18'6de=9=30Z?oj:0yO6g6=9r\o;742f3_;?>747<,?8?65783>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6=?1]=9<52z&560<6?2.=>94>7:~yx=n9?;1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;74063_;?>741<,?8?6<94}|~?l71<3:1(?ol:06:?M4fj2\9mh4>{M0a4?7|^m=1>v*>e98221=Q9=81>v*924823>"1:=0:;6sr}|9j533=83.9mn4>489K6dd<^;kn6P5il0:wA679U514=:r.=>84>7:&561<6?2wvqp5`1d394?"5ij0:i<5Y2`g95~J5j90:w[j8:3y'5`>=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e=l:1<7850;2x 7gd28o;7E5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;`8 3432k1vqps4i075>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28?=7[?;2;0x 3422k1/:?:5b:~yx=n9?;1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651738R4252;q/:?;5b:&5616583>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6>=1]=9<52z&560g=zutw0qom9:181>5<7s-8jo7lj;I0b4>o6<00;6)=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&5617<729q/>lm5bd9K6d626=4+2`a951?<3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;d8 3432o1vqps4}ca4>5<4290;w)N5i91b=9o50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951g<^8>96?u+6379f>"1:=0i7psr}:k20g<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:8o5Y15096~"1:<0i7)8=4;`8yx{z3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}ca;>5<6290;w)N5i91d=h?50;&1ef<6m810qom6:182>5<7s-8jo7?kf:J1e5=h9l;1<7*=ab82a4=52;294~"5ij0ii6F=a19j51?=83.9mn4>4898k4c6290/>lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn>8j:181>5<7s-8jo7lj;I0b4>o6<00;6)=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5f:&561{M0a4?7|^m=1>v*>e9820d=Q9=81>v*9248a?!05<3h0qpsr;h37f?6=,;kh6<:6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{5<6290;w)N5i91d=h?50;&1ef<6m810qo=97;297?6=8r.9mn485:J1e5=n9=k1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515c8R4252;q/:?;5b:&5614c83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6g=zutw0qo=98;297?6=8r.9mn485:J1e5=n9=k1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515c8R4252;q/:?;5b:&5614c83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6g=zutw0qo=99;290?6=8r.9mn485:J1e5=n9=k1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515c8R4252;q/:?;5179'272=9?1vqps4i06a>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?;b:T207<5s-<997?9;%410?713twvq6g>5783>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6=?1]=9<52z&560<6>2.=>94>6:~yx=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&561=9=k0Z<:=:3y'273=9?1/:?:5179~yx{i6=4+2`a951?<^;kn6P5il0:wA579U514=:r.=>84>6:&561<6>2wvqp5f17394?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<8>;W376?4|,?8>6<84$707>4027E{zut1b=;;50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28<>7[?;2;0x 34228<0(;<;:048yx{z3`;=:7>5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<6>?1]=9<52z&560<6>2.=>94>6:~yx=n9?=1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;74003_;?>740<,?8?6<84}|~?l7103:1(?ol:06:?M4fj2\9mh4>{M0a4?7|^m=1>v*>e9822==Q9=81>v*924822>"1:=0::6sr}|9j53?=83.9mn4>489K6dd<^;kn6P5il0:wA4b9U514=:r.=>84>6:&561<6>2wvqp5f15f94?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<:k;W376?4|,?8>6<84$707>4027E{zut1b=9h50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28>m7[?;2;0x 34228<0(;<;:048yx{z3`;><7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;364>P6<;09w)8=5;`8 3432k1vqps4i072>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28?:7[?;2;0x 3422k1/:?:5b:~yx=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&561=9=k0Z<:=:3y'273=991/:?:5119~yx{i6=4+2`a951?<@;ki7[o6=?0;6)P5il0:wA579U514=:r.=>84>0:&561<682wvqp5f17394?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9?;0Z<:=:3y'273=991/:?:5119~yx{4381!05=3;;7)8=4;33?x{zu2c::;4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98223=Q9=81>v*924824>"1:=0:<6sr}|9j531=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;94V061>7}#>;?1==5+636955=zutw0e<87:18'6de=9=30Z?oj:0yO6g6=9r\o;740?3_;?>746<,?8?6<>4}|~?l7113:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?99:T207<5s-<997??;%410?773twvq6g>4b83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<694>0:~yx=n9=n1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515f8R4252;q/:?;5119'272=991vqps4i06f>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>n7[?;2;0x 34228:0(;<;:028yx{z3`;?j7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37b>P6<;09w)8=5;33?!05<3;;7psr}:k215<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h651428R4252;q/:?;5119'272=991vqps4i072>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?:1:T207<5s-<997??;%410?773twvq6g>5383>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6=;1]=9<52z&560<682.=>94>0:~yx=n9<91<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651418R4252;q/:?;5179'272=9?1vqps4i077>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?:4:T207<5s-<997?9;%410?713twvq6g>5483>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6=<1]=9<52z&560g=zutw0e<;7:18'6de=9=30Z?oj:0yO6g6=9r\o;743?3_;?>7g=#>;>1n6sr}|9j50?=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=874V061>7}#>;?1n6*9258a?x{zu2c:9l4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9821d=Q9=81>v*9248a?!05<3h0qpsr;h36f?6=,;kh6<:6;W0ba?7|D;h;6n6X>4381!05=3h0(;<;:c9~yx{=994m;|~y>o6=l0;6)P5il0:wA5d9U514=:r.=>84m;%410?d27[lm515;8R7gb28qG>o>51zTg3?4|,8o36<8?;W376?4|,?8>6o5+6369f>{zut1b=;<50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9534<^8>96?u+6379f>"1:=0i7psr}:k226<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10::>5Y15096~"1:<0i7)8=4;`8yx{z3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c15g?6=:80;6=u+2`a930=O:h:0e<:n:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;;7)8=4;33?x{zu2c:8o4?:%0bg?7312B9mo5Y2`g95~J5j90:w[j8:3y'5`>=9=h0Z<:=:3y'273=991/:?:5119~yx{4381!05=3;;7)8=4;33?x{zu2c::94?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98221=Q9=81>v*924824>"1:=0:<6sr}|9j533=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;;4V061>7}#>;?1==5+636955=zutw0e<89:18'6de=9=30Z?oj:0yO6g6=9r\o;74013_;?>746<,?8?6<>4}|~?l71?3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?97:T207<5s-<997??;%410?773twvq6g>6983>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6>11]=9<52z&560<682.=>94>0:~yx=n9?31<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6517;8R4252;q/:?;5119'272=991vqps4i06`>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>h7[?;2;0x 34228:0(;<;:028yx{z3`;?h7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37`>P6<;09w)8=5;33?!05<3;;7psr}:k20`<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:8h5Y15096~"1:<0:<6*925824>{zut1b=9h50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951`<^8>96?u+637955=#>;>1==5r}|8m437290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;364>P6<;09w)8=5;33?!05<3;;7psr}:k214<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h651438R4252;q/:?;5119'272=991vqps4i071>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28?97[?;2;0x 34228:0(;<;:028yx{z3`;>?7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;367>P6<;09w)8=5;35?!05<3;=7psr}:k211<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h651468R4252;q/:?;5179'272=9?1vqps4i076>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28?>7[?;2;0x 3422k1/:?:5b:~yx=n9<=1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651458R4252;q/:?;5b:&5615983>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6=11]=9<52z&560g=zutw0e<;n:18'6de=9=30Z?oj:0yO6g6=9r\o;743f3_;?>7g=#>;>1n6sr}|9j50d=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=8l4V061>7}#>;?1n6*9258a?x{zu2c:9n4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9821f=Q9=81>v*9248a?!05<3h0qpsr;h36`?6=,;kh6<:6;W0ba?7|D;h;6h6X>4381!05=3h0(;<;:c9~yx{=994m;|~y>o6>90;6)P5il0:wA619U514=:r.=>84m;%410?d27[lm515;8R7gb28qG>o>51zTg3?4|,8o36<8<;W376?4|,?8>6o5+6369f>{zut1d=h?50;&1ef<6m81]>lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:a73b=838:6=4?{%0bg?123A8j<6g>4`83>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1==5+636955=zutw0e<:m:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;;7)8=4;33?x{zu2c:9;4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98213=Q9=81>v*924824>"1:=0:<6sr}|9j537=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;?4V061>7}#>;?1==5+636955=zutw0e<8;:18'6de=9=30Z?oj:0yO6g6=9r\o;74033_;?>746<,?8?6<>4}|~?l71=3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?95:T207<5s-<997??;%410?773twvq6g>6783>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6>?1]=9<52z&560<682.=>94>0:~yx=n9?=1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651758R4252;q/:?;5119'272=991vqps4i04;>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28<37[?;2;0x 34228:0(;<;:028yx{z3`;=57>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;35=>P6<;09w)8=5;33?!05<3;;7psr}:k20f<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h6515a8R4252;q/:?;5119'272=991vqps4i06g>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?;d:T207<5s-<997??;%410?773twvq6g>4d83>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=9k4V061>7}#>;?1==5+636955=zutw0e<:i:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;;7)8=4;33?x{zu2c:9=4?:%0bg?7312B9mo5Y2`g95~J5j90:w[j8:3y'5`>=9<:0Z<:=:3y'273=991/:?:5119~yx{o6=;0;6)P5il0:wA539U514=:r.=>84>0:&561<682wvqp5f14194?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9<90Z<:=:3y'273=9?1/:?:5179~yx{o6=<0;6)P5il0:wA549U514=:r.=>84m;%410?d27[lm515;8R7gb28qG>o>51zTg3?4|,8o36<;7;W376?4|,?8>6o5+6369f>{zut1b=8750;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:950?<^8>96?u+6379f>"1:=0i7psr}:k21d<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:9l5Y15096~"1:<0i7)8=4;`8yx{z3`;>n7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;36f>P6<;09w)8=5;`8 3432k1vqps4i07`>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28?h7[?;2;0x 3422k1/:?:5b:~yx=n95d83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6=l1]=9<52z&560g=zutw0e<8?:18'6de=9=30Z?oj:0yO6g6=9r\o;74073_;?>7g=#>;>1n6sr}|9j534=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;<4V061>7}#>;?1n6*9258a?x{zu2c::>4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98226=Q9=81>v*9248a?!05<3h0qpsr;n3f5?6=,;kh6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{58;294~"5ij0:i=5G2`28m42f290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<:n;W376?4|,?8>6o5+6369f>{zut1b=9l50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951d<^8>96?u+6379f>"1:=0i7psr}:k213<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:9;5Y15096~"1:<0i7)8=4;`8yx{z3`;==7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;355>P6<;09w)8=5;`8 3432k1vqps4i047>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?286783>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6>?1]=9<52z&560g=zutw0qo750;094?6|,;kh6ok4H3c3?l7313:1(?ol:06:?>i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d5<7s-8jo7lj;I0b4>o6<00;6)=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&5616<729q/>lm52`;8L7g73`;?m7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37e>P6<;09w)8=5;`8 3432k1vqps4i06a>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 3422k1/:?:5b:~yx=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&5617E{zut1b=9l50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 34228<0(;<;:048yx{z3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c694?46290;w)=9=k0Z<:=:3y'273=981/:?:5109~yx{i6=4+2`a951?<@;ki7[;|~y>o6=?0;6)P5il0:wA579U514=:r.=>84>1:&561<692wvqp5f17394?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9?;0Z<:=:3y'273=981/:?:5109~yx{4381!05=3;:7)8=4;32?x{zu2c::;4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98223=Q9=81>v*924825>"1:=0:=6sr}|9j531=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;94V061>7}#>;?1=<5+636954=zutw0e<87:18'6de=9=30Z?oj:0yO6g6=9r\o;740?3_;?>747<,?8?6;%410?763twvq6g>4b83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<694>1:~yx=n9=n1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515f8R4252;q/:?;5109'272=981vqps4i06f>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>n7[?;2;0x 34228;0(;<;:038yx{z3`;?j7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37b>P6<;09w)8=5;32?!05<3;:7psr}:k215<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h651428R4252;q/:?;5109'272=981vqps4i072>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28?:7[?;2;0x 34228;0(;<;:038yx{z3`;>>7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;366>P6<;09w)8=5;34?!05<3;<7psr}:k216<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:9>5Y15096~"1:<0:;6*925823>{zut1b=8:50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28??7[?;2;0x 34228=0(;<;:058yx{z3`;>97>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;361>P6<;09w)8=5;34?!05<3;<7psr}:k212<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:9:5Y15096~"1:<0i7)8=4;`8yx{z3`;>47>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;36<>P6<;09w)8=5;`8 3432k1vqps4i07:>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28?27[?;2;0x 3422k1/:?:5b:~yx=n95c83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6=k1]=9<52z&560g=zutw0e<;k:18'6de=9=30Z?oj:0yO6g6=9r\o;743c3_;?>7g=#>;>1n6sr}|9j50c=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=8k4V061>7}#>;?1n6*9258a?x{zu2c:9k4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9821c=Q9=81>v*9248a?!05<3h0qpsr;h354?6=,;kh6<:6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{=9?90Z<:=:3y'273=j2.=>94m;|~y>i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d42f3_;?>747<,?8?6{M0a4?7|^m=1>v*>e9820g=Q9=81>v*924825>"1:=0:=6sr}|9j500=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=884V061>7}#>;?1=<5+636954=zutw0e<8>:18'6de=9=30Z?oj:0yO6g6=9r\o;74063_;?>747<,?8?6;%410?763twvq6g>6483>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6><1]=9<52z&560<692.=>94>1:~yx=n9?<1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651748R4252;q/:?;5109'272=981vqps4i044>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28<<7[?;2;0x 34228;0(;<;:038yx{z3`;=47>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;35<>P6<;09w)8=5;32?!05<3;:7psr}:k22<<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10::45Y15096~"1:<0:=6*925825>{zut1b=9m50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28>h7[?;2;0x 34228;0(;<;:038yx{z3`;?h7>5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<694>1:~yx=n9=o1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;742b3_;?>747<,?8?6{M0a4?7|^m=1>v*>e9820c=Q9=81>v*924825>"1:=0:=6sr}|9j506=83.9mn4>489K6dd<^;kn6=6X>4381!05=3;:7)8=4;32?x{zu2c:9?4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98217=Q9=81>v*924823>"1:=0:;6sr}|9j505=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=8=4V061>7}#>;?1=:5+636952=zutw0e<;;:18'6de=9=30D?om;W0ba?7|D;h;686X>4381!05=3;<7)8=4;34?x{zu2c:984?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98210=Q9=81>v*924823>"1:=0:;6sr}|9j501=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=894V061>7}#>;?1n6*9258a?x{zu2c:954?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9821==Q9=81>v*9248a?!05<3h0qpsr;h36=?6=,;kh6<:6;W0ba?7|D;h;656X>4381!05=3h0(;<;:c9~yx{=994m;|~y>o6=j0;6)P5il0:wA5b9U514=:r.=>84m;%410?d27[lm515;8R7gb28qG>o>51zTg3?4|,8o36<;j;W376?4|,?8>6o5+6369f>{zut1b=8h50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:950`<^8>96?u+6379f>"1:=0i7psr}:k225<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10::=5Y15096~"1:<0i7)8=4;`8yx{z3`;=>7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;356>P6<;09w)8=5;`8 3432k1vqps4i040>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28<87[?;2;0x 3422k1/:?:5b:~yx=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&561lm5749K6d6j6=4+2`a951?<@;ki7[;|~y>o6N5ik1]>lk51zN1f5<6s_n<6?u+1d:951d<^8>96?u+637954=#>;>1=<5r}|8m431290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<;9;W376?4|,?8>64727[;|~y>o6>=0;6)P5il0:wA659U514=:r.=>84>1:&561<692wvqp5f17794?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9??0Z<:=:3y'273=981/:?:5109~yx{4381!05=3;:7)8=4;32?x{zu2c::54?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9822==Q9=81>v*924825>"1:=0:=6sr}|9j53?=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;74V061>7}#>;?1=<5+636954=zutw0e<:l:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;:7)8=4;32?x{zu2c:8i4?:%0bg?7312B9mo5Y2`g95~J5j90:w[j8:3y'5`>=9=n0Z<:=:3y'273=981/:?:5109~yx{n6=4+2`a951?<@;ki7[;|~y>o6N5ik1]>lk51zN1f5<6s_n<6?u+1d:951`<^8>96?u+637954=#>;>1=<5r}|8m437290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;364>P6<;09w)8=5;32?!05<3;:7psr}:k214<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:9<5Y15096~"1:<0:=6*925825>{zut1b=8<50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9504<^8>96?u+637952=#>;>1=:5r}|8m434290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<;<;W376?4|,?8>6<94$707>4127E{zut1b=8;50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9503<^8>96?u+637952=#>;>1=:5r}|8m430290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<;8;W376?4|,?8>6o5+6369f>{zut1b=8650;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:950><^8>96?u+6379f>"1:=0i7psr}:k21<<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:945Y15096~"1:<0i7)8=4;`8yx{z3`;>m7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;36e>P6<;09w)8=5;`8 3432k1vqps4i07a>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28?i7[?;2;0x 3422k1/:?:5b:~yx=n95e83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6=m1]=9<52z&560g=zutw0e<;i:18'6de=9=30Z?oj:0yO6g6=9r\o;743a3_;?>7g=#>;>1n6sr}|9j536=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;>4V061>7}#>;?1n6*9258a?x{zu2c::?4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98227=Q9=81>v*9248a?!05<3h0qpsr;h357?6=,;kh6<:6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{1<729q/>lm51d28L7g73`;?m7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37e>P6<;09w)8=5;`8 3432k1vqps4i06a>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 3422k1/:?:5b:~yx=n9<<1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651448R4252;q/:?;5b:&561e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&5607>50z&1ef5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zjmh1<7=50;2x 7gd2>?0D?o?;h37e?6=,;kh6<:6;W0ba?7|D;h;64381!05=3;>7)8=4;36?x{zu2c:8o4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9820g=Q9=81>v*924821>"1:=0:96sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wihn4?:583>5}#:hi1;85G2`28m42f290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<:n;W376?4|,?8>64727[;|~y>o6=?0;6)N5ik1]>lk51zN1f5<6s_n<6?u+1d:9500<^8>96?u+637952=#>;>1=:5r}|8k4c6290/>lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vnij50;694?6|,;kh6:;4H3c3?l73i3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997?>;%410?763twvq6g>4c83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<694>1:~yx=n9<<1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;74313_;?>741<,?8?6<94}|~?j7b93:1(?ol:0g2?S4fm3;p@?l?:0yU`2<5s-;n47?j1:T207<5s-<997l4$707>g=zutw0qojj:187>5<7s-8jo79:;I0b4>o6P5il0:wA4`9U514=:r.=>84>1:&561<692wvqp5f15`94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9=h0Z<:=:3y'273=981/:?:5109~yx{2\:8?4={%411?703-<987?8;|~y>i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 34228;0(;<;:038yx{z3`;>:7>5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<6=?1]=9<52z&560<6?2.=>94>7:~yx=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&5611<729q/>lm5749K6d6j6=4+2`a951?<^;kn64381!05=3;:7)8=4;32?x{zu2c:9;4?:%0bg?7312B9mo5Y2`g95~J5j90:w[j8:3y'5`>=9<<0Z<:=:3y'273=9>1/:?:5169~yx{{M0a4?7|^m=1>v*>e9820d=Q9=81>v*9248a?!05<3h0qpsr;h37f?6=,;kh6<:6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{=9?;0Z<:=:3y'273=j2.=>94m;|~y>o6>=0;6)P5il0:wA659U514=:r.=>84m;%410?d27[lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vnh<50;094?6|,;kh6ok4H3c3?l7313:1(?ol:06:?>i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 34228?0(;<;:078yx{z3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}cg7>5<4290;w){M0a4?7|^m=1>v*>e9820d=Q9=81>v*924823>"1:=0:;6sr}|9j51d=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9l4V061>7}#>;?1=:5+636952=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f`3=8391<7>t$3c`>23<@;k;7d?;a;29 7gd28>27[o6N5ik1]>lk51zN1f5<6s_n<6?u+1d:951d<^8>96?u+637952=#>;>1=:5r}|8k4c6290/>lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vnh850;694?6|,;kh6:;4H3c3?l73i3:1(?ol:06:?M4fj2\9mh4>{M0a4?7|^m=1>v*>e9820d=Q9=81>v*924825>"1:=0:=6sr}|9j51d=83.9mn4>489K6dd<^;kn6:6X>4381!05=3;<7)8=4;34?x{zu2e:i<4?:%0bg?7b92\9mh4>{M0a4?7|^m=1>v*>e982a4=Q9=81>v*9248a?!05<3h0qpsr;|`f3?6=<3:1N5i91b=9o50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951g<^8>96?u+637952=#>;>1=:5r}|8m42e290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;34?!05<3;<7psr}:k213<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:9;5Y15096~"1:<0:;6*925823>{zut1d=h?50;&1ef<6m81]>lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:aa=<72=0;6=u+2`a930=O:h:0e<:n:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;<7)8=4;34?x{zu2c:8o4?:%0bg?7312B9mo5Y2`g95~J5j90:w[j8:3y'5`>=9=h0Z<:=:3y'273=9>1/:?:5169~yx{2\:8?4={%411?703-<987?8;|~y>i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?de19K6d6j6=4+2`a951?<^;kn6=9=h0Z<:=:3y'273=j2.=>94m;|~y>o6=?0;6)P5il0:wA579U514=:r.=>84m;%410?d27[lm515;8R7gb28qG>o>51zTg3?4|,8o36<8;;W376?4|,?8>6o5+6369f>{zut1b=;;50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9533<^8>96?u+6379f>"1:=0i7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3thnm7>52;294~"5ij0ii6F=a19j51?=83.9mn4>4898k4c6290/>lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vnhl50;194?6|,;kh6:;4H3c3?l73i3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997?:;%410?723twvq6g>4c83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<694>5:~yx=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&5611<729q/>lm5749K6d6j6=4+2`a951?<@;ki7[o6P5il0:wA4c9U514=:r.=>84>7:&561<6?2wvqp5f14494?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9<<0Z<:=:3y'273=9>1/:?:5169~yx{5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<694>7:~yx=n9=h1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5169'272=9>1vqps4i075>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28?=7[?;2;0x 34228=0(;<;:058yx{z3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}cgf>5<3290;w)=9=k0Z<:=:3y'273=9>1/:?:5169~yx{i6=4+2`a951?<^;kn6:6X>4381!05=3;<7)8=4;34?x{zu2e:i<4?:%0bg?7b92\9mh4>{M0a4?7|^m=1>v*>e982a4=Q9=81>v*9248a?!05<3h0qpsr;|`fb?6=<3:1N5i91b=9o50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 34228=0(;<;:058yx{z3`;?n7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;34?!05<3;<7psr}:k213<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:9;5Y15096~"1:<0:;6*925823>{zut1d=h?50;&1ef<6m81]>lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:ab5<72;;1<7>t$3c`>23<@;k;7d?;a;29 7gd28>27E{zut1b=9l50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 34228;0(;<;:038yx{z3`;>:7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;362>P6<;09w)8=5;32?!05<3;:7psr}:k224<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10::<5Y15096~"1:<0:=6*925825>{zut1b=;:50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9532<^8>96?u+637954=#>;>1=<5r}|8m402290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<8:;W376?4|,?8>64727[2\:8?4={%411?763-<987?>;|~y>o6>>0;6)P5il0:wA669U514=:r.=>84>1:&561<692wvqp5f17:94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9?20Z<:=:3y'273=981/:?:5109~yx{4381!05=3;:7)8=4;32?x{zu2c:8i4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9820a=Q9=81>v*924825>"1:=0:=6sr}|9j51c=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9k4V061>7}#>;?1=<5+636954=zutw0e<:i:18'6de=9=30Z?oj:0yO6g6=9r\o;742a3_;?>747<,?8?6{M0a4?7|^m=1>v*>e98215=Q9=81>v*924825>"1:=0:=6sr}|9j507=83.9mn4>489K6dd<^;kn6>6X>4381!05=3;:7)8=4;32?x{zu2c:9>4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98216=Q9=81>v*924823>"1:=0:;6sr}|9j502=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=8:4V061>7}#>;?1=:5+636952=zutw0e<;::18'6de=9=30D?om;W0ba?7|D;h;696X>4381!05=3;<7)8=4;34?x{zu2c:9:4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98212=Q9=81>v*9248a?!05<3h0qpsr;h3646X>4381!05=3h0(;<;:c9~yx{=994m;|~y>o6=k0;6)P5il0:wA5c9U514=:r.=>84m;%410?d27[lm515;8R7gb28qG>o>51zTg3?4|,8o36<;k;W376?4|,?8>6o5+6369f>{zut1b=8k50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:950c<^8>96?u+6379f>"1:=0i7psr}:k21c<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:9k5Y15096~"1:<0i7)8=4;`8yx{z3`;=<7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;354>P6<;09w)8=5;`8 3432k1vqps4i041>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28<97[?;2;0x 3422k1/:?:5b:~yx=n9?91<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651718R4252;q/:?;5b:&561e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&56050z&1ef<6m91C>l>4i06b>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 3422k1/:?:5b:~yx=n9=h1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5b:&5615783>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6=?1]=9<52z&560g=zutw0e<8;:18'6de=9=30Z?oj:0yO6g6=9r\o;74033_;?>7g=#>;>1n6sr}|9j533=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;;4V061>7}#>;?1n6*9258a?x{zu2e:i<4?:%0bg?7b92\9mh4>{M0a4?7|^m=1>v*>e982a4=Q9=81>v*9248a?!05<3h0qpsr;|`e6?6=:3:1N5i91b=9750;&1ef<6<010c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~fc5=83>1<7>t$3c`>23<@;k;7d?;a;29 7gd28>27E{zut1b=9l50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951d<^8>96?u+637952=#>;>1=:5r}|8m431290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;362>P6<;09w)8=5;34?!05<3;<7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3thm87>54;294~"5ij0<96F=a19j51g=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1=:5+636952=zutw0e<:m:18'6de=9=30Z?oj:0yO6g6=9r\o;742e3_;?>741<,?8?6<94}|~?l72>3:1(?ol:06:?M4fj2\9mh4>{M0a4?7|^m=1>v*>e98213=Q9=81>v*924823>"1:=0:;6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wij84?:283>5}#:hi1=h>4H3c3?l73i3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997l4$707>g=zutw0e<:m:18'6de=9=30Z?oj:0yO6g6=9r\o;742e3_;?>7g=#>;>1n6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wij;4?:383>5}#:hi1nh5G2`28m42>290/>lm515;8?j7b93:1(?ol:0g2?S4fm3;p@?l?:0yU`2<5s-;n47?j1:T207<5s-<997l4$707>g=zutw0qoh8:180>5<7s-8jo79:;I0b4>o6P5il0:wA4`9U514=:r.=>84>5:&561<6=2wvqp5f15`94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9=h0Z<:=:3y'273=9<1/:?:5149~yx{=9=k0Z<:=:3y'273=981/:?:5109~yx{i6=4+2`a951?<@;ki7[;|~y>o6=?0;6)P5il0:wA579U514=:r.=>84>1:&561<692wvqp5f17394?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9?;0Z<:=:3y'273=981/:?:5109~yx{4381!05=3;:7)8=4;32?x{zu2c::;4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98223=Q9=81>v*924825>"1:=0:=6sr}|9j531=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;94V061>7}#>;?1=<5+636954=zutw0e<87:18'6de=9=30Z?oj:0yO6g6=9r\o;740?3_;?>747<,?8?6;%410?763twvq6g>4b83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<694>1:~yx=n9=n1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515f8R4252;q/:?;5109'272=981vqps4i06f>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>n7[?;2;0x 34228;0(;<;:038yx{z3`;?j7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37b>P6<;09w)8=5;32?!05<3;:7psr}:k215<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h651428R4252;q/:?;5109'272=981vqps4i072>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?:1:T207<5s-<997?>;%410?763twvq6g>5383>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6=;1]=9<52z&560<692.=>94>1:~yx=n9<91<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651418R4252;q/:?;5169'272=9>1vqps4i077>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28??7[?;2;0x 34228=0(;<;:058yx{z3`;>97>5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<6=<1]=9<52z&560<6?2.=>94>7:~yx=n9<=1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651458R4252;q/:?;5b:&5615983>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6=11]=9<52z&560g=zutw0e<;n:18'6de=9=30Z?oj:0yO6g6=9r\o;743f3_;?>7g=#>;>1n6sr}|9j50d=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=8l4V061>7}#>;?1n6*9258a?x{zu2c:9n4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9821f=Q9=81>v*9248a?!05<3h0qpsr;h36`?6=,;kh6<:6;W0ba?7|D;h;6h6X>4381!05=3h0(;<;:c9~yx{=994m;|~y>o6>90;6)P5il0:wA619U514=:r.=>84m;%410?d27[lm515;8R7gb28qG>o>51zTg3?4|,8o36<8<;W376?4|,?8>6o5+6369f>{zut1d=h?50;&1ef<6m81]>lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:ab<<72;;1<7>t$3c`>23<@;k;7d?;a;29 7gd28>27E{zut1b=9l50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 34228;0(;<;:038yx{z3`;>:7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;362>P6<;09w)8=5;32?!05<3;:7psr}:k224<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10::<5Y15096~"1:<0:=6*925825>{zut1b=;:50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9532<^8>96?u+637954=#>;>1=<5r}|8m402290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<8:;W376?4|,?8>64727[2\:8?4={%411?763-<987?>;|~y>o6>>0;6)P5il0:wA669U514=:r.=>84>1:&561<692wvqp5f17:94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9?20Z<:=:3y'273=981/:?:5109~yx{4381!05=3;:7)8=4;32?x{zu2c:8i4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9820a=Q9=81>v*924825>"1:=0:=6sr}|9j51c=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9k4V061>7}#>;?1=<5+636954=zutw0e<:i:18'6de=9=30Z?oj:0yO6g6=9r\o;742a3_;?>747<,?8?6{M0a4?7|^m=1>v*>e98215=Q9=81>v*924825>"1:=0:=6sr}|9j507=83.9mn4>489K6dd<^;kn6>6X>4381!05=3;:7)8=4;32?x{zu2c:9>4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98216=Q9=81>v*924823>"1:=0:;6sr}|9j502=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=8:4V061>7}#>;?1=:5+636952=zutw0e<;::18'6de=9=30D?om;W0ba?7|D;h;696X>4381!05=3;<7)8=4;34?x{zu2c:9:4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98212=Q9=81>v*9248a?!05<3h0qpsr;h3646X>4381!05=3h0(;<;:c9~yx{=994m;|~y>o6=k0;6)P5il0:wA5c9U514=:r.=>84m;%410?d27[lm515;8R7gb28qG>o>51zTg3?4|,8o36<;k;W376?4|,?8>6o5+6369f>{zut1b=8k50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:950c<^8>96?u+6379f>"1:=0i7psr}:k21c<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:9k5Y15096~"1:<0i7)8=4;`8yx{z3`;=<7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;354>P6<;09w)8=5;`8 3432k1vqps4i041>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28<97[?;2;0x 3422k1/:?:5b:~yx=n9?91<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651718R4252;q/:?;5b:&561e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&560<4?:1y'6de=?<1C>l>4i06b>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997?>;%410?763twvq6g>4c83>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=9l4V061>7}#>;?1=<5+636954=zutw0e<;9:18'6de=9=30Z?oj:0yO6g6=9r\o;74313_;?>747<,?8?6;%410?763twvq6g>6583>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6>=1]=9<52z&560<692.=>94>1:~yx=n9??1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651778R4252;q/:?;5109'272=981vqps4i045>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28<=7[?;2;0x 34228;0(;<;:038yx{z3`;=;7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;353>P6<;09w)8=5;32?!05<3;:7psr}:k22=<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10::55Y15096~"1:<0:=6*925825>{zut1b=;750;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:953?<^8>96?u+637954=#>;>1=<5r}|8m42d290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<:l;W376?4|,?8>64727[;|~y>o6P5il0:wA4d9U514=:r.=>84>1:&561<692wvqp5f15d94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9=l0Z<:=:3y'273=981/:?:5109~yx{;|~y>o6=80;6)P5il0:wA509U514=:r.=>84>1:&561<692wvqp5f14094?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9<80Z<:=:3y'273=9>1/:?:5169~yx{P5il0:wA559U514=:r.=>84>7:&561<6?2wvqp5f14794?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=91/:?:5169~yx{=9<20Z<:=:3y'273=j2.=>94m;|~y>o6=00;6)P5il0:wA589U514=:r.=>84m;%410?d27[lm515;8R7gb28qG>o>51zTg3?4|,8o36<;m;W376?4|,?8>6o5+6369f>{zut1b=8m50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:950e<^8>96?u+6379f>"1:=0i7psr}:k21a<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:9i5Y15096~"1:<0i7)8=4;`8yx{z3`;>i7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;36a>P6<;09w)8=5;`8 3432k1vqps4i07e>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28?m7[?;2;0x 3422k1/:?:5b:~yx=n9?:1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651728R4252;q/:?;5b:&5616383>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6>;1]=9<52z&560g=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~fcd=838:6=4?{%0bg?123A8j<6g>4`83>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1=<5+636954=zutw0e<:m:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;:7)8=4;32?x{zu2c:9;4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98213=Q9=81>v*924825>"1:=0:=6sr}|9j537=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;?4V061>7}#>;?1=<5+636954=zutw0e<8;:18'6de=9=30Z?oj:0yO6g6=9r\o;74033_;?>747<,?8?6;%410?763twvq6g>6783>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6>?1]=9<52z&560<692.=>94>1:~yx=n9?=1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651758R4252;q/:?;5109'272=981vqps4i04;>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28<37[?;2;0x 34228;0(;<;:038yx{z3`;=57>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;35=>P6<;09w)8=5;32?!05<3;:7psr}:k20f<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h6515a8R4252;q/:?;5109'272=981vqps4i06g>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?;d:T207<5s-<997?>;%410?763twvq6g>4d83>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=9k4V061>7}#>;?1=<5+636954=zutw0e<:i:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;:7)8=4;32?x{zu2c:9=4?:%0bg?7312B9mo5Y2`g95~J5j90:w[j8:3y'5`>=9<:0Z<:=:3y'273=981/:?:5109~yx{;|~y>o6=;0;6)P5il0:wA539U514=:r.=>84>1:&561<692wvqp5f14194?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9<90Z<:=:3y'273=9>1/:?:5169~yx{P5il0:wA549U514=:r.=>84>7:&561<6?2wvqp5f14594?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9<=0Z<:=:3y'273=j2.=>94m;|~y>o6=10;6)P5il0:wA599U514=:r.=>84m;%410?d27[lm515;8R7gb28qG>o>51zTg3?4|,8o36<;n;W376?4|,?8>6o5+6369f>{zut1b=8l50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:950d<^8>96?u+6379f>"1:=0i7psr}:k21f<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:9n5Y15096~"1:<0i7)8=4;`8yx{z3`;>h7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;36`>P6<;09w)8=5;`8 3432k1vqps4i07f>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28?n7[?;2;0x 3422k1/:?:5b:~yx=n96183>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6>91]=9<52z&560g=zutw0e<8<:18'6de=9=30Z?oj:0yO6g6=9r\o;74043_;?>7g=#>;>1n6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wijn4?:3394?6|,;kh6:;4H3c3?l73i3:1(?ol:06:?M4fj2\9mh4>{M0a4?7|^m=1>v*>e9820d=Q9=81>v*924825>"1:=0:=6sr}|9j51d=83.9mn4>489K6dd<^;kn6:6X>4381!05=3;:7)8=4;32?x{zu2c::<4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98224=Q9=81>v*924825>"1:=0:=6sr}|9j532=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;:4V061>7}#>;?1=<5+636954=zutw0e<8::18'6de=9=30Z?oj:0yO6g6=9r\o;74023_;?>747<,?8?63:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?96:T207<5s-<997?>;%410?763twvq6g>6683>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6>>1]=9<52z&560<692.=>94>1:~yx=n9?21<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6517:8R4252;q/:?;5109'272=981vqps4i04:>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28<27[?;2;0x 34228;0(;<;:038yx{z3`;?o7>5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<694>1:~yx=n9=n1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;742c3_;?>747<,?8?6{M0a4?7|^m=1>v*>e9820`=Q9=81>v*924825>"1:=0:=6sr}|9j51`=83.9mn4>489K6dd<^;kn6P5il0:wA519U514=:r.=>84>1:&561<692wvqp5f14394?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<;>;W376?4|,?8>64727[;|~y>o6=:0;6)P5il0:wA529U514=:r.=>84>7:&561<6?2wvqp5f14694?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9<>0Z<:=:3y'273=9>1/:?:5169~yx{6=4+2`a951?<@;ki7[o6=>0;6)P5il0:wA569U514=:r.=>84m;%410?d27[290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<;6;W376?4|,?8>6o5+6369f>{zut1b=8o50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:950g<^8>96?u+6379f>"1:=0i7psr}:k21g<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:9o5Y15096~"1:<0i7)8=4;`8yx{z3`;>o7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;36g>P6<;09w)8=5;`8 3432k1vqps4i07g>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28?o7[?;2;0x 3422k1/:?:5b:~yx=n95g83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6=o1]=9<52z&560g=zutw0e<8=:18'6de=9=30Z?oj:0yO6g6=9r\o;74053_;?>7g=#>;>1n6sr}|9j535=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;=4V061>7}#>;?1n6*9258a?x{zu2e:i<4?:%0bg?7b92\9mh4>{M0a4?7|^m=1>v*>e982a4=Q9=81>v*9248a?!05<3h0qpsr;|`e`?6=?3:142f3_;?>7g=#>;>1n6sr}|9j51d=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9l4V061>7}#>;?1n6*9258a?x{zu2c:9;4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98213=Q9=81>v*9248a?!05<3h0qpsr;h355?6=,;kh6<:6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{=9??0Z<:=:3y'273=j2.=>94m;|~y>i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37e>P6<;09w)8=5;36?!05<3;>7psr}:k20g<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:8o5Y15096~"1:<0:96*925821>{zut1d=h?50;&1ef<6m81]>lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:a556=83>1<7>t$3c`>23<@;k;7d?;a;29 7gd28>27E{zut1b=9l50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951d<^8>96?u+637952=#>;>1=:5r}|8m431290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<;9;W376?4|,?8>6<94$707>4150z&1ef<0=2B9m=5f15c94?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<:n;W376?4|,?8>6<94$707>4127[o6=?0;6)P5il0:wA579U514=:r.=>84>7:&561<6?2wvqp5`1d394?"5ij0:i<5Y2`g95~J5j90:w[j8:3y'5`>=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e9981<7:50;2x 7gd2>?0D?o?;h37e?6=,;kh6<:6;I0bf>P5il0:wA4`9U514=:r.=>84>7:&561<6?2wvqp5f15`94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9=h0Z<:=:3y'273=9>1/:?:5169~yx{;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{54;294~"5ij0<96F=a19j51g=83.9mn4>489K6dd<^;kn64381!05=3;<7)8=4;34?x{zu2c:9;4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98213=Q9=81>v*924823>"1:=0:;6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi==:50;694?6|,;kh6:;4H3c3?l73i3:1(?ol:06:?M4fj2\9mh4>{M0a4?7|^m=1>v*>e9820d=Q9=81>v*924823>"1:=0:;6sr}|9j51d=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9l4V061>7}#>;?1=:5+636952=zutw0e<;9:18'6de=9=30Z?oj:0yO6g6=9r\o;74313_;?>741<,?8?6<94}|~?j7b93:1(?ol:0g2?S4fm3;p@?l?:0yU`2<5s-;n47?j1:T207<5s-<997l4$707>g=zutw0qo??5;293?6=8r.9mn4>e19K6d6j6=4+2`a951?<^;kn6=9=h0Z<:=:3y'273=j2.=>94m;|~y>o6=?0;6)P5il0:wA579U514=:r.=>84m;%410?d27[lm515;8R7gb28qG>o>51zTg3?4|,8o36<8;;W376?4|,?8>6o5+6369f>{zut1b=;;50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9533<^8>96?u+6379f>"1:=0i7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th:<;4?:383>5}#:hi1nh5G2`28m42>290/>lm515;8?j7b93:1(?ol:0g2?S4fm3;p@?l?:0yU`2<5s-;n47?j1:T207<5s-<997l4$707>g=zutw0qo??7;291?6=8r.9mn485:J1e5=n9=k1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;742f3_;?>747<,?8?6;%410?763twvq6g>5783>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=884V061>7}#>;?1=<5+636954=zutw0e<8>:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;:7)8=4;32?x{zu2e:i<4?:%0bg?7b92\9mh4>{M0a4?7|^m=1>v*>e982a4=Q9=81>v*9248a?!05<3h0qpsr;|`24=<72<0;6=u+2`a930=O:h:0e<:n:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;:7)8=4;32?x{zu2c:8o4?:%0bg?7312B9mo5Y2`g95~J5j90:w[j8:3y'5`>=9=h0Z<:=:3y'273=981/:?:5109~yx{2\:8?4={%411?763-<987?>;|~y>o6>80;6)N5ik1]>lk51zN1f5<6s_n<6?u+1d:9537<^8>96?u+637952=#>;>1=:5r}|8k4c6290/>lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn<>6:180>5<7s-8jo7?j0:J1e5=n9=k1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515c8R4252;q/:?;5b:&5614c83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6g=zutw0qo??a;296?6=8r.9mn4me:J1e5=n9=31<7*=ab820<=5<4290;w)=9=k0Z<:=:3y'273=9>1/:?:5169~yx{i6=4+2`a951?<^;kn6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{53;294~"5ij0<96F=a19j51g=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1=:5+636952=zutw0e<:m:18'6de=9=30Z?oj:0yO6g6=9r\o;742e3_;?>741<,?8?6<94}|~?j7b93:1(?ol:0g2?S4fm3;p@?l?:0yU`2<5s-;n47?j1:T207<5s-<997l4$707>g=zutw0qo??d;297?6=8r.9mn485:J1e5=n9=k1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515c8R4252;q/:?;5169'272=9>1vqps4i06a>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 34228=0(;<;:058yx{z3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c33a?6=;3:1N5i91b=9o50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951g<^8>96?u+637952=#>;>1=:5r}|8m42e290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<:m;W376?4|,?8>6<94$707>4150z&1ef<0=2B9m=5f15c94?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<:n;W376?4|,?8>6<94$707>4127E{zut1b=8850;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9500<^8>96?u+637952=#>;>1=:5r}|8k4c6290/>lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn5<7s-8jo7?j0:J1e5=n9=k1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515c8R4252;q/:?;5b:&5614c83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<63:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?:6:T207<5s-<997l4$707>g=zutw0e<8>:18'6de=9=30Z?oj:0yO6g6=9r\o;74063_;?>7g=#>;>1n6sr}|9j532=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;:4V061>7}#>;?1n6*9258a?x{zu2e:i<4?:%0bg?7b92\9mh4>{M0a4?7|^m=1>v*>e982a4=Q9=81>v*9248a?!05<3h0qpsr;|`254<72;0;6=u+2`a9f`=O:h:0e<:6:18'6de=9=307b?j1;29 7gd28o:7[50z&1ef<0=2B9m=5f15c94?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<:n;W376?4|,?8>64727E{zut1d=h?50;&1ef<6m81]>lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:a545=8391<7>t$3c`>23<@;k;7d?;a;29 7gd28>27[;|~y>o6N5ik1]>lk51zN1f5<6s_n<6?u+1d:951d<^8>96?u+637952=#>;>1=:5r}|8k4c6290/>lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn5<7s-8jo79:;I0b4>o6P5il0:wA4`9U514=:r.=>84>7:&561<6?2wvqp5f15`94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9=h0Z<:=:3y'273=9>1/:?:5169~yx{5<3290;w)N5i91b=9o50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951g<^8>96?u+6379f>"1:=0i7psr}:k20g<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:8o5Y15096~"1:<0i7)8=4;`8yx{z3`;>:7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;362>P6<;09w)8=5;`8 3432k1vqps4o0g2>5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zj8;=6=4=:183!4fk3hn7Ee09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi=<950;194?6|,;kh6:;4H3c3?l73i3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997?:;%410?723twvq6g>4c83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<694>5:~yx=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&5615$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;37e>P6<;09w)8=5;32?!05<3;:7psr}:k20g<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:8o5Y15096~"1:<0:;6*925823>{zut1b=8850;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28?=7[?;2;0x 34228=0(;<;:058yx{z3`;==7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;355>P6<;09w)8=5;34?!05<3;<7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th:=44?:483>5}#:hi1;85G2`28m42f290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<:n;W376?4|,?8>64727[o6=?0;6)N5ik1]>lk51zN1f5<6s_n<6?u+1d:9500<^8>96?u+637952=#>;>1=:5r}|8m406290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<8>;W376?4|,?8>6<94$707>4150z&1ef<0=2B9m=5f15c94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9=k0Z<:=:3y'273=9>1/:?:5169~yx{i6=4+2`a951?<@;ki7[o6=?0;6)P5il0:wA579U514=:r.=>84>7:&561<6?2wvqp5f17394?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<8>;W376?4|,?8>6<94$707>4150z&1ef<0=2B9m=5f15c94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9=k0Z<:=:3y'273=981/:?:5109~yx{i6=4+2`a951?<@;ki7[o6=?0;6)P5il0:wA579U514=:r.=>84>7:&561<6?2wvqp5f17394?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<8>;W376?4|,?8>6<94$707>4127[i6m80;6)P5il0:wAe09U514=:r.=>84m;%410?d1b83>2<729q/>lm5749K6d6j6=4+2`a951?<@;ki7[;|~y>o6N5ik1]>lk51zN1f5<6s_n<6?u+1d:951d<^8>96?u+637954=#>;>1=<5r}|8m431290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;362>P6<;09w)8=5;32?!05<3;:7psr}:k224<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10::<5Y15096~"1:<0:;6*925823>{zut1b=;:50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9532<^8>96?u+637952=#>;>1=:5r}|8m402290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<8:;W376?4|,?8>6<94$707>4150z&1ef<6m91C>l>4i06b>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28>j7[?;2;0x 3422k1/:?:5b:~yx=n9=h1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5b:&5615783>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6=?1]=9<52z&560g=zutw0e<8;:18'6de=9=30Z?oj:0yO6g6=9r\o;74033_;?>7g=#>;>1n6sr}|9j533=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;;4V061>7}#>;?1n6*9258a?x{zu2e:i<4?:%0bg?7b92\9mh4>{M0a4?7|^m=1>v*>e982a4=Q9=81>v*9248a?!05<3h0qpsr;|`25`<72;0;6=u+2`a9f`=O:h:0e<:6:18'6de=9=307b?j1;29 7gd28o:7[50z&1ef<0=2B9m=5f15c94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9=k0Z<:=:3y'273=9<1/:?:5149~yx{i6=4+2`a951?<^;kn6;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{55;294~"5ij0<96F=a19j51g=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1=<5+636954=zutw0e<:m:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;<7)8=4;34?x{zu2c:9;4?:%0bg?7312B9mo5Y2`g95~J5j90:w[j8:3y'5`>=9<<0Z<:=:3y'273=9>1/:?:5169~yx{;W0ba?7|D;h;64381!05=3h0(;<;:c9~yx{56;294~"5ij0<96F=a19j51g=83.9mn4>489K6dd<^;kn6P5il0:wA4c9U514=:r.=>84>1:&561<692wvqp5f14494?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<;9;W376?4|,?8>64727E{zut1b=;:50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9532<^8>96?u+637952=#>;>1=:5r}|8k4c6290/>lm51d38R7gb28qG>o>51zTg3?4|,8o36;W376?4|,?8>6o5+6369f>{zut1vn<<=:185>5<7s-8jo79:;I0b4>o6N5ik1]>lk51zN1f5<6s_n<6?u+1d:951g<^8>96?u+637954=#>;>1=<5r}|8m42e290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;37f>P6<;09w)8=5;32?!05<3;:7psr}:k213<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h651448R4252;q/:?;5109'272=981vqps4i042>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?91:T207<5s-<997?8;%410?703twvq6g>6583>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6>=1]=9<52z&560<6?2.=>94>7:~yx=h9l;1<7*=ab82a4=Q:ho1=vB=b182Sb02;q/=h651d38R4252;q/:?;5b:&5615$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<694>1:~yx=n9=h1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;742e3_;?>747<,?8?63:1(?ol:06:?M4fj2\9mh4>{M0a4?7|^m=1>v*>e98213=Q9=81>v*924825>"1:=0:=6sr}|9j537=83.9mn4>489K6dd<^;kn64381!05=3;<7)8=4;34?x{zu2e:i<4?:%0bg?7b92\9mh4>{M0a4?7|^m=1>v*>e982a4=Q9=81>v*9248a?!05<3h0qpsr;|`261<72?0;6=u+2`a930=O:h:0e<:n:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;:7)8=4;32?x{zu2c:8o4?:%0bg?7312B9mo5Y2`g95~J5j90:w[j8:3y'5`>=9=h0Z<:=:3y'273=981/:?:5109~yx{P5il0:wA609U514=:r.=>84>7:&561<6?2wvqp5f17694?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9?>0Z<:=:3y'273=9>1/:?:5169~yx{5<0290;w)N5i91b=9o50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:951g<^8>96?u+6379f>"1:=0i7psr}:k20g<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10:8o5Y15096~"1:<0i7)8=4;`8yx{z3`;>:7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;362>P6<;09w)8=5;`8 3432k1vqps4i042>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28<:7[?;2;0x 3422k1/:?:5b:~yx=n9?>1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651768R4252;q/:?;5b:&5616483>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6><1]=9<52z&560g=zutw0qo?=6;296?6=8r.9mn4me:J1e5=n9=31<7*=ab820<=5<3290;w)=9=k0Z<:=:3y'273=981/:?:5109~yx{i6=4+2`a951?<@;ki7[;|~y>o6=?0;6)P5il0:wA579U514=:r.=>84>7:&561<6?2wvqp5`1d394?"5ij0:i<5Y2`g95~J5j90:w[j8:3y'5`>=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e9;21<7<50;2x 7gd2ko0D?o?;h37=?6=,;kh6<:6;:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th:>44?:883>5}#:hi1;85G2`28m42f290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<:n;W376?4|,?8>64727E{zut1b=8850;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28?=7[?;2;0x 34228=0(;<;:058yx{z3`;==7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;355>P6<;09w)8=5;34?!05<3;<7psr}:k221<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h651768R4252;q/:?;5169'272=9>1vqps4i046>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?95:T207<5s-<997?8;%410?703twvq6g>6783>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6>?1]=9<52z&560<6?2.=>94>7:~yx=n9?=1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;74003_;?>741<,?8?6<94}|~?j7b93:1(?ol:0g2?S4fm3;p@?l?:0yU`2<5s-;n47?j1:T207<5s-<997l4$707>g=zutw0qo?=a;2954<729q/>lm5749K6d6j6=4+2`a951?<^;kn64381!05=3;:7)8=4;32?x{zu2c:9;4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98213=Q9=81>v*924825>"1:=0:=6sr}|9j537=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;?4V061>7}#>;?1=<5+636954=zutw0e<8;:18'6de=9=30Z?oj:0yO6g6=9r\o;74033_;?>747<,?8?6;%410?763twvq6g>6783>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6>?1]=9<52z&560<692.=>94>1:~yx=n9?=1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;74003_;?>747<,?8?6{M0a4?7|^m=1>v*>e9822==Q9=81>v*924825>"1:=0:=6sr}|9j53?=83.9mn4>489K6dd<^;kn6P5il0:wA4b9U514=:r.=>84>7:&561<6?2wvqp5f15f94?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<:k;W376?4|,?8>6<94$707>4127[o6P5il0:wA4g9U514=:r.=>84m;%410?d27[lm515;8R7gb28qG>o>51zTg3?4|,8o36<;>;W376?4|,?8>6o5+6369f>{zut1d=h?50;&1ef<6m81]>lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:a57d=83;:6=4?{%0bg?123A8j<6g>4`83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<694>1:~yx=n9=h1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5109'272=981vqps4i075>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28?=7[?;2;0x 34228;0(;<;:038yx{z3`;==7>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;355>P6<;09w)8=5;32?!05<3;:7psr}:k221<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10::95Y15096~"1:<0:=6*925825>{zut1b=;;50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9533<^8>96?u+637954=#>;>1=<5r}|8m401290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<89;W376?4|,?8>64727E{zut1b=;650;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28<37[?;2;0x 34228=0(;<;:058yx{z3`;=57>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;35=>P6<;09w)8=5;34?!05<3;<7psr}:k20f<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h6515a8R4252;q/:?;5169'272=9>1vqps4i06g>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?;d:T207<5s-<997?8;%410?703twvq6g>4d83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<694>7:~yx=n9=l1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515d8R4252;q/:?;5b:&5615183>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6=91]=9<52z&560g=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f44d290:=7>50z&1ef<0=2B9m=5f15c94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9=k0Z<:=:3y'273=981/:?:5109~yx{i6=4+2`a951?<^;kn6:6X>4381!05=3;:7)8=4;32?x{zu2c::<4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e98224=Q9=81>v*924825>"1:=0:=6sr}|9j532=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;:4V061>7}#>;?1=<5+636954=zutw0e<8::18'6de=9=30Z?oj:0yO6g6=9r\o;74023_;?>747<,?8?63:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?96:T207<5s-<997?>;%410?763twvq6g>6683>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=;94V061>7}#>;?1=<5+636954=zutw0e<87:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;:7)8=4;32?x{zu2c::44?:%0bg?7312B9mo5Y2`g95~J5j90:w[j8:3y'5`>=9?30Z<:=:3y'273=9>1/:?:5169~yx{h6=4+2`a951?<@;ki7[o6N5ik1]>lk51zN1f5<6s_n<6?u+1d:951b<^8>96?u+637952=#>;>1=:5r}|8m42b290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<:j;W376?4|,?8>6<94$707>4127[lm515;8R7gb28qG>o>51zTg3?4|,8o36<;?;W376?4|,?8>6o5+6369f>{zut1b=8?50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9507<^8>96?u+6379f>"1:=0i7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th:>i4?:0394?6|,;kh6:;4H3c3?l73i3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997?>;%410?763twvq6g>4c83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<694>1:~yx=n9<<1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651448R4252;q/:?;5109'272=981vqps4i042>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28<:7[?;2;0x 34228;0(;<;:038yx{z3`;=87>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;350>P6<;09w)8=5;32?!05<3;:7psr}:k220<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10::85Y15096~"1:<0:=6*925825>{zut1b=;850;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9530<^8>96?u+637954=#>;>1=<5r}|8m400290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;353>P6<;09w)8=5;32?!05<3;:7psr}:k22=<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h6517:8R4252;q/:?;5169'272=9>1vqps4i04:>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28<27[?;2;0x 34228=0(;<;:058yx{z3`;?o7>5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<694>7:~yx=n9=n1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;742c3_;?>741<,?8?6<94}|~?l73m3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?;e:T207<5s-<997?8;%410?703twvq6g>4g83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<6g=zutw0e<;>:18'6de=9=30Z?oj:0yO6g6=9r\o;74363_;?>7g=#>;>1n6sr}|9l5`7=83.9mn4>e09U6dc=9rF9n=4>{Wf4>7}#9l21=h?4V061>7}#>;?1n6*9258a?x{zu2wi=?k50;494?6|,;kh6o6P5il0:wA4`9U514=:r.=>84m;%410?d27[lm515;8R7gb28qG>o>51zTg3?4|,8o36<;9;W376?4|,?8>6o5+6369f>{zut1b=;?50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9537<^8>96?u+6379f>"1:=0i7psr}:k221<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10::95Y15096~"1:<0i7)8=4;`8yx{z3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c31b?6=:3:1N5i91b=9750;&1ef<6<010c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f45729086=4?{%0bg?123A8j<6g>4`83>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1=:5+636952=zutw0e<:m:18'6de=9=30Z?oj:0yO6g6=9r\o;742e3_;?>741<,?8?6<94}|~?j7b93:1(?ol:0g2?S4fm3;p@?l?:0yU`2<5s-;n47?j1:T207<5s-<997l4$707>g=zutw0qo?<1;296?6=8r.9mn4me:J1e5=n9=31<7*=ab820<=5<4290;w){M0a4?7|^m=1>v*>e9820d=Q9=81>v*924821>"1:=0:96sr}|9j51d=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9l4V061>7}#>;?1=85+636950=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f454290?6=4?{%0bg?123A8j<6g>4`83>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1=:5+636952=zutw0e<:m:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;<7)8=4;34?x{zu2c:9;4?:%0bg?7312B9mo5Y2`g95~J5j90:w[j8:3y'5`>=9<<0Z<:=:3y'273=9>1/:?:5169~yx{5<2290;w){M0a4?7|^m=1>v*>e9820d=Q9=81>v*924825>"1:=0:=6sr}|9j51d=83.9mn4>489K6dd<^;kn6P5il0:wA579U514=:r.=>84>7:&561<6?2wvqp5f17394?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9?;0Z<:=:3y'273=9>1/:?:5169~yx{5<1290;w)=9=k0Z<:=:3y'273=981/:?:5109~yx{i6=4+2`a951?<@;ki7[;|~y>o6=?0;6)N5ik1]>lk51zN1f5<6s_n<6?u+1d:9500<^8>96?u+637954=#>;>1=<5r}|8m406290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;355>P6<;09w)8=5;34?!05<3;<7psr}:k221<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10::95Y15096~"1:<0:;6*925823>{zut1d=h?50;&1ef<6m81]>lk51zN1f5<6s_n<6?u+1d:95`7<^8>96?u+6379f>"1:=0i7psr}:a560=83<1<7>t$3c`>23<@;k;7d?;a;29 7gd28>27E{zut1b=9l50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28>i7[?;2;0x 34228;0(;<;:038yx{z3`;>:7>5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<6=?1]=9<52z&560<692.=>94>1:~yx=n9?;1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;74063_;?>741<,?8?6<94}|~?l71<3:1(?ol:06:?S4fm3;p@?l?:0yU`2<5s-;n47?94:T207<5s-<997?8;%410?703twvq6a>e083>!4fk3;n=6X=ad82I4e83;pZi952z&2a=<6m81]=9<52z&5600;6;4?:1y'6de=?<1C>l>4i06b>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?;a:T207<5s-<997?>;%410?763twvq6g>4c83>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=9l4V061>7}#>;?1=<5+636954=zutw0e<;9:18'6de=9=30Z?oj:0yO6g6=9r\o;74313_;?>747<,?8?6{M0a4?7|^m=1>v*>e98224=Q9=81>v*924823>"1:=0:;6sr}|9j532=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;:4V061>7}#>;?1=:5+636952=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f45?290<6=4?{%0bg?7b82B9m=5f15c94?"5ij0:845Y2`g95~J5j90:w[j8:3y'5`>=9=k0Z<:=:3y'273=j2.=>94m;|~y>o6P5il0:wA4c9U514=:r.=>84m;%410?d27[2\:8?4={%411?d<,?8?6o5r}|8m406290/>lm515;8R7gb28qG>o>51zTg3?4|,8o36<8>;W376?4|,?8>6o5+6369f>{zut1b=;:50;&1ef<6<01]>lk51zN1f5<6s_n<6?u+1d:9532<^8>96?u+6379f>"1:=0i7psr}:k220<72-8jo7?;9:T1e`<6sE8i<7?tVe596~"6m10::85Y15096~"1:<0i7)8=4;`8yx{z3f;n=7>5$3c`>4c63_8ji7?tL3`3>4}Ql>09w)?j8;3f5>P6<;09w)8=5;`8 3432k1vqps4}c30=?6=:3:1N5i91b=9750;&1ef<6<010c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f45f29086=4?{%0bg?123A8j<6g>4`83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<694>5:~yx=n9=h1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5149'272=9<1vqps4o0g2>5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zj89i6=4;:183!4fk3=>7E1vqps4i06a>5<#:hi1=974H3ca?S4fm3;p@?l?:0yU`2<5s-;n47?;b:T207<5s-<997?8;%410?703twvq6g>5783>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=884V061>7}#>;?1=:5+636952=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f45d290>6=4?{%0bg?123A8j<6g>4`83>!4fk3;?56X=ad82I4e83;pZi952z&2a=<694>1:~yx=n9=h1<7*=ab820<=O:hh0Z?oj:0yO6g6=9r\o;742e3_;?>741<,?8?6<94}|~?l72>3:1(?ol:06:?M4fj2\9mh4>{M0a4?7|^m=1>v*>e98213=Q9=81>v*924823>"1:=0:;6sr}|9j537=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=;?4V061>7}#>;?1=:5+636952=zutw0c:18'6de=9l;0Z?oj:0yO6g6=9r\o;74c63_;?>7g=#>;>1n6sr}|9~f45c290=6=4?{%0bg?123A8j<6g>4`83>!4fk3;?56F=ac9U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1=<5+636954=zutw0e<:m:18'6de=9=30D?om;W0ba?7|D;h;64381!05=3;:7)8=4;32?x{zu2c:9;4?:%0bg?7312B9mo5Y2`g95~J5j90:w[j8:3y'5`>=9<<0Z<:=:3y'273=981/:?:5109~yx{o6>=0;6)P5il0:wA659U514=:r.=>84>7:&561<6?2wvqp5`1d394?"5ij0:i<5Y2`g95~J5j90:w[j8:3y'5`>=9l;0Z<:=:3y'273=j2.=>94m;|~y>{e9:o1<7850;2x 7gd2>?0D?o?;h37e?6=,;kh6<:6;I0bf>P5il0:wA4`9U514=:r.=>84>1:&561<692wvqp5f15`94?"5ij0:845G2``8R7gb28qG>o>51zTg3?4|,8o36<:m;W376?4|,?8>64727E{zut1b=;?50;&1ef<6<01C>ll4V3cf>4}K:k:1=vXk7;0x 4c?28<:7[?;2;0x 34228=0(;<;:058yx{z3`;=87>5$3c`>42>3_8ji7?tL3`3>4}Ql>09w)?j8;350>P6<;09w)8=5;34?!05<3;<7psr}:m2a4<72-8jo7?j1:T1e`<6sE8i<7?tVe596~"6m10:i<5Y15096~"1:<0i7)8=4;`8yx{z3th:?k4?:783>5}#:hi1;85G2`28m42f290/>lm515;8L7ge3_8ji7?tL3`3>4}Ql>09w)?j8;37e>P6<;09w)8=5;32?!05<3;:7psr}:k20g<72-8jo7?;9:J1eg=Q:ho1=vB=b182Sb02;q/=h6515`8R4252;q/:?;5109'272=981vqps4i075>5<#:hi1=974V3cf>4}K:k:1=vXk7;0x 4c?28?=7[?;2;0x 34228;0(;<;:038yx{z3`;==7>5$3c`>42>3A8jn6X=ad82I4e83;pZi952z&2a=<6>81]=9<52z&560<6?2.=>94>7:~yx=n9?>1<7*=ab820<=Q:ho1=vB=b182Sb02;q/=h651768R4252;q/:?;5169'272=9>1vqps4o0g2>5<#:hi1=h?4V3cf>4}K:k:1=vXk7;0x 4c?28o:7[?;2;0x 3422k1/:?:5b:~yx=zj8>;6=48:183!4fk3;n<6F=a19j51g=83.9mn4>489U6dc=9rF9n=4>{Wf4>7}#9l21=9o4V061>7}#>;?1n6*9258a?x{zu2c:8o4?:%0bg?7312\9mh4>{M0a4?7|^m=1>v*>e9820g=Q9=81>v*9248a?!05<3h0qpsr;h362?6=,;kh6<:6;W0ba?7|D;h;6:6X>4381!05=3h0(;<;:c9~yx{=9?>0Z<:=:3y'273=j2.=>94m;|~y>o6><0;6)P5il0:wA649U514=:r.=>84m;%410?de09>752=9=k01>>::06b?82d13;?m63;c`820d=::lh1=9o4=3g`>42f349>;7?;a:?01=<6j70:n6;37e>;3i>0:8l524`:951g<5=k26<:n;<6bf?73i27:5k4>4`9>5d6=9=k01:06b?835:3;?m63:22820d=:=;>1=9o4=73;>42f34<:57?;a:?6g0<6j70;l8;37e>;2k00:8l52524951g<5<9<6<:n;<70994>4`9>261=9=k01;=7:06b?804i3;?m6393c820d=:>hk1=9o4=3a6>42f348h:7?;a:?1g2<6n6515c8932228>j70:mb;37e>;3jj0:8l524cf951g<5=hn6<:n;<6ab?73i27=4k4>4`9>2<6=9=k01;7k:06b?85e;3;?m6342f34<<=7?;a:?2gf<6j70<>5;37e>;3010:8l5249c951g<5??i6<:n;<06e?73i2799o4>4`9>630=9=k01?88:06b?840<3;?m63=74820d=:=l31=9o4=4gb>42f34?nn7?;a:?6af<6j70;n0;37e>;5:l0:8l5223d951g<5;9;6<:n;<005?73i279??4>4`9>146=9=k018?>:06b?836:3;?m63:12820d=:=8>1=9o4=452>42f34?jh7?;a:?6`g<6j70=9b;37e>;4>j0:8l5237f951g<5=0:8l525;37e>;128>j70h?:06b?8`?28>j70h6:06b?8`f28>j70hm:06b?8`d28>j70??7;37e>;6810:8l5210:951g<58;h6<:n;<315?73i27:>?4>4`9>575=9=k01<<;:06b?874=3;?m63>37820d=:9:=1=9o4=01g>42f34;8i7?;a:?27c<64c9>753=9=h019mm:06b?82dk3;?m63=ec820g=::li1=9l4=274>42e349>47?;b:?01<<6i70:n6;37f>;3i>0:8o524`:951d<5=k26<:m;<6bf?73j27:m<4>4c9>5d4=9=k01821=9l4=73:>42e34?h97?;b:?6g3<6i70;<6;37f>;2;>0:8o5252:951d<54c9>26>=9=h01;=n:06a?804j3;?n639ac820d=::j?1=9l4=3a5>42e348h;7?;b:?1g=<6j7088e;37e>;14c9>0g`=9=h01;6i:06a?80>83;?n6399d820d=:;k91=9l4=2`7>42e349i97?;b:?0g5<6j70=l3;37e>;1?;0:8l52661951g<58kn6<:n;<3bb?73i27:n=4>4`9>5g7=9=k013;?m63;89820g=:>42f348>h7?;a:?12=<6;7515c8971328>i70<85;37f>;2m00:8o525dc951d<55i4>4c9>1<`=9=h018o?:06a?845m3;?n63=2g820g=::::1=9l4=312>42e34?:<7?;b:?654<6i70;>4;37f>;2?;0:8l52561951g<5n54>4`9>1g?=9=k018ln:06b?83ej3;?m63:de820g=:=mo1=9o4=4fe>42f349=n7?;b:?02f<642e34nh6<:n;42f34nn6<:n;42f34o;6<:n;42e34l36<:m;42e34lj6<:m;42e34lh6<:m;<333?73j27:=44>4`9>54e=9=h01<<>:06a?875:3;?n63>22820g=:9;>1=9l4=00:>42f34;897?;b:?273<69515`8945c28>i70?;6;o0:8o5rs9d94?5|50:1=h<4^9d89g4=9=30q~l=:187f~;e:3;n=63<058213=:;9?1=884=5aa>42e348nn7?:6:?1af<6=?16?8951448963?28?=70=:9;362>;4m;0:9;523d1951g<5:o?6<:n;<6b2?72>27?m:4>579>0d>=9<<019o6:075?835<3;?n639198213=:>831=884=4a:>42e34?>;7?;b:?57d<6=?16:>l5144897e228?=70;5k>0:9;522b:9500<5=hi6<;9;<6ag?72>27?ni4>579>0gc=9<<019li:075?85e;3;>:6342e34>3m7?;b:?131<6=?16>:;5144897>428>j70;j9;362>;2mh0:9;525d`9500<5==4>579>147=9<<018?=:075?836;3;>:63:158213=:=>81=9l4=4`4>42e34?i47?;b:?02g<6=?16?;m51448960c28?=70:5144890<6=?16:7?:6:?gg?73j27m<7?:6:?e27m57?:6:?ee?72>27mn7?:6:?eg?72>27:<:4>579>55>=9=h01<<>:075?875i3;?m63>2c820d=:9;i1=9o4=00g>42f34;897?:6:?27a<6=?1v5j50;1x9=c=9l80R5j4=c3951?609>753=9?;019ml:06a?84bj3;==63=eb8224=:;<=1=;?4=27;>406349>57?91:?0a7<6>816?h=515`896c328>i70:n6;355>;3i>0::<524`:9537<5=k26<8>;<710?72>27==54>609>24?=9?;018m6:075?84413;?m63:59820g=:>:k1=;?4=71a>40634>n=7?;a:?1g0<6>816>n85173897e028<:70;3jk0::<524ca9537<5=ho6<8>;<6aa?71927?nk4>609>7g5=9?;01>l;:042?85e=3;==63>ag820g=:9o91=9l4=5:b>431348<87?91:?130<6>8169h75173890cf28<:70;jb;355>;2mj0::<522209500<5<;;6<8>;<725?71927>=?4>609>145=9?;018?;:042?830;3;?n63:b8820g=:=kk1=9l4=24a>406349=o7?91:?02a<6>81687?91:?6>40634<1=;?4=g29537<5o21=;?4=g;9537<5ok1=;?4=g`9537<5oi1=;?4=024>40634;;47?:6:?267<6=?16=?o515`8944e28>i70?=c;37f>;6:m0:8o521249500<589n6<;9;|q;=?6=;r73m7?j2:\;=>;fn3;?56s|ag83>6c|5hl1=h?4=227>403349;97?94:?1ag<6>=16>hm51768963028;4=00::9523d09532<5:o86<;9;<1f0?72>27?m;4>659>0d1=9?>019o7:047?82f13;=863:238213=:>821=;:4=73:>40334?h97?:6:?17d<6;5k>0::9522b:9532<5=hi6<8;;<6ag?71<27?ni4>659>0gc=9?>019li:047?85e;3;=863403348<97?94:?6a<<6>=169ho5176890ce28;5:l0:9;525029532<5<;:6<8;;<726?71<27>=>4>659>142=9?>01>8m:047?851k3;=863<6e8221=:<3;=863::047?80=9?>01ij515`89c6=9?>01k6517689c?=9?>01ko517689cd=9?>01km51768944f28?=70?=b;362>;6:j0:9;5213f95004c53W2<70oj:06:?xufm3:1?iu2ad82a4=:;9>1=;;4=226>402348nn7?95:?1af<6><16?8951778963?28<>70=:9;351>;4m;0::8523d19537<5:o?6<8>;<6b2?71=27?m:4>649>0d>=9??019o6:046?80603;=9639188220=:=j<1=884=415>431348h97?95:?1g3<6><16>n95177897e?28<>70:mb;351>;3jj0::8524cf9533<5=hn6<8:;<6ab?71=278n>4>649>7g2=9??01>l::046?840<3;=963=748220=::1>1=9o4=4g:>40234?nm7?95:?6ag<6><169hm51778974a28?=70;>0;351>;2980::8525009533<5<;86<8:;<720?71=278:o4>649>73e=9??01>8k:046?82=9??0184>649>2?71=27oi7?;b:?e4?71=27m47?95:?e=?71=27mm7?95:?ef?71=27mo7?95:?26d<6>816=?l51738944d28<:70?=d;355>{t0<0;6>u28782a7=Y0<16mi4>489~wdb=839ow0ok:0g2?857<3;=:63<048223=::lh1=;84=3g`>401349>;7?96:?01=<6>?16?875174896c528<=70=j3;350>;4m=0::9524`49530<5=k<6<89;<6b27?m44>679>24>=9?<01;?6:045?83d?3;>:63:368213=::j?1=;84=3a5>401348h;7?96:?1g=<6>?168ol5174891dd28<=70:md;352>;3jl0::;524cd9530<5:h86<89;<1a0?71>278n84>679>7f6=9=h01?<9:06b?840<3;=:63=748223=:=l31=;84=4gb>40134?nn7?96:?6af<6>?16>>>51448907728<=70;>1;352>;29;0::;525019530<5<;?6<89;<15f?71>278:n4>679>73b=9?<0194>679>1?71>27=6<89;40134l36<89;40134lj6<89;40134lh6<89;<31e?71<27:>o4>659>57e=9?>01<X?;27jo7?;9:pef<72:np1lm51d38966328<<70=?5;353>;5mk0:::522da9531<5:?<6<88;<16669>7`4=9?=01>k<:046?85b<3;=963;a78222=:40034>j57?97:?55=<6>>16:<751758905?28?=70;5k?0:::522b59531<5;i36<88;<6af?71?27?nn4>669>0gb=9?=019lj:044?82en3;=;631=;94=2`6>400349h=7?;b:?10a<6::51758971228<<70;j9;353>;2mh0:::525d`9531<527>==4>669>147=9?=018?=:044?836;3;=;63:158222=:;?h1=;94=24`>400349=h7?97:?7>40034?1=;94=78222=:n90:::52f98222=:n00:::52f`8222=:nk0:::52fb8222=:9;91=884=00b>40234;9n7?95:?26f<6><16=?j51778yv>62908w06=:0g1?[>634ki6<:6;|qbf?6=9kq6mo4>e09>752=9?201>>::04;?84bj3;=463=eb822==:;<=1=;64=27;>40?349>57?98:?1517:8907628<370;>2;35<>;29:0::552506953><5:699>0?71027>6<87;<4953><5ml1=9l4=g2953><5o21=;64=g;953><5ok1=;64=g`953><5oi1=;64}r5g>5<4s4=n628>27p}n9;296f}:i00:i<52316953?<5::>6<86;<0ff?711279in4>689>701=9?301>;7:04:?85213;=56340?34>jn7?:6:?666<6=?169n65144897e228<370;3jk0::5524ca953><5:h86<87;<1a0?710278o?4>4c9>606=9=k01?9;:04;?83b13;=463:e`822==:=8:1=;74=432>40>34?:>7?99:?656<6>0169<:517;8960e28<270=9c;35=>;4>m0::4524;35=>;228<2708517;89c6=9?301k6517;89c?=9?301ko517;89cd=9?301km517;8944f28<=70?=b;352>{t?k0;6>u27b82a7=Y?k16m54>489~wd>=83;iw0o7:0g2?857<3;?o63<04820f=::lh1=9m4=3g`>42d349>;7?;c:?01=<6j70;>0;37g>;2980:8n52500951e<5<;86<:l;<720?73k278:o4>4b9>73e=9=i01>8k:06`?82=9=i0184>4b9>2?73k27n<7?;b:?e4?73k27m47?;c:?e=?73k27mm7?;c:?ef?73k27mo7?;c:pgg<72e39]gg=:km0:8452cd820<=:ko0:845rsbf94?5|5jn1=h?4=2d0>42f349m?7?;b:pg`<72;q6oh4>e09>25`=l81vnh50;;x9f`=9l;01<9l:e3894>>2m;019>m:e38917a2m;019?9:e38914f2m;019=>:e3891>52m;0q~m?:1878e628o97Sm?;42>34i86<:6;|q`6?6=nr7h>7?j1:?733;=7j>;<676?b634>;|q`7?6==r7h?7?j1:?6425>4k1:?544:l4k1:p3c<72:q64=4>e39]3c=:ih0:845rs`c94?4ds4kj6;<130?73l278<84>4e9>6`d=9=n01?kl:06g?852?3;?h63<59820a=:;<31=9j4=2g7>40134>j47?98:?7e<<6>1168ll51738904428<:70;l8;355>;5k>0::5522b:953><5=ho6<87;<6aa?71027?nk4>699>7g3=9?201>m<:06a?840=3;=463=7b820d=:=lh1=;64=4g`>40?34?:<7?;d:?654<6o70;>4;37`>;4>k0:8i5237a951b<5:;a83;?h63i8;37`>;a13;?h63ia;37`>;aj3;?h63ic;37`>;6:j0::;5213f95304c53W=270o8:06:?xuf?3:1=ou2a682a4=:;9>1=9k4=226>42b348nn7?;e:?1af<6n70=:9;37a>;1?j0:8o523`7951g<5<;;6<:j;<725?73m27>=?4>4d9>145=9=o018?;:06f?851j3;?i63<6b820`=:;?n1=9k4=5820`=:=3;?i639:06f?8`728>n70h7:06f?8`>28>n70hn:06f?8`e28>n70hl:06f?xu0?3:1?v388;3f6>X0?27j:7?;9:pe3<728hp1l851d38966328>m70=?5;37b>;5mk0:8k522da951`<5:?<6<:i;<164g9>22b=9=h01?:;:06b?83683;?j63:10820c=:=881=9h4=430>42a34?:87?;f:?02g<6m70:515d890<6p1<:;:0g1?[73;27:884>489>510=9=30q~?;5;2902}:9=?1=h?4=3g1>a7<5::36i?4=255>a7<5:2:6i?4=2:`>a7<5=in6i?4=3;a>a7<5;om6i?4=232>a7<5:;i6i?4=206>a7<5:8m6i?4=21:>a7<5:>86i?4=26g>a7<5:?h6i?4=2;4>a7<5;3?6i?4=3d:>a7<5:3m6i?4=2g4>a7<5=ko6i?4=7`4>a7<58k=6i?4=5c3>a7<5=h>6i?4=404>a7<5?;i6i?4=41a>a7<5a7<5=n<6i?4=5g6>a7<5?km6i?4=3aa>a7<5;n?6i?4=7:1>a7<5?>j6i?4=747>a7<5:k26i?4=5;0>a7<5=i:6i?4=712>a7<5?2j6i?4=7;5>a7<5?k96i?4=0;;>a7<58i:6i?4=2`;>a7<5:i=6i?4=74b>a7<5?==6i?4=0`7>a7<58im6i?4=0d6>a7<5;h26i?4=2f1>a7<5:n26i?4=70a>a7<5>91h<5220c9`4=::kl1h<523719`4=:<1n1h<5248;9`4=:=m91h<5264g9`4=:=h91h<524g49`4=:=8=1h<5rs065>5<3s4;?:7?j1:?0ac50;1x934628o97S8=0:?567<6<01v;<=:187e~;1:;0:i<523169506<5::>6<;?;<0ff?728279in4>519>701=9<:01>;7:073?85213;><631=;94=5c5>40>34>j;7?99:?7e=<6>0168l7517;897e228<270;5k>0::4522b:953?<5=hi6<86;<6ag?71127?ni4>689>0gc=9?3019li:04:?85e;3;=56342e34;hh7?;b:?2b5<6::517;8971228<270;j7;37e>;2m00::4525dc953?<5==4>519>147=9<:018?=:073?836;3;><63:158215=:=>;1=9l4=451>43134?;2jh0:9;525c`951d<5:519>0?72827>6<;?;<49506<5l<1=9o4=g29506<5o21=8>4=g;9506<5ok1=8>4=g`9506<5oi1=8>4=031>42f34;:>7?;b:?261<6=?16=?9515c8944>28>i70?=a;353>;6:k0:::5213a9531<588o6<88;<303?72>27:?k4>579~w1`02908w0:i8;3f6>X3n>168k7515;8yv2a13:19>u24g;95`7<5::?6<;>;<131?72927?o54>4`9>0f?=9=h019mm:075?82dk3;>:63=eb8214=:;ml1=9o4=5c5>42d34>j;7?;c:?7e=<6i70?n2;37f>;29o0:8l5260:953><5?;h6<:n;<42g?73j27>984>4`9>261=9<<01;=n:047?85f;3;?m63;bc820f=:42d34>ii7?;c:?5j70=me;37e>;1?90:8o52660951d<58h;6<:m;<3`g?72>278on4>4`9>7fb=9=k01>j?:06b?80513;?m6342f34<>m7?;a:?51g<6=?16>87515c8973f28>i70<:c;37f>;5><0:8l52274951d<5;<36<:m;<045?73i27>i:4>4c9>1`?=9=i018km:06`?83>m3;?m63:9g8213=:=881=8?4=452>43134?<>7?91:?636<6>8169lj5144890d028<:70;m9;355>;2jk0:9;525e`951d<5509>73b=9<;01?4>4`9>b5<6=816j54>509>b<<6=816jo4>509>bf<6=816=<=515c8947e28>j70?>c;362>;6:90:8l5213c953><588h6<87;<300?73i27:?n4>4`9~wd4=839p1l=51d08Zd4<5kh1=974}r`a>5;<131?72:279io4>509>70>=9<;01;9j:06a?84?j3;?m63:118214=:=891=8?4=24a>435349=h7?:2:?6>43634l;6<;=;43534lj6<;>;4353tyj87>53z?b1?7b:2Tj863mc;37=>{tjj0;6ku2bb82a4=:;9>1=8<4=3g`>435349>;7?:1:?01<<6=816::k51448977a28>j70;>1;365>;29;0:9?525069507<5:5<4s4?9m7?j2:\66<=:=;h1=974}r71f?6=;r7>>o4>e09>52g=9=k01<9n:06a?xu2kj0;69u21ef951?<5;2kj0:i<5rs0fg>5<3s4;oh7?j1:?2<6<66}:=;<3;0?73i27:494>4c9~w0>62908w0;72;3f6>X2081695=515;8yv3?;3:1:v3:8282a4=:<0o1=9o4=5;f>42e34;2?7?:6:?2=0<6=?169l7515`8yv3bn3:1?v3:f182a7=Y=ll018h>:06:?xu2n80;6;u25g395`7<5=h86<:n;<6a7?73j27:nn4>579>5gc=9<<01<<8:06a?xuc03:1?v3k9;3f6>Xc027:8<4>489~w426290hw0?;1;3f5>;38>0:8o5241:9532<5=;i6<;9;<62`?73j27?=>4>4`9>073=9=h019<9:042?84e?3;?m63=b6820g=:<;l1=9o4=50e>42e3ty>;h4?:2y>12`=9l80R89j;<7;4?7312wx95>50;1x90>728o:70=k7;37e>;4l>0:8o5rs73g>5<4s4<:i7?j2:\55a=:>8l1=974}r42b?6=;r7==k4>e09>0=6=9=k0196?:06a?xu?j3:1?v37c;3f6>X?j27i<7?;9:pf5<72;q6n=4>e09>676=9=h0q~o?:1808g628o97So?;<`b>42>3tyim7>53z?ae?7b9279>:4>4c9>61c=9=h0q~79:1808?028o97S79;<`6>42>3tyi97>52z?a1?7b9279884>4c9~w<>=839p14751d08Z<><5k<1=974}r`5>5<5s4h=6;<1b2?73j2wx5l4?:2y>=g<6m;1U5l52b6820<=z{k=1<7;e03;?56s|b983>7}:j10:i<52243951d4c53W3n70l6:06:?xue13:1>v3m9;3f5>;5?m0:8o5rs720>5<4s4<;87?j2:\546=:>991=h?4}r433?6=:r7=<>4>489>251=9l;0q~8?6;296~;18:0o86390782a4=z{=lj6=4<{<6ef?7b:2T?jl524gc95`7mi7>52z?7bd<6<0168kk51d38yv2al3:1>v3;f`8g0>;3nm0:i<5rs4:7>5<4s4?397?j2:\6<1=:=1>1=h?4}r7;494>489>1=>=9l;0q~;77;296~;20=0o863:8682a4=z{j?525g095`752z?6b7<6<0169k851d38yv3a=3:1>v3:f38g0>;2n<0:i<5rs43;>5<4s4?:57?j2:\65==:=821=h?4}r72e?6=:r7>=54>489>14g=9l;0q~8>2;297~;19:0:i?5Q6008937528o:7p}91583>7}:>881=974=737>4c63ty>nh4?:2y>1g`=9l80R8lj;<7aa?7b92wx9n>50;0x90db28>270;l0;3f5>{t=;i1<7=t=40g>4c53W?9o63:2b82a4=z{<8m6=4={<71g?73127>>k4>e09~w7662908w0X58816>=?51d38yv44=3:1>v3=00820<=:::?1=h?4}r77g?6=;r7>8i4>e39]11e<5<>h6;|q60c<72;q699m515;8902a28o:7p}>f783>6}:9o=1=h<4^0d5?87a>3;n=6s|20`94?4|58l=6<:6;<02f?7b92wx=k650;1x94`>28o97S?i8:?2b=<6m81v?<=:18187a03;?563=2382a4=z{8lo6=4<{<3ea?7b:2T:ji521gf95`752z?2ba<6<016>9>51d38yv7an3:1?v3=0182a7=Y9ol0126;|q146<72:q6>=:51d08Z764348;?7?j1:p602=838p1?><:06:?842<3;n=6s|21794?5|5;:=6;58<0:i<5rs343>5<5s48;97?;9:?125<6m81v?>8:18084703;n>6P=069>651=9l;0q~<9c;296~;58>0:845227a95`753z?14d<6m;1U>=74=32:>4c63ty9;54?:3y>65?=9=301?97:0g2?xu58k0;6>u221a95`4{t:>l1<742>348j:0g1?[47l279e09~w7>12909w0;50?0:i<5rs4g1>5<4s4?n?7?j2:\6a7=:=l81=h?4}r7f0?6=:r7>i?4>489>1`2=9l;0q~:j6;297~;3m>0:i?5Q4d4891c128o:7p};e983>7}:4c63ty>?i4?:2y>16c=9l80R8=k;<70`?7b92wx9>h50;0x905c28>270;{t=4c53W?>i63:5d82a4=z{<<;6=4={<76a?73127>:=4>e09~w0?22908w0;66;3f6>X21<1694;51d38yv3>?3:1>v3:94820<=:=0=1=h?4}r3g1?6=:r7>on4>489>5a3=9l;0q~?k6;296~;2kj0o863>d782a4=z{8li6=4<{<3eg?7b:2T:jo521g`95`752z?2bg<6<016>?751d38yv2bl3:1?v3;ed82a7=Y;|q64<<72:q69=o51d08Z06>34?;57?j1:p15d=838p18>6:06:?837j3;n=6s|57`94?5|5<;2>k0:i<5rs44g>5<5s4?=n7?;9:?62a<6m81v89::180830>3;n>6P:749>123=9l;0q~;87;296~;2?<0:845256595`753z?6e0<6m;1U9l:4=4c7>4c63ty>m;4?:3y>1d2=9=3018o9:0g2?xu2j90;6>u25c395`4{t=k81<742>34?i>7?j1:p1a2=839p18j::0g1?[3c<27>h94>e09~w0b12909w0;k4;37=>;2l?0:i<5rsb694?5|5j?1=h<4^b689f2=9l;0q~m9:1818e328>270m9:0g2?xu18l0;69u2615951?<5?:>6<:6;<425?73j27=e09~w362290?w08?5;3f5>;18j0:8l5261f951g<582>6<:n;|q54=<72;q6:=8515;8936?28o:7p}91183>7}:>921=974=733>4c63ty=<44?:3y>247=9=k01;>6:0g2?xu1980;6?u260395`7<5?:m6<:6;|q20=<72j2p1<:7:0g2?807n382o6390g814m4=424>7>c34?2?7<6c:?6=6<50m16:=?528a893662;2o70;5m;094i5231:96k278;;4=8e9>7=7=:0i01>6>:3:g?85?k382o63<8b814m4=5af>7>c34;>2;3h70<6b;0:g>;51k094i522dd96k278=<4=8e9>74d=:0i01>?m:3:g?855=382o63<24814m4=20e>7>c349857<6c:?07<<50m16?9=528a896242;2o70=;d;0:g>;4k2785:4=8e9>6<2=:0i01?7;:3:g?84a1382o63=f8814m4=2;e>7>c349n;7<6c:?0a2<50m168lj528a891gc2;2o708m7;0:g>;1j>094i521`496k27?m=4=8e9>0g3=:0i019l::3:g?835?382o63:26818h1>5j4=41a>7?d34?8n7<7d:?61d<51j1698o529f8935b2;3h708;3l>095n524e596=b<5=o>6?7l;<6f1?4?l27?;;4=9b9>020=:1n019;6:3;`?8221383h635j4=52a>7?d34>;n7<7d:?71c<51j1688h529f8917a2;3h70:>f;0;`>;3><095n5247796=b<5=2d`=:1n01?mm:3;`?84dj383h63=d581=f=::m>1>5j4=535>7?d34>::7<7d:?76d<51j168?o529f893>52;3h70872;0;`>;1520=:1n01>o6:3;`?85f1383h63;4981=f=:<=21>5j4=573>7?d34>><7<7d:?7=6<51j1684=529f891e62;3h70:l1;0;`>;1;8095n5262396=b<5?2j6?7l;<4;e?4?l27=5;4=9b9>2<0=:1n01;o=:3;`?80f:383h63>9981=f=:9021>5j4=0a2>7?d34;h=7<7d:?0f=<51j16?o6529f896e12;3h70=l6;0;`>;3;>095n5242596=b<5?220=:1n01;j528a893b=:1n01cg81=f=:9jl1>5j4=0d6>7?d34;m97<7d:?1f<<51j16>o7529f896b52;3h70=k2;0;`>;4l0095n523e;96=b<5=::6?7l;<635?4?l27??<4=9b9>067=:1n019:=:3;`?823:383h63;7b81=f=:<>i1>5j4=5:1>7?d34>3>7<7d:?56g<51j16:?l529f8925=:0i01:=529f8977f2;3h70<>a;0;`>;5jo095n522cd96=b<5:<86?7l;<157?4?l278ik4=9b9>7``=:1n0196k:3;`?82?l383h63;9881=f=:<031>5j4=4f0>7?d34?o?7<7d:?515<51j16:8>529f8933b2;3h708:e;0;`>;2m>4=9b9>1d5=:1n019h9:3;`?82a>383h63:1681=f=:=8=1>5j4}r0b6?6=:::p1?o=:0g2?807n3n;70;?7;f3?83>;3n;708?1;f3?84b:3n;70=?8;f3?857<3;>;63<05821==:;9>1=874=227>43f349;87?:b:?041<6=j16?=:514f8966328?n70=?4;36b>;48=0::=523169534<5::?6<8<;<131?72?278<84>599>753=9<301>>::07b?857=3;>n63<04821f=:;9?1=8j4=226>43b349;97?:f:?040<6>916?=;51708966228<870:le;f3?870k3n;70?79;f3?84bn3n;70;5m10:9<522d;9506<5;o26<;>;<0ff?72=279io4>569>6`d=9<201?km:07:?84bj3;>m63=ec821g=::lh1=8m4=3ga>43c348nn7?:e:?1ag<6=o16>hl5172897ce28<970;5mj0:98522da9501<5;oh6<;7;<0fg?721279in4>5`9>6`e=9h63=eb821`=::li1=8h4=3g`>407348no7?92:?1af<6>:16?74d=l916??;5d19>77`=l916??m517;8964d28>h70==c;37`>;4:j0:8h5233a951`<5:8h6<;?;<11g?729278>i4>689>77b=9=i01>4=20g>436349857j?;<102?73k278?;4>4e9>760=9=o01>=9:06e?854>3;><63<378214=:;:=1=9m4=214>42c3498;7?;e:?072<6951428965028?:70=;3;f3?85383;?h63<41820`=:;=:1=9h4=263>437349?<7?:1:?004<6m70=;1;364>;4<80:9<5235f9`5=:;=k1=9k4=26b>42a349?m7?:0:?00d<6=816?9l515g8962e28>m70=;b;364>;4437349>97?:1:?012<6=<16?8951458963028?370=:7;36=>;4=>0:9l52345950d<5:?<6<;l;<163?72l2789:4>5d9>701=9;8:043?852?3;=>63<568226=:;<21=8;4=27;>430349>47?:8:?01=<6=016?86514c8963?28?i70=:8;36g>;4=10:9i5234:950c<5:?36<;i;<16639>70>=9?901>;6:076?85213;>;63<58821==:;<31=874=27:>43f349>57?:b:?01<<6=j16?87514f8963>28?n70=:9;36b>;4=00::=5234;9534<5:?26<8<;<0e=?b7348m:7?:1:?1b2<6=816?h95d19>7`5=9=i01>k<:06g?85b;3;?i634=2g0>436349n87?;c:?0a1<6m70=j4;364>;4m=0:9<524`f9`5=:43634>j47?:1:?7e<<6=816:o95d19>5d0=l9169?95d19>24d=l916:<6515a8937?28>o708>8;37a>;1910:8k5260:9506<5?;36<;>;<42=?73k27==44>4e9>24?=9=o01;?6:06e?80613;><639188214=:=:h1h=5254c9`5=:>:o1h=524e59`5=:;<0`3?729279o54>509>6a2=l9168<85d19>07g=l916:5<5d19>21g=l916:;:5d19>520=l916?l75d19>01>=l91688>5d19>0<5=l9168n?5d19>0gd=9<:019lm:072?82ek3;><63;bb8214=:4=5`g>43634>ii7?:0:?7f`<6=8168oh5142891da28?:708<1;f3?80?i3n;70866;f3?80f:3n;70?68;f3?87d93n;70=m8;f3?85e;3;><631=8>4=2`7>436349i97?:0:?0f0<6=816?n85d19>061=l916:;o5d19>220=l916:i4k0:?2f1o4k0:?47?b7348:m7j?;<0ab?b7349=?7j?;<155?73m278:<4>4g9>737=9<:01>8>:072?85bn3n;70:7d;f3?82>13n;70;k3;f3?80283n;708:e;f3?843?3;?j63=468215=::==1=8?4=345>40>348=:7?;c:?123<6;8515g8970128>m70<96;364>;5>?0:9<52275953?<5;<<6<:l;<053?73l279::4>4d9>631=9=l01?88:073?841?3;>=63=69822<=::?21=9m4=34;>42c348=47?;e:?12=<6;651428970?28?:70<99;35=>;5>00:8n5227;951b<5;<26<:j;<05=?73n279:44>519>63?=9<;01?9;:06g?840<3;?i63=75820c=::>>1=8>4=357>436348<97?;d:?130<6:;515d8971228?;70<85;365>;2m00:8k525d;9506<5;<7fe?73n27>il4>519>1`g=9<;018km:06e?83bj3;><63:ec8214=:=li1=9h4=4g`>43734?no7?:1:?60g:l4k0:?6e6==4>569>146=9<2018??:07:?83683;>m63:11821g=:=8:1=8m4=433>43c34?:<7?:e:?655<6=o169<>51728907728<970;>0;357>;2980:9:52503950><5<;:6<;6;<725?72i27>=<4>5c9>147=9:07g?83693;>i63:10821c=:=8;1=;>4=432>40534?:=7?93:?657<6=>169<<514:8907528?270;>2;36e>;29;0:9o52500950e<5<;96<;k;<726?72m27>=?4>5g9>144=9?:018?=:041?836:3;=?63:128212=:=891=864=430>43>34?:?7?:a:?656<6=k169<=514a8907428?o70;>3;36a>;29:0:9k525019536<5<;86<8=;<727?71;27>=94>569>142=9<2018?;:07:?836<3;>m63:15821g=:=8>1=8m4=437>43c34?:87?:e:?651<6=o169<:51728907328<970;>4;357>;4>h0:9=5237c9507<5:599>73d=9<301>8m:07b?851j3;>n63<6c821f=:;?h1=8j4=24a>43b349=n7?:f:?02g<6>916?;l51708960e28<870=9c;361>;4>j0:9:5237a950><5:5c9>73e=98l:07g?851k3;>i63<6b821c=:;?i1=;>4=24`>405349=o7?93:?02a<6=<16?;j51458960c28?370=9d;36=>;4>m0:9l5237f950d<5:5d9>73b=98k:043?851l3;=>63<6e8226=:<3;>;63;:07;?82=9<30194>5`9>0?72j27?6<;l;<6950b<5=0:9h524;36b>;328<;70:5170891<6>:1697?:7:?6>43?34?1=874=4821d=:=3;>n63::07`?83=95d9>1?72n27>6<8?;<79534<5<0::>526;363>;128?3708514;893<6=h16:7?:b:?5>43d34<1=8j4=7821`=:>3;>j639:043?80=9?801;4>629>b5<6=>16j=4>599>b5<6=016j=4>5`9>b5<6=k16j=4>5b9>b5<6=m16j=4>5d9>b5<6=o16j=4>619>b5<6>;16j=4>629>b=<6=>16j54>599>b=<6=016j54>5`9>b=<6=k16j54>5b9>b=<6=m16j54>5d9>b=<6=o16j54>619>b=<6>;16j54>629>b<<6=>16j44>599>b<<6=016j44>5`9>b<<6=k16j44>5b9>b<<6=m16j44>5d9>b<<6=o16j44>619>b<<6>;16j44>629>bd<6=>16jl4>599>bd<6=016jl4>5`9>bd<6=k16jl4>5b9>bd<6=m16jl4>5d9>bd<6=o16jl4>619>bd<6>;16jl4>629>bg<6=>16jo4>599>bg<6=016jo4>5`9>bg<6=k16jo4>5b9>bg<6=m16jo4>5d9>bg<6=o16jo4>619>bg<6>;16jo4>629>bf<6=>16jn4>599>bf<6=016jn4>5`9>bf<6=k16jn4>5b9>bf<6=m16jn4>5d9>bf<6=o16jn4>619>bf<6>;16jn4>629>57g=9=l01<=63>2c820c=:9;h1=8>4=00a>43634;9o7?;f:?26f<6=916=?m51438944c28>m70?=d;364>;6:m0:9<5rs72b>5<5s4<;57?;a:?54d<6m81v;>m:18180713;?n6390c82a4=z{=:86=4i{<43g?73j27=4c9>5=3=9=h019><:0g2?827?3;?m63;09820d=:<931=9o4=53a>42f34>:o7?;a:?754<6j70:=6;37e>;3:>0:8l5243:951g:;7>5bz?54f<6=?16:=j5144894>228?=70:?8;37f>;3800:8o5240595`7<5=;o6<:n;<620?73j27?>;4>4c9>071=9=h019<7:06a?xu38m0;6iu261a9537<5?:o6<8>;<3;1?71927?<54>579>05?=9<<019?m:06a?826k3;?n63;0e82a4=:<8;1=9l4=531>42e34>9:7?:6:?762<6=?168?651448yv2593:15v390b8221=:>9n1=;:4=0:6>40334>;47?91:?74<<6>8168<:51448914628o:70:=5;37e>;3:10::<5rs72`>5<5s4<;o7?j1:?54g<66c82a4=:<0;1=9o4=5;2>42e34?=;7?;a:p02b=838p1;>k:045?820l3;n=6s|61f94?4|5?:o6;<43f?73j2wxni4?:06x937728>j708>0;37f>;2810:8l5251:951d<5<3?6<:n;<7:0?73j27=4`9>254=9=h0199<:06b?820<3;?m63;6`820d=:42f34<<6<:n;<60`?73i27>:84>4`9>fa<6m8169:l515c8901d28>j70h<:06b?xu28?0;6>u24gg951?<5=lh6<:6;<733?7b92wx8km50;7x91`d28o:70?8d;37e>;6?m0:8o5219c951g<582j6<:m;|q7bc<72;q68kj515;891`a28o:7p}:0983>7}:4c63ty><=4?:3y>151=9=3018>?:0g2?xu2880;6?u2512951g<5<::6;|q647<72;q69=>515`8906528o:7p};5083>41|5<:86<:n;<730?73i27><84>4`9>1=c=9=k018hl:06b?82293;n=63;57820d=:<<=1=9o4=050>42f34>?h7?;a:?70`<64c9>2=<64`9>2d<64`9>7cd=9=k01>hl:06b?85al3;?m63;41820d=:=?91=9o4=445>42f34?=;7?;b:p7c6=83lp18><:06a?87??3;?m63;72820g=:<>>1=9l4=575>42e349m<7?j1:?72d<6i70:;d;37f>;34`9~w13f290:8v3:028213=:=9>1=9l4=426>42e34?3j7?;a:?6ba<6i70::a;3f5>;6>o0:8l5217d951d<5?=1=884=7:951d<5?31=9l4=7c951d<5?h1=9l4=2da>431349mo7?;b:?0ba<6515`8900428>i7p};6183>45|5<:86<8>;<730?72>27><84>579>1<6=9=k018hj:06b?822l3;?m63;5e820g=:42f34;<<7?;b:?53?71927=47?:6:?5=?72>27=m7?:6:?5f?72>278jo4>609>7ce=9<<01>hk:075?82383;>:6s|47494?74s4?;?7?94:?641<6>8169=;5173890?628>j70;if;37e>;3>:0:8l52471951d<5=<=6;<345?73i27:;<4>4c9>22<6>=16:54>609>2<<6>816:l4>609>2g<6>816?kl5176896`d28<:70=id;355>;3<90::<5rs420>5<5s4?;?7?j1:?647<6;<646?73i27?:l4>579>03d=9<<01<9;:06a?80028<>7087:047?80>28;4nj0::9523gd951d<5=9o6<:m;<60a?73j27??k4>4`9>016=9?>0188::06a?831?3;>:6s|51694?4|5<:?6;<736?73j2wx8><50;cx906228;3?:0:9;5247c9537<58=?6<;9;<606?7b927=n7?95:?0bc<6=?168>h515`8901d28>i7p};7683>d}:=9?1=;;4=557>43134>=n7?91:?5f?71>278jl4>579>7cb=9?>019=i:075?820?3;n=63:648213=:=>i1=884}r731?6=:r7><84>e09>154=9<<0q~;62;297~;2010:8452594951?<5<386;|q6<3<72;q695851d3890?628?=7p}:8883>7}:=1=1=974=4::>4c63ty>594?:3y>1=?=9=30187;:0g2?xu20h0;6?u2581951?<5<2j6;|q6e28o:7p}:8b83>7}:=1k1=9l4=4:`>4c63ty8jh4?:2y>1=b=9=k0186k:06a?85am3;n=6s|59f94?4|5<2o6;<7;g?73i2wx=5m50;cx90>b28>i70;7f;37f>;2190:8o52583951d<582h6;<3:7?73i27:594>4`9>5<3=9=k01>hi:042?874j3;?m6s|59g94?4|5<2n6;<7;g?73j2wx95h50;0x90>a28o:70;7c;362>{t=0:1<74c634?3o7?91:p1<7=838p187>:0g2?83?k3;=86s|61294?5|5e09~w0`32909w0;i4;3f5>;2no0:9;5rs4d4>5<5s4?m97?;9:?6b2<6m81v;>=:18183a?3;?56390382a4=z{j54>e09~w0`>2909w0;i8;37e>;2n00:i<5rs4db>5<5s4?m47?;b:?6bd<6m81v<69:18083aj3;?m63:fc820g=:91<1=h?4}r7ef?6=:r7>jo4>e09>1cg=9=k0q~?m5;29e~;2nj0:8o525gf951d<5609>5g3=9l;01bd820d=:9:91=9o4}r7eg?6=:r7>jn4>e09>1cg=9=h0q~;id;296~;2nm0:i<525gc950052z?6b`<6m8169ko51738yv3an3:1>v3:fg82a4=:=ok1=;:4}r0f5?6=:r79h;4>489>6`4=9l;0q~42f348oh7?;a:?1``<6ih515c897c728>j70=?0;37e>;48;0:8l522d:951g<5;o26<:n;<13a?73i2784`9>74>=9=k01>?6:06b?855:3;?m63<22820d=:;;i1=9o4=20g>42f3498:7?;a:?072<6515c8962628>j70=;a;37e>;44`9>737=9=k01?:8:06b?851i3;?m63jc;37e>;bl3;?m63je;37e>;bn3;?m63>01820d=:99;1=9o4=021>42f34;;?7?;a:?241<6e09~w7b>2909w0;5l00:i<5rsdc94?5|5;nj6<:n;<0ge?73j27nm7?j1:p6ag=838p1?jn:0g2?84c13;?m6s|fd83>6}::mh1=9o4=3fa>42e34ln6;|q1`g<72;q6>il51d3897b>28>i7p}<6g83>77|5;nh6<:m;<0g`?73j279hh4>4c9>6a`=9=h01?k?:06a?85793;?m63<03820g=:;9>1=8=4=226>43434?:<7?:2:?654<6=;169<<51418907428?970;>4;366>;4>o0:i<524;366>;228?9708514089`e=9=h01hj515`89`c=9=h01hh515`89c6=9<901k6514189c?=9<901ko514089cd=9<901km51418946728>i70??1;37f>;68;0:8o52111951d<58:?6<:m;|q1`f<72;q6>im51d3897b>28?=7p}=c183>61|5;no6<;9;<130?72<278<84>559>6`1=9=k01?k7:06a?84bj3;>>63=eb8216=:;9n1=9o4=22f>42e349:;7?;a:?05=<6i70==b;37e>;4:j0:8o52327951g<5:9=6<:m;<10b?73i2788=4>4c9>71?=9=k01>:n:06a?852<3;?m63<54820g=:;<=1=8<4=27;>435349>57?:2:?1b0<6k8515`8905428>j70;<4;37e>;5k90:i<522b1951g<5;n:6<:n;<0g6?73i27>h<4>4`9>146=9<9018?>:070?836:3;>863:128216=:=8>1=8=4=24b>42e349=n7?:3:?02f<6=:16?;j5141891<6=:1697?:3:?5>43434l;6<;;;42e34l?6<:n;43334l26<;;;43434li6<;;;4333ty9hi4?:3y>6ab=9l;01?j6:042?xu5km0;6>9t=3ff>431349;87?:5:?040<6=<16>h9515`897c>28>i70;5mj0:995231f951d<5::m6<:m;<123?73j278=44>4c9>777=9=h01><<:06a?855j3;?n63<2e820g=:;:?1=9l4=214>42e3498j7?;b:?004<6i70=:4;37f>;4=>0:9>5234:9505<5:?26<;<;<0e1?73j279j:4>4c9>165=9=h018=;:06a?84d;3;?n63=ce82a4=::m;1=9l4=3f1>42e34?o=7?;b:?102<651468907628??70;>2;361>;29:0:99525069502<5:559>73b=9<>0194>559>1?72<27=6<;;;43234l86<;9;42e34l36<;:;43234lj6<;;;43234lh6<;:;|q1``<72;q6>ik51d3897b>284e|5;nm6<;9;<0ef?7b9278<=4>4c9>757=9=h01>>=:075?84b03;>:63=e88213=:;9o1=884=22e>431349:47?:6:?05<<6=?16??<51448964428?=70==c;362>;4:m0:9;523249500<5:9<6<;9;<174?72>2788<4>579>71g=9<<01>:m:075?852=3;>:63=f78213=::o=1=884=0d2>42e349==7?;b:?102<6=?16?;o51738yv4cn3:1>v3=dg82a4=::m31=;;4}r13=?6=9>q6>h>5144897c?28<:70;4800:i<5231f9500<5:;36<8>;<12=?719278>?4>609>775=9?;01>406349?=7?91:?00d<6>816?9l51738963228<:70;5n>0::<523739500<5;><6<8>;<15e?71<2wx>h>50;0x97c728o:70{t;9=1<742>349;47?j1:p750=838p1>><:06:?857>3;n=6s|31194?5|5::86;42f34lm6<:m;|q1bf<72;q6?=6515;897`d28o:7p}=fe83>7}::oi1=9o4=3dg>4c63ty9jh4?:3y>6ce=9=h01?hj:0g2?xua>3:1?v3=fg820d=::ol1=9l4=g495`752z?1bc<6m816>kk515c8yv5783:1>v3<0182a4=::oo1=9l4}r135?6=:r78<<4>e09>6cc=9<<0q~=?2;296~;48;0:i<522gg953752z?041<6m816?=8515c8yv57=3:1>v3<0482a4=:;9<1=9l4}r141?6=;r78;=4>489>721=9=h01>99:0g2?xu4?90;6ou236295`7<5:=?6<:n;<14`?73i278;h4>4`9>7=1=9=k01>66:06b?85>:3;?m63<92820d=:;0>1=9o4=3;1>42f3492h7?;a:p724=838p1>98:06b?850:3;n=6s|36594?4|5:=<6;<142?7312wx?:?50;0x96112m:01>9>:0g2?xu4?:0;6?u2360951g<5:=86;|q031<72;q6?:<515`8961328o:7p}<9883>4c|5:=?6<:m;<14b?73i2784l4>4`9>6`>=9?>01?k6:047?857m3;==63<0g8224=:;821=;:4=23:>4033499>7?94:?066<6>=16??m51768964c28;4;>0::9523529532<5:>:6<8;;<17e?71<2788o4>659>703=9?>01>7=:06a?85>=3;?m63=f78221=::o=1=;:4=2;:>4c6348h?7?:6:?1`4<6=?16>i<51448972028{t:k>1<7<8{<140?72>278;<4>4`9>72`=9=h01>96:06b?85?i3;?n63<82820d=::0<1=9o4=3g;>402348n57?95:?04`<6>=16?=h51768967?28<>70=>9;351>;4:;0::8523319533<5:8h6<8:;<11`?71=278?;4>649>761=9??01>:?:046?85393;=963<4`8220=:;=h1=;;4=276>4023492?7?;b:?0=0<6a28>j70;5n>0::85238c951g<5;i86<8>;<0g5?719279h?4>609>6g2=9l;01?lk:06b?84el3;?n63=468220=:;?31=9l4}r0ae?6=:i70=8f;362>;4?00:8o5239c9500<5:286<:m;<0:2?73j279i54>679>6`?=9?<01>>j:046?857n3;=963<198223=:;831=;84=201>4013499?7?96:?06f<6>?16??j51748965128<=70=<7;352>;4<90::;523539530<5:>j6<89;<17f?71>278984>679>7<2=9=h01>7::075?85?m3;?n63=8g820g=::o<1=;84=3d4>4013492m7?;b:?1g6<6>=16>i?5176897b528;5<>0::;5237;950052z?03=<6<016?5?51d38yv5003:1nv3<7982a4=:;>n1=9l4=25f>42e349347?;a:?0<<<647515c896?528?=70=63;362>;41=0:9;52280951d<5:3o6<:m;|q03d<72;q6?5?515;8961f28o:7p}<7883>7}:;1;1h=5236;95`752z?03d<6v3<7`820g=:;>i1=h?4}r14`?6=:r78;i4>e09>72e=9=k0q~=8e;296~;4?l0:i<5236a951d52z?03c<6m816?:m51448yv5?j3:1>v3<83820<=:;1i1=h?4}r1;6?6=ir784?4>e09>7=1=9=h01>67:06a?85?13;>:63=98820g=:;081=;?4=2;0>406349287?91:?1=7<6=?16?4j51448yv5?<3:1>v3<8b820<=:;1>1=h?4}r1;7?6=:r784n4k0:?0<6<6m81v>6::18185?<3;?m63<8482a4=z{:2=6=4={<1;0?73j2784;4>e09~w6>02909w0=77;3f5>;40?0:8l5rs2:;>5<5s49347?j1:?0<3<666:18185?13;n=63<878213=z{:2j6=4={<1;e?7b92784;4>609~w1ec2908w0:l2;37=>;3ko0:8o524bg95`7h>7>531y>0f4=9l;019m7:06a?82d13;>:63;c`820g=:40634>j:7?;d:?7e2<628>o70;=0;37e>;3lo0:8l522b7951e<5;i=6<:l;<0`3?73k279o54>4b9>0gd=9=n019ll:06g?82el3;?h63;bd820a=:42f34;ij7?;a:?0f6<6h70?ne;362>;6io0:9;521c29500<58h:6<:m;<3`g?71927:oi4>579>624=9=k018k6:06g?83bi3;?o63:ec820a=:=li1=9m4=4`4>40334?i47?91:?6f<<6>=169oo5173890de28<:70k8:06b?87513;>:63>2`822<=:9;h1=;64=00`>40>34;9h7?98:p0f5=838p19mi:06b?82d;3;n=6s|4bd94?4|5=im6;<6`a?7312wx8n:50;0x91e428>j70:l4;3f5>{t42e34>h97?j1:p5db=839p19m9:06b?82d>3;?n63>ae82a4=z{=i=6=4={<6`2?7b927?o84>4`9~w0c?2908w0:l7;37e>;3k>0:8o525d:95`7h;7>52z?7g2<6m8168n;515`8yv5bi3:18lu24b:9500<5=ij6<;9;<0ff?72<2789:4>559>70>=9<>01>;6:077?85cn3;?n63>a1820g=:9h;1=884=0c0>42e34?:j7?;b:?55<<6>11698;515`8935?28?=708;5k<0:8i522b4951b<5;i<6<:k;<0`4>4c9>0g`=9=n01;7?:075?80>:3;?n63992820d=:>h:1=9l4=2`0>42c349i87?;d:?0f0<6i70?ne;355>;6io0::<521c39500<58io6<8>;<1`g?73j278oh4>4`9>7a6=9=h01;<6:06a?85bi3;n=63;88820g=:>42e348>n7?;b:?11a<6;;515`8970028>i70<99;37f>;5?80:8o525dc951b<5m=4>579>146=9:076?836;3;>963:158210=:=k21=;:4=4`b>40334?oo7?;b:?6`a<6=?169ih515`897<643234<1=8;4=gc9503<58;86<:m;<32f?73j27:>=4>4c9>57?=9?;01<35820g=:9:i1=9l4}r6`e09>0f3=9<<0q~:k0;296<}:40634>hn7?94:?7gf<6>=168l8515g891g?28>n70:k0;3f5>;3l<0:8l524ef951g<5=nn6<:n;<0`1?73m279o:4>4d9>0gd=9=o019lk:06f?87>83;?m63>91820g=:9k31=9o4=0`:>42e349i?7?;e:?0f0<6;6j90::<521c39537<58i26<:n;<3`f?73i27:on4>659>5fb=9?>01969:06b?83e?3;=963:b98220=:=k31=;;4=4`b>40234?in7?94:?f0?73i27:>44>659>57g=9=i01<2e820f=z{=n36=4;fz?7g<<6>=168no5176891ee28<>70:lc;351>;3i>0:8h524`;951c<5=ki6<8;;<716?71927>>>4>659>172=9?;018m::042?83d>3;==63:c68224=:=j21=;:4=4a:>40634?8:7?91:?672<6>8169>65173891b328>j70:k4;37f>;3l10:i<524ef951d<5=nm6<:m;<0`2?73m279o54>4d9>0ge=9=o019lj:06f?82en3;?i63>97820g=:9kl1=9l4=2`7>42b34;jo7?;b:?2e`<6><16=lh5177894d728;6k00:8o521bc951g<58ii6<:m;<3`g?71=27:oi4>649>34<64c9>0=0=9=h01967:075?82?13;>:63;8`8224=::;o1=;?4=30e>4063488<7?91:?174<6>816>><5173890d028<=70;m8;352>;2j00::;525cc9530<542f34o=6<:m;42e34o36<:n;<314?72>27:><4>609>574=9?;01<<<:042?875<3;==63>288220=:9;k1=9j4=00a>42c34;9o7?;d:?26a<6:51448945228<:70?<6;355>;6;>0::<5212a9500<589o6<8>;<30a?71927:?k4>609~w22=83?hw0:l9;351>;3kh0::8524b`9530<5=ih6<89;<1f7?710278i94>699>0d0=9=l019o8:06e?82f03;?j63;a8820c=:40334?9?7?95:?661<6>=169n;5176890e128;2k10::8525b;9532<5<9=6<8;;<703?71<27>?54>659>0a`=9<<01?m::06e?84d>3;?j63=c6820c=::j21=9h4=5`a>42a34>io7?;f:?7fa<6m70?63;37f>;61=0:8o52187951d<583=6<;9;<3ag?73j27:ni4>4c9>5gc=9=h0140134;jj7?96:?2f5<6><16=o?5177894ee28?=70?lc;352>;6km0::;5249:9537<5=226<8>;<6;e?71<27>i44>4d9>1`g=9=o018km:06f?83bk3;?i63=2d8221=::;l1=;:4=313>4033488=7?94:?177<6>=16;94>e09>0c2=9=k018l8:044?83e03;=;63:b88222=:=kk1=;94=4`a>40134o=6<;9;43134o36<:m;<314?71927:><4>659>574=9?>01<<<:047?875<3;=863>288223=:9;k1=9k4=00a>42b34;9o7?;e:?26a<6=515`8945328<:70?<5;350>;6;?0::9521259532<589i6<:m;<30g?71927:?i4>659>56c=9?>01<=i:047?xu3k00;6?u24b;95`7<5=i>6<8>;|q7gd<72;q68no51d3891e2287}:4023ty?on4?:3y>0fe=9l;019m::045?xu6?k0;6?u2165951?<58=h6;|q232<72i70:;d;362>;2>?0:8o52122951g52z?23`<6v3>7d82a4=:9>i1>5j4}r34489>52>=9l;0q~?89;296~;6?10:8l5216;95`752z?23=<6v3>7g820<=:9131=h?4}r34b?6=>r7:;k4>e09>001=9<<019:j:075?82>?3;?m63;96820g=:=?<1=884}r3;3?6=:r7:4;4>489>5=1=9l;0q~?7a;296~;60k0:8l5219c95`752z?2v3>88820<=:91:1=h?4}r3;5?6=:r7:4=4>4`9>5=7=9l;0q~?72;296~;6090:8o5219095`752z?2<6<6m816=5<515c8yv7?<3:1>v3>8582a4=:9181=9l4}r3;1?6=:r7:484>e09>5=4=9<<0q~<6a;296~;51<0:845228`95`7523y>6<3=9l;01;l;:06b?80fi3;?n639ac820g=:>hi1=9o4=7cg>42f34j7086c;37e>;11m0:8o5268g951d<5?3m6<:n;<4b4?72>279=84>4c9>640=9=h01??8:06b?84603;?m63956820d=:><31=9o4=77b>431348>57?:6:?120<6=?16996515c890?d28>j70;6d;362>;21l0:9;5211`951g<58:m6<:n;<324c9>54g=9=k010;6?u228`951?<5;3<6;|q1=3<72;q6>4l5d19>6<0=9l;0q~<68;296~;51>0:8l5228:95`752z?1=2<64751d38yv5?l3:19v3=988213=:;1n1=h?4=2;6>4063482>7?91:?0=a<6>81v?kj:18084b;3;?563=f1820g=::ll1=h?4}r0f7?6=?r79i>4>e09>6`1=9<<01?h9:044?84a?3;=;63<608224=:;?k1=;;4=da950052z?1ad<6<016>hj51d38yv4bi3:1?v3=e`82a4=:;?=1=9o4=244>42e3ty9i94?:3y>6c6=9=k01?k;:0g2?xu5n90;6?u22g295`7<5;om6<:6;|q1a0<72;q6>h:515c897c228o:7p}=e783>7}::l>1=9l4=3g5>4c63ty9i:4?:3y>6`1=9l;01?k9:06b?xu49:0;6<;t=3g;>400348n57?97:?056<6m816?<951448964528<<70==3;353>;4:j0:::5233f9531<5:9=6<88;<103?71?2788=4>669>717=9?=01>:n:044?853j3;=;63<548222=::o<1=;64=3d4>40?349==7?94:?102<6>>16?;o51748946728?=7p}<1e83>45|5;o36<87;<0f=?710278=i4>e09>777=9<<01>40?349?=7?98:?00d<6>116?9l517:8963228<370;5n>0::4523739533<5;><6<87;<15e?71?27:<<4>579~w640290:=v3=e9822<=::l31=;74=204>4c63499n7?:6:?073<6>016?>9517;8962728<270=;1;35=>;46<86;<0e2?73k279j:4>4b9>737=9?<01?:8:04:?851i3;=463>038213=z{:9:6=4i{<0f4b9>767=9l;01>=::075?85383;?o63<40820f=:;=k1=9m4=26a>42d349>97?;c:?1b3<6k9515f8960628<<70<;7;37g>;4>h0::45211195005dz?1a=<6h7515f8965e28o:70=;46<:k;<0e2?73m279j:4>4d9>737=9?201?:8:06g?851i3;?o63>058213=z{:>>6=4m{<0f4d9>713=9l;01>:6:075?852=3;?i63=f7820c=::o=1=9h4=242>40>348?;7?;e:?02d<6579~w62a2902w0;5m00:8k5235d95`7<5:??6<;9;<0e2?728279j:4>519>737=9=i01>8n:06f?8cb28?=7p}=e983>7}::l21=h?4=3g5>42e3ty9i44?:3y>6`?=9l;01?k9:075?xu5mk0;6?u22d`95`7<5;oo6<:n;|q1af<72;q6>hm51d3897cc28>i7p}<1183>6}:;931=974=231>42e349:=7?j1:p75g=838p1>?=:06b?857i3;n=6s|30094?4|5:;96;<125?7312wx?=l50;0x966f28>j70=?b;3f5>{t;9i1<742e349;o7?j1:p75b=838p1>>k:0g2?857k3;?m6s|31g94?4|5::n6;<13g?73j2wx?=h50;0x966a28o:70=?c;362>{t;8k1<7=t=230>42>349:o7?;b:?05g<6m81v>?;:181856k3;?m63<1582a4=z{:;h6=4={<12g?7b9278=o4>489~w6722909w0=>4;37e>;49<0:i<5rs235>5<5s49:87?;b:?053<6m81v>?8:181856?3;n=63<17820d=z{:;36=4={<124c9~w67>2909w0=>9;3f5>;49?0:9;5rs207>5<4s49:h7?;9:?063<6v3<27820d=:;8o1=h?4}r112?6=:r78>;4>e09>773=9=30q~=>f;296~;49l0:8l5230d95`752z?05`<651d38yv5593:1>v3<2082a4=:;;:1=9o4}r116?6=:r78>?4>e09>776=9=h0q~==3;296~;4::0:i<52332950053z?062<6<016?>>515`8964a28o:7p}<2983>7}:;::1=9o4=20;>4c63ty8?=4?:3y>766=9l;01>;|q06d<72;q6??6515`8964f28o:7p}<2c83>7}:;;h1=h?4=20b>42f3ty8>n4?:3y>77e=9l;01>?515;8965f28>i70=<9;3f5>{t;:81<742f3498>7?j1:p76g=838p1>=n:0g2?85413;?56s|32194?4|5:996<:n;<107?7b92wx?>:50;0x965528>i70=<4;3f5>{t;:?1<74c6349887?;a:p760=838p1>=9:0g2?854<3;?n6s|32594?4|5:9<6;<100?72>2wx?9<50;1x965e28>270=;4;37f>;4<:0:i<5rs21`>5<5s49?87?;a:?07f<6m81v>:;:181853<3;n=63<42820<=z{:9o6=4={<10g?73i278?i4>e09~w65b2909w0=;4;l0:i<5rs21e>5<5s498j7?j1:?07`<6:?:18185383;n=63<3d820g=z{:>:6=4={<175?7b9278?h4>579~w62d2908w0=;5;37=>;452z?00`<6v3<4d82a4=:;=n1=974}r173?6=:r788;4>4`9>711=9l;0q~=;8;296~;452z?00<<6m816?96515c8yv53i3:1>v3<4`82a4=:;=21=9l4}r17f?6=:r788o4>e09>71>=9<<0q~=:b;297~;4;|q01d<72;q6?88515;8963f28o:7p}<5783>6}:;<<1=h?4=24;>42f349=47?;b:p706=838p1>;k:06b?85283;n=6s|34f94?4|5:?o6;<16g?7312wx?8?50;0x963728>j70=:1;3f5>{t;<81<742e349>>7?j1:p610=839p1>;<:06b?852;3;?n63=4782a4=z{:?86=4={<167?7b92789?4>4`9~w6332909w0=:4;3f5>;4=;0:8o5rs276>5<5s49>97?j1:?017<6=?1v>;8:181852?3;n=63<5`820d=z{:?36=4={<164c9~w63>2909w0=:9;3f5>;4=h0:9;5rs2;5>5<4s493h7?;9:?0==<6v3<99820d=:;1l1=h?4}r1:e09>7<1=9=30q~=7e;296~;41>0o<63<8d82a4=z{:3;6=4={<1;b?73i2785=4>e09~w6?62909w0=7f;37f>;4180:i<5rs2;1>5<5s492>7?j1:?0=4<67<:18185>;3;n=63<90820g=z{:3?6=4={<1:0?7b92785<4>579~w6?22909w0=65;3f5>;4180::<5rs3;0>5<5s483i7?;9:?1=1<6m81v?6j:1823~;50l0:i<526c6951d<583m6<;9;<3b4?72>27:m?4>579>5d5=9<<01;9?:075?80093;>:639738213=:>>91=884=37b>431348>n7?:6:?11f<6=?16>8j51448970128?=70<97;362>;5>10:9;5227;9500<527>hh4>579>1a`=9<<01<>i:06a?xu5190;6?u2286951?<5;3;6;|q14:5d19>6=`=9l;0q~<61;296~;5190:8l5228395`77>52z?1=5<64<51d38yv4a03:1?v3=f0820<=::ok1=9l4=3d:>4c63ty9j<4?:4y>6c7=9l;01?h::075?85193;?h63<6`820c=:mo0:9;5rs3d1>5<5s48mm7?;a:?1b7<6m81v?hn:18184ai3;n=63=f8820<=z{;l86=4={<0e6?73i279j>4>e09~w7`32909w0;5n=0:i<5rs3d6>5<5s48m97?j1:?1b1<63;n=63=f5820g=z{;l<6=4={<0e3?7b9279j94>579~w6?b2909w0=69;37=>;41o0:i<5rs2;a>5<5s492j7?;9:?0=g<6m81v>7n:18185>n3n;70=6a;3f5>{t;0i1<742f3492o7?j1:p77m:06a?85>l3;n=6s|3d494?5|5:nj6<:6;<1fe09~w6bf290:;v340634?987?95:?6g<<6><16:>951738935?28<:708;1;k0::8526`a951d<5?2m6<8>;<4:4?71927:n=4>679>5g7=9?<0196n:046?802j3;==63=338220=:=hn1=;?4=4`a>40034?oh7?91:?24=<6>816=28<<7p}7}:;l:1=974=2g6>4c63ty8i=4?:2y>7`6=9l;018;<:06b?832;3;?n6s|3e`94?4|5:o36<:n;<1gf?7b92wx?h650;0x96c?28o:70=j7;37=>{t;mi1<742f349oo7?j1:p7ab=838p1>jm:06a?85cl3;n=6s|62;94?5|5:nn6<:n;<1ga?73j27=?44>e09~w6bb2909w0=ke;3f5>;4lm0:8l5rs2fe>5<5s49oj7?j1:?0`a<61=;74=0;b>4c634<:47?99:?55<<6>01698:515`8903128>j70;:7;362>;2=10:9;52260951d<5;=86<:n;<040?73k279;84>4b9~w76a2909w0=j1;362>;58o0:i<5rs2g2>5<5s49n=7?j1:?0a0<6k=:18185b:3;n=63579~w6c32909w0=j4;3f5>;4m<0::<5rs5c`>5<4s4>j=7?;9:?7e`<64=5c4>43734>j47?:0:?7e<<6=916>n;5142897e128?;70;5k10:9=521b`9537<5l21=884}r6bf?6=:r7?ml4>489>0dd=9l;0q~:na;297~;3ih0:i<5212c951g<589j6<:m;|q7e7<72;q68lk515c891g528o:7p};ad83>7}:42>3ty?m>4?:3y>0d4=9=k019o<:0g2?xu3i=0;6?u24`0951d<5=k?6;|q1g1<72:q68l;515c891g228>i70{t4c634>j87?;a:p0d0=838p19o9:0g2?82f<3;?n6s|4`594?4|5=k<6;<6b0?72>2wx8l650;0x91g?28o:70:n4;355>{t4c634>j87?94:p2g0=838p1;l?:06:?80e?3;n=6s|6c294?54s4;6i:0::<526`c9500<5?ki6<;9;<4bg?72>27=mi4>4c9>213=9<<01;:9:075?803?3;?n63949820g=:>0i1=9l4=7;g>43134<2i7?:6:?5=c<651738931728<:70881;355>;1?;0::<526619537<5;;>6<;9;<022?72>279=:4>4c9>64>=9=h01;;8:06a?80213;?n6395`8224=::<31=;?4=37b>406348>n7?91:?11f<6>816>8j51738970228<:70<96;355>;5>>0::<5227:9537<5;<26<8>;<7gf?71927>hn4>609>1ac=9?;018ji:042?877k3;?m63>0e820d=:99o1=9o4=03;>43134;:57?:6:?25d<6v39b6820<=:>k;1=h?4}r4a6?6=:r7=n<4>4`9>2g4=9l;0q~8m3;296~;1j80:8o526c195`752z?5f1<6m816:o=515c8yv02n3:1>8u26c7951d<5?k26<:n;<4be?71927=mo4>609>2de=9?;01;ok:075?803<3;?m639448224=:>=<1=;?4=764>43134<:515c8977228<:70<>6;355>;59>0:9;5220:9500<5??26<;9;<46e?71<27=9o4>659>60?=9?>01?;n:047?842j3;=863=5b8221=::403348=:7?94:?122<6>=16>;651768970>28;2<:0:8o525e`9532<5hh4>659>1a`=9?>0q~867;29<~;1j<0:9;5268595`7<5?4`9>203=9=h018:7:06a?877k3;?n6s|67794?0|5?h>6<8>;<456?73i27=:?4>4c9>233=9l;018:;:06b?833<3;?n6s|67`94?0|5?h>6<8;;<454c9>23d=9l;018:::06b?833=3;?n6s|6c794?4|5?h>6;<4a7?73j2wx=l;50;0x94?f28>270?n6;3f5>{t9h>1<742>34;j87?j1:p516820g=z{83i6=4={<3b2?73127:5o4>e09~w4?d2909w0?6b;37e>;61j0:i<5rs0;g>5<5s4;2n7?;b:?2=a<6m81v?9?:18087>l3;?m63>9e820g=::>:1=h?4}r4b7?6=:=q6=4h5176894g728;6i;0::9521`19532<5?9<6<8;;<404>e09>2d?=9=h01;:;:06a?803?3;==6398g8221=:>0:1=;:4=7;g>40634<2i7?91:?5=c<6=?16:l>51768931728;1?;0::9526619532<5;;?6<:m;<023?71927=944>609>1m3;==63:9g8224=:=h:1=;?4=02g>42e34;;j7?:6:?25=<6>816=<751738947f28?=70?>b;350>;69j0::95rs705>5<6?r7:5k4>649>5d6=9??01>;1=;;4=751>40234<8o51778973e28<>70<:c;351>;5=m0::8522749533<5;<<6<8:;<05649>142f3ty:5k4?:3y>5<`=9l;01:046?804?3;=9639398220=:>hn1=;?4=71e>4c634<16:4>5177893?a28<:70<>8;355>;1=k0::85258d9532<5hi4>649>54g=9?;01a283>7}:9h91=h?4=0c7>4033ty?5k4?:3y>0u248c95`7<583?6<;9;<30f?72>2wx84m50;0x91g728>270:6c;3f5>{t<0h1<7a6<5=3i6;|q7=a<72;q684m515c891?c28o:7p};9d83>7}:<0i1=9l4=5;f>4c63ty?n;4?:6y>042f34>m?7?;b:p0g2=838p19oi:06:?82e=3;n=6s|4`d94?5|5=km6;<3a`?72>27:?>4>579~w1d62909w0:m5;37=>;3j80:i<5rs5`3>5<5s4>i97j?;<6a4?7b92wx8o<50;0x91d628>j70:m2;3f5>{t42e34>i?7?j1:p170=839p18?n:06:?83503;?563:2682a4=z{<836=4<{<71>=4>4c9>566=9=h0q~;=5;296~;2:80:845253795`753z?664<6m816=><515c8945528>i7p}:1c83>7}:=;=1=974=43a>4c63ty>=n4?:3y>14d=9=k018?l:0g2?xu29m0;6?u250`951d<5<;o6;|q26c<72:q69i70?=f;3f5>{t=8o1<74c634?:h7?;a:p14`=838p18?i:0g2?836l3;?n6s|53294?4|5<8;6;<72`?72>2wx9?<50;0x904528o:70;=5;37e>{t=;91<74c634?997?;b:p172=838p18<;:0g2?835=3;>:6s|60c94?4|5?;?6<:6;<42f?7b92wx:<;50;0x937e28>2708>5;3f5>{t>8i1<77?d34<:o7?j1:p240=838p1;?::06b?806>3;n=6s|60594?4|5?;>6<:m;<423?7b92wx:<650;0x937?28o:708>7;37e>{t>831<74c634<:;7?;b:p1fd=838p18m?:06:?83dj3;n=6s|5bc94?4|5f;37e>;69o0:8o5rs4a2>5<5s4?hn7?;9:?6g4<6m81v8m=:18183d93;?m63:c382a4=z{o>4>e09~w0gf2908w0;l3;37e>;2k:0:8o525`c95`752z?6g0<6m8169no515c8yv3d>3:1>v3:c782a4=:=jk1=9l4}r7`3?6=:r7>o:4>e09>1fg=9<<0q~;l8;296~;2k10:i<525bc953752z?6g<<6m8169no51768yv34i3:18v3:2g820<=:=;o1=974=41`>42e34?8n7?j1:p17c=83>p18:63:358213=:n=0:9;5rs41:>5<5s4?897?;9:?67<<6m81v8=::180834=3;n=63>b`820d=:9kk1=9l4}r704?6=:r7>?n4>4`9>166=9l;0q~;52z?675<6?51d38yv34:3:1>v3:31820g=:=:81=h?4}r707?6=:r7>?>4>e09>164=9=k0q~=k4;297~;2;=0::<522b19533<5:n?6;|q671<72;q69>:51d38905528>i7p}:3783>7}:=:<1=h?4=41:>42f3ty>?:4?:3y>161=9l;018=6:06a?xu2;10;6?u252:95`7<5<926<;9;|q17c<72;q6>>;515;8975a28o:7p}=3d83>7}:::h1=974=31f>4c63ty9?o4?:2y>66d=9l;01?8<:06b?841;3;?n6s|22494?4|5;9m6<:6;<002?7b92wx>>950;0x975128>j70<<7;3f5>{t::21<742e348847?j1:p264=83;iw0<<9;37f>;5;h0:8o5222a951d<5;9o6<:n;<406?7b927?i<4>4c9>0`4=9=h01>o::06a?85f>3;?m63=1g820g=::;:1=9o4=305>42e3489;7?;a:?101<69;515c8972c28>i70<;e;37e>;5=90:8o52243951g<5;=h6<:m;<04`?73i2794>4>4c9>6=2=9=h01?6n:06a?84?j3;?n63:a88213=:9;=1=884}r00=?6=:r79?44>e09>66>=9=k0q~<52z?17f<6m816>>k515c8yv44l3:1>v3=3e82a4=:::o1=9l4}r76=?6=;r7>8k4>489>11c=9=3018;n:0g2?xu2u255g95`7<5?8m6<:n;<41b?73j2wx98>50;0x903f28>270;:0;3f5>{t=<;1<742f34?>=7?j1:p104=838p18;?:06a?832:3;n=6s|54194?4|5;<766?73i2wx98:50;0x903328o:70;:2;37f>{t=4c634?>>7?:6:p5`?=839p18;9:06a?87b13;n=63>f3820d=z{9?4>609~w0302909w0;:7;3f5>;2=;0::95rs47;>5<5s4?>47?j1:?617<6><1v;=k:181804:3;?56393d82a4=z{?9h6=4={<40=?73127=?n4>e09~w3542909w08;1;:0:i<5rs717>5<5s4<8?7?;a:?571<6m81v;=::181804;3;?n6393482a4=z{?2i6=4>1z?573<68515`893>028>j70877;37f>;10k0:i<522069500<5;;>6<8;;<022?71<279=:4>659>64>=9?>01;:j:06b?803m3;?n63=648220=::?<1=;84=344>401348=47?96:?12<<6>?1v;=9:181804>3;n=63934820d=z{?9<6=4={<403?7b927=?84>4c9~w35?2909w08<8;3f5>;1;<0:9;5rs71b>5<5s4<8m7?j1:?57f<6e09~w1b62909w0:k7;37=>;3l80:i<5rs5f1>5<5s4>o=7?;a:?7`7<6m81v9j<:18182c93;?n63;d282a4=z{=n?6=4={<6g0?7b927?h>4>4`9~w1>b290>w0:k5;37f>;3ll0:8o5249g95`7<58ij6<:m;42e3ty?h84?:3y>0a3=9l;019j<:06a?xu3m=0;6?u24e:951?<5=o>6;|q7a6<72;q68h>515;891c428o:7p};e183>6}:42f3489o7?;b:p0a?=838p19k::06:?82c13;n=6s|4ec94?4|5=n26<:n;<6ge?7b92wx8il50;0x91b>28>i70:kb;3f5>{t98o1<7=t=5f`>42f34>oo7?;b:?25`<6m81v9jl:18182ck3;n=63;dc820d=z{=no6=4={<6g`?7b927?ho4>4c9~w1bb2909w0:ke;3f5>;3lk0:9;5rs5fe>5<5s4>oj7?j1:?7`g<6>81v9k>:18182b93;n=63;e2820d=z{=o96=4={<6f6?7b927?i>4>4c9~w1122909w0:9e;37=>;3??0:i<5rs54e>5<5s4><:7?;9:?72c<6m81v99?:181821n3;?m63;7182a4=z{==:6=4={<65b?73j27?;<4>e09~w1242903w0:82;37f>;3?:0::<524669537<5=>86;<4;>40234>8o7?;a:?77f<6v3;7382a4=:<>;1=9o4}r647?6=:r7?;>4>e09>027=9=h0q~:84;296~;3?=0:i<524639500>47>52z?714<6<01688751d38yv22:3:1>v3;58820<=:<<81=h?4}r667?6=:r7?9?4>4`9>005=9l;0q~::4;296~;3=;0:8o5244695`7>97>52z?710<6m81688:515c8yv22>3:1>v3;5782a4=:<<>1=9l4}r663?6=:r7?9:4>e09>002=9<<0q~=i4;296~;4n90:84523g795`752z?0b0<6<016?k?51d38yv5a:3:1>v34c9>7c5=9l;0q~:?a;297~;38:0:845241a951d<5=:i6;|q741<72;q68=m515c8916328o:7p};0b83>7}:<9i1=h?4=52a>42>3ty?<84?:3y>052=9=k019>::0g2?xu38?0;6?u2416951d<5=:=6;|q76f<721q68=951448916>28c;362>;39m0:9;52401951d<5=8>6<;9;<613?71927?>n4>e09~w1602909w0:?7;3f5>;38?0:8l5rs52;>5<5s4>;47?j1:?743<66:18182713;n=63;078213=z{=?n6=4={<66e?73127?9k4>e09~w13e2909w0::f;37=>;3=k0:i<5rs57`>5<5s4>>n7?;a:?71f<6m81v9;k:181822j3;?n63;5e82a4=z{=;n6=4<{<623?73127?>=4>4c9>04`=9l;0q~:>8;296~;3:90:8l5240:95`79<7>52z?765<6m8168v3;19820d=:<831=h?4}r62e?6=:r7?=54>4c9>04g=9l;0q~:>b;296~;39k0:i<5240c951g:o7>52z?75f<6m8168v3;1e82a4=:<8k1=884}r650?6=:r7?:=4>489>033=9l;0q~:91;296~;3><0:845247395`7=>7>52z?724<6v3;60820g=:489>03b=9l;0q~:97;296~;3>m0:845247595`7=47>52z?722<6v3;66820g=:e09>03?=9=k0q~:9b;296~;3>k0:i<5247;951d52z?5e6<6<016:lh51d38yv0f<3:1>v39ag820<=:>h>1=h?4}r4b1?6=:r7=m94>4`9>2d3=9l;0q~8n6;296~;1i=0:8o526`495`753z?5e2<67}:>h=1=h?4=7c5>42f3ty=954?:2y>2d>=9=k01;o7:06a?80203;n=6s|6`:94?4|5?k36;<4b2?73j2wx::950;3580f13;>:6397682a4=:>=>1=884=7;`>431348:87?91:?512<6=?16:8l51748973f28<=70<:b;352>;5=j0::;5224f9530<5;<=6<88;<053?71?279:54>669>63?=9?=018jm:045?83ck3;=:63:de8223=:=mo1=;84=4fe>40134;;n7?;b:?24`<6609~w3ge2909w08nb;3f5>;1i?0::95rs7c`>5<5s4<1v;ok:18180fl3;n=639a78223=z{;ij6=4<{<0`4?731279on4>4c9>6fd=9l;0q~52z?1gf<6n?51d38yv4dk3:1>v3=cb82a4=::jh1=974}r0`6?6=:r79o<4>4`9>6f4=9l;0q~i7>54z?1g6<6>?16>i?5177897b528<>70=:e;3f5>{t:j?1<74c6348h57?;a:p6f0=838p1?m9:0g2?84d13;?n6s|2b594?4|5;i<6;<0`=?72>2wx>n650;0x97e?28o:70{t:m91<7=t=3ag>42>348o97?;b:?1`1<6m81v?mj:18184c=3;?m63=cd82a4=z{;n>6=4={<0g1?7b9279h94>489~w7ea2909w0;5ko0:i<5rs3f3>5<5s48hi7?;b:?1`5<6m81v?j>:18184c93;n=63=d1820d=z{;n96=4={<0g6?7b9279h=4>4c9~w1722909w0:?d;37=>;39?0:i<5rs52f>5<5s4>::7?;9:?74`<6m81v9>i:181827m3;?m63;0g82a4=z{=;;6=4={<63a?73j27?==4>e09~w1762909w0:>1;3f5>;3990:8l5rs531>5<5s4>:>7?j1:?755<6609~w14>2908w0:=1;37=>;3:k0:8o5243c95`79>7>52z?76g<6v3;2c82a4=:<;k1=974}r617?6=:r7?>?4>4`9>075=9l;0q~:=4;296~;3:;0:8o5243695`7997>52z?760<6m8168?:515c8yv25>3:1>v3;2782a4=:<;>1=9l4}r613?6=:r7?>:4>e09>072=9<<0q~:=8;296~;3:10:i<52436953752z?532<6<016:5<51d38yv0?83:1>v397c820<=:>1:1=h?4}r44f?6=;r7=;o4>e09>`g<64c9~w31?2909w0872;37=>;1?10:i<5rs75:>5<5s4<<47?;a:?53<<6m81v;9n:18180003;?n6397`82a4=z{?8h6=4:{<44e?73i27=>n4>e09>2=>=9=k018:6:06b?876<3;?n6s|69194?c|5?=j6<:m;<4;7?7b927=454>4c9>642=9?>01??::046?846>3;=963=168220=::821=;;4=346>401348=:7?98:?122<6>116>;6517:8970>28<370;;9;37f>{t13:1=?u266a9500<5?=o6<;9;<44a?71927=;k4>4`9>7f6=9<<01>m>:075?85d:3;>:6342e34;m>7?;b:?2b6<6=?1657?j1:?gg?72>27oh7?:6:?ga?72>27oj7?:6:?f4?72>2wx::m50;0x931d28o:70870;37e>{t>>n1<74c634<3<7?;b:p22c=838p1;9j:0g2?80?83;>:6s|3`294??|5?=m6<:m;<1b4?7b9278m>4>579>2<5=9=h01>l?:06b?85e93;?m6342e3ty8mo4?:9y>22`=9<<01;7<:075?85fj3;n=63431349hh7?:6:?0g`<6=?1v>l6:186800n3;==639938213=:;k31=h?4=2ag>406349hi7?91:p7f>=839p1;9i:047?85d03;n=63609~w32>2909w08;15<5s4:18180383;?m6394082a4=z{?>96=4={<474?73j27=8?4>e09~w73?2908w08;3;37e>;1<:0:8o5224:95`752z?506<6m816:9<515c8yv03<3:1>v394582a4=:>=81=9l4}r471?6=:r7=884>e09>214=9<<0q~8;6;296~;152z?502<6m816:9<51768yv0303:1>v394982a4=:>=81=;;4}r457?6=:r7=9k4>489>232=9l;0q~890;296~;1>=0:845267295`752z?525<6v3961820g=:>?81=h?4}r341?6=:r7::o4>489>520=9l;0q~?9c;296~;6??0:845217a95`752z?22f<6v3>6b820g=:9?o1=h?4}r35b?6=:r7::k4>e09>53c=9=k0q~?80;296~;6?90:i<5217g951d52z?234<6m816=;k51448yv31<3:1?v3>73820d=:9>81=9l4=447>4c63ty:;?4?:3y>524=9l;01<8j:042?xu6?:0;6?u216195`7<587p}6}:;h:1=974=2cb>42e349j57?j1:p7d1=838p1>o;:06:?85f?3;n=6s|3`694?5|5:k?6;<063?73i2799:4>4c9~w6g62909w0=na;37e>;4i80:i<5rs2cb>5<5s49jm7?j1:?0e<<6<01v>o=:18185f93;?m634>e09~w6g22909w0=n5;3f5>;4i>0:8l5rs2c5>5<5s49j:7?j1:?0e2<6?6=4={<67e09~w1222909w0:;4;37e>;3<<0:i<5rs565>5<5s4>?87?;b:?703<6m81v9:6:185823>3;?m63;47820g=:<=31=h?4=7;9533<5=>;6<8:;<753?71<2wx89h50;0x912>28>270::0;3f5>{t<=k1<742>34>?m7?j1:p01d=838p19:n:06b?823j3;n=6s|45a94?4|5=>j6<:m;<67g?7b92wx89j50;0x912c28o:70:;c;37e>{t<=o1<74c634>?o7?;b:p0<4=838p196j:06:?82>;3;n=6s|49d94?4|5=386<:6;<6;b?7b92wx84>50;0x91>a28>j70:60;3f5>{t<0;1<742e34>2=7?j1:p0f6=838p19l9:06:?82d93;n=6s|4c594?4|5=i:6<:6;<6a3?7b92wx8o650;0x91d028>j70:m8;3f5>{t42e34>i57?j1:p7g4=839p19ln:06b?82ei3;?n634`9~w1de2909w0:mb;3f5>;3j00:8o5rs5``>5<5s4>io7?j1:?7f<<6=?1v9lk:18182el3;n=63;b88224=z{=hn6=4={<6aa?7b927?n44>659~w1da2909w0:mf;3f5>;3j00::85rs713>5<5s4<9o7?;9:?574<6m81v;h4>e09~w34a2909w08=d;37f>;1:o0:i<5rs7::>5<5s4<3?7?;9:?56=4={<4;0?73i27=484>e09~w3>12909w0874;37f>;10?0:i<5rs7:4>5<5s4<3;7?j1:?5<3<66=4={<4;f?73127=5;4>e09~w3?32909w0861;37=>;11=0:i<5rs7;2>5<4s4<2=7?j1:?0fa<6v3997820<=:>1i1=h?4}r4;`?6=:r7=4n4>4`9>2=b=9l;0q~87e;296~;10j0:8o5269g95`752z?583:1>v399182a4=:>1o1=9l4}r4:6?6=:r7=5?4>e09>2<2=9=k0q~863;296~;11:0:i<52686951d52z?5=2<6<016:l<51d38yv0>03:1>v39a3820<=:>021=h?4}r4:=?6=:r7=554>4`9>253z?5=g<67}:>0h1=h?4=7;b>42f3ty=5n4?:3y>27}:>0l1=h?4=7;b>4033ty=m=4?:3y>2d6=9l;01;7n:046?xu61>0;6>u219a951?<58326<:m;<3:28>j70?7d;3f5>{t9031<74c634;247?;9:p5=c=838p1<6k:06b?87?m3;n=6s|19d94?4|582o6<:m;<3;b?7b92wx=4>50;0x94?728o:70?7f;37e>{t:;n1<7=t=0;2>42f34;2=7?;b:?16a<6m81v<7>:18187>93;n=63>8g820g=z{8926=4<{<3:6?73i27:5?4>4c9>56?=9l;0q~?62;296~;61;0:i<5219d950052z?2=6<6m816=5h51738yv7><3:1>v3>9582a4=:91l1=;:4}r3:1?6=:r7:584>e09>5=`=9??0q~?66;296~;61?0:i<5219d953053z?2f0<6<016=n<515`894e628o:7p}>b783>7}:9j81=9o4=0`5>4c63ty:o?4?:3y>5f4=9l;01:06:?xu6j>0;6?u21c4951g<58h<6;|q2f=<72;q6=o8515`894d?28o:7p}>b883>7}:9k31=h?4=0`;>42f3ty:nl4?:3y>5gg=9l;01u21c`951g<58hi6<:m;<305?7b92wx=ol50;0x94de28o:70?m8;362>{t9ki1<74c634;i47?91:p5gb=838p1;<3a{t;k=1<742>349i47?j1:p7g0=838p1>l=:06:?85e>3;n=6s|3`a94?4|5:h36<:6;<1bg?7b92wx?lj50;0x96gd28>j70=nd;3f5>{t;ho1<742e349ji7?j1:p7f`=839p1>oi:06b?85fn3;?n634`9~w6d72909w0=m0;3f5>;4il0:8o5rs2`2>5<5s49i=7?j1:?0e`<6=?1v>l<:18185e;3;n=634c9~w6d22909w0=m5;3f5>;4j?0:9;5rs2a6>5<4s49i57?;9:?0g2<6v31=h?4}r1ab?6=;r78nk4>e09>5`b=9=k01;|q0g2<72;q6?n951d3896e128>27p}7}:;kk1=9o4=2`a>4c63ty8nn4?:3y>7gg=9=h01>ll:0g2?xu4jm0;6?u23cf95`7<5:hh6<:n;|q0f`<72;q6?ok51d3896dd28>i7p}7}:;j:1=h?4=2a7>42f3ty8o<4?:3y>7f7=9l;01>m;:06a?xu4k;0;6?u23b095`7<5:i?6<;9;|q0g6<72;q6?n=51d3896e328<:7p};3783>7}:<:81=974=514>4c63ty??>4?:3y>061=9=3019=<:0g2?xu3;=0;6?u2421951g<5=9?6;|q770<72;q68>=515`8915228o:7p}>a683>1}:<:?1=9o4=516>42e34;j;7?j1:?f1?73j2wx:;750;0x930228>27089a;3f5>{t>?<1<742>34<=:7?j1:p231=838p1;89:06b?801?3;n=6s|67:94?4|5?<=6<:m;<45270886;3f5>{t>>>1<742>34<<87?j1:p23e=838p1;99:06:?801k3;n=6s|67f94?4|5?i7089e;3f5>{t>>:1<74c634<<87?;a:p227=838p1;9>:0g2?800<3;?n6s|66094?4|5?=96;<440?72>2wx::=50;0x931428o:70884;355>{t>j0;6?u262820<=:>m0:i<5rs7194?5|5?91=h?4=7c9533<5=oi6<:n;|q50?6=:r7=h7?;9:?50?7b92wx:84?:3y>21<6e09~w30=838p1;:515`8930=9l;0q~88:18180028o:7089:06b?xu103:1>v398;3f5>;1>3;?n6s|6883>7}:>00:i<52678213=z{?k1<75<5s4;<45>4033ty:n>4?:3y>5d1=9=301;|q2e=<72;q6=o:515;894g?28o:7p}>a883>7}:9h21=9o4=0c:>4c63ty:ml4?:3y>5d>=9=h01ac820d=:9hh1=9l4=d095`752z?2eg<6m816=lo515c8yv7fk3:1>v3>ab82a4=:9hk1=9l4}r3ba?6=:r7:mh4>e09>5g4=9=k0q~?nf;296~;6io0:i<521c0951d52z?2f5<6m816=o<51448yv7e93:1>v3>b082a4=:9k81=;?4}r3`a?6=:r7:o>4>489>5f`=9l;0q~?l3;291~;6k:0:i<521b;9500<58ij6<;9;<64e?73i27?;l4>4c9~w4e32909w0?lf;37=>;6k=0:i<5rs0a6>5<5s4;h87?;a:?2g0<6m81vc782a4=z{4c9>1g0=9l;0q~?l7;296~;6k>0:i<521b4951g53z?2g=<6c983>7}:9j21=h?4=0a5>42e3ty:o44?:3y>5f?=9l;01;|q2gg<72;q6=nl51d3894e128cb83>7}:9ji1=h?4=0a5>4023ty:oi4?:3y>5fb=9l;016;|q2ad<72;q6=k;515;894cf28o:7p}>ec83>7}:9lk1=9o4=0ga>4c63ty:in4?:3y>5`g=9=h014`9>5`c=9=h01io51d38yv7bm3:1>v3>ed82a4=:9li1=9l4}r3fb?6=:r7:ik4>e09>5`e=9<<0q~?i0;296~;6n90:i<521da953752z?2b4<6m816=hm51768yv7a:3:1>v3>f382a4=:9li1=;;4}r3e7?6=:r7:j>4>e09>5`e=9?<0q~52z?1f<<6<016>o;51d38yv4e>3:1>v3=b4820d=::k<1=h?4}r0a3?6=:r79n84>4c9>6g1=9l;0q~=k1;297~;4k10:84523e1951d<5:n96;|q0`5<72;q6?nh515;896b728o:7p}7}:;m91=9o4=2a:>4c63ty8h>4?:3y>7a5=9l;01>j=:06:?xu4kh0;6?u23b;951g<5:ij6;|q0gg<72;q6?n7515`896ee28o:7p}7}:;ji1=h?4=2aa>42f3ty8oi4?:3y>7fb=9l;01>mm:06a?xu4kl0;6?u23bg95`7<5:ii6<;9;|q0`=<72;q6?i:515;896b>28o:7p}7}:;m31=974=2f6>4c63ty8h;4?:3y>7a3=9=k01>j9:0g2?xu4l>0;6?u23e7951d<5:n<6;|q745<72:q6?k8515;8916528>i70:?1;3f5>{t;o<1<78t=2d5>4c6349mm7?91:?0bg<6><16?km5177896`c28<>70;8b;37f>{t;ol1<742>349mj7?j1:p7c1=838p19>=:06b?85a?3;n=6s|41094?4|5=:96;<635?7312wx?k650;0x96`028>j70=i8;3f5>{t;o31<742e349m57?j1:p7cg=838p1>hn:0g2?85a13;?m6s|3g`94?4|5:li6;<1e=?73j2wx?km50;0x96`d28o:70=i9;362>{t;on1<74c6349m57?91:p066=838p19j70:=e;3f5>{t<;l1<742e34>9j7?j1:p017=838p19=7:06:?823:3;n=6s|42:94?5|5=936;<674?71>27>;n4>609~w15>2909w0:;2;37=>;3;00:i<5rs51b>5<5s4>857?;a:?77d<6m81v9=m:18182413;?n63;3c82a4=z{=9h6=4={<60g?7b927??o4>4`9~w15c2909w0:;3;k0:8o5rs51f>5<5s4>8i7?j1:?77g<6=?1v9=i:181824n3;n=63;3c8224=z{=>;6=4={<674?7b927??o4>659~w11e2909w0:87;37=>;3?j0:i<5rs55;>5<5s4>e09~w1>62909w0:8d;37=>;30;0:i<5rs55f>5<5s4>3>7?;9:?73`<6m81v99i:181820m3;?m63;7g82a4=z{=2;6=4={<64a?73j27?4=4>e09~w34f2909w08=6;37=>;1:k0:i<5rs704>5<5s4<9n7?;9:?562<6m81v;<7:181805?3;?m6392982a4=z{?826=4={<413?73j27=>44>e09~w24=838p1;k515;8925=9l;0q~8j:18180b28o:70:jb;37f>{t>o0;6?u272820<=:>o0:i<5rs6294?4|5?l1=9o4=6295`742e34=:6;|q15<<72;q6>=h515;8977f28o:7p}=1183>7}::8k1=974=333>4c63ty9=<4?:3y>646=9=k01??>:0g2?xu59;0;6?u2202951d<5;;96;|q121<72:q6><=515c8977428>i70<94;3f5>{t:891<74c6348:>7?;a:p642=838p1??;:0g2?846:3;?n6s|20794?4|5;;>6;<026?72>2wx><850;0x977128o:70<>2;355>{t:8=1<74c6348:>7?94:p64>=838p1??7:0g2?846:3;=96s|2cg94?4|5;hj6<:6;<0ab?7b92wx>ol50;0x97da28>270{t:ki1<742f348io7?j1:p6gb=838p1?lm:06a?84el3;n=6s|37094?4|5:?n6<:6;<157?7b92wx?8h50;0x960428>270=:f;3f5>{t;?:1<742f349=<7?j1:p737=838p1>;i:06a?85193;n=6s|3dg94?4|5:oj6<:6;<1fb?7b92wx?hl50;0x96ca28>270=jb;3f5>{t;li1<742f349no7?j1:p7`b=838p1>km:06a?85bl3;n=6s|49a94?4|5=286<:6;<6;`?7b92wx85=50;0x91>428o:70:i4;37f>{t<1h1<742>34>3n7?j1:p0=1=839p1968:0g2?8c428>j70k<:06a?xu30=0;6?u249f951?<5=2?6;|q7<0<72;q685:515c891>228o:7p};8783>7}:<1>1=9l4=5:5>4c63ty?454?:3y>0=>=9l;0196m:06b?xu3000;6?u249;95`7<5=2i6<:m;|q7e28?=7p};9983>7}:<0>1=974=5;:>4c63ty?594?:3y>0<2=9l;01?9<:06a?xu31<0;6?u248;951?<5=3>6;|q7=3<72;q684;515c891?128o:7p};9683>7}:<0?1=9l4=5;4>4c63ty>h?4?:3y>1fc=9=3018j<:0g2?xu2kl0;6>u25bg95`7<58nh6<:n;<3gg?73j2wx9nh50;0x90b428>270;lf;3f5>{t=m:1<742f34?o<7?j1:p1a7=838p18mi:06a?83c93;n=6s|65d94?4|5?>i6<:6;<464?7b92wx:9l50;1x932e28o:70;nb;37e>;2ik0:8o5rs76`>5<5s4<><7?;9:?50f<6m81v;:k:181803k3;?m6394e82a4=z{?>n6=4={<47g?73j27=8h4>e09~w33c2909w08:1;37=>;1=l0:i<5rs772>5<4s4<>=7?j1:?6ef<6v3959820<=:>489>204=9l;0q~8:3;296~;1=;0:8l5264195`787>52z?517<6v395482a4=:><>1=9o4}r322?6=;r7=9;4>4`9>200=9=h017}:><31=h?4=77`>42f3ty=9l4?:3y>20g=9l;01;;l:06a?xu1=k0;6?u264`95`7<5??h6<;9;|q164<72;q6>7}::;;1=974=33`>4c63ty9=i4?:3y>64e=9=k01??k:0g2?xu59l0;6?u220a951d<5;;n6;|q15c<72;q6>j7p}=2183>7}::;:1=h?4=33f>42e3ty9>54?:3y>674=9=301?<7:0g2?xu5::0;6?u223:951?<5;886;|q161<72;q6>?=515c8974328o:7p}=2483>7}::;91=9l4=306>4c63ty9>;4?:3y>670=9l;01?<::06b?xu5:>0;6?u223595`7<5;8>6<:m;|q10=<72;q6>9>515;8972?28o:7p}=4683>7}::=<1=974=364>4c63ty98<4?:3y>61>=9=301?:>:0g2?xu5<;0;6?u2253951g<5;>96;|q106<72;q6>9?515`8972428o:7p}=4583>7}::=>1=h?4=360>42f3ty9884?:3y>613=9l;01?:<:06a?xu5=:0;6?u225;951?<5;?86;|q117<72;q6>9h515;8973528o:7p}=4g83>6}::=l1=h?4=34e>42f348=j7?;b:p61g=838p1?;<:06:?843i3;n=6s|25`94?4|5;>j6<:n;<07f?7b92wx>9m50;0x972f28>i70<;c;3f5>{t:=n1<74c6348?o7?;a:p61c=838p1?:j:0g2?843k3;?n6s|24294?4|5;?;6;<066?73i2wx>8?50;0x973628o:70<:2;37f>{t:42>348>j7?j1:p60c=838p1?;7:06:?842m3;n=6s|24794?4|5;?m6<:6;<061?7b92wx>8850;0x973228>j70<:6;3f5>{t:<=1<742e348>;7?j1:p60?=838p1?;6:0g2?842m3;?m6s|24c94?4|5;?j6;<06a?73j2wx>8l50;0x973e28o:70<:e;362>{t:4c6348>i7?91:p60b=838p1?;k:0g2?842m3;=86s|27`94?4|5;<;6<:6;<05f?7b92wx>;o50;0x970328>270<9a;3f5>{t:?;1<742>348==7?j1:p634=838p1?8>:06b?841:3;n=6s|27194?4|5;<:6<:m;<057?7b92wx>;;50;0x970228o:70<9a;37e>{t:?<1<74c6348=m7?;b:p631=838p1?88:0g2?841i3;>:6s|27:94?4|5;<36;<05e?7192wx>;750;0x970>28o:70<9a;350>{t:>=1<742>348<;7?j1:p620=838p1?9?:06:?840>3;n=6s|27f94?4|5;=<6<:6;<05`?7b92wx>;k50;0x970c28>j70<9e;3f5>{t:?l1<742e348=j7?j1:p627=838p1?9>:0g2?840>3;?m6s|26094?4|5;=96;<042?73j2wx>:=50;0x971428o:70<86;362>{t:>>1<74c6348<:7?91:p623=838p1?9::0g2?840>3;=86s|26g94?4|5;=36<:6;<04a?7b92wx>:750;0x971b28>270<89;3f5>{t:>k1<742f348;<04f?73i2wx>:j50;0x971c28o:70<8b;37f>{t:1?1<742>348397?j1:p6=6=838p1?6::06:?84?83;n=6s|29394?4|5;2;6<:n;<0;5?7b92wx>5<50;0x97>728>i70<72;3f5>{t:191<74c63483>7?;a:p6=2=838p1?6;:0g2?84?:3;?n6s|29a94?4|5;2=6<:6;<0;g?7b92wx>5950;0x97>d28>270<77;3f5>{t:121<742f348347?j1:p6=?=838p1?68:06a?84?13;n=6s|29c94?4|5;2j6;<0;=?73i2wx>5l50;0x97>e28o:70<79;37f>{t=lo1<742>34?ni7?j1:p1`b=838p18k7:06:?83bl3;n=6s|5d794?4|5j70;j6;3f5>{t=l=1<742e34?n;7?j1:p1`?=838p18k6:0g2?83bl3;?m6s|5dc94?4|5;<7f`?73j2wx9hl50;0x90ce28o:70;jd;362>{t=li1<74c634?nh7?91:p0`e=838p19k7:06:?82bk3;n=6s|4d;94?4|5=oh6<:6;<6f=?7b92wx8ho50;0x91c>28>j70:ja;3f5>{t42e34>nn7?j1:p11g=838p18=i:06:?833j3;n=6s|55294?4|5<>i6<:6;<774?7b92wx99?50;0x902728>j70;;1;3f5>{t==81<742e34??>7?j1:p115=838p18:<:0g2?833:3;?m6s|55694?4|5<>?6;<776?73j2wx99;50;0x902228o:70;;2;362>{t99k1<7=t=465>42f34??:7?;b:?24d<6m81v8:9:181833>3;n=63:438224=z{<3i6=4<{<773?73i27>8:4>4c9>10:i<52550953252z?60=<6m81699<51778yv3313:1>v3:4882a4=:==81=;84}r75=?6=:r7>:=4>489>13g=9l;0q~;98;296~;2>=0:845257:95`752z?62d<6<0169;?51d38yv31:3:1>v3:60820d=:=?81=h?4}r757?6=:r7>:<4>4c9>135=9l;0q~;95;296~;2><0:i<5257:951g52z?623<6m8169;6515`8yv31?3:1>v3:6682a4=:=?21=884}r7b6?6=:r7>5:4>489>1d5=9l;0q~;n1;296~;21k0:84525`395`752z?6e6<6<01694651d38yv3>13:1>v3:99820d=:=031=h?4}r7:e?6=:r7>554>4c9>11;297~;21h0:8l5258c951d<58;:6;|q6=f<72;q694m51d3890g628>j7p}:9e83>7}:=0n1=h?4=4c2>42e3ty>5h4?:3y>1:075?xu21o0;6?u258d95`7<5;|q6e5<72;q69l>51d3890g628dc83>7}:9m?1=974=0fa>4c63ty:h:4?:3y>5a0=9=301;|q2`=<72;q6=il515;894b?28o:7p}>d883>7}:9m21=9o4=0f:>4c63ty:hl4?:3y>5a>=9=h01;|q176<72;q6>?j515;8975428o:7p}=2`83>7}:::>1=974=30b>4c63ty9>o4?:3y>67g=9=k01?;|q16`<72;q6>?k51d38975428>j7p}=2g83>7}::;l1=h?4=310>42e3ty9?=4?:3y>666=9l;01?=<:075?xu5;80;6?u222395`7<5;986<8>;|q177<72;q6>><51d389754286}:;|q7b5<72;q68k8515;891`728o:7p};f083>7}:4c63ty?j?4?:3y>0c6=9=h019h=:0g2?xu3n:0;6?u24g195`7<5=l96<:n;|q7b1<72;q68k:51d3891`528>i7p}:1783>6}:=9h1=974=cf951?<5<;<6;|q650<72;q69=h515;8907228o:7p}:0g83>6}:=9l1=h?4=d`951g<5lh1=9l4}r73g?6=:r7>=:4>489>15e=9l;0q~;?d;296~;28j0:8l5251f95`752z?64f<6;28l0:8o52f382a4=z{<;;6=4={<724?7b927>=84>4`9~w0762909w0;>1;3f5>;29<0:8o5rs431>5<5s4?:>7?j1:?650<6=?1v8?<:181836;3;n=63:148224=z{<;?6=4={<720?7b927>=84>659~w0132909w0;9d;37=>;2?=0:i<5rs44f>5<5s4?<87?;9:?62`<6m81v88i:181831m3;?m63:6g82a4=z{<=;6=4={<75a?73j27>;=4>e09~w0162909w0;81;3f5>;2?90:8l5rs451>5<5s4?<>7?j1:?635<6;i4>e09~w01?2909w0;8d;37=>;2?10:i<5rs45:>5<5s4?<47?;a:?63<<6m81v89n:18183003;?n63:7`82a4=z{<=i6=4={<74f?7b927>;l4>4`9~w01d2909w0;8c;3f5>;2?h0:8o5rs4ce>5<5s4?j:7?;9:?6ec<6m81v8oj:18183fi3;?563:ad82a4=z{m:4>e09~w0g?2909w0;n7;37e>;2i10:i<5rs4c:>5<5s4?j;7?;b:?6e<<6m81v8om:18183fj3;n=63:ad820d=z{mh4>4c9~w0gc2909w0;nd;3f5>;2il0:9;5rs4`g>5<5s4?i>7?;9:?6fa<6m81v8ll:18183e>3;?563:bb82a4=z{n>4>e09~w0d32909w0;m3;37e>;2j=0:i<5rs4`6>5<5s4?i?7?;b:?6f0<6m81v<<9:18083e=3;?m63:b4820g=:9;<1=h?4}r7a3?6=:r7>n:4>e09>1ge=9=k0q~;m8;296~;2j10:i<525ca951d52z?6f<<6m8169om51448yv3ei3:1>v3:b`82a4=:=ki1=;?4}r7af?6=:r7>no4>e09>1ge=9?>0q~;j1;296~;2l?0:84525d395`752z?6`d<6<0169h>51d38yv3c?3:1>v3:e0820<=:=m=1=h?4}r7gh:4>4`9>1a>=9l;0q~;k9;296~;2l>0:8o525e;95`753z?6`<<67}:=mh1=h?4=4g3>42f3ty>hn4?:3y>1ae=9l;018k?:06a?xu2lm0;6?u25ef95`7<57}:=ml1=h?4=4g3>4033tyhm7>52z?`2?73127hm7?j1:pg2<72;q6ol4>489>g2<6m81vn650;0x9f1=9=k01n651d38yve>2909w0m8:06a?8e>28o:7p}<6d83>7}:;?l1=974=24f>4c63ty8:94?:3y>73c=9=301>8;:0g2?xu4><0;6?u2376951g<5:<>6;|q023<72;q6?;:515`8960128o:7p}<6683>7}:;?=1=h?4=245>42f3ty8:54?:3y>73>=9l;01>89:06a?xu4>00;6?u237;95`7<5:<=6<;9;|q02d<72;q6?;o51d38960128<:7p}<6c83>7}:;?h1=h?4=245>4033ty8:n4?:3y>73e=9l;01>89:046?xu4>m0;6?u237f95`7<5:<=6<89;|q;>5<5s431=974=982a4=z{>0;6?u23;37=>;028o:7p}<:18085=9l;01k9515c89c1=9=h0q~>50;0x9=<6<016<7?j1:p5?6=:r7;6<:n;<395`7e09>3?73j2wx:7>52z?5>4c634=1=884}rg2>5<5s4nj6<:6;4c63tyon7>52z?gf?7b927n=7?;a:p`f<72;q6hn4>e09>a4<6{tm90;6?u2e182a4=:m80::85rsd;94?4|5l81=974=d;95`74c634o26<:n;|qf0?6=:r7n87?j1:?f=?73j2wxi84?:3y>a0<6m816i44>579~w`0=838p1h851d389`?=9?;0q~k8:1818c028o:70k6:047?xub03:1>v3j8;3f5>;b13;=96s|f083>7}:mh0:8452f082a4=z{lh1<75<5s4oh6;42e3tynh7>52z?f`?7b927m=7?:6:pa`<72;q6ih4>e09>b4<6>81vhh50;0x9``=9l;01k?51768yv`72909w0h?:0g2?8`628<>7p}i5;296~;a:3;?563i5;3f5>{tn:0;6?u2f282a4=:n<0:8l5rsg694?4|5o>1=h?4=g7951d42>34lo6;|qe3?6=:r7m;7?j1:?e`?73i2wxj54?:3y>b=<6m816ji4>4c9~wc?=838p1k751d389cb=9<<0q~hn:1818`f28o:70hk:042?xuaj3:1>v3ib;3f5>;al3;=86s|fb83>7}:nj0:i<52fe8220=z{8:>6=4={42>34;;97?j1:pbc<72;q6jk4>e09>553=9=k0q~??0;296~;6890:i<52117951d52z?244<6m816==;51448yv77:3:1>v3>0382a4=:99?1=;?4}r337?6=:r7:<>4>e09>553=9?>0q~??4;296~;68=0:i<52117953352z?243<6<016==751d38yv77?3:1>v3>0682a4=:9931=9o4}r33e09>55?=9=h0q~?>0;296~;68h0:845210295`752z?24g<6m816=<>515c8yv77k3:1>v3>0b82a4=:98:1=9l4}r33`?6=:r7:e09>546=9<<0q~??e;296~;68l0:i<52102953752z?24c<6m816=<>51768yv76=3:1>v3>10820<=:98?1=h?4}r326?6=:r7:=?4>e09>543=9=k0q~?>3;296~;69:0:i<52107951d52z?251<6m816=<;51448yv76l3:1>v3>17820<=:98n1=h?4}r323?6=:r7:=:4>e09>54b=9=k0q~?>8;296~;6910:i<5210f951d52z?25<<6m816=v3>1`82a4=:98n1=;?4}r32f?6=:r7:=o4>e09>54b=9?>0q~?>c;296~;69j0:i<5210f953352z?25`<6<016=?;51d38yv76n3:1>v3>1g82a4=:9;?1=9o4}r314?6=:r7:>=4>e09>573=9=h0q~?=1;296~;6:80:i<5213795007>52z?267<6m816=?;51738yv75;3:1>v3>2282a4=:9;?1=;:4}r310?6=:r7:>94>e09>573=9??0q~?=7;296~;6:?0:845213595`752z?26=<6<016=?k51d38yv7513:1>v3>2882a4=:9;o1=9o4}r31e?6=:r7:>l4>e09>57c=9=h0q~?=b;296~;6:k0:i<5213g950052z?26f<6m816=?k51738yv75l3:1>v3>2e82a4=:9;o1=;:4}r304?6=:r7:>k4>489>566=9l;0q~?<8;296~;6;80:845212:95`77>52z?277<6m816=>6515c8yv74;3:1>v3>3282a4=:9:21=9l4}r300?6=:r7:?94>e09>56>=9<<0q~?<5;296~;6;<0:i<5212:953752z?273<6m816=>651768yv74?3:1>v3>3682a4=:9:21=;;4}r374?6=:r7:?44>489>516=9l;0q~?52z?27g<6m816=9>515`8yv74k3:1>v3>3b82a4=:9=:1=884}r30`?6=:r7:?i4>e09>516=9?;0q~?52z?27c<6m816=9>51778yxh0im<1<7?t}o5b`2<728qvb:ok8;295~{i?hn26=4>{|l4eag=83;pqc9ndc83>4}zf>koo7>51zm3dbc290:wp`8aeg94?7|ug=jhk4?:0y~j2gb83:1=vsa7`g2>5<6std{|l4e`g=83;pqc9nec83>4}zf>kno7>51zm3dcc290:wp`8adg94?7|ug=jik4?:0y~j2ga83:1=vsa7`d2>5<6std{|l4ecg=83;pqc9nfc83>4}zf>kmo7>51zm3d`c290:wp`8agg94?7|ug=jjk4?:0y~j2d783:1=vsa7c22>5<6std::182xh0j9<1<7?t}o5a42<728qvb:l?8;295~{i?k:26=4>{|l4f5g=83;pqc9m0c83>4}zf>h;o7>51zm3g6c290:wp`8b1g94?7|ug=i5<6std8;295~{i?k;26=4>{|l4f4g=83;pqc9m1c83>4}zf>h:o7>51zm3g7c290:wp`8b0g94?7|ug=i=k4?:0y~j2d583:1=vsa7c02>5<6std{|l4f7g=83;pqc9m2c83>4}zf>h9o7>51zm3g4c290:wp`8b3g94?7|ug=i>k4?:0y~j2d483:1=vsa7c12>5<6std<50;3xyk1e;:0;6{|l4f6g=83;pqc9m3c83>4}zf>h8o7>51zm3g5c290:wp`8b2g94?7|ug=i?k4?:0y~j2d383:1=vsa7c62>5<6std26=4>{|l4f1g=83;pqc9m4c83>4}zf>h?o7>51zm3g2c290:wp`8b5g94?7|ug=i8k4?:0y~j2d283:1=vsa7c72>5<6std{|l4f0g=83;pqc9m5c83>4}zf>h>o7>51zm3g3c290:wp`8b4g94?7|ug=i9k4?:0y~j2d183:1=vsa7c42>5<6std:0;6{|l4f3g=83;pqc9m6c83>4}zf>h=o7>51zm3g0c290:wp`8b7g94?7|ug=i:k4?:0y~j2d083:1=vsa7c52>5<6std<1<7?t}o5a32<728qvb:l88;295~{i?k=26=4>{|l4f2g=83;pqc9m7c83>4}zf>h51zm3g1c290:wp`8b6g94?7|ug=i;k4?:0y~j2d?83:1=vsa7c:2>5<6std{|l4f=g=83;pqc9m8c83>4}zf>h3o7>51zm3g>c290:wp`8b9g94?7|ug=i4k4?:0y~j2d>83:1=vsa7c;2>5<6std{|l4f4}zf>h2o7>51zm3g?c290:wp`8b8g94?7|ug=i5k4?:0y~j2df83:1=vsa7cc2>5<6std{|l4fdg=83;pqc9mac83>4}zf>hjo7>51zm3ggc290:wp`8b`g94?7|ug=imk4?:0y~j2de83:1=vsa7c`2>5<6std{|l4fgg=83;pqc9mbc83>4}zf>hio7>51zm3gdc290:wp`8bcg94?7|ug=ink4?:0y~j2dd83:1=vsa7ca2>5<6std{|l4ffg=83;pqc9mcc83>4}zf>hho7>51zm3gec290:wp`8bbg94?7|ug=iok4?:0y~j2dc83:1=vsa7cf2>5<6std{|l4fag=83;pqc9mdc83>4}zf>hoo7>51zm3gbc290:wp`8beg94?7|ug=ihk4?:0y~j2db83:1=vsa7cg2>5<6std{|l4f`g=83;pqc9mec83>4}zf>hno7>51zm3gcc290:wp`8bdg94?7|ug=iik4?:0y~j2da83:1=vsa7cd2>5<6std{|l4fcg=83;pqc9mfc83>4}zf>hmo7>51zm3g`c290:wp`8bgg94?7|ug=ijk4?:0y~j2e783:1=vsa7b22>5<6std::182xh0k9<1<7?t}o5`42<728qvb:m?8;295~{i?j:26=4>{|l4g5g=83;pqc9l0c83>4}zf>i;o7>51zm3f6c290:wp`8c1g94?7|ug=h5<6std8;295~{i?j;26=4>{|l4g4g=83;pqc9l1c83>4}zf>i:o7>51zm3f7c290:wp`8c0g94?7|ug=h=k4?:0y~j2e583:1=vsa7b02>5<6std{|l4g7g=83;pqc9l2c83>4}zf>i9o7>51zm3f4c290:wp`8c3g94?7|ug=h>k4?:0y~j2e483:1=vsa7b12>5<6std<50;3xyk1d;:0;6{|l4g6g=83;pqc9l3c83>4}zf>i8o7>51zm3f5c290:wp`8c2g94?7|ug=h?k4?:0y~j2e383:1=vsa7b62>5<6std26=4>{|l4g1g=83;pqc9l4c83>4}zf>i?o7>51zm3f2c290:wp`8c5g94?7|ug=h8k4?:0y~j2e283:1=vsa7b72>5<6std{|l4g0g=83;pqc9l5c83>4}zf>i>o7>51zm3f3c290:wp`8c4g94?7|ug=h9k4?:0y~j2e183:1=vsa7b42>5<6std:0;6{|l4g3g=83;pqc9l6c83>4}zf>i=o7>51zm3f0c290:wp`8c7g94?7|ug=h:k4?:0y~j2e083:1=vsa7b52>5<6std<1<7?t}o5`32<728qvb:m88;295~{i?j=26=4>{|l4g2g=83;pqc9l7c83>4}zf>i51zm3f1c290:wp`8c6g94?7|ug=h;k4?:0y~j2e?83:1=vsa7b:2>5<6std{|l4g=g=83;pqc9l8c83>4}zf>i3o7>51zm3f>c290:wp`8c9g94?7|ug=h4k4?:0y~j2e>83:1=vsa7b;2>5<6std{|l4g4}zf>i2o7>51zm3f?c290:wp`8c8g94?7|ug=h5k4?:0y~j2ef83:1=vsa7bc2>5<6std{|l4gdg=83;pqc9lac83>4}zf>ijo7>51zm3fgc290:wp`8c`g94?7|ug=hmk4?:0y~j2ee83:1=vsa7b`2>5<6std{|l4ggg=83;pqc9lbc83>4}zf>iio7>51zm3fdc290:wp`8ccg94?7|ug=hnk4?:0y~j2ed83:1=vsa7ba2>5<6std{|l4gfg=83;pqc9lcc83>4}zf>iho7>51zm3fec290:wp`8cbg94?7|ug=hok4?:0y~j2ec83:1=vsa7bf2>5<6std{|l4gag=83;pqc9ldc83>4}zf>ioo7>51zm3fbc290:wp`8ceg94?7|ug=hhk4?:0y~j2eb83:1=vsa7bg2>5<6std{|l4g`g=83;pqc9lec83>4}zf>ino7>51zm3fcc290:wp`8cdg94?7|ug=hik4?:0y~j2ea83:1=vsa7bd2>5<6std{|l4gcg=83;pqc9lfc83>4}zf>imo7>51zm3f`c290:wp`8cgg94?7|ug=hjk4?:0y~j2b783:1=vsa7e22>5<6std::182xh0l9<1<7?t}o5g42<728qvb:j?8;295~{i?m:26=4>{|l4`5g=83;pqc9k0c83>4}zf>n;o7>51zm3a6c290:wp`8d1g94?7|ug=o5<6std8;295~{i?m;26=4>{|l4`4g=83;pqc9k1c83>4}zf>n:o7>51zm3a7c290:wp`8d0g94?7|ug=o=k4?:0y~j2b583:1=vsa7e02>5<6std{|l4`7g=83;pqc9k2c83>4}zf>n9o7>51zm3a4c290:wp`8d3g94?7|ug=o>k4?:0y~j2b483:1=vsa7e12>5<6std<50;3xyk1c;:0;6{|l4`6g=83;pqc9k3c83>4}zf>n8o7>51zm3a5c290:wp`8d2g94?7|ug=o?k4?:0y~j2b383:1=vsa7e62>5<6std26=4>{|l4`1g=83;pqc9k4c83>4}zf>n?o7>51zm3a2c290:wp`8d5g94?7|ug=o8k4?:0y~j2b283:1=vsa7e72>5<6std{|l4`0g=83;pqc9k5c83>4}zf>n>o7>51zm3a3c290:wp`8d4g94?7|ug=o9k4?:0y~j2b183:1=vsa7e42>5<6std:0;6{|l4`3g=83;pqc9k6c83>4}zf>n=o7>51zm3a0c290:wp`8d7g94?7|ug=o:k4?:0y~j2b083:1=vsa7e52>5<6std<1<7?t}o5g32<728qvb:j88;295~{i?m=26=4>{|l4`2g=83;pqc9k7c83>4}zf>n51zm3a1c290:wp`8d6g94?7|ug=o;k4?:0y~j2b?83:1=vsa7e:2>5<6std{|l4`=g=83;pqc9k8c83>4}zf>n3o7>51zm3a>c290:wp`8d9g94?7|ug=o4k4?:0y~j2b>83:1=vsa7e;2>5<6std{|l4`4}zf>n2o7>51zm3a?c290:wp`8d8g94?7|ug=o5k4?:0y~j2bf83:1=vsa7ec2>5<6std{|l4`dg=83;pqc9kac83>4}zf>njo7>51zm3agc290:wp`8d`g94?7|ug=omk4?:0y~j2be83:1=vsa7e`2>5<6std{|l4`gg=83;pqc9kbc83>4}zf>nio7>51zm3adc290:wp`8dcg94?7|ug=onk4?:0y~j2bd83:1=vsa7ea2>5<6std{|l4`fg=83;pqc9kcc83>4}zf>nho7>51zm3aec290:wp`8dbg94?7|ug=ook4?:0y~j2bc83:1=vsa7ef2>5<6std{|l4`ag=83;pqc9kdc83>4}zf>noo7>51zm3abc290:wp`8deg94?7|ug=ohk4?:0y~j2bb83:1=vsa7eg2>5<6std{|l4``g=83;pqc9kec83>4}zf>nno7>51zm3acc290:wp`8ddg94?7|ug=oik4?:0y~j2ba83:1=vsa7ed2>5<6std{|l4`cg=83;pqc9kfc83>4}zf>nmo7>51zm3a`c290:wp`8dgg94?7|ug=ojk4?:0y~j2c783:1=vsa7d22>5<6std::182xh0m9<1<7?t}o5f42<728qvb:k?8;295~{i?l:26=4>{|l4a5g=83;pqc9j0c83>4}zf>o;o7>51zm3`6c290:wp`8e1g94?7|ug=n5<6std8;295~{i?l;26=4>{|l4a4g=83;pqc9j1c83>4}zf>o:o7>51zm3`7c290:wp`8e0g94?7|ug=n=k4?:0y~j2c583:1=vsa7d02>5<6std{|l4a7g=83;pqc9j2c83>4}zf>o9o7>51zm3`4c290:wp`8e3g94?7|ug=n>k4?:0y~j2c483:1=vsa7d12>5<6std<50;3xyk1b;:0;6{|l4a6g=83;pqc9j3c83>4}zf>o8o7>51zm3`5c290:wp`8e2g94?7|ug=n?k4?:0y~j2c383:1=vsa7d62>5<6std26=4>{|l4a1g=83;pqc9j4c83>4}zf>o?o7>51zm3`2c290:wp`8e5g94?7|ug=n8k4?:0y~j2c283:1=vsa7d72>5<6std{|l4a0g=83;pqc9j5c83>4}zf>o>o7>51zm3`3c290:wp`8e4g94?7|ug=n9k4?:0y~j2c183:1=vsa7d42>5<6std:0;6{|l4a3g=83;pqc9j6c83>4}zf>o=o7>51zm3`0c290:wp`8e7g94?7|ug=n:k4?:0y~j2c083:1=vsa7d52>5<6std<1<7?t}o5f32<728qvb:k88;295~{i?l=26=4>{|l4a2g=83;pqc9j7c83>4}zf>o51zm3`1c290:wp`8e6g94?7|ug=n;k4?:0y~j2c?83:1=vsa7d:2>5<6std{|l4a=g=83;pqc9j8c83>4}zf>o3o7>51zm3`>c290:wp`8e9g94?7|ug=n4k4?:0y~j2c>83:1=vsa7d;2>5<6std{|l4a4}zf>o2o7>51zm3`?c290:wp`8e8g94?7|ug=n5k4?:0y~j2cf83:1=vsa7dc2>5<6std{|l4adg=83;pqc9jac83>4}zf>ojo7>51zm3`gc290:wp`8e`g94?7|ug=nmk4?:0y~j2ce83:1=vsa7d`2>5<6std{|l4agg=83;pqc9jbc83>4}zf>oio7>51zm3`dc290:wp`8ecg94?7|ug=nnk4?:0y~j2cd83:1=vsa7da2>5<6std{|l4afg=83;pqc9jcc83>4}zf>oho7>51zm3`ec290:wp`8ebg94?7|ug=nok4?:0y~j2cc83:1=vsa7df2>5<6std{|l4aag=83;pqc9jdc83>4}zf>ooo7>51zm3`bc290:wp`8eeg94?7|ug=nhk4?:0y~j2cb83:1=vsa7dg2>5<6std{|l4a`g=83;pqc9jec83>4}zf>ono7>51zm3`cc290:wp`8edg94?7|ug=nik4?:0y~j2ca83:1=vsa7dd2>5<6std{|l4acg=83;pqc9jfc83>4}zf>omo7>51zm3``c290:wp`8egg94?7|ug=njk4?:0y~j2`783:1=vsa7g22>5<6std::182xh0n9<1<7?t}o5e42<728qvb:h?8;295~{i?o:26=4>{|l4b5g=83;pqc9i0c83>4}zf>l;o7>51zm3c6c290:wp`8f1g94?7|ug=m5<6std8;295~{i?o;26=4>{|l4b4g=83;pqc9i1c83>4}zf>l:o7>51zm3c7c290:wp`8f0g94?7|ug=m=k4?:0y~j2`583:1=vsa7g02>5<6std{|l4b7g=83;pqc9i2c83>4}zf>l9o7>51zm3c4c290:wp`8f3g94?7|ug=m>k4?:0y~j2`483:1=vsa7g12>5<6std<50;3xyk1a;:0;6{|l4b6g=83;pqc9i3c83>4}zf>l8o7>51zm3c5c290:wp`8f2g94?7|ug=m?k4?:0y~j2`383:1=vsa7g62>5<6std26=4>{|l4b1g=83;pqc9i4c83>4}zf>l?o7>51zm3c2c290:wp`8f5g94?7|ug=m8k4?:0y~j2`283:1=vsa7g72>5<6std{|l4b0g=83;pqc9i5c83>4}zf>l>o7>51zm3c3c290:wp`8f4g94?7|ug=m9k4?:0y~j2`183:1=vsa7g42>5<6std:0;6{|l4b3g=83;pqc9i6c83>4}zf>l=o7>51zm3c0c290:wp`8f7g94?7|ug=m:k4?:0y~j2`083:1=vsa7g52>5<6std<1<7?t}o5e32<728qvb:h88;295~{i?o=26=4>{|l4b2g=83;pqc9i7c83>4}zf>l51zm3c1c290:wp`8f6g94?7|ug=m;k4?:0y~j2`?83:1=vsa7g:2>5<6std{|l4b=g=83;pqc9i8c83>4}zf>l3o7>51zm3c>c290:wp`8f9g94?7|ug=m4k4?:0y~j2`>83:1=vsa7g;2>5<6std{|l4b4}zf>l2o7>51zm3c?c290:wp`8f8g94?7|ug=m5k4?:0y~j2`f83:1=vsa7gc2>5<6std{|l4bdg=83;pqc9iac83>4}zf>ljo7>51zm3cgc290:wp`8f`g94?7|ug=mmk4?:0y~j2`e83:1=vsa7g`2>5<6std{|l4bgg=83;pqc9ibc83>4}zf>lio7>51zm3cdc290:wp`8fcg94?7|ug=mnk4?:0y~j2`d83:1=vsa7ga2>5<6std{|l4bfg=83;pqc9icc83>4}zf>lho7>51zm3cec290:wp`8fbg94?7|ug=mok4?:0y~j2`c83:1=vsa7gf2>5<6std{|l4bag=83;pqc9idc83>4}zf>loo7>51zm3cbc290:wp`8feg94?7|ug=mhk4?:0y~j2`b83:1=vsa7gg2>5<6std{|l4b`g=83;pqc9iec83>4}zf>lno7>51zm3ccc290:wp`8fdg94?7|ug=mik4?:0y~j2`a83:1=vsa7gd2>5<6std{|l4bcg=83;pqc9ifc83>4}zf>lmo7>51zm3c`c290:wp`8fgg94?7|ug=mjk4?:0y~j=6783:1=vsa8122>5<6std3<=<50;3xyk>78:0;6::182xh?89<1<7?t}o:342<728qvb5>?8;295~{i09:26=4>{|l;45g=83;pqc6?0c83>4}zf1:;o7>51zm<56c290:wp`701g94?7|ug2;5<6std3<<<50;3xyk>79:0;6>8;295~{i09;26=4>{|l;44g=83;pqc6?1c83>4}zf1::o7>51zm<57c290:wp`700g94?7|ug2;=k4?:0y~j=6583:1=vsa8102>5<6std37::0;6=8;295~{i09826=4>{|l;47g=83;pqc6?2c83>4}zf1:9o7>51z~yxFGKr2>494:84d514czHIHp6?=:NWWTPR=ZH6:=7>111922?OIX\^1M1<<:9<24>462@D[YY4N<3395;733;91EC^ZT;C\BVD;::0:2k5<:HLSQQ49B8439=2K7=;0:;@>23;3G;97?0M169B877=97?0M1<>>49B8749?2K7>>47>49B8759<2K7>3:4A=1=0>G;<7>0M1;14:C?2;2Pmtz345668m1J@H>Pmtz34565l2KGI=Qbuy23455c3HFNGKM9Ufyu>?015g?DJB8Vg~t=>?09f8EIC7Wds<=>?959BH@7d3HFN=RQ`r1235a=FDL;TSb|?01320>GIL;>0MCJ<4:CM@1286OAD668EKB?<2KX~kj4ARpe[FjlW@D]=:5NSsd\J5eGTzoUecy>?003:?DYA[K6:<374A^DPF976601JSK]M<00==>GXNZH7=>06;@]EWG:6<730MRH\B=36:<=FWOYI0<819:C\BVD;9>427LQISC>2<;?99B[CUE48427LQISC>14;?>89B[CUE4;85n6OPFR@?66<6601JSK]M<31=<>GXNZH7>364A^DPF95902KTJ^L34?:8EZ@TJ5?546OPFR@?2;>GXNZHT==QFBTDg?DYA[KU:=RGMUGf8EZ@TJV;9SDLZFe9B[CUEW89TEO[Id:C\BVDX9=UBNXHk;@]EWGY6=VCIYKj4A^DPFZ71W@H^Ji5N_GQA[41XAK_Mh6OPFR@\5=YNJ\Lo7LQISC]2=ZOE]Oi0MRH\B^3\MGSAl2KTJ^LP21]JFP@c3HUM_OQ=1^KAQCbGXNZHT>RGMUGa8EZ@TJV9TEO[Ic:C\BVDXGh}}ENi6O`uuMF[hs89:;j6O`uuMF[hs89:;=k5NotvLAZkrp9:;;f:ClqqIBWds<=>?5g9BkprHMVg~t=>?0768FDES=2HJOY?:;CC@P730O<8B9:A22HYNJ\L=7N5LAR`8GDUXe|r;<=>l;BCP[hs89:;=n5LAR]nq}67898h7NO\_lw{4567;j1HM^Qbuy23452d3JKXS`{w01231f=DIZUfyu>?014`?FGTWds<=>?7b9@EVYj}q:;<=6=;BG0?FJL;2IG^>5LNU;8GJKJA]^NH:5LRDCWAA42NdyyAJd:FlqqIBWfx;<=>j;EmvpJCXg{:;<=?j;EmvpJCXg{:;<=;D78ACgkd?1NJlbc179FBdjk:<1N_1>15:GP84823LY7>3;4ER>0:1=B[V:n7H]P0^2\jjr789:m7H]P0^2\jjr789::h6K\_1]\ekb789:n7H]P0^]bja6789;n7H]P0^]bja67898n7H]P0^]bja67899n7H]P0^]bja6789>n7H]P0^]bja6789?n7H]P0^]bja6789?e:GP[4YXign;<=>>e:GP[4YXign;<=>=4:GP[7bPMymq[Wct}e~7>3?i;DQ\IdbcWVkoh=>?0^O{kwYUmzgx1=1189FWZKflmUTmij?012\[dhc89:;=l5JS^Ob`aYXimn;<=>P_`lg45679830I^QBaef\[dbc89:;SRoad12354g?10f8AVYVW8Ud~=>?0d9FWZWX9Vey<=>?1g9FWZWX9Vey<=>?11d8AVYVW8Ud~=>?003f?@UXYV;Tc>?010f?@UXYV;Tc>?011f?@UXYV;Tc>?016f?@UXYV;Tc>?017f?@UXYV;Tc>?014f?@UXYV;Tc>?015f?@UXYV;Tc>?01:f?@UXYV;Tc>?01;f?@UXWhno<=>?<0?<3?<2<5?@YNJ\L97H|:;Dp?4;3<4I508M04OFKZ;?7DLZF89JJLRT\H^N?6GAV39JK0=NGHI_h6G@ABV\ip~789:n7DANCU]nq}6789;m7DANCU]nq}6789;;j6G@ABV\ip~789::=k5FO@AW[hs89:;=?h4INC@PZkrp9:;<<=i;HMBGQYj}q:;<=?;f:KLEFRXe|r;<=>>5g9JKDESWds<=>?17d8MJGD\Vg~t=>?005f?LIFK]Ufyu>?010f?LIFK]Ufyu>?011f?LIFK]Ufyu>?016f?LIFK]Ufyu>?017f?LIFK]Ufyu>?014f?LIFK]Ufyu>?015f?LIFK]Ufyu>?01:f?LIFK]Ufyu>?01;5?LIFK]x=7DAMESPf?LIEM[XTbbz?013e?LIEM[XTbbz?01320>OHJD>0EBL\6:KLFP@B<2CDO^;4INAP53=NGJY:<;5FOBQ253=NGJY:>;5FOBQ270=NGJY996G@CR16?LID[=?0EBM\549JKFU1=2CDO^9:;HM@W=3OHLFDNSb|?0122a>OHLFDNSb|?0121a>OHLFDNSb|?0120a>OHLFDNSb|?0127a>OHLFDNSb|?0126a>OHLFDNSb|?0125a>OHLFDNSb|?0124a>OHLFDNSb|?012;<>OHLFDNec94INFLJ@t33@EGPmtz34564l2CD@=Qbuy23452c3@EGOHD9Ufyu>?01:g?LIK8Vg~t=>?0868MJJ6k2CD@6G@NQPfeaXWfx;<=>>139JKKVUmhnrSRa}01236442:KLJUTbimsTSb|?01262>OHX^IX56G@PVPfeaf3@E[[_kndx34?LIUL[OZj6G@REPFUZgil9:;<<>4INPGV@WXign;<=>>119JKWBUMXUjbi>?01024>OHZMXN]Road12346`;HMQ@WCVWds<=>?1032?LIUL[OZS`{w012357763@EYH_K^_lw{45679:;:7DA]DSGR[hs89:;=9??;HMQ@WCVWds<=>?2028MJTCZL[Taxv?012055=NG[NYI\Qbuy23452682CD^I\JQ^ov|5678<;;7DA]DSGR[hs89:;:<>4INPGV@WXe|r;<=>8119JKWBUMXUfyu>?01:24>OHZMXN]Rczx1234<>_`lg45679l1BC_Y>_`lg4567:l1BC_Y>_`lg4567;l1BC_Y>_`lg4567_`lg4567=l1BC_Y>_`lg4567>l1BC_Y>_`lg4567?=1BC^>l;HMP4Zgil9:;OH[9Ufyu>?017g?LIT8Vg~t=>?07f8MJU7Wds<=>?7e9JKV6Xe|r;<=>7d:KLW5Yj}q:;<=7l;HMP[CUJWOLo=n5FOR]EWHYANm8m7DA\_GQN[C@c:$Ce==5FOR]EWHYANm8&Ec?>0:KLWZ@TEVLMh?#Fn333?LITWOYFSKHk2,Km7f=NGZUM_@QIFe1e?LITWOYFSKHk3,Km55=NGZUM_@QIFe1.Mk7682CD_RH\M^DE`6+Nf;;;7DA\_GQN[C@c;$Ce?<>4INQ\BVKXNOn8!D`;119JKVYA[DUMJi="Io724>OH[VLXARHId2/Jj3eOH[VLXARHId4a8MJUXNZGTJKj9f:KLWZ@TEVLMh;#Fn028MJUXNZGTJKj9-Hl255=NGZUM_@QIFe4.Mk4682CD_RH\M^DE`3+Nf:;;7DA\_GQN[C@c>$Ce8<>4INQ\BVKXNOn=!D`:c:KLWZ@TEVLMh:h4INQ\BVKXNOn0:KLWZ@TEVLMh:#Fn033?LITWOYFSKHk7,Km646:<6G@S^DPIZ@Al>'Bb8:4INW3g>OH]9Ufyu>?01f8MJS7Wds<=>?1d9JKP6Xe|r;<=>>0d9JKP6Xe|r;<=>>1d9JKP6Xe|r;<=>>2d9JKP6Xe|r;<=>>3d9JKP6Xe|r;<=>>4d9JKP6Xe|r;<=>>5e9JKP6Xe|r;<=>=d:KLQ5Yj}q:;<==k;HMV4Zkrp9:;<9j4INW3[hs89:;9i5FOT2\ip~789:=h6G@U1]nq}6789=o7DAZ0^ov|56781n0EB[?_lw{45671=1BCX?l;HMV5Zhh|9:;=i5FOT3\jjr789;:96G@UEQ4?LIPZ[OZj6G@WSPFUZkrp9:;<<>4INUQV@WXe|r;<=>>109JKRTUMXUfyu>?013354=NG^XYI\Qbuy234576981BCZ\]EP]nq}6789;9=<5FOVPQATYj}q:;<=?<109JKRTUMXUfyu>?013754=NG^XYI\Qbuy234572991BCZ\]EP]nq}67898:<6G@WSPFUZkrp9:;<>??;HMTVWCVWds<=>?4028MJQUZL[Taxv?012655=NG^XYI\Qbuy23450682CD[_\JQ^ov|5678>;;7DAXRSGR[hs89:;4<>4INUQV@WXe|r;<=>68:KLSWTBY{>0E^ZL5:KPPF7e3@YTJ^CPFGf2a>OTWOYFSKHk1,Kmb>OTWOYFSKHk1,Km5c=N[VLXARHId0/Jj7dOTWOYFSKHk2,Km6c=N[VLXARHId3/Jj6`?e:IFAUYOALXJ^NFV7:NLCLEFDh1G\@QWOS0\5<=K\`gn~1>1a:NWmhcu48:5m6B[ilgq847912F_e`k}<0<:?IRnelx7>374LUknaw:4601GXdcjr=6==>JSadoy0806;MVji`t;>730@Ygbes>4:<=K\`gn~1619:NWmhcu40437AZfmdpWG45?0037?IRnelx_ORmbp^gr4567:8>0@YgbesV@[fkwWl{;<=><159OPlkbz]ITo`~Pep234526<2F_e`k}TB]`iuYby9:;<8?;;MVji`tSKVif|Rk~01232==K\`gn~R>>0:NWmhcuW9Ufyu>?0132?IRnelxT?>;MVji`tX8Vg~t=>?0532?IRnelxTJSadoyS<>P_`lg45679:1GXdcjr^33[Zgil9:;<?01327>JSadoyS>4:NWmhcuW8Uha}Qjq12344723E^bah|P1^antZcv89:;==?:;MVji`tX9Vif|Rk~012354723E^bah|P1^antZcv89:;=??:;MVji`tX9Vif|Rk~012356723E^bah|P1^antZcv89:;=9?:;MVji`tX9Vif|Rk~012350733E^bah|P1^antZcv89:;><:4LUknawY6Wjg{Sh?012051=K\`gn~R?Pclr\at6789>:86B[ilgq[4YdeyUn}=>?0437?IRnelxT=Rmbp^gr4567>8>0@Ygbes]2[fkwWl{;<=>8159OPlkbzV;To`~Pep2345>6<2F_e`k}_0]`iuYby9:;<4??;MVji`tX9Vg~t=>?0038HQojm{U:S`{w0123547_lw{4567:8;0@Ygbes]2[hs89:;??4038HQojm{U:S`{w0123147_lw{4567>8;0@Ygbes]2[hs89:;;?8028HQojm{U:Sca{012254=K\`gn~R?Pnnv34576981GXdcjr^3\jjr789;9=<5CThofvZ7Xff~;<=?<109OPlkbzV;Tbbz?013754=K\`gn~R?Pnnv34572981GXdcjr^3\jjr789;=46B[ilgq[7763E^bah|P2^]bja6789;97AZfmdp\6ZYffm:;<=?>2:NWmhcuW;UTmcj?0121<>JSadoyS>?>;MVji`tX;VUjbi>?0131?IRnelxT?RQnne234576:2F_e`k}_2]\ekb789:946B[ilgq[1763E^bah|P4^]bja6789;97AZfmdp\0ZYffm:;<=?>2:NWmhcuW=UTmcj?0121<>JSadoyS8?>;MVji`tX=VUjbi>?0131?IRnelxT9RQnne234576:2F_e`k}_4]\ekb789:946B[ilgq[3763E^bah|P6^]bja6789;97AZfmdp\2ZYffm:;<=?>2:NWmhcuW?UTmcj?0121<>JSadoyS:?>;MVji`tX?VUjbi>?0131?IRnelxT;RQnne234576:2F_e`k}_6]\ekb789:946B[ilgq[=763E^bah|P8^]bja6789;97AZfmdp\2:NWmhcuW1UTmcj?0121<>JSadoyS4?>;MVji`tX1VUjbi>?0131?IRnelxT5RQnne234576:2F_e`k}_8]\ekb789:996B`ae3f?Iifl8UTmcj?0122g>KflmUTmij?012\I}iuW[oxyaz31?3g?HgclVUjhi>?00]N|jtXZly~`y2>0?3g?HgclVUjhi>?00]N|jtXZly~`y2>1?3`?HgclVUjhi>?00]N|jtXZly~`y2=>0a8IdbcWVkoh=>?1^O{kwYUmzgx1=11b9NeabXWhno<=>>_LzlvZTb{|f090>c:Ob`aYXimn;<=?PMymq[Wct}e~793?l;Lcg`ZYflm:;<KflmUTmij?013\[dhc89:;=<84M`fg[Zgcl9:;=RQnne2344703DkohRQnde2344YXign;<=?>179NeabXWhno<=>>_^cm`567:8=0Aljk_^cg`5679VUjbi>?03322>KflmUTmij?013\[dhc89:8=:5Baef\[dbc89::SRoad12374713DkohRQnde2344YXign;<=:>7:Ob`aYXimn;<=?P_`lg456398<0Aljk_^cg`5679VUjbi>?0434?HgclVUjhi>?00]\ekb789?:=;5Baef\[dbc89::SRoad1232416:Ob`aYXimn;<=?P_`lg45609>1FmijP_`fg4566WVkeh=>?7035?HgclVUjhi>?00]\ekb7892:;6Cnde]\eab789;TSl`k012;540IU<81[o6^!21305574WE>0\L\[a:RJJZDR[@NSn6^FN^@VWKGJM:1[^H?4Q99RkVTbimsm7\a\Rdcg}Ziu89:;==5^oRPfeaXg{:;<=?>1:SlWWcflpUd~=>?00225>Wh[[ojhtQ`r123447692[d__kndx]lv567888:=6_`SSgb`|Yhz9:;<<=>0:SlWWcflpUd~=>?0333?TiTZlkouRa}0123746?7028UjUUmhnrSb|?012;55=VgZXnmiwPos2345?23[K7<384R@>24;>16:PB8479=2XJ0<0:;SC?6;3;5]A=1=73=UI595885]A=6=1>TF4<4>7_O36?78VD:06<1YM1615:PB8<843[KF96\NMBQ4?WGJKZ:S46\NMBQ3\4>>1:PBIWcflpUfyu>?01325>TFE[ojhtQbuy23454692XJA_kndx]nq}67899:=6\NMSgb`|Yj}q:;<=:>1:PBIWcflpUfyu>?01725>TFE[ojhtQbuy23450692XJA_kndx]nq}6789=:=6\NMSgb`|Yj}q:;<=6:;SCPAIbTF[LFTaxv?0122a>TF[LFTaxv?0121a>TF[LFTaxv?0120a>TF[LFTaxv?0127g>TF[LFTc>?01f8VDUBDVey<=>?1d9QEVCKWfx;<=>>0d9QEVCKWfx;<=>>1d9QEVCKWfx;<=>>2d9QEVCKWfx;<=>>3e9QEVCKWfx;<=>=d:PBW@JXg{:;<==k;SCPAIYhz9:;<9j4R@QFHZiu89:;9i5]ARGO[jt789:=h6\NSDN\kw6789=o7_O\EM]lv56781n0^L]JL^mq45671j1YM^KC_np3457c3[KXIAQ`r12354cTF[LFTc>?006g?WGTMEUd~=>?14f8VDUBDVey<=>>6e9QEVCKWfx;<=?8d:PBW@JXg{:;<<6k;SCPAIYhz9:;=4;4R@QFJa=UIZOES`{w0123a>TF[LDTaxv?0122a>TF[LDTaxv?0121a>TF[LDTaxv?0120a>TF[LDTaxv?0127a>TF[LDTaxv?0126a>TF[LDTaxv?0125a>TF[LDTaxv?0124a>TF[LDTaxv?012;1>TF[{l37_O\rg]M4<=UIZxmSC>>5:PBWwtc3[KX~Qbuy2345ck;SC\4Zkrp9:;<i5]A^2\ip~789:8h6\N_1]nq}6789>j7_OP11]LFP@c3[KT==Qbuy2345c_lw{4567:m1YMR?Pmtz34564l2XJS3[KT>RAMUGa8VDY5Wds<=>?d:PB[7Yj}q:;<=?k;SC\6Zkrp9:;3[KT8RAMUGa8VDY3Wds<=>?d:PB[1Yj}q:;<=?k;SC\0Zkrp9:;TFW?010g?WGX=Vg~t=>?02f8VDY2Wds<=>?489QEZ0XGK_Mo6\N_7]nq}6789n0^LQ9_lw{45679m1YMR8Pmtz34565l2XJS;Qbuy23455c3[KT:Rczx12341?k;SC\3Zkrp9:;<i5]A^5\ip~789:8h6\N_6]nq}6789>27_OP8^MAQCeTFW1Ufyu>?013g?WGX0Vg~t=>?03f8VDY?Wds<=>?3e9QEZ>Xe|r;<=>;9:PB[THEJY9:6\@MBQ02>THEJY?;6\@MBQ7\==UGDIX8U?7;SMNGV2_:11YC@M\4Y1;?WIJKZ>S8;5]OLAP13=UGDIX:;5]OLAP3g=U[VLXARHId0`8VVYA[DUMJiTTWOYFSKHk7,Km7c=U[VLXARHId6/Jj1`4RR]EWHYANm2&Ec?=119QWZ@TEVLMh5#Fn0124>TTWOYFSKHk8,Km51773[YTJ^CPFGf;)Lh6=8:0^^QISL]EBa>*Ag;===5]S^DPIZ@Al1'Bb<9>0:PP[CUJWOLo4 Ga19d8VVYA[DUMJi6"Io0e?WUXNZGTJKj7-Hl0b>TTWOYFSKHk8,Km0c=U[VLXARHId9/Jj0`2028VVYA[DUMJi7"Io3055=U[VLXARHId8/Jj42682XXSK]B_GDg=(Oi9<;;7_]PFRO\BCb>%@d::k5]S^DPIZ@Al0'Bb?h4RR]EWHYANm3&Ec=i;SQ\BVKXNOn2!D`;f:PP[CUJWOLo5 Ga5g9QWZ@TEVLMh4#Fn7d8VVYA[DUMJi7"Io5e?WUXNZGTJKj6-Hl;b>TTWOYFSKHk9,Km=0=Umhnr:6\jae{22>Tbims9:6\jae{02>Tbims?:6\jae{62>Tbims=:6\jae{43>TbnMeei<>4RddGkkcXWhdo<=>?109QacBhflUTmcj?0122b>TbnMeeiRQ`r123446??;Sge@jhbWVey<=>?4028V``CggoTSb|?012655=UmoNdbhQPos2345013[om^h8;SgeV`w6?2Xnj_k~299QacTby@dn7_kiRds\ekb789:m7_kiRds\ekb789::j6\jfSgr[dhc89:;>k5]egPfuZgil9:;<>h4RddQatYffm:;<=:i;SgeV`wXign;<=>:e:PfbWcvWge<=>?f:PfbWcvWge<=>?179QacSuo>1Yik[}g058V``Rzn837_kiUseJja=Umo_ykRa}0123a>Tbn\xlSb|?0122a>Tbn\xlSb|?0121a>Tbn\xlSb|?01202>Tb{|f:6]@USAF1>UP484>7^Y32?58WR:4284>7^Y33?68W`hsk2YnbyQPos2345b<[ldSRa}01235a=Tmg~TSb|?0121`>Ubf}UTc>?011g?Vci|VUd~=>?05f8W`hsWVey<=>?5e9PakrXWfx;<=>9d:QfjqYXg{:;<=9k;RgmpZYhz9:;<5o4SofQEHET_[h0_cj]ALAPSW7d3Zdo^LCLSVP24f=TfmXJAN]XR03`?VhcZHGH_Z\>2b9PjaTFEJY\^<=l;RlgVDKD[^X:8n5\nePBIFUPZ8?h7^`kR@O@WRT6>k1Xbi\NMBQTV7d<[gnYM@M\WS1a?VhcZHGH_Z\;b:Qm`WGJKZ]Y9o5\nePBIFUPZ?h0_cj]ALAPSW1e3Zdo^LCLSVP;f>Uil[KFO^Y]999PjaTHEJY27^`kRNO@W4g<[gnYC@M\11c8WkbUGDIX=Uil[EFO^:6;RlgVJKD[<30_cj]OLAP2<=TfmXDAN]89:Qm`WIJKZ227^`kRNO@W<2<\[_Nn6Z\_GQN[C@c9l1__RH\M^DE`4+Nfo1__RH\M^DE`4+Nf8l0X^QISL]EBa7*Ag8i7Y]PFRO\BCb5m2^XSK]B_GDg6(Oin2^XSK]B_GDg6(Oi98:0X^QISL]EBa4*Ag;;j6Z\_GQN[C@c:$Ce>k5[S^DPIZ@Al;'Bb>h4TR]EWHYANm8&Ec:i;UQ\BVKXNOn9!D`:f:VP[CUJWOLo> Ga6g9WWZ@TEVLMh?#Fn6d8PVYA[DUMJi<"Io:e?QUXNZGTJKj=-Hl:f>RTWOYFSB{{0b9WWZ@TEVE~x=?l;UQ\BVKXG|~;>95[YQG;?Qojm{6;255[ilgq848?3]cfi2=>99Wmhcu4:4<7YgbesV@57=SadoyXNQlmq]fu5678890XdcjrUA\ghvXmx:;<=?>3:Vji`tSKVif|Rk~0123645<\`gn~YMPclr\at67899:?6ZfmdpWGZejxVoz<=>?4018Plkbz]ITo`~Pep2345303]cfiQ?119WmhcuW9UTmcj?01225>RnelxT1:Vji`tX9VUjbi>?0104?Qojm{U9==5[ilgq[7YXign;<=>>1:Vji`tX:VUjbi>?01325>RnelxT>RQnne2345403]cfiQ<119WmhcuW:UTmcj?01225>RnelxT?RQnne23457692^bah|P3^]bja678989>6Zfmdp\IdbcWVkoh=>?0^O{kwYUmzgx1?1239WmhcuWDkohRQnde2345YJpfxT^h}zlu>1:74<\`gn~RCnde]\eab789:TAua}_Sgpqir;;7;h7Ygbes]NeabXWhno<=>?_^cm`56788n0Xdcjr^Ob`aYXimn;<=>P_`lg456798i0Xdcjr^Ob`aYXimn;<=>P_`lg45669m1_e`k}_Lcg`ZYflm:;<=QPaof34576981_e`k}_^cg`56785;5=<5[ilgq[Zgcl9:;<1<1109WmhcuWVkoh=>?0=1=`>RnelxTmcj?012f?Qojm{Ujbi>?013`?PUBZV\B_DLCE29UGF?<^@O\SYW_E59UTDtd3_ZJ~R``t1235a=QXHxTbbz?0132f>Pnl[KFO^Y]1b9UmaTFEJY\^<>m;WkgVDKD[^X9n6XfdSCNGVQU;k1]ei\NMBQTV1d<^`nYM@M\WS7a?SocZHGH_Z\9b:Tj`WGJKZ]Y;o5YiePBIFUPZ1h0Zdj]ALAPSW?23^Y7<3;4WR>2:0=P[58596Y\<2<7?RUX8m1\_R>P_`lg4567m2]XS=QPaof34566m2]XS=QPaof34565<2]XS?01g8SVY5WVkeh=>?0068SVY4l2]XS>QPaof3456b3^YT?RQnne234576n2]XS@okd^]b`a6789UFtb|PRdqvhq:668l0[^QBaef\[dbc89:;S@v`r^Pfwpjs4;4:j6Y\_Lcg`ZYflm:;<=QBxnp\V`urd}682<74WR]NeabXWhno<=>?_^cm`56788k0[^QBaef\[dbc89:;SRoad123447>3^YTAljk_^cg`5678VUjbi>?003b?RUXEhnoSRokd1234ZYffm:;<09;VcqtVC6l2RB@D@W-YFA$5(6(Z^^N->!1!CPGLO33QEY>;5WSUNJF2=_[]ULBI94XRV\RFE13QniSDj>0:ZgiZKfbfx]i}foo33?]bjWDcecXjrrklj3=_g5:5=>5Wsu`8[dbc89:;0<0l;^cg`56795;;2n5Paef3457;984i7Rokd1235949j2Ujhi>?00>0:g=Ximn;<=?34?`8[dbc89::080m;^cg`56795<5n6Qnde2344:06k1Tmij?013?<;d><8<0?fhs:2ix?6h|b59jkgk33`ei45aAEmvpIC7m2dJHb{{LD2\KGSA991eMIaztMG3[jt789::=6`NDnwwH@6Xg{:;<=?>1:lB@jssDL:Tc>?01025>hFLf@H>Pos23455>3gKOcxzCE0c8jDBh}}FN=0g9mEVir|Vddx=>?13d8jDUh}}Uecy>?001e?kGTg|~Tbbz?0137b>hF[fSca{01221c=iIZe~xR``t12353`1eMb{{OD:8jDir|FO:o6`NotvLAZIE]O?0bOK]R89mF@TUWOYIi6`MESP\BVDXGK_M=<5aBDPQ[CUEWVey<=>?139mF@TUWOYISRa}0123547?00026>hEM[XTJ^LP_np3457412dII_\PIN@f?kDBZ[UBCOQFBTD4?kD@W@EI;6`MR^KLFf=iJ[UBCOQ@BTDe?kDUW@EIS`{w012355=iJ[UBCOQbuy23457682dI^RG@B^ov|5678;;;7cL]_HMA[hs89:;?<>4nCP\MJDXe|r;<=>;119mFWYNGKUfyu>?01724>hEZVCDNRczx12343773gHYSDAM_lw{4567?=1eOL]6;oABWZIE]Oi0bNO\_omw4566l2dHM^Qaou2344713gN_MNEn;oFWEFMXNZHm7cJ[ABI\BVDXGK_Mm6`KT@AH[LIEn2dOXLMD_HMA[LDRNl1eHYOLK^cm`5678o1eHYOLK^cm`56788l0bIZNCJ]bja67898m7cJ[ABI\ekb789:8i6`KT@AH[kis89::j6`KT@AH[kis89::=;5aDhlOAg=iL`dGIRAMUGf8jAoiDLUd~=>?0d9m@lhKMVey<=>?179m@lhHM>1eHd`@E0`8jAoiGLUDNXHj;oFjjJCXign;<=>i;oFjjJCXign;<=>>f:lGmkIBWhdo<=>?2g9m@lhHMVkeh=>?02d8jAoiGLUjbi>?016e?kBnfFOTmcj?01263>hCg|~DI55aDnwwK@7d3gNdyyAJ_N@VBc=iLfCHQnne2344773gNdyyAJ_`lg456698:0bIaztNG\ekb789;9==5aDnwwK@Yffm:;<<=:;oKSH7gRGMUG48jLVK:{>0bAJ\8:lO@VYA[Kn0bAJ\_GQA[LDRN11e@I]PIN@f?kJC[VCDNRAMUGGa?kJC[VCDNb{{c:lO@VYffm:;?1068jIQB=2dG[H?l;oNTAZgil9:;1:lLr`tkipUTmcj?01226>hH~lxgmtQPaof34566991eC{k}l`{\[jt789::=6`@vdpoe|YXg{:;<=?>1:lLr`tkipUTc>?01025>hH~lxgmtQPos23455692dDzh|cax]\kw6789>:=6`@vdpoe|YXg{:;<=;8;oPBIIQBk2dYM@BXE^MAQC11e^BCLS0`8jWIJKZUDNXH9;oPLIRC03gXDAZK>b:lQKHQBWFH^J95aUEQ;?kSC[VLXNi5aUEQ\BVDXAK_M46`ZDR]JKGc?1e9mQAUXign;<=?>d:lV@VYffm:;<<j4nTFP[dhc89::8i5aUEQ\ekb789;>h6`ZDR]bja6788n0bXJ\_`lg45660m1eYI]Paof3457>k2d^H^Qaou2344b7c[XE0a8jPQBWhdo<=>?d:lVS@Yffm:;<=?k;oWTAZgil9:;hQEHUTc>?016g?kPJIVUd~=>?04f8jSKFWVey<=>?6e9mRHGXWfx;<=>8d:lUIDYXg{:;<=6l;oTNEZgil9:;C<528qX5=4;1c8127<6;=h:3`63k2603;0b9?6:79'041=<880q^6i:53a>705289?n<>n:01b01=c<8;1<7?51zQ:4?26j38=>7?<4c33e?74i=:0zY=89;295?7=;0>p_4>540`9634=9:>i==o512c74>"4m10:oi5+27190ccde|@:o=7)=md;625>\0?39p>7;56;'5fe=;h30'9l6:188m2d=831d8;950;9j060=831d8k<50;9j0f3=831d8n?50;9l3f<722e?n<4?::k710<722e?mi4?::m727<722e?954?::k:b?6=3`n26=44i54:>5<5<6=44o5ce>5<5<>i3;;0;66g;8883>>o3=>0;66a;bd83>>i3?80;66a;8c83>>i3lm0;66a;7483>>i3?l0;66g;fc83>>i3!41=38<56`=6583?>i5?10;6)<95;04=>h5>=0:76a=8683>!41=38<56`=6581?>i50?0;6)<95;04=>h5>=0876a=8483>!41=38<56`=6587?>i50=0;6)<95;04=>h5>=0>76a=8283>!41=38<56`=6585?>i50;0;6)<95;04=>h5>=0<76a=7e83>!41=38<56`=658;?>i5?j0;6)<95;04=>h5>=0276a=7c83>!41=38<56`=658b?>i5?>0;6)<95;04=>h5>=0i76g;b;29 7022=k0b?8;:198m1?=83.9:84;a:l121<632c?47>5$346>1g<0?m6`=6580?>o3=3:1(?8::5c8j7032=10e9:50;&120<3i2d9:94:;:k77?6=,;<>69o4n347>3=h5>=0<76g;1;29 7022=k0b?8;:998m16=83.9:84;a:l121<>32c8j7>5$346>1g<0?m6`=658a?>o4l3:1(?8::5c8j7032j10e>m50;&120<3i2d9:94k;:k67?6=,;<>69o4n347>`=h5>=0m76g:1;29 7022=k0b?8;:028?l37290/>;;54`9m632=9810e9h50;&120<3i2d9:94>2:9j0`<72-8=97:n;o050?7432c?h7>5$346>1g5<#:??18l5a276950=h5>=0::65f36f94?"5><08;n5a27694>=n;>h1<7*=64803f=i:?>1=65f36c94?"5><08;n5a27696>=e<8?1<7?50;2x 6dc2l;0D9?;;I1f2>ib83:17pl;1783>4<729q/?oj53d58L1733A9n:6a=6083>>{e<;21<7?k:5g927}O;l<0(>lk:838^21=9r91q)?lc;1b=>o?k3:17b=>2;29?j7393:17b<7a;29?j5?03:17d9<:188m1d>2900c>76:188k46e2900e9hn:188k7>>2900c>>k:188k`d=831b=:4?:%051?713g8=87>4;h36>5<#:??1=;5a27695>=n9=0;6)<95;35?k41<3807d?=:18'633=9?1e>;:53:9j55<72-8=97?9;o050?2<3`l1<7*=64822>h5>=0>76gj:18'633=9?1e>;:56:9j`?6=,;<>6<84n347>2=2d9:946;:kb>5<#:??1=;5a2769e>=n13:1(?8::048j7032k10e54?:%051?713g8=87m4;h594?"5><0::6`=658g?>o6n3:1(?8::048j7032l10e2d9:94i;:`750<7280;6=u+3cf9a4=O<8>0D>k9;ng3>5<j87>55;294~"4jm0oh6F;159K7`0<,lo1<6g7b;29?l>c2900e:650;9j=1<722e9::4?::a6=d=8381<7>t$2`g>``<@=;?7E=j6:kf6?6=3f8>n7>5;|`10D>k9;hg1>5<N39=1C?h84id194?=nm=0;66a=5c83>>{e:1o1<7=50;2x 6dc2:;0D9?;;I1f2>ob;3:17dk;:188k73e2900qo:n6;297?6=8r.8ni4=5d9K042<@:o=7dk<:188m`2=831d>8l50;9~f67429096=4?{%1a`?ca3A>:86Ft$2`g>``<@=;?7E=j6:kf6?6=3f8>n7>5;|`050<72;0;6=u+3cf9ac=O<8>0D>k9;hg1>5<N39=1C?h84id194?=nm=0;66gj5;29?lc12900c?;m:188ygcd29026=4?{%1a`?513A>:86F5;hg6>5<>ob03:17dk6:188m`g=831d>8l50;9~f6cd290>6=4?{%1a`?543A>:86F5;hg6>5<4:J0a3=nm:0;66gj4;29?j42j3:17pl6<729q/?oj524g8L1733A9n:6gj3;29?lc32900c?;m:188yg5a83:1>7>50z&0fa8l50;9~f6`629096=4?{%1a`?ca3A>:86Ft$2`g>``<@=;?7E=j6:kf6?6=3f8>n7>5;|`0b6<72:0;6=u+3cf974=O<8>0D>k9;hg0>5<1<75`24`94?=zj:l?6=4<:183!5el39:7E:>4:J0a3=nm:0;66gj4;29?j42j3:17pl6<729q/?oj5309K042<@:o=7dk<:188m`2=831d>8l50;9~f6cc290?6=4?{%1a`?553A>:86F5;hg6>5<N39=1C?h84id094?=h:5<5290;w)=md;ge?M26<2B8i;5fe383>>i5=k0;66sm30294?4=83:p(>lk:dd8L1733A9n:6gj2;29?j42j3:17pl<1083>7<729q/?oj5eg9K042<@:o=7dk=:188k73e2900qo=>7;297?6=8r.8ni4=5d9K042<@:o=7dk<:188m`2=831d>8l50;9~f67?29086=4?{%1a`?563A>:86F5;n06f?6=3th8=44?:583>5}#;kn1??5G4068L6c13`o86=44id694?=nm<0;66a=5c83>>{e;0k1<7;50;2x 6dc2:90D9?;;I1f2>ob;3:17dk;:188m`3=831bi;4?::m11g<722wi?l?50;194?6|,:ho6>?4H537?M5b>2cn?7>5;hg7>5<N39=1C?h84id094?=h:5<5290;w)=md;ge?M26<2B8i;5fe383>>i5=k0;66sm3`694?4=83:p(>lk:dd8L1733A9n:6gj2;29?j42j3:17pl7<729q/?oj5eg9K042<@:o=7dk=:188k73e2900qo=n6;296?6=8r.8ni4jf:J751=O;l<0eh<50;9l60d=831vn>o8:181>5<7s-9ih7ki;I620>N4m?1bi?4?::m11g<722wi?l650;094?6|,:ho6hh4H537?M5b>2cn>7>5;n06f?6=3th85o4?:383>5}#;kn1ik5G4068L6c13`o96=44o37a>5<53;294~"4jm099h5G4068L6c13`o86=44id694?=h:5>ob<3:17dk::188m`0=831bi:4?::kf5<53;294~"4jm08=6F;159K7`0>i5=k0;66sm38d94?5=83:p(>lk:238L1733A9n:6gj3;29?lc32900c?;m:188yg5f83:187>50z&0fa<4:2B?=95G3d48m`5=831bi94?::kf1?6=3f8>n7>5;|`7e=<72:0;6=u+3cf974=O<8>0D>k9;hg0>5<1<75`24`94?=zj=k26=4=:183!5el3om7E:>4:J0a3=nm;0;66a=5c83>>{eob:3:17b<:b;29?xd3ik0;6>4?:1y'7gb=;81C8<:4H2g5?lc42900eh:50;9l60d=831vn9ol:180>5<7s-9ih7<:e:J751=O;l<0eh=50;9ja1<722e99o4?::a7=?=8331<7>t$2`g>60<@=;?7E=j6:kf7?6=3`o?6=44id794?=nm?0;66gj7;29?lc?2900eh750;9jad<722e99o4?::a7<7=8391<7>t$2`g>73b3A>:86F5;n06f?6=3th85?4?:483>5}#;kn1?>5G4068L6c13`o86=44id694?=nm<0;66gj6;29?j42j3:17pl<9283>7<729q/?oj5eg9K042<@:o=7dk=:188k73e2900qo=64;296?6=8r.8ni4jf:J751=O;l<0eh<50;9l60d=831vn>7::181>5<7s-9ih7ki;I620>N4m?1bi?4?::m11g<722wi?4850;094?6|,:ho6hh4H537?M5b>2cn>7>5;n06f?6=3th85:4?:383>5}#;kn1ik5G4068L6c13`o96=44o37a>5<52;294~"4jm0nj6F;159K7`04:J0a3=nm;0;66a=5c83>>{e;1h1<7;50;2x 6dc2:90D9?;;I1f2>ob;3:17dk;:188m`3=831bi;4?::m11g<722wi?5m50;194?6|,:ho6>?4H537?M5b>2cn?7>5;hg7>5<0D>k9;hg0>5<1<75`24`94?=zj:2n6=4;:183!5el3997E:>4:J0a3=nm:0;66gj4;29?lc22900c?;m:188yg5?n3:1?7>50z&0fa<492B?=95G3d48m`5=831bi94?::m11g<722wi?4>50;194?6|,:ho6>?4H537?M5b>2cn?7>5;hg7>5<0D>k9;hg0>5<1<75`24`94?=zj8;:6=4=:183!5el3om7E:>4:J0a3=nm;0;66a=5c83>>{e9881<7;50;2x 6dc2:90D9?;;I1f2>ob;3:17dk;:188m`3=831bi;4?::m11g<722wi=<=50;194?6|,:ho6>?4H537?M5b>2cn?7>5;hg7>5<0D>k9;hg0>5<1<75`24`94?=zj8;>6=4=:183!5el3om7E:>4:J0a3=nm;0;66a=5c83>>{e98<1<7=50;2x 6dc2;?n7E:>4:J0a3=nm:0;66gj4;29?j42j3:17pl>1683>6<729q/?oj5309K042<@:o=7dk<:188m`2=831d>8l50;9~f47?29086=4?{%1a`?563A>:86F5;n06f?6=3th:5}#;kn1?<5G4068L6c13`o86=44id694?=h:5<4290;w)=md;06a>N39=1C?h84id194?=nm=0;66a=5c83>>{e99l1<7=50;2x 6dc2:;0D9?;;I1f2>ob;3:17dk;:188k73e2900qo?>0;297?6=8r.8ni4=5d9K042<@:o=7dk<:188m`2=831d>8l50;9~w2<72=qU;63<9`8f7>;41;0n?63<928f6>{t03:18vP7;<1:e?c33492>7k;;<1:0?c53ty26=4;{_;896g42l801>7=:d7896?22l80q~o50;7xZd=:;ll1i>5238c9a0=:;131i>521069a6=z{k0;6;uQb:?0ac2785;4j2:?25153z\`?85f<3o970=79;g7?xuc290=wSj4=da9a6=:;li1i9523`39a6=:;131i8521009a6=z{l0;6;uQe:?fg?c3349no7k<;<1b5?c3349357k9;<326?c33tym6=49{_d89`e=m<16?hm5e49>7d3=m;16?575e99>544=m<1v<>50;4xZ46<5li1i;523da9a3=:;h<1i?5239;9a2=:9881i;5rs0094??|V8801>?<:d089`e=m>16?hk5e29>75`=m;16?l95e39>7=?=m016==m5e59>545=m:1v<:50;;xZ42<5:;?6h<4=da9a==:;lo1i9523029a7=:;h21i?5239;9ad=:99i1i>521019a1=z{8?1<77t^07897>e2l801>?9:d189`e=m016?k>5e39>741=m:16?4k5e29>7<1=m;16=<65e29~w41=833pR<94=3:`>`4<5:;>6h<4=da9ad=:;o;1i?523039a7=:;0h1i?5238:9a7=:99o1i>5rs0g94?5|V8o01>7l:d1896?62l90q~?i:180[7a349j>7k=;<1:5?c33ty54z\47>;3i?0n863;a98f7>;3i00n>6s|8b83>7}Y0j168l:5799~w`d=838pRhl4=da960d52z\24g=:98:1>8l4}r33g?6=:r7:540=m:1v<>k:181877l38>n63>0g8f0>{t99o1<773e34;:<7k<;|q24c<72;q6==h524`894772l>0q~?>1;296~;698099o521049a1=z{8;96=4={<326?42j27:1583>7}:98>1>8l4=034>`552z?250<5=k16=<95e59~w4712909w0?>6;06f>;6910n86s|10594?4|58;<6?;m;<33`?c33ty:=54?:3y>54>=:j:d68yv7393:1>vP>409>7`b=:{t:131<7n6s|29c94?5|V;2j70<7d;06f>;50l0n?6s|29`94?4|5;2i6?;m;<0;`?c43ty94n4?:3y>6=e=:vP<0e9>74?=:??:37a?85603o87p}<1083>7}:;8;1>8l4=23;>`27>53z\057=:;8<1>8l4=5c;>`252z?056<5=k16?<85e59~w6732909w0=>4;06f>;49?0n96s|30794?4|5:;>6?;m;<122?c13ty8=:4?:3y>741=:?6:d68yv5603:1>v3<19811g=:;831i85rs2:;>5<5sW93463<91811g=z{:226=4={<1;=?42j2785=4j3:p7=g=838p1>6n:37a?85?n3o?7p}<8c83>7}:;1h1>8l4=2:g>`252z?0c2909w0=7d;06f>;40l0n?6s|39g94?4|5:2n6?;m;<1;b?c43ty84k4?:3y>7=`=:7?:d68yv5>93:1>v3<90811g=:;1o1i95rs2;1>5<5s492>7<:b:?07=d=m<1v>79:18185>>38>n63<8c8f2>{t;0=1<773e3493o7k<;|q0==<72;q6?46524`896>d2l>0q~=69;296~X41016?l>524`8yv5>i3:1>v3<9`811g=:;h:1i95rs2;a>5<5s492n7<:b:?0=a7<`=m=1v>7i:18185>n38>n63{t;h;1<773e3492j7k<;|q0e7<72;q6?l<524`896?d2l>0q~=n3;296~;4i:099o5238f9a6=z{:k?6=4={<1b0?42j2785i4j4:p7d3=838p1>o::37a?85>l3o>7p}7}:;h<1>8l4=2;g>`052z?0e2<5=k16?4j5e99~w6g?2909w0=n8;06f>;41m0n;6s|3da94?4|5:oh6?;m;<1f`?c33ty8ih4?:3y>7`c=:h::d18yv5bn3:1>v35rs2d3>5<5s49m<7<:b:?0b64=5c9>7c3=m=1v>h;:18185a<38>n63{t;o?1<773e349nh7k<;|q7e1<72=q68l:5275897>b2l>01>>j:d0894762l80q~:n5;296~;3i=02863;a7811g=z{=k<6=4={<6b0?>e34>jo7<:b:p0d>=838p19o7:37a?82fk3o87p};a883>7}:8l4=5ca>`5jm7>52z?7ed<5=k168ll5e59~w1ge2909w0:nb;06f>;3ij0n86s|4c;94?5|V=h270:n6;g0?82fi3o97p};f`83>1}Yh=:d0896>f2l801:5c28f7~N4m?1/?oj529:8^21=:r8187s+1ba97d?>o4?:0;66a;a183>>o3010;66g<6683>>o3nh0;66g;c583>>o5000;66a;bd83>>o48m0;66a=8g83>>i31h0;66a=7`83>!41=38<56`=6583?>i5?10;6)<95;04=>h5>=0:76a=8683>!41=38<56`=6581?>i50?0;6)<95;04=>h5>=0876a=8483>!41=38<56`=6587?>i50=0;6)<95;04=>h5>=0>76a=8283>!41=38<56`=6585?>i50;0;6)<95;04=>h5>=0<76a=7e83>!41=38<56`=658;?>i5?j0;6)<95;04=>h5>=0276a=7c83>!41=38<56`=658b?>i5?>0;6)<95;04=>h5>=0i76g>4;29 7022890b?8;:198m44=83.9:84>3:l121<632c:<7>5$346>45!41=3;87c<94;18?lc=83.9:84>3:l121<332co6=4+277956=i:?>1965fc;29 7022890b?8;:798mg<72-8=97?<;o050?1<3`k1<7*=64827>h5>=0376g6:18'633=9:1e>;:59:9j6<=4n347>d=0;6)<95;30?k41<3h07d?i:18'633=9:1e>;:5c:9j5`<72-8=97?<;o050?b<3`;o6=4+277956=i:?>1i65f1b83>!41=3;87c<94;d8?l7e290/>;;5129m632=9910e1:9j5<<72-8=97?<;o050?7532c:47>5$346>455<#:??1=>5a276951=6=4>:183!5el3o:7E:>4:J0a3=hm90;66sm34c94?3=83:p(>lk:ef8L1733A9n:6g7b;29?l>c2900e:650;9j=1<722e9::4?::a6=`=8391<7>t$2`g>ad<@=;?7E=j6:k45<4:J0a3=n?10;66g64;29?j41?3:17pl=9883>6<729q/?oj5dc9K042<@:o=7)kj:19j3=<722c287>5;n053?6=3th3=7>53;294~"4jm0on6F;159K7`0<,lo1<6g88;29?l?32900c?88:188yg4d03:1?7>50z&0fa5;h;7>5<N39=1C?h84$dg94>o003:17d7;:188k7002900qo5<=>7>53;294~"4jm0on6F;159K7`021<75f9583>>i5>>0;66sm30c94?5=83:p(>lk:e`8L1733A9n:6*je;28m2>=831b594?::m122<722wi?2.ni7>4i6:94?=n1=0;66a=6683>>{e;8i1<7=50;2x 6dc2mh0D9?;;I1f2>"bm3:0e:650;9j=1<722e9::4?::a74b=8391<7>t$2`g>ad<@=;?7E=j6:&fa?621<75f9583>>i5>>0;66sm30g94?5=83:p(>lk:e`8L1733A9n:6*je;28m2>=831b594?::m122<722wi??<50;194?6|,:ho6il4H537?M5b>2.ni7>4i6:94?=n1=0;66a=6683>>{e;;91<7=50;2x 6dc2mh0D9?;;I1f2>"bm3:0e:650;9j=1<722e9::4?::a77e=8391<7>t$2`g>ad<@=;?7E=j6:&fa?621<75f9583>>i5>>0;66sm35294?5=83:p(>lk:e`8L1733A9n:6*je;38m2>=831b594?::m122<722wi8oh50;094?6|,:ho6hh4H537?M5b>2cn>7>5;n06f?6=3th?h:4?:383>5}#;kn1ik5G4068L6c13`o96=44o37a>5<2n7>52;294~"4jm0nj6F;159K7`04:J0a3=nm;0;66a=5c83>>{e;>81<7=50;2x 6dc2:;0D9?;;I1f2>ob;3:17dk;:188k73e2900qo=95;296?6=8r.8ni4jf:J751=O;l<0eh<50;9l60d=831vn>89:180>5<7s-9ih7=>;I620>N4m?1bi>4?::kf0?6=3f8>n7>5;|`1=g<72:0;6=u+3cf974=O<8>0D>k9;hg0>5<1<75`24`94?=zj=<>6=4=:183!5el3om7E:>4:J0a3=nm;0;66a=5c83>>{e:j=1<7<50;2x 6dc2ll0D9?;;I1f2>ob:3:17b<:b;29?xd3k;0;6>4?:1y'7gb=:ob;3:17dk;:188k73e2900qo:n1;296?6=8r.8ni4jf:J751=O;l<0eh<50;9l60d=831vn9o=:180>5<7s-9ih7<:e:J751=O;l<0eh=50;9ja1<722e99o4?::a70e=8391<7>t$2`g>73b3A>:86F5;n06f?6=3th95i4?:283>5}#;kn1?<5G4068L6c13`o86=44id694?=h:5<5290;w)=md;ge?M26<2B8i;5fe383>>i5=k0;66sm28d94?5=83:p(>lk:238L1733A9n:6gj3;29?lc32900c?;m:188yg4f83:1?7>50z&0fa<5=l1C8<:4H2g5?lc42900eh:50;9l60d=831vn5=50;194?6|,:ho6?;j;I620>N4m?1bi>4?::kf0?6=3f8>n7>5;|`;0?6=;3:10D>k9;hg0>5<1<75`24`94?=zj1?1<7=50;2x 6dc2:;0D9?;;I1f2>ob;3:17dk;:188k73e2900qo69:181>5<7s-9ih7ki;I620>N4m?1bi?4?::m11g<722wi4:4?:283>5}#;kn1?<5G4068L6c13`o86=44id694?=h:lk:238L1733A9n:6gj3;29?lc32900c?;m:188yg>>29086=4?{%1a`?42m2B?=95G3d48m`5=831bi94?::m11g<722wi4l4?:283>5}#;kn1>8k4H537?M5b>2cn?7>5;hg7>5<0D>k9;hg0>5<1<75`24`94?=zj;ii6=4<:183!5el39:7E:>4:J0a3=nm:0;66gj4;29?j42j3:17pl=cb83>7<729q/?oj5eg9K042<@:o=7dk=:188k73e2900qot$2`g>73b3A>:86F5;n06f?6=3th9ni4?:283>5}#;kn1>8k4H537?M5b>2cn?7>5;hg7>5<N39=1C?h84id094?=h:5<5290;w)=md;ge?M26<2B8i;5fe383>>i5=k0;66sm2b294?4=83:p(>lk:dd8L1733A9n:6gj2;29?j42j3:17pl=c083>6<729q/?oj5309K042<@:o=7dk<:188m`2=831d>8l50;9~f7e5290?6=4?{%1a`?553A>:86F5;hg6>5<0D>k9;hg0>5<1<75`24`94?=zj;i?6=4<:183!5el38>i6F;159K7`0>i5=k0;66sm28394?3=83:p(>lk:343?M26<2B8i;5fe283>>ob<3:17dk::188m`0=831d>8l50;9~f7?529096=4?{%1a`?ca3A>:86Ft$2`g>``<@=;?7E=j6:kf6?6=3f8>n7>5;|`1=1<72:0;6=u+3cf960c<@=;?7E=j6:kf7?6=3`o?6=44o37a>5<53;294~"4jm08=6F;159K7`0>i5=k0;66sm28494?5=83:p(>lk:238L1733A9n:6gj3;29?lc32900c?;m:188yg4>?3:1?7>50z&0fa<5=l1C8<:4H2g5?lc42900eh:50;9l60d=831vn?77:180>5<7s-9ih7<:e:J751=O;l<0eh=50;9ja1<722e99o4?::a0a?=8381<7>t$2`g>``<@=;?7E=j6:kf6?6=3f8>n7>5;|`7`d<72;0;6=u+3cf9ac=O<8>0D>k9;hg1>5<N39=1C?h84id194?=nm=0;66gj5;29?j42j3:17pl;9e83>7<729q/?oj5eg9K042<@:o=7dk=:188k73e2900qo:6e;296?6=8r.8ni4jf:J751=O;l<0eh<50;9l60d=831vn97i:187>5<7s-9ih7==;I620>N4m?1bi>4?::kf0?6=3`o>6=44o37a>5<52;294~"4jm0nj6F;159K7`04:J0a3=nm;0;66a=5c83>>{e:k>1<7<50;2x 6dc2ll0D9?;;I1f2>ob:3:17b<:b;29?xd5j<0;6?4?:1y'7gb=mo1C8<:4H2g5?lc52900c?;m:188yg4e>3:1>7>50z&0fa8l50;9~f7d029096=4?{%1a`?ca3A>:86F=8381<7>t$2`g>``<@=;?7E=j6:kf6?6=3f8>n7>5;|`1f<<72;0;6=u+3cf9ac=O<8>0D>k9;hg1>5<N39=1C?h84id094?=h:5<>290;w)=md;15?M26<2B8i;5fe283>>ob<3:17dk::188m`0=831bi:4?::kf5<4290;w)=md;12?M26<2B8i;5fe283>>ob<3:17b<:b;29?xd5l80;6>4?:1y'7gb=;81C8<:4H2g5?lc42900eh:50;9l60d=831vn?j=:181>5<7s-9ih7ki;I620>N4m?1bi?4?::m11g<722wi>i=50;194?6|,:ho6>?4H537?M5b>2cn?7>5;hg7>5<0D>k9;hg0>5<1<75`24`94?=zj;nn6=4<:183!5el39:7E:>4:J0a3=nm:0;66gj4;29?j42j3:17pl=dg83>7<729q/?oj5eg9K042<@:o=7dk=:188k73e2900qot$2`g>73b3A>:86F5;n06f?6=3th9io4?:283>5}#;kn1?<5G4068L6c13`o86=44id694?=h:5<5290;w)=md;ge?M26<2B8i;5fe383>>i5=k0;66sm2df94?5=83:p(>lk:238L1733A9n:6gj3;29?lc32900c?;m:188yg4bm3:1?7>50z&0fa<5=l1C8<:4H2g5?lc42900eh:50;9l60d=831vn?h>:180>5<7s-9ih7=>;I620>N4m?1bi>4?::kf0?6=3f8>n7>5;|`1b7<72;0;6=u+3cf9ac=O<8>0D>k9;hg1>5<N39=1C?h84id194?=nm=0;66a=5c83>>{e:o>1<7=50;2x 6dc2;?n7E:>4:J0a3=nm:0;66gj4;29?j42j3:17pl=f683>6<729q/?oj5309K042<@:o=7dk<:188m`2=831d>8l50;9~f7`?29096=4?{%1a`?ca3A>:86Ft$2`g>67<@=;?7E=j6:kf7?6=3`o?6=44o37a>5<53;294~"4jm099h5G4068L6c13`o86=44id694?=h:5<4290;w)=md;12?M26<2B8i;5fe283>>ob<3:17b<:b;29?xd5nl0;6?4?:1y'7gb=mo1C8<:4H2g5?lc52900c?;m:188yg4an3:1?7>50z&0fa<492B?=95G3d48m`5=831bi94?::m11g<722wi?=>50;194?6|,:ho6?;j;I620>N4m?1bi>4?::kf0?6=3f8>n7>5;|`046<72:0;6=u+3cf974=O<8>0D>k9;hg0>5<1<75`24`94?=zj::?6=4=:183!5el3om7E:>4:J0a3=nm;0;66a=5c83>>{e;9?1<7=50;2x 6dc2:;0D9?;;I1f2>ob;3:17dk;:188k73e2900qo=?6;297?6=8r.8ni4=5d9K042<@:o=7dk<:188m`2=831d>8l50;9~f66>29086=4?{%1a`?563A>:86F5;n06f?6=3th85}#;kn1ik5G4068L6c13`o96=44o37a>5<53;294~"4jm08=6F;159K7`0>i5=k0;66sm31a94?5=83:p(>lk:37f?M26<2B8i;5fe283>>ob<3:17b<:b;29?xd5m=0;6>4?:1y'7gb=;81C8<:4H2g5?lc42900eh:50;9l60d=831vn?k::181>5<7s-9ih7ki;I620>N4m?1bi?4?::m11g<722wi>h850;194?6|,:ho6>?4H537?M5b>2cn?7>5;hg7>5<0D>k9;hg0>5<1<75`24`94?=zj;n<6=4<:183!5el39:7E:>4:J0a3=nm:0;66gj4;29?j42j3:17pl=d983>7<729q/?oj5eg9K042<@:o=7dk=:188k73e2900qot$2`g>73b3A>:86F5;n06f?6=3th9;h4?:283>5}#;kn1?<5G4068L6c13`o86=44id694?=h:5<5290;w)=md;ge?M26<2B8i;5fe383>>i5=k0;66sm29294?5=83:p(>lk:238L1733A9n:6gj3;29?lc32900c?;m:188yg4?93:1?7>50z&0fa<5=l1C8<:4H2g5?lc42900eh:50;9l60d=831vn>:=:180>5<7s-9ih7=>;I620>N4m?1bi>4?::kf0?6=3f8>n7>5;|`00d<72:0;6=u+3cf974=O<8>0D>k9;hg0>5<1<75`24`94?=zj:>i6=4=:183!5el3om7E:>4:J0a3=nm;0;66a=5c83>>{e;=i1<7<50;2x 6dc2ll0D9?;;I1f2>ob:3:17b<:b;29?xd450z&0fa<492B?=95G3d48m`5=831bi94?::m11g<722wi?9h50;094?6|,:ho6hh4H537?M5b>2cn>7>5;n06f?6=3th89=4?:383>5}#;kn1ik5G4068L6c13`o96=44o37a>5<=7>53;294~"4jm099h5G4068L6c13`o86=44id694?=h:5<4290;w)=md;06a>N39=1C?h84id194?=nm=0;66a=5c83>>{e;=>1<7=50;2x 6dc2:;0D9?;;I1f2>ob;3:17dk;:188k73e2900qo=;5;290?6=8r.8ni4<2:J751=O;l<0eh=50;9ja1<722cn97>5;n06f?6=3th88;4?:283>5}#;kn1>8k4H537?M5b>2cn?7>5;hg7>5<N39=1C?h84id194?=nm=0;66a=5c83>>{e;=21<7=50;2x 6dc2:;0D9?;;I1f2>ob;3:17dk;:188k73e2900qo=;9;290?6=8r.8ni4=5g9K042<@:o=7dk<:188m`2=831bi84?::m11g<722wi??;50;794?6|,:ho6>=4H537?M5b>2cn?7>5;hg7>5<>i5=k0;66sm33494?4=83:p(>lk:dd8L1733A9n:6gj2;29?j42j3:17pl<2683>7<729q/?oj5eg9K042<@:o=7dk=:188k73e2900qo==8;297?6=8r.8ni4=5d9K042<@:o=7dk<:188m`2=831d>8l50;9~f64>29086=4?{%1a`?563A>:86F5;n06f?6=3th8>l4?:283>5}#;kn1>8k4H537?M5b>2cn?7>5;hg7>5<N39=1C?h84id194?=nm=0;66a=5c83>>{e;;:1<7=50;2x 6dc2:;0D9?;;I1f2>ob;3:17dk;:188k73e2900qo==1;297?6=8r.8ni4=5d9K042<@:o=7dk<:188m`2=831d>8l50;9~f63b290>6=4?{%1a`?4182B?=95G3d48m`5=831bi94?::kf1?6=3`o=6=44o37a>5<j7>52;294~"4jm0nj6F;159K7`04:J0a3=nm;0;66a=5c83>>{e;?;1<7=50;2x 6dc2:;0D9?;;I1f2>ob;3:17dk;:188k73e2900qo=92;297?6=8r.8ni4=5d9K042<@:o=7dk<:188m`2=831d>8l50;9~f60429086=4?{%1a`?42m2B?=95G3d48m`5=831bi94?::m11g<722wi??k50;094?6|,:ho6hh4H537?M5b>2cn>7>5;n06f?6=3th8?54?:383>5}#;kn1ik5G4068L6c13`o96=44o37a>5<53;294~"4jm099h5G4068L6c13`o86=44id694?=h:5<5290;w)=md;ge?M26<2B8i;5fe383>>i5=k0;66sm32`94?5=83:p(>lk:238L1733A9n:6gj3;29?lc32900c?;m:188yg54k3:1>7>50z&0fa8l50;9~f65c29096=4?{%1a`?ca3A>:86Ft$2`g>``<@=;?7E=j6:kf6?6=3f8>n7>5;|`07c<72:0;6=u+3cf974=O<8>0D>k9;hg0>5<1<75`24`94?=zj:8m6=4<:183!5el39:7E:>4:J0a3=nm:0;66gj4;29?j42j3:17pl<3183>6<729q/?oj5309K042<@:o=7dk<:188m`2=831d>8l50;9~f65629086=4?{%1a`?563A>:86F5;n06f?6=3th8??4?:283>5}#;kn1>8k4H537?M5b>2cn?7>5;hg7>5<N39=1C?h84id194?=nm=0;66gj5;29?j42j3:17pl<3583>6<729q/?oj5309K042<@:o=7dk<:188m`2=831d>8l50;9~f65229086=4?{%1a`?42m2B?=95G3d48m`5=831bi94?::m11g<722wi?>850;194?6|,:ho6>?4H537?M5b>2cn?7>5;hg7>5<0D>k9;hg0>5<1<75`24`94?=zj=h96=4=:183!5el3om7E:>4:J0a3=nm;0;66a=5c83>>{eob:3:17b<:b;29?xd3j=0;6?4?:1y'7gb=mo1C8<:4H2g5?lc52900c?;m:188yg2e=3:1>7>50z&0fa8l50;9~f1d1290?6=4?{%1a`?42n2B?=95G3d48m`5=831bi94?::kf1?6=3f8>n7>5;|`7f2<72=0;6=u+3cf977=O<8>0D>k9;hg0>5<1<75fe483>>i5=k0;66sm2`094?4=83:p(>lk:dd8L1733A9n:6gj2;29?j42j3:17pl=a683>6<729q/?oj5309K042<@:o=7dk<:188m`2=831d>8l50;9~f7g?29096=4?{%1a`?ca3A>:86Ft$2`g>``<@=;?7E=j6:kf6?6=3f8>n7>5;|`1ed<72;0;6=u+3cf9ac=O<8>0D>k9;hg1>5<N39=1C?h84id094?=h:5<4290;w)=md;12?M26<2B8i;5fe283>>ob<3:17b<:b;29?xd5im0;6>4?:1y'7gb=;81C8<:4H2g5?lc42900eh:50;9l60d=831vn?oj:180>5<7s-9ih7<:e:J751=O;l<0eh=50;9ja1<722e99o4?::a6d5=8391<7>t$2`g>67<@=;?7E=j6:kf7?6=3`o?6=44o37a>5<53;294~"4jm099h5G4068L6c13`o86=44id694?=h:5<4290;w)=md;12?M26<2B8i;5fe283>>ob<3:17b<:b;29?xd5i?0;6>4?:1y'7gb=:ob;3:17dk;:188k73e2900q~950;0xZ2=::l:1i>5rs983>7}Y0279ii4j3:p=?6=:rT270`56c`=m:1vn4?:3y]g>;48k0n?6s|d;296~Xc348n:7k<;|qf>5<5sWo01?j6:d18yv`=838pRk523179a6=z{8:1<772l90q~?>:181[76348o=7k;;|q26?6=:rT:>63=7d8f0>{t9=0;6?uQ159>755=m=1v<650;0xZ4><5;nn6h:4}r3:>5<5sW;270vP>a:?1b4{t9o0;6?uQ1g9>6a5=m:1v:=50;gxZ25<5><1595228`9a6=:0?0n>63=bg8f6>;51=0n?63<4`8f7>;4=90n>63<248f0>;4:90n?63<3`8f6>;4;k0n?63=a38f6>;5i>0n86s|7783>0}:??09::523439a6=:;;21i>5232a9a7=::h21i?5rs9394?4|51;1>;94=546>`4<2<51k1>8l4}r:0>5<5s4286?;m;<:b>`573e342<6h:4}r:6>5<5s42>6?;m;<:;>`573e34236h:4}r:4>5<5s42<6?;m;<::>`573e34226h:4}r::>5<5s4226?;m;<:b>`22z\;g>;4=h0<463=8g84<>;0>3=370<69;5;?8>62>201?m7:6:897de2>201>?n:6:8967e2>201>?l:6:8967c2>201>?j:6:896452>201><<:6:8964d2>201>:?:6:897e02l80q~<:8;296~;39<0n<63<5`8;`>{t:>=1<7n6s|26:94?4|V;=370{t:>h1<7n6s|26a94?4|V;=h70{t:>n1<7=t^35g?[40i2794<4=5c9~w71b2909w0<8e;06f>;5080n?6s|26d94?4|5;=m6?;m;<0;4?c33ty94=4?:3y>6=6=::d68yv4?:3:1>vP=839>6`c=:k:524`8yv4?<3:1>vP=859>6cg=:524`8yv4?>3:1>vP=879>750=:16?=m524`8yv4?13:19vP=889>6773=m:168o<5e39~w7>f290=wS<7a:?00dl4j3:?07=5h50;0xZ7>a3483j7<97:p6<6=838p1?6i:86897??2;?i7p}=9083>7}::0;1>8l4=3;;>`57>52z?1=7<5=k16>4:5e59~w7?42909w0<63;06f>;51<0n86s|28694?4|5;3?6?;m;<0:2?c33ty9584?:3y>6<3=:>3:1>v3=97811g=::0=1i>5rs3;4>5<5s482;7<:b:?1==4750;3:84>138=;63;dc8f0>;31o0n863=d08f7>;5l;0n>63=dd8f7>;5lo0n>63=ec8f7>;5mj0n>63=f08f7>;5n;0n>63=f68f7>;5n10n>63=fe8f7>;5nl0n>63<028f7>;48=0n>63<088f7>;48h0n>63=e58f7>;5m<0n>63=d68f7>;5l10n>63=7d8f7>;5?o0n>6s|28c94?2|5;3i6?;m;<0:`?c4342?6h=4=3aa>`552z?1=<<><279m=4=5c9~w7?c2909w0<6d;06f>;51o0n?6s|28g94?4|5;3n6?;m;<0:b?c33ty95k4?:3y>6<`=:;?<3o?7038>n6s|2`094?4|5;k96?;m;<0bg?c33ty9m>4?:3y>6d5=:v3=a5811g=::h?1i>5rs3c6>5<5s48j97<:b:?1e3l950;0x97g02;?i706d5=m=1v?on:18184fi38>n63=a48f0>{t:hh1<773e348j:7k;;|q1ef<72;q6>lm524`897g32l90q~4j3:p6d`=839p1?lk:d6897?62l>01?l=:37a?xu5j90;6?u22c2960d<5;h:6h=4}r0a5?6=:r79n<4=5c9>6g4=m:1v?l<:18184e;38>n63=b08f0>{t:k>1<773e348i=7k:;|q1f0<72;q6>o;524`897d62l<0q~=838p1?l7:37a?84e93o27p}=b883>7}::k31>8l4=3`2>`g52z?1fd<5=k16>o<5e59~w7de290;4<;0n?63<4d8f7>;4:<0n963<2d8f6>;3j:0n>63=ac8f6>{t:ki1<7<2<5;i?6?;m;|q1fa<72;q6>oj524`897e32l90q~7p}=c083>7}::j;1>8l4=3a0>`57>52z?1g7<5=k16>n=5e59~w7e42909w0;5k=0n86s|2b794?4|5;i>6?88;<6a1?c53ty9o;4?:2y>6f3=?1168;<5799>6f1=:7}::jh1>8l4=3ag>`552z?1gf<5=k16>nj5e59~w7ec2909w0;5kl0n86s|2e294?4|5;n:6?;m;<0g0?c43ty9h<4?:3y>6a4=:v3=d2811g=::m>1i95rs3f5>5<5s48o;7<:b:?1`di950;0x97b?2;?i706`7=m:1v?jj:18184cn38>n63=e18f0>{t:ml1<773e348n=7k;;|q1a6<72;q6>h:524`897c02l90q~6=4={<0f2?42j279i:4j4:p6`g=838p1?km:37a?84bm3o87p}=ec83>7}::li1>8l4=3gg>`252z?1aa<5=k16>hk5e59~w7`72909w0;5n=0n?6s|2g394?4|5;l96?;m;<0e7?c33ty9j?4?:3y>6c5=:3:1>v3=f6811g=::ok1i>5rs3d4>5<5s48m47<:b:?1b<k650;0x97`>2;?i706c`=m=1v?hj:18184an38>n63<018f0>{t;981<773e349;:7k<;|q046<72;q6?=:524`896622l>0q~=?4;296~;48<099o523149a1=z{::36=4={<13=?42j278>n:37a?857j3o?7p}<0`83>7}:;9h1>8l4=22`>`252z\04a=:5<1s49:m7<97:?1fa01>?m:344?84e03o970=:e;g6?xu49j0;69u230`9=1=:;8i1>;94=3`4>`4<5:?n6h=4}r12`?6==r78=n464:?05a<5>>16>no5e29>6g0=m;16?8k5e59~w67b2903w0=>e;053>;?=3o?70>3o8707}:;8o15952333960d52z?065<5=k16???5e59~w645290?w0==2;053>;5180n:63=b18f6>;4:80n?6s|33194?1|5;i>64:4=201><2<5:886?88;<0b4?c434286h:4=3;2>`3<5;h86h<4}r110?6=:r78>>464:?06g<5=k1v><::181855=38>n63<2c8f7>{t;;<1<773e349947k;;|q062<72;q6??9524`8964>2l>0q~==8;296~;4:1099o5233;9a6=z{:826=4={<11=?42j278>l4j4:p77g=838p1>3}:;8n1595233a9631<5191i>522bc9a1=::k?1i?5234g9a3=z{:8o6=4={<11g??33498;7<:b:p77c=838p1>7}:;;l1>8l4=211>`552z?075<5=k16?><5e59~w6562909w0=<1;06f>;4;:0n?6s|32094?4|5:996?;m;<100?c33ty8?>4?:3y>765=:=::d68yv54<3:1>v3<35811g=:;:?1i>5rs216>5<5s49897<:b:?073850;0x96512;?i70=<7;g7?xu4;10;6?u232:960d<5:8m6h:4}r10=?6=:r78?44=5c9>766=m:1v>=n:181854i38>n63<318f0>{t;:h1<773e3498?7k;;|q07f<72;q6?>m524`896562l>0q~==i:37a?854?3o87p}<4183>`}:;=:1>;94=3;g>`2<51=1i>522b`9a1=::j;1i>522879a6=::kk1i?523509a1=:;=o1i9523379a3=:;:31i>5232d9a6=:;;l1i>523239a6=z{:>:6=4={<174??3349?57<:b:p714=838p1>:=:37a?85303o87p}<4283>7}:;=91>8l4=266>`252z?001<5=k16?985e59~w6222909w0=;5;06f>;4<00n96s|35494?4|5:>=6?;m;<173?c43ty88:4?:3y>711=::7:d68yv5303:1>v3<49811g=:;=31i>5rs26b>5<5s49?m7<:b:?003?6h:4}r17`?6=:r788i4=5c9>711=m=1v>:j:181853m38>n63<428f0>{t;=l1<773e349?97k<;|q015<72;q6?8>524`896222l?0q~=:1;296~;4=8099o523569a6=z{:?j6=4:{<16e?41?278;<4j2:?02001>;l:37a?xu4=m0;6?u234c98l4}r16a?6=:r789h4=5c9>735=m:1v>;i:181852n38>n63<608f7>{t;?:1<773e349==7k;;|q024<72;q6?;?524`896052l>0q~=92;296~;4>;099o523719a1=z{:>0;6;uQ375896012l901>;l:d68963a2l801>=m:d6897g02l90q~=80;293~;4?;099o52848f7>;5k90n>63=938f6>;463<4g8f6>;4:>0n>6s|36394?4|5:=:6?;m;<146?c33ty8;>4?:6y]725<5:=96h=4=27`>`5<5:<;6h<4=217>`5<5;kh6h=4=3cf>`5897>54z\770=:5<5sW>=>63;638122=z{==97<:b:p0=>=838pR967;<6:a?c53ty?5l4?:3y]00<`=:7}:8l4=5`5>`5i?7>52z?7f6<5=k168o85e49~w1d32909w0:m4;06f>;3j>0n?6s|4c794?4|5=h>6?;m;<6a3?c23ty?n;4?:3y>0g0=:vP;bd9>0g`=:vP;c59>0ag=m;1v9j9:181[2c>27?h:4=5c9~w1b?2909w0:k7;g1?82cj38>n6s|4e;94?4|5=n26?;m;<6gf?c43ty?hl4?:3y>0ag=:0f4=m:168l?5e39>0a?=m;1684j5e39~yg25j3:1=;471;:gM5b>2.8ni4>089'5fe=;h30e5m50;9j553=831b=9?50;9jb4<722c:>>4?::m207<722c??84?::k47?6=3f;?87>5;h6;5;h6ee?6=3`>h87>5;n311?6=3f;j57>5;h13`?6=3f><97>5;n30e?6=3f;287>5;n03>5<ib83:17pl;4e83>0<729q/?oj5de9K042<@:o=7d6m:188m=b=831b;54?::k:0?6=3f8=;7>5;|`03`<72<0;6=u+3cf9`a=O<8>0D>k9;%gf>5=n0k0;66g7d;29?l1?2900e4:50;9l631=831vn?k50;794?6|,:ho6ij4H537?M5b>2c3n7>5;h:g>5<21<75f9583>>i5>>0;66sm9383>6<729q/?oj5dc9K042<@:o=7d97:188m<2=831d>;950;9~f75029086=4?{%1a`?be3A>:86F5;n053?6=3th:?l4?:483>5}#;kn1hi5G4068L6c13`2i6=44i9f94?=n?10;66g64;29?j41?3:17pl=0;297?6=8r.8ni4kb:J751=O;l<0e:650;9j=1<722e9::4?::a5<2=8391<7>t$2`g>ad<@=;?7E=j6:k45<53;294~"4jm0on6F;159K7`021<75f9583>>i5>>0;66sm1`;94?5=83:p(>lk:e`8L1733A9n:6g88;29?l?32900c?88:188yg73<3:1?7>50z&0fa=831b594?::m122<722wi=?:50;194?6|,:ho6il4H537?M5b>2c<47>5;h;7>5<N39=1C?h84$dg94>o003:17d7;:188k7002900qo?n5;291?6=8r.8ni4kd:J751=O;l<0e5l50;9j5;h;7>5<N39=1C?h84i9`94?=n0m0;66g88;29?l?32900c?88:188yg72l3:197>50z&0fa5<53;294~"4jm0on6F;159K7`0<,lo1<6g88;29?l?32900c?88:188yg5fm3:1?7>50z&0fa5;h;7>5<N39=1C?h84id094?=h:5<5290;w)=md;ge?M26<2B8i;5fe383>>i5=k0;66sm12:94?4=83:p(>lk:dd8L1733A9n:6gj2;29?j42j3:17pl>a683>7<729q/?oj5eg9K042<@:o=7dk=:188k73e2900qo::0;296?6=8r.8ni4jf:J751=O;l<0eh<50;9l60d=831vn>>50;194?6|,:ho6?;j;I620>N4m?1bi>4?::kf0?6=3f8>n7>5;|`0fg<72;0;6=u+3cf9ac=O<8>0D>k9;hg1>5<N39=1C?h84id194?=nm=0;66a=5c83>>{e<>31<7=50;2x 6dc2:;0D9?;;I1f2>ob;3:17dk;:188k73e2900qo:8a;296?6=8r.8ni4jf:J751=O;l<0eh<50;9l60d=831vn99m:181>5<7s-9ih7ki;I620>N4m?1bi?4?::m11g<722wi8:m50;194?6|,:ho6>?4H537?M5b>2cn?7>5;hg7>5<N39=1C?h84id194?=nm=0;66a=5c83>>{e9=<1<7=50;2x 6dc2:;0D9?;;I1f2>ob;3:17dk;:188k73e2900qo?;7;296?6=8r.8ni4jf:J751=O;l<0eh<50;9l60d=831vn<:7:180>5<7s-9ih7=>;I620>N4m?1bi>4?::kf0?6=3f8>n7>5;|`0f5<72;0;6=u+3cf9ac=O<8>0D>k9;hg1>5<0D>k9;hg0>5<1<75`24`94?=zj:h86=4<:183!5el39:7E:>4:J0a3=nm:0;66gj4;29?j42j3:17pl7<729q/?oj5eg9K042<@:o=7dk=:188k73e2900qo=m5;296?6=8r.8ni4jf:J751=O;l<0eh<50;9l60d=831vn>l9:180>5<7s-9ih7=>;I620>N4m?1bi>4?::kf0?6=3f8>n7>5;|`0f2<72:0;6=u+3cf974=O<8>0D>k9;hg0>5<1<75`24`94?=zj:h36=4<:183!5el38>i6F;159K7`0>i5=k0;66sm3c;94?5=83:p(>lk:238L1733A9n:6gj3;29?lc32900c?;m:188yg5e93:1?7>50z&0fa<5=l1C8<:4H2g5?lc42900eh:50;9l60d=831vn>ol:180>5<7s-9ih7=>;I620>N4m?1bi>4?::kf0?6=3f8>n7>5;|`0ea<72:0;6=u+3cf960c<@=;?7E=j6:kf7?6=3`o?6=44o37a>5<>>7>53;294~"4jm08=6F;159K7`0>i5=k0;66sm44194?4=83:p(>lk:dd8L1733A9n:6gj2;29?j42j3:17pl;5583>6<729q/?oj524g8L1733A9n:6gj3;29?lc32900c?;m:188yg74k3:1?7>50z&0fa<492B?=95G3d48m`5=831bi94?::m11g<722wi=>j50;094?6|,:ho6hh4H537?M5b>2cn>7>5;n06f?6=3th:?h4?:383>5}#;kn1ik5G4068L6c13`o96=44o37a>5<53;294~"4jm08=6F;159K7`0>i5=k0;66sm15294?5=83:p(>lk:37f?M26<2B8i;5fe283>>ob<3:17b<:b;29?xd64?:1y'7gb=;81C8<:4H2g5?lc42900eh:50;9l60d=831vn<;?:181>5<7s-9ih7ki;I620>N4m?1bi?4?::m11g<722wi=8?50;094?6|,:ho6hh4H537?M5b>2cn>7>5;n06f?6=3th:9?4?:283>5}#;kn1?<5G4068L6c13`o86=44id694?=h:5<4290;w)=md;12?M26<2B8i;5fe283>>ob<3:17b<:b;29?xd6==0;6?4?:1y'7gb=mo1C8<:4H2g5?lc52900c?;m:188yg72=3:1>7>50z&0fa8l50;9~f43129096=4?{%1a`?ca3A>:86Ft$2`g>73b3A>:86F5;n06f?6=3th:8o4?:283>5}#;kn1?<5G4068L6c13`o86=44id694?=h:5<4290;w)=md;12?M26<2B8i;5fe283>>ob<3:17b<:b;29?xd64?:1y'7gb=:ob;3:17dk;:188k73e2900qo?;e;290?6=8r.8ni4<2:J751=O;l<0eh=50;9ja1<722cn97>5;n06f?6=3th:8k4?:283>5}#;kn1>8k4H537?M5b>2cn?7>5;hg7>5<N39=1C?h84id194?=nm=0;66a=5c83>>{e9k81<7<50;2x 6dc2ll0D9?;;I1f2>ob:3:17b<:b;29?xd6j:0;6?4?:1y'7gb=mo1C8<:4H2g5?lc52900c?;m:188yg7e<3:1>7>50z&0fa8l50;9~f4d229096=4?{%1a`?ca3A>:86Ft$2`g>``<@=;?7E=j6:kf6?6=3f8>n7>5;|`2f2<72;0;6=u+3cf9ac=O<8>0D>k9;hg1>5<N39=1C?h84id094?=h:5<4290;w)=md;06a>N39=1C?h84id194?=nm=0;66a=5c83>>{e9hi1<7=50;2x 6dc2:;0D9?;;I1f2>ob;3:17dk;:188k73e2900qo?nd;290?6=8r.8ni4<2:J751=O;l<0eh=50;9ja1<722cn97>5;n06f?6=3th:mh4?:283>5}#;kn1?<5G4068L6c13`o86=44id694?=h:5<4290;w)=md;06a>N39=1C?h84id194?=nm=0;66a=5c83>>{e9k:1<7:50;2x 6dc2:80D9?;;I1f2>ob;3:17dk;:188m`3=831d>8l50;9~f4d629086=4?{%1a`?42m2B?=95G3d48m`5=831bi94?::m11g<722wi=8h50;194?6|,:ho6>?4H537?M5b>2cn?7>5;hg7>5<0D>k9;hg0>5<1<75`24`94?=zj8<:6=4=:183!5el3om7E:>4:J0a3=nm;0;66a=5c83>>{e9?81<7<50;2x 6dc2ll0D9?;;I1f2>ob:3:17b<:b;29?xd6>:0;6>4?:1y'7gb=;81C8<:4H2g5?lc42900eh:50;9l60d=831vn<8;:180>5<7s-9ih7=>;I620>N4m?1bi>4?::kf0?6=3f8>n7>5;|`220<72=0;6=u+3cf977=O<8>0D>k9;hg0>5<1<75fe483>>i5=k0;66sm18494?5=83:p(>lk:238L1733A9n:6gj3;29?lc32900c?;m:188yg7>l3:1>7>50z&0fa8l50;9~f4?b29096=4?{%1a`?ca3A>:86Ft$2`g>73b3A>:86F5;n06f?6=3th:m=4?:383>5}#;kn1ik5G4068L6c13`o96=44o37a>5<52;294~"4jm0nj6F;159K7`04:J0a3=nm;0;66a=5c83>>{e9h91<7=50;2x 6dc2:;0D9?;;I1f2>ob;3:17dk;:188k73e2900qo?n4;296?6=8r.8ni4jf:J751=O;l<0eh<50;9l60d=831vn<78:187>5<7s-9ih7==;I620>N4m?1bi>4?::kf0?6=3`o>6=44o37a>5<54;294~"4jm08>6F;159K7`0>ob=3:17b<:b;29?xd6100;6>4?:1y'7gb=;81C8<:4H2g5?lc42900eh:50;9l60d=831vn<7n:180>5<7s-9ih7<:e:J751=O;l<0eh=50;9ja1<722e99o4?::a5t$2`g>67<@=;?7E=j6:kf7?6=3`o?6=44o37a>5<54;294~"4jm099k5G4068L6c13`o86=44id694?=nm<0;66a=5c83>>{e9;=1<7=50;2x 6dc2:;0D9?;;I1f2>ob;3:17dk;:188k73e2900qo?=e;296?6=8r.8ni4jf:J751=O;l<0eh<50;9l60d=831vn<5<7s-9ih7ki;I620>N4m?1bi?4?::m11g<722wi=>>50;194?6|,:ho6?;j;I620>N4m?1bi>4?::kf0?6=3f8>n7>5;|`274<72;0;6=u+3cf9ac=O<8>0D>k9;hg1>5<N39=1C?h84id094?=h:5<5290;w)=md;ge?M26<2B8i;5fe383>>i5=k0;66sm12694?5=83:p(>lk:238L1733A9n:6gj3;29?lc32900c?;m:188yg74=3:1>7>50z&0fa8l50;9~f44?290?6=4?{%1a`?553A>:86F5;hg6>5<N39=1C?h84id194?=nm=0;66gj5;29?j42j3:17pl>2`83>6<729q/?oj5309K042<@:o=7dk<:188m`2=831d>8l50;9~f44e29086=4?{%1a`?42m2B?=95G3d48m`5=831bi94?::m11g<722wi=?m50;194?6|,:ho6>?4H537?M5b>2cn?7>5;hg7>5<0D>k9;hg0>5<1<75fe483>>i5=k0;66sm39294?4=83:p(>lk:dd8L1733A9n:6gj2;29?j42j3:17pl<8083>7<729q/?oj5eg9K042<@:o=7dk=:188k73e2900qo=72;296?6=8r.8ni4jf:J751=O;l<0eh<50;9l60d=831vn>6<:181>5<7s-9ih7ki;I620>N4m?1bi?4?::m11g<722wi?5:50;194?6|,:ho6>?4H537?M5b>2cn?7>5;hg7>5<0D>k9;hg0>5<1<75`24`94?=zj:2=6=4;:183!5el3997E:>4:J0a3=nm:0;66gj4;29?lc22900c?;m:188yg5??3:1?7>50z&0fa<5=l1C8<:4H2g5?lc42900eh:50;9l60d=831v?>50;0xZ76<5;:1>;94}r0f>5v3=e;:a?8572;?i7p}83;29e~X0;278;h464:?27d<><27?9=4j2:?2034j4:?7164j2:p2><5:=n6:64=3g93==:1;0<463=3684<>;6;h0<463=0;5;?87><3=370?=5;5;?87f13=370?;4;5;?875<3=370??4;5;?87f=3=370?<6;5;?872l3=370=na;5;?85fm3=37p}62;296~;>:38=;63<0;g0?xu>;3:1>v362;;7?85ek38>n6s|f083>7}Yn816==:5959~w463290>w0??4;053>;4j90n>63;6=90n>63>b38f6>{t99?1<70c83>3}Y99h01996:d1894212l901>l<:d18943a2l>01>69:d68yv75;3:1>vP>229>572=1=1v<<;:181875<38=;63>3b8f7>{t9;?1<7:t^006?875=38=;63>268f7>;6:10n86s|13494?4|588>64:4=00g>73e3ty:>:4?:3y>571=:v3>29811g=:9;h1i>5rs00:>5<5s4;957<:b:?26gn4=5c9>57b=m:1v<n63>298f7>{t9;l1<773e34;947k:;|q275<72;q6=>>524`8944>2l>0q~?<1;296~;6;8099o5213;9a6=z{8996=4={<306?42j27:>44j5:p565=838p1<=<:37a?875k3o?7p}>3583>7}:9:>1>8l4=00b>`552z?270<5=k16=?o5e59~w4512909w0?<6;053>;6;=0n?6s|12594?4|589=64:4=01;>73e3ty:?l4?:3y]56g<589j6?88;|q27g<72;q6=>o58c9>516=:3g83>7}:9:l1>8l4=063>`554z\204=:9:n1i?521719a6=:;1?1i>5rs061>5<5sW;?>63>42811g=z{8>?6=4<{_370>;6<=09::5215c9a6=z{8>>6=48{<374j3:?2eak4j2:?2750;6?u2155960d<58>36h:4}r37=?6=:r7:89464:?20c<5=k1v<:n:181873i38>n63>4b8f7>{t9=h1<773e34;?h7k;;|q20f<72;q6=9m524`8942a2l90q~?;d;296~;6n6=4={<37a?42j27:8k4j4:p506=838p1<;?:37a?872?3o87p}>5083>7}:9<;1>8l4=074>`2>7>52z?217<5=k16=9j5e29~w4342909w0?:3;06f>;6503=:3:1>v3>57811g=:9=o1i85rs074>5<5s4;>;7<:b:?20f5d83>7}:98l4=046>`2j7>52z?225<5=k16=;=5e59~w4072909w0?91;06f>;6>=0n?6s|17394?4|58<96?;m;<350?c33ty::?4?:3y>535=:v3>65811g=:9??1i85rs0;7>5<3sW;2863>958122=:90<1i>521859a1=z{83>6=4={<3:0??334;2o7<:b:p5<0=838p1<79:37a?87>k3o?7p}>9683>7}:90=1>8l4=0;b>`552z?2==<5=k16=4o5e59~w4?>2909w0?69;06f>;61j0n96s|18c94?4|583j6?;m;<3:f?c43ty:5o4?:3y>5l3:1>v3>9e811g=:90=1i>5rs0;f>5<5s4;2i7<:b:?2=25<>=m<1vn63>9c8f0>{t9h91<773e34;257k<;|q2e1<72;q6=l:524`894?>2l>0q~?n5;296~;6i<09::521`19a6=z{8k=6=4={<3b1??334;j;7<:b:p5d?=839pRac83>7}:9hh1>8l4=0cf>`552z?2ef<5=k16=lh5e29~w4gc2909w0?nd;06f>;6io0n86s|1`g94?4|58kn6?;m;<3a5?c43ty:mk4?:3y>5d`=:v3>b1811g=:9k;1i95rs0`1>5<5s4;i>7<:b:?2f<5db=m:1v38>n63>ae8f1>{t9k=1<773e34;i<7k<;|q2f=<72;q6=o6524`894d72l?0q~?m9;296~;6j0099o521`g9a1=z{;9<6=4:{<0f><2<5;9<6?88;<3b1?>e34;8:76m;<13>`253z?172<><27:9i47b:?220<5=k1v?;7:18;826=3o;70:;d;:g?850m32o70vP<0e9>02d=m;1v>9j:185850m38=;63>468f6>;4j=0n>63;538f7>;6;j0n863>638f6>{t;>l1<7=d<5:2<6?;m;|q0<5<72;q6?5>524`896>32l90q~=71;296~;408099o523969a1=z{:296=4={<1;6?42j2784;4j5:p7=5=838p1>6<:37a?85??3o?7p}<8583>7}:;1>1>8l4=2:6>`252z?0<0<5=k16?585e29~w6>12909w0=76;06f>;40>0n?6s|3`c94?77s49jm7<97:?0fg4j2:?2f2:4j4:?27701>ok:37a?xu4ij0;6?u23`a960d<5:ko6h:4}r1ba?6=99q6>=464:?0e`<5>>16?om5e29>7g4=m=16?o85e29>7db=m:16=9o5e59>500=m;16=ll5e59>5g>=m;16=;>5e29>557c=m;16=>>5e29>7=6=m;1v>oi:18185fm33?70=m1;06f>{t;k:1<773e349i:7k;;|q0f7<72;q6?o<524`896d?2l90q~=m3;296~;4j:099o523c59a6=z{:h?6=4={<1a0?42j278n:4j4:p7g3=838p1>l::37a?85e13o?7p}7}:;k<1>8l4=2`2>`552z?0f2<5=k16?o65e59~w6d?2909w0=m8;06f>;4j00n?6s|3c;94?4|5:h26?;m;<1a5?c33ty8nl4?:3y>7gd=:ll:d68yv24=3:1>vP;349>02g=m;1v9:k:181[23l27?8i4=669~w12a2909w0:;d;;7?822838>n6s|44394?4|5=>o65l4=577>73e3ty?9?4?:3y>004=:v3;52811g=:<<>1i95rs556>5<5sW><963;77811g=z{==36=4={<642?c534>7}:<>k1>8l4=55`>`552z?73g<5=k168:m5e59~w11d2909w0:8c;06f>;3?m0n86s|49:94?5|V=2370?<8;g1?87493o97p};c583>6}Y0102?=m=16=8=5e59>5g0=m;1vqo:=9;29`?7328opD>k9;%1a`?ba3-;ho7=n9:m7b4<722c:8<4?::m723<722c:8?4?::k770<722e5;hf:>5<5<o003:17d7;:188k7002900qo=8:180>5<7s-9ih7jm;I620>N4m?1/ih4?;h5;>5<1<75`27594?=zj=l:6=4;:183!5el3nn7E:>4:J0a3=n?10;66g64;29?l41>3:17b<97;29?xd0<3:1>7>50z&0fa8l50;9~f23=8391<7>t$2`g>73b3A>:86F5;n06f?6=3th8n7>52;294~"4jm0nj6F;159K7`04:J0a3=nm;0;66a=5c83>>{eob:3:17b<:b;29?xd403:1>7>50z&0fa8l50;9~f10d29086=4?{%1a`?563A>:86F5;n06f?6=3th?:i4?:383>5}#;kn1ik5G4068L6c13`o96=44o37a>5<=i7>53;294~"4jm08=6F;159K7`0>i5=k0;66sm4g594?2=83:p(>lk:208L1733A9n:6gj3;29?lc32900eh;50;9l60d=831vn>;<:180>5<7s-9ih7=>;I620>N4m?1bi>4?::kf0?6=3f8>n7>5;|`011<72;0;6=u+3cf9ac=O<8>0D>k9;hg1>5<0D>k9;hg0>5<1<75`24`94?=zj:?=6=4<:183!5el39:7E:>4:J0a3=nm:0;66gj4;29?j42j3:17pl<5683>6<729q/?oj524g8L1733A9n:6gj3;29?lc32900c?;m:188yg5203:1?7>50z&0fa<492B?=95G3d48m`5=831bi94?::m11g<722wi?8750;194?6|,:ho6?;j;I620>N4m?1bi>4?::kf0?6=3f8>n7>5;|q03?6=:r78;7<97:?41?c43ty857>52z?03?1?34936?;m;|q0e?6=:r78;77;;<1a>73e3ty54z\47>;0=38>n63;6b8f7>;3n>0n86s|7583>7}:?=099o52748f0>{tl00;69uQd89>030=?1168k?5799>7=;4=00n?6s|15394?4|V8>:70=:4;g1?xu6<;0;6?uQ150896322l90q~<98;296~X5>116?865e29~w7>>2909wS<79:?0165h50;0xZ7>a349>?7k;;|q04a<72;qU?=j4=274>`5>7>53z?72f;<:181852;38>n63<578f7>{t;<>1<773e349>97k;;|q010<72;q6?8;524`896312l>0q~=:6;296~;4=?099o523459a1=z{:?<6=4={<163?42j278954j4:p70>=838p1>;7:37a?85213o?7p};3483>1}Y<:?019h>:345?8132l801>l5e39~w1012909wS:96:?723<5>>1v98m:18182083o970:9e;06f>{t73e34>=i7k<;|q72a<72;q68;j524`8910b2l>0q~:9f;296~;3>?02863;71811g=z{=l:6=4={_6e5>;3n809::5rs5d5>5<5s4>m57k=;<6e3?42j2wx8k650;0x91`620>019h6:37a?x{e<;k1<7?9:c;9g0}O;l<0(>lk:02;?!7dk39j56a>0483>>o3n80;66a;3c83>>o3>?0;66ai1;29?l?a2900e9l6:188k47>2900e:k50;9j512=831b;44?::m7<=<722c:?44?::k5f?6=3f>h87>5;h3b5;h67g?6=3f><=7>5;n64a?6=3f8;6=44o5f`>5<6=4>:183!5el3o:7E:>4:J0a3=hm90;66sm4ea94?3=83:p(>lk:ef8L1733A9n:6g7b;29?l>c2900e:650;9j=1<722e9::4?::af<<72:0;6=u+3cf9`g=O<8>0D>k9;h5;>5<1<75`27594?=zjk?1<7=50;2x 6dc2mh0D9?;;I1f2>o003:17d7;:188k7002900qol>:180>5<7s-9ih7jm;I620>N4m?1b;54?::k:0?6=3f8=;7>5;|`b3?6=;3:1N39=1C?h84i6:94?=n1=0;66a=6683>>{e:90;6>4?:1y'7gb=lk1C8<:4H2g5?l1?2900e4:50;9l631=831vnk?50;194?6|,:ho6il4H537?M5b>2c<47>5;h;7>5<N39=1C?h84i6:94?=n1=0;66a=6683>>{e9kk1<7=50;2x 6dc2mh0D9?;;I1f2>o003:17d7;:188k7002900qo?:8;297?6=8r.8ni4kb:J751=O;l<0e:650;9j=1<722e9::4?::a<5<72:0;6=u+3cf9`g=O<8>0D>k9;h5;>5<1<75`27594?=zj=i?6=4<:183!5el3ni7E:>4:J0a3=n?10;66g64;29?j41?3:17pl;8983>6<729q/?oj5dc9K042<@:o=7d97:188m<2=831d>;950;9~f15e29086=4?{%1a`?be3A>:86F5;n053?6=3th?;<4?:283>5}#;kn1ho5G4068L6c13`=36=44i8694?=h:?=1<75rbe694?5=83:p(>lk:e`8L1733A9n:6g88;29?l?32900c?88:188yg5b13:1?7>50z&0fa=831b594?::m122<722wi==;50;694?6|,:ho6im4H537?M5b>2c3h7>5;h5;>5<1<75`27594?=zj8;26=4;:183!5el3nh7E:>4:J0a3=n0m0;66g88;29?l?32900c?88:188yg?029086=4?{%1a`?be3A>:86F5<4290;w)=md;fa?M26<2B8i;5+ed83?l1?2900e4:50;9l631=831vn<98:180>5<7s-9ih7jm;I620>N4m?1/ih4?;h5;>5<1<75`27594?=zj8=h6=4<:183!5el3ni7E:>4:J0a3=#ml0;7d97:188m<2=831d>;950;9~f4>329086=4?{%1a`?be3A>:86F5<4290;w)=md;fa?M26<2B8i;5+ed83?l1?2900e4:50;9l631=831vn<69:180>5<7s-9ih7jm;I620>N4m?1/ih4?;h5;>5<1<75`27594?=zj82o6=4<:183!5el3ni7E:>4:J0a3=#ml0:7d97:188m<2=831d>;950;9~fg`=8391=7=tH2g5?!5el3;hi6g65;29?l?12900c>97:188f17f29086=4?{%1a`?26;2B?=95G3d48m`5=831bi94?::m11g<722wx584?:3y]=0=:<8k1i95rs8494?4|V0<019?n:d18yv5003:1>vP<799>04g=:>i4?10;66l;1`83>6<729q/?oj54018L1733A9n:6gj3;29?lc32900c?;m:188yv?22909wS7:;<62e?c33ty2:7>52z\:2>;39h0n?6s|36:94?4|V:=370:>a;06f>{zjkn1<7=51;1xL6c13-9ih7?le:k:1?6=3`3=6=44o25;>5<:?6F;159K7`0>i5=k0;66s|9483>7}Y1<168`552z\03==:<8k1>8l4}|`73c<72;0;6=u+3cf9ac=O<8>0D>k9;hg1>5<N39=1C?h84id094?=h:5<5290;w)=md;ge?M26<2B8i;5fe383>>i5=k0;66sm14a94?5=83:p(>lk:238L1733A9n:6gj3;29?lc32900c?;m:188yg20<3:1?7>50z&0fa<5=l1C8<:4H2g5?lc42900eh:50;9l60d=831vn>km:181>5<7s-9ih7ki;I620>N4m?1bi?4?::m11g<722wi==950;094?6|,:ho6hh4H537?M5b>2cn>7>5;n06f?6=3th?544?:383>5}#;kn1ik5G4068L6c13`o96=44o37a>5<o97>52;294~"4jm0nj6F;159K7`04:J0a3=nm;0;66a=5c83>>{ejk0;6?4?:1y'7gb=mo1C8<:4H2g5?lc52900c?;m:188ygdd29086=4?{%1a`?563A>:86F5;n06f?6=3thi;7>52;294~"4jm0nj6F;159K7`0ob;3:17dk;:188k73e2900qol<:181>5<7s-9ih7ki;I620>N4m?1bi?4?::m11g<722win94?:283>5}#;kn1?<5G4068L6c13`o86=44id694?=h:lk:dd8L1733A9n:6gj2;29?j42j3:17pll1;297?6=8r.8ni4<1:J751=O;l<0eh=50;9ja1<722e99o4?::ag6<72:0;6=u+3cf974=O<8>0D>k9;hg0>5<1<75`24`94?=zj=9o6=4=:183!5el3om7E:>4:J0a3=nm;0;66a=5c83>>{e9?21<7<50;2x 6dc2ll0D9?;;I1f2>ob:3:17b<:b;29?xd6>00;6?4?:1y'7gb=mo1C8<:4H2g5?lc52900c?;m:188yg71i3:187>50z&0fa<4:2B?=95G3d48m`5=831bi94?::kf1?6=3f8>n7>5;|`25<52;294~"4jm0nj6F;159K7`0i6F;159K7`0>i5=k0;66sm18094?5=83:p(>lk:238L1733A9n:6gj3;29?lc32900c?;m:188yg7>;3:1?7>50z&0fa<5=l1C8<:4H2g5?lc42900eh:50;9l60d=831vn<67:180>5<7s-9ih7=>;I620>N4m?1bi>4?::kf0?6=3f8>n7>5;|`2<<<72;0;6=u+3cf9ac=O<8>0D>k9;hg1>5<N39=1C?h84id094?=h:5<4290;w)=md;12?M26<2B8i;5fe283>>ob<3:17b<:b;29?xd60j0;6>4?:1y'7gb=;81C8<:4H2g5?lc42900eh:50;9l60d=831vn<9j:181>5<7s-9ih7ki;I620>N4m?1bi?4?::m11g<722wi=:h50;094?6|,:ho6hh4H537?M5b>2cn>7>5;n06f?6=3th:4=4?:283>5}#;kn1?<5G4068L6c13`o86=44id694?=h:5<4290;w)=md;06a>N39=1C?h84id194?=nm=0;66a=5c83>>{e9181<7=50;2x 6dc2:;0D9?;;I1f2>ob;3:17dk;:188k73e2900qo?73;297?6=8r.8ni4=5d9K042<@:o=7dk<:188m`2=831d>8l50;9~f41>29086=4?{%1a`?42m2B?=95G3d48m`5=831bi94?::m11g<722wi=:o50;094?6|,:ho6hh4H537?M5b>2cn>7>5;n06f?6=3th:;o4?:583>5}#;kn1??5G4068L6c13`o86=44id694?=nm<0;66a=5c83>>{eob;3:17dk;:188m`3=831bi;4?::m11g<722wi8hj50;094?6|,:ho6hh4H537?M5b>2cn>7>5;n06f?6=3th?ih4?:383>5}#;kn1ik5G4068L6c13`o96=44o37a>5<nj7>53;294~"4jm08=6F;159K7`0>i5=k0;66sm4g294?5=83:p(>lk:238L1733A9n:6gj3;29?lc32900c?;m:188yg?>290>6=4?{%1a`?4182B?=95G3d48m`5=831bi94?::kf1?6=3`o=6=44o37a>5<4:J0a3=nm:0;66gj4;29?j42j3:17pl6b;296?6=8r.8ni4jf:J751=O;l<0eh<50;9l60d=831vn4m50;194?6|,:ho6>?4H537?M5b>2cn?7>5;hg7>5<5<4290;w)=md;12?M26<2B8i;5fe283>>ob<3:17b<:b;29?xd>m3:1?7>50z&0fa<5=l1C8<:4H2g5?lc42900eh:50;9l60d=831vn96i:180>5<7s-9ih7<:e:J751=O;l<0eh=50;9ja1<722e99o4?::a0<6=8381<7>t$2`g>``<@=;?7E=j6:kf6?6=3f8>n7>5;|`7=4<72:0;6=u+3cf974=O<8>0D>k9;hg0>5<1<75`24`94?=zj=396=4=:183!5el3om7E:>4:J0a3=nm;0;66a=5c83>>{e<091<7=50;2x 6dc2:;0D9?;;I1f2>ob;3:17dk;:188k73e2900qo:64;297?6=8r.8ni4<1:J751=O;l<0eh=50;9ja1<722e99o4?::a0<3=8391<7>t$2`g>73b3A>:86F5;n06f?6=3th?5;4?:583>5}#;kn1>8h4H537?M5b>2cn?7>5;hg7>5<4:J0a3=nm:0;66gj4;29?j42j3:17pl;cc83>6<729q/?oj524g8L1733A9n:6gj3;29?lc32900c?;m:188yg2dk3:1>7>50z&0fa8l50;9~f1ec29086=4?{%1a`?563A>:86F5;n06f?6=3th?oh4?:383>5}#;kn1ik5G4068L6c13`o96=44o37a>5<hj7>53;294~"4jm08=6F;159K7`0>i5=k0;66sm4e294?5=83:p(>lk:238L1733A9n:6gj3;29?lc32900c?;m:188yg2c93:1?7>50z&0fa<5=l1C8<:4H2g5?lc42900eh:50;9l60d=831vn9j=:187>5<7s-9ih7<:f:J751=O;l<0eh=50;9ja1<722cn97>5;n06f?6=3th?h>4?:283>5}#;kn1?<5G4068L6c13`o86=44id694?=h:5<2290;w)=md;10?M26<2B8i;5fe283>>ob<3:17dk::188m`0=831d>8l50;9~f1c329096=4?{%1a`?ca3A>:86Ft$2`g>``<@=;?7E=j6:kf6?6=3f8>n7>5;|`7a3<72;0;6=u+3cf9ac=O<8>0D>k9;hg1>5<N39=1C?h84id094?=h:5<4290;w)=md;12?M26<2B8i;5fe283>>ob<3:17b<:b;29?xd3m00;6>4?:1y'7gb=:ob;3:17dk;:188k73e2900qo:ja;290?6=8r.8ni4<2:J751=O;l<0eh=50;9ja1<722cn97>5;n06f?6=3th:=o4?:383>5}#;kn1ik5G4068L6c13`o96=44o37a>5<52;294~"4jm0nj6F;159K7`04:J0a3=nm;0;66a=5c83>>{e98o1<7<50;2x 6dc2ll0D9?;;I1f2>ob:3:17b<:b;29?xd69o0;6?4?:1y'7gb=mo1C8<:4H2g5?lc52900c?;m:188yg7583:1>7>50z&0fa8l50;9~f446290=6=4?{%1a`?533A>:86F5;hg6>5<>i5=k0;66sm13094?2=83:p(>lk:37e?M26<2B8i;5fe283>>ob<3:17dk::188k73e2900qo?9c;296?6=8r.8ni4jf:J751=O;l<0eh<50;9l60d=831vn<8j:181>5<7s-9ih7ki;I620>N4m?1bi?4?::m11g<722wi=;h50;094?6|,:ho6hh4H537?M5b>2cn>7>5;n06f?6=3th:;=4?:383>5}#;kn1ik5G4068L6c13`o96=44o37a>5<52;294~"4jm0nj6F;159K7`04:J0a3=nm;0;66a=5c83>>{e9>91<7<50;2x 6dc2ll0D9?;;I1f2>ob:3:17b<:b;29?xd6?=0;6>4?:1y'7gb=;81C8<:4H2g5?lc42900eh:50;9l60d=831vn<9::18;>5<7s-9ih7=:;I620>N4m?1bi>4?::kf0?6=3`o>6=44id494?=nm>0;66gj8;29?lc>2900c?;m:188yg71l3:187>50z&0fa<5=o1C8<:4H2g5?lc42900eh:50;9ja0<722e99o4?::a61<72<0;6=u+3cf9636<@=;?7E=j6:kf7?6=3`o?6=44id794?=nm?0;66a=5c83>>{e:?0;6>4?:1y'7gb=;81C8<:4H2g5?lc42900eh:50;9l60d=831vn?950;094?6|,:ho6hh4H537?M5b>2cn>7>5;n06f?6=3th947>52;294~"4jm0nj6F;159K7`0ob:3:17b<:b;29?xd5i3:1>7>50z&0fa8l50;9~f7d=8391<7>t$2`g>67<@=;?7E=j6:kf7?6=3`o?6=44o37a>5<4:J0a3=nm:0;66gj4;29?lc22900eh850;9l60d=831vn?j50;194?6|,:ho6?;j;I620>N4m?1bi>4?::kf0?6=3f8>n7>5;|`11?6=;3:10D>k9;hg0>5<1<75`24`94?=zjo91<7=50;2x 6dc2;?n7E:>4:J0a3=nm:0;66gj4;29?j42j3:17plic;296?6=8r.8ni4jf:J751=O;l<0eh<50;9l60d=831vnkj50;094?6|,:ho6hh4H537?M5b>2cn>7>5;n06f?6=3thmi7>53;294~"4jm08=6F;159K7`0>i5=k0;66smfg83>7<729q/?oj5eg9K042<@:o=7dk=:188k73e2900qo??0;296?6=8r.8ni4jf:J751=O;l<0eh<50;9l60d=831vn<>>:181>5<7s-9ih7ki;I620>N4m?1bi?4?::m11g<722wi==<50;094?6|,:ho6hh4H537?M5b>2cn>7>5;n06f?6=3th:<>4?:383>5}#;kn1ik5G4068L6c13`o96=44o37a>5<4:J0a3=nm:0;66gj4;29?j42j3:17pli5;297?6=8r.8ni4<1:J751=O;l<0eh=50;9ja1<722e99o4?::ab3<72?0;6=u+3cf971=O<8>0D>k9;hg0>5<1<75fe483>>ob>3:17dk8:188k73e2900qoh8:180>5<7s-9ih7<:e:J751=O;l<0eh=50;9ja1<722e99o4?::ab=<72:0;6=u+3cf960c<@=;?7E=j6:kf7?6=3`o?6=44o37a>5<4:J0a3=nm:0;66gj4;29?j42j3:17plia;297?6=8r.8ni4=5d9K042<@:o=7dk<:188m`2=831d>8l50;9~fcd=83>1<7>t$2`g>73a3A>:86F5;hg6>5<0D>k9;hg0>5<1<75`24`94?=zj=>:6=4=:183!5el3om7E:>4:J0a3=nm;0;66a=5c83>>{e<=81<7<50;2x 6dc2ll0D9?;;I1f2>ob:3:17b<:b;29?xd3<:0;6?4?:1y'7gb=mo1C8<:4H2g5?lc52900c?;m:188yg23<3:1>7>50z&0fa8l50;9~f12229096=4?{%1a`?ca3A>:86Ft$2`g>73b3A>:86F5;n06f?6=3th?8:4?:283>5}#;kn1?<5G4068L6c13`o86=44id694?=h:5<3290;w)=md;11?M26<2B8i;5fe283>>ob<3:17dk::188k73e2900qo:;0;297?6=8r.8ni4<1:J751=O;l<0eh=50;9ja1<722e99o4?::a0=4=8391<7>t$2`g>67<@=;?7E=j6:kf7?6=3`o?6=44o37a>5<3?7>53;294~"4jm099h5G4068L6c13`o86=44id694?=h:5<4290;w)=md;12?M26<2B8i;5fe283>>ob<3:17b<:b;29?xdd=3:1>7>50z&0fa8l50;9~ff>=8391<7>t$2`g>67<@=;?7E=j6:kf7?6=3`o?6=44o37a>5<4:J0a3=nm;0;66a=5c83>>{ekh0;6?4?:1y'7gb=mo1C8<:4H2g5?lc52900c?;m:188ygee29096=4?{%1a`?ca3A>:86F0D>k9;hg1>5<5<4290;w)=md;12?M26<2B8i;5fe283>>ob<3:17b<:b;29?xddm3:1?7>50z&0fa<492B?=95G3d48m`5=831bi94?::m11g<722wiok4?:283>5}#;kn1>8k4H537?M5b>2cn?7>5;hg7>5<5<3290;w)=md;11?M26<2B8i;5fe283>>ob<3:17dk::188k73e2900qom8:180>5<7s-9ih7<:e:J751=O;l<0eh=50;9ja1<722e99o4?::aeg<72;0;6=u+3cf9ac=O<8>0D>k9;hg1>5<5<3290;w)=md;06b>N39=1C?h84id194?=nm=0;66gj5;29?j42j3:17plnd;296?6=8r.8ni4jf:J751=O;l<0eh<50;9l60d=831vnlk50;194?6|,:ho6>?4H537?M5b>2cn?7>5;hg7>5<5<4290;w)=md;12?M26<2B8i;5fe283>>ob<3:17b<:b;29?xde83:1?7>50z&0fa<5=l1C8<:4H2g5?lc42900eh:50;9l60d=831v?>50;1xZ76<5;:1>;94=3a9a6=z{;91<71>8l4=379a6=z{;<1<75rs3594?4|5;=1>8l4=3`9a1=z{;21<78l4=3a9a0=z{;k1<78l4=3f9a6=z{;i1<78l4=379a1=z{?h1<79t^7`8940f2l>01<7?:d0894>?2l>01?85e29>b`6784<>;6jh0<463>5984<>;?83=37078:6:894112>201<98:6:8941d2>201<6;:6:894>22>201<69:6:894>c2>2019=k:d08yv1b290?wS9j;<6gg?1?342;64:4=`;9a7=z{1:1<7lt=929631<58<36h<4=0:e>`2<582j6h<4=0:2>`5<58=j6h<4=8`9a7=:<081i?524bg9a7=:n>0n?63;3g8f0>{t1>0;6>u2968122=:1j0n?63;828f7>{t110;6?u2968:0>;>m38>n6s|9883>7}:10099o529b8f0>{t1h0;6?u29`811g=:1m0n?6s|9c83>7}:1k099o529e8f0>{t1j0;6?u29b811g=:1l0n?6s|9e83>7}:1m099o529d8f0>{t1o0;69uQ9g9>`1<><27h97k=;`470034ho64;4=b39a1=:1i?5210`9a7=:k10n?63l9;g1?8gc2l80q~o7:18:8g02>201>k6:6:8947>2>201<>::6:89a2=?116n4488:?a1?1?34h:6:64=`;960d<2<5k:1>8l4}rca>5<5s4ki6?;m;`273e34km6h=4}rcg>5<5s4ko6?;m;`273e34h;6h=4}rce>5<5s4km6?;m;<`3>`270034ho6484=b39a6=:;fk3o87p}m2;296~;e933?70l;:37a?xue;3:1>v3m3;06f>;e<3o?7p}n5;29=~;e=38=;63me;;5?8e42l9019kl:d4891c42l>019k::d08947b2l801nl5e39>eff0<><27i47<:b:pf2<72;q6n:4=5c9>f=f<<5>>16nk466:?7a``4<5hi1i85rscc94?4|5k315952bb811g=z{kh1<77}:jo02963l3;06f>{tk=0;69u2bc8f6>;e?3o970l<:d089f1=:0q~m7:1818e?2;?i70mi:d18yve>2909w0m6:37a?8eb2l90q~mn:1818ef2;?i70mj:d68yvee2909w0mm:37a?8e12l90q~ml:1818ed2;?i70m9:d78yvec2909w0mk:37a?8e02l90q~mj:1818eb2;?i70mi:d68yvea2909w0mi:37a?8e12l>0q~j?:1818dc2:=370l;:d18yvb62909w0lj:25;?8d?2l90q~j=:1818da2:=370ll:d18yvb32908w0j;:344?8ec2l901lk5e29~wc7=83?pRk?4=g39631<5=oh6h:4=5g0>`3<5o<1i95rsg094?4|5o;15952fc811g=z{o91<71>8l4=g59a1=z{o?1<75rsg494?4|5o<1>8l4=g:9a1=z{o=1<78l4=g`9a0=z{o31<78l4=g`9a6=z{oi1<71i>5rsgf94?4|5on1>8l4=g69a1=z{oo1<75rsgd94?4|5ol1>8l4=g79a1=z{8:;6=4={<334?42j27m:7k<;|q244<72;q6==?524`89c0=m<1v<>=:181877:38>n63i6;g5?xu68:0;6?u2111960d<5o<1i:5rs026>5<2sW;;963>048122=:9>o1i?5216;9a6=:nj0n>6s|11494?4|58:>64:4=024>73e3ty:=44?:4y]54?<58;26?88;<34b?c534;<57k;;`452z?25<<><27:>?4=5c9~w47e2909w0?>b;06f>;6:80n?6s|10a94?4|58;h6?;m;<315?c23ty:=i4?:3y>54b=::d48yv76m3:1>v3>1d811g=:9;;1i:5rs03e>5<5s4;:j7<:b:?26750;0x94472;?i70?=2;g6?xu6:80;6?u2133960d<58896h:4}r30=?6=:rT:?4524859a6=z{8>?6=4={_370>;6=10286s|14:94?2|58?36?88;<36f?c534>2=7k<;<6``?c43ty:944?:9y>50e=:a2l901<66:d08971=m;16jk4j2:?77c5c83>7}:9

    8l4=07`>`253z?223<5>>16=:;5e29>0=4=m=1v<88:181871i38>n63>6b8f6>{t9?21<773e34;=m7k<;|q22<<72;q6=;7524`8940f2l?0q~?9b;296~;6>?02863>6e811g=z{86g83>7}:9?l1>8l4=056>`352z?235<5=k16=:;5e79~w4162909w0?81;06f>;6?<0n46s|16094?4|58=96?;m;<341?c03ty:;>4?:3y>525=:v3>75811g=:9?n1i>5rs056>5<5s4;<97<:b:?22a768122=:9>;1i?52298f6>;68;0n>6s|16:94?4|58=<64:4=05a>73e3ty:;44?:3y>52?=:v3>7`811g=:9>h1i85rs05`>5o=7k<;<346?c5348?6h=4=022>`4<5o31i>524579a7=z{8=o6=4={<34g??334;3?7<:b:p52c=838p1<9j:37a?87?83o87p}>7g83>7}:9>l1>8l4=0:3>`252z?2<5<5=k16=5?5e59~w4>62909w0?71;06f>;60;0n86s|19094?4|58296?;m;<3;7?c33ty:494?:`y>5=2=:?=0199;:d6894>42l901475e49>0=`=m:168nl5e29>526=m;16>94j4:?ee?c434>?87k=;|q2<0<720q6=5:5959>5=3=:?=01475e59>0=`=m=168nl5e59>53`=m;16>94j6:?e7?c434>?>7k=;|q2<3<720q6=5;5959>5=0=:?=01475e29>0<5=m:168nh5e29>53c=m;16>94j5:?e7?c334>??7k=;|q2<2<72;q6=585959>5=e=:8c83>7}:91h1>8l4=0:`>`25dz?2>16=4<5e29>5=>=m:165l4j3:?7=493o87p}>9183>7}:90:1>8l4=0;2>`252z?2=4<5=k16=4<5e59~w4?52909w0?62;06f>;61:0n86s|1`:94?4|V8k370:k3;g0?xu6i00;6?uQ1`;894df20>0q~?ma;297~;6jh09::5214c9a7=:1h0n86s|24:94?4|5=;>6h>4=5f`>=b53z?0a<<5>>168hm5e29>0`5=m?1v>kn:18185b133?70=jb;06f>{t<:h1<7:t^51a?824j38=;63>048;`>;69003h6s|42a94?3|5=9i6:64=5a7>2><5=236:64=552>2><5=9o6?;m;|q77`<72;q68>l5959>016=::6=4={<675?42j27?8;4j4:p014=838p19:=:37a?82303o87p};4283>7}:<=91>8l4=564>`5?87>52z?701<5=k168995e59~w1222909w0:;5;06f>;3<90n86s|45494?4|5=>=6?;m;<67011=:v3;49811g=:<=:1i>5rs56`>5<5sW>?o63>068f6>{t7}Y<>;0199>:344?xu3?:0;6?u24639=1=:<>>1>8l4}r64a?6=:rT?;h5246d960d3=7>52z?73c52909w0:72;06f>;30:0n86s|49194?4|5=286?;m;<6;0?c33ty?454?:2y]0=><5=236?88;<6:4?c53ty?4h4?:3y>0v3;8g811g=:<0<1i95rs5;3>5<5s4>2<7<:b:?7=64=5c9>0<3=m=1v97;:18182><38>n63;978f1>{t<0?1<773e34>2:7k<;|q7=3<72;q6848524`891?02l>0q~:68;296~;30102863;98811g=z{=h26=4={_6a=>;6:90n>6s|4b694?5|V=i?70:l4;053>;3kj0n>6s|4bc94?4|5=n>6h<4=5f0>73e3ty?oo4?:3y>0fd=:v3;cb811g=:5<5s4>hh7<:b:?7`50a4=m<1v9j>:18182c938>n63;d38f7>{t73e34>o?7k;;|q7`1<72;q68n:5959>0a3=:e34>nm7<:b:p0`5=838p19k<:37a?82b13o87p};e583>7}:1>8l4=5g;>`5n97>52z?7a0<5=k168h65e59~w1c12909w0:j6;06f>;3mh0n?6s|4d594?4|5=o<6?;m;<6fe?c23ty?i54?:3y>0`>=:v3;e8811g=:5<5s4>n=7k=;<6e4?42j2wx8hm50;0x91cd2;?i70:i0;g0?xu3mm0;6?u24df960d<5=om6h=4}r6fa?6=:r7?ih4=5c9>0``=m=1v9ki:18182bn38>n63;f18f0>{t=831d?;:50;9j36<722e?954?::k:b?6=3`9257>5;h6;1?6=3f>ih7>5;n4a>5<5<5<6290;w)=md;g2?M26<2B8i;5`e183>>{e<9>1<7=50;2x 6dc2mh0D9?;;I1f2>"bm3:0e:650;9j=1<722e9::4?::a057=8391<7>t$2`g>ad<@=;?7E=j6:&fa?621<75f9583>>i5>>0;66sm3gg94?5=83:p(>lk:e`8L1733A9n:6*je;28m2>=831b594?::m122<722wi?ko50;194?6|,:ho6il4H537?M5b>2.ni7>4i6:94?=n1=0;66a=6683>>{e9o91<7;50;2x 6dc2mn0D9?;;I1f2>o?j3:17d6k:188m2>=831b594?::m122<722wi=hj50;794?6|,:ho6ij4H537?M5b>2c3n7>5;h:g>5<21<75f9583>>i5>>0;66sm22194?3=83:p(>lk:ef8L1733A9n:6g7b;29?l>c2900e:650;9j=1<722e9::4?::a67`=83?1<7>t$2`g>ab<@=;?7E=j6:k;f?6=3`2o6=44i6:94?=n1=0;66a=6683>>{e:;h1<7;50;2x 6dc2mn0D9?;;I1f2>o?j3:17d6k:188m2>=831b594?::m122<722wi>?950;794?6|,:ho6ij4H537?M5b>2c3n7>5;h:g>5<21<75f9583>>i5>>0;66sm23194?3=83:p(>lk:ef8L1733A9n:6g7b;29?l>c2900e:650;9j=1<722e9::4?::a64`=83?1<7>t$2`g>ab<@=;?7E=j6:k;f?6=3`2o6=44i6:94?=n1=0;66a=6683>>{e:8h1<7;50;2x 6dc2mn0D9?;;I1f2>o?j3:17d6k:188m2>=831b594?::m122<722wi><950;794?6|,:ho6ij4H537?M5b>2c3n7>5;h:g>5<21<75f9583>>i5>>0;66sm3e494?3=83:p(>lk:ef8L1733A9n:6*je;28m=d=831b4i4?::k45<7>55;294~"4jm0oh6F;159K7`0<,lo1<6g7b;29?l>c2900e:650;9j=1<722e9::4?::a7fc=83?1<7>t$2`g>ab<@=;?7E=j6:&fa?6>o003:17d7;:188k7002900qo=l9;291?6=8r.8ni4kd:J751=O;l<0(hk50:k;f?6=3`2o6=44i6:94?=n1=0;66a=6683>>{el10;6>4?:1y'7gb=lk1C8<:4H2g5?l1?2900e4:50;9l631=831vni950;194?6|,:ho6il4H537?M5b>2c<47>5;h;7>5<N39=1C?h84i6:94?=n1=0;66a=6683>>{eo003:17d7;:188k7002900qo8m:180>5<7s-9ih7jm;I620>N4m?1b;54?::k:0?6=3f8=;7>5;|`12=<72:0;6=u+3cf9`g=O<8>0D>k9;h5;>5<1<75`27594?=zj8ni6=4<:183!5el3ni7E:>4:J0a3=n?10;66g64;29?j41?3:17pl;8683>6<729q/?oj5dc9K042<@:o=7d97:188m<2=831d>;950;9~f4c4290>6=4?{%1a`?bc3A>:86F5;h5;>5<1<75`27594?=zj8oh6=4::183!5el3no7E:>4:J0a3=n0k0;66g7d;29?l1?2900e4:50;9l631=831vn>m=:186>5<7s-9ih7jk;I620>N4m?1b4o4?::k;`?6=3`=36=44i8694?=h:?=1<75rb247>5<2290;w)=md;fg?M26<2B8i;5f8c83>>o?l3:17d97:188m<2=831d>;950;9~f617290>6=4?{%1a`?bc3A>:86F5;h5;>5<1<75`27594?=zj8ho6=4<:183!5el3ni7E:>4:J0a3=#ml0;7d97:188m<2=831d>;950;9~f4e629086=4?{%1a`?be3A>:86F5<42808wE=j6:&0fa<6kl1b584?::k:2?6=3f9<47>5;c62e?6=;3:10D>k9;hg0>5<1<75`24`94?=z{0?1<70q~79:181[?134>:m7k<;|q03=<72;qU?:64=53b>73e3twi?il50;195?5|@:o=7)=md;3`a>o>=3:17d79:188k61?2900n9?n:180>5<7s-9ih7:>3:J751=O;l<0eh=50;9ja1<722e99o4?::p=0<72;qU585240c9a1=z{0<1<74>:2yK7`0<,:ho65<4:J0a3=nm:0;66gj4;29?j42j3:17p}65;296~X>=27?=l4j4:p=3<72;qU5;5240c9a6=z{:=36=4={_14<>;39h099o5r}c63=?6=;3;1?vF5<6=4={_;6?826i3o?7p}66;296~X>>27?=l4j3:p72>=838pR>97;<62e?42j2wvn9>7:180>4<4sA9n:6*a;297?6=8r.8ni4;129K042<@:o=7dk<:188m`2=831d>8l50;9~w<3=838pR4;4=53b>`27}Y;>2019?n:37a?x{e<9=1<7=51;1xL6c13-9ih7?le:k:1?6=3`3=6=44o25;>5<:?6F;159K7`0>i5=k0;66s|9483>7}Y1<168`552z\03==:<8k1>8l4}|`17f<72:0:6>uG3d48 6dc28in7d7::188m<0=831d?:650;9a04g=8391<7>t$2`g>1743A>:86F5;n06f?6=3ty297>52z\:1>;39h0n86s|9783>7}Y1?168>i4?10;66l;1`83>6<729q/?oj54018L1733A9n:6gj3;29?lc32900c?;m:188yv?22909wS7:;<62e?c33ty2:7>52z\:2>;39h0n?6s|36:94?4|V:=370:>a;06f>{zj;9n6=4<:080M5b>2.8ni4>cd9j=0<722c2:7>5;n14:m7>53;294~"4jm0?=>5G4068L6c13`o86=44id694?=h:<5=;j6?;m;|a66`=8391=7=tH2g5?!5el3;hi6g65;29?l?12900c>97:188f17f29086=4?{%1a`?26;2B?=95G3d48m`5=831bi94?::m11g<722wx584?:3y]=0=:<8k1i95rs8494?4|V0<019?n:d18yv5003:1>vP<799>04g=:6<62:qC?h84$2`g>4eb3`3>6=44i8494?=h;>21<75m40c94?5=83:p(>lk:530?M26<2B8i;5fe283>>ob<3:17b<:b;29?xu>=3:1>vP65:?75d5rs25;>5<5sW9<463;1`811g=zuk8?=7>53;397~N4m?1/?oj51bg8m<3=831b5;4?::m03=<722h?=l4?:283>5}#;kn18<=4H537?M5b>2cn?7>5;hg7>5<5<5sW3>70:>a;g7?xu>>3:1>vP66:?75d:m7<:b:~f72529086<4<{I1f2>"4jm0:oh5f9483>>o>>3:17b=88;29?g26i3:1?7>50z&0fa<39:1C8<:4H2g5?lc42900eh:50;9l60d=831v4;50;0xZ<3<5=;j6h:4}r;5>5<5sW3=70:>a;g0?xu4?10;6?uQ36:8917f2;?i7psm25194?5=939pD>k9;%1a`?7dm2c297>5;h;5>5<5<4290;w)=md;627>N39=1C?h84id194?=nm=0;66a=5c83>>{t1<0;6?uQ949>04g=m=1v4850;0xZ<0<5=;j6h=4}r146}O;l<0(>lk:0af?l?22900e4850;9l72>=831i8N4m?1bi>4?::kf0?6=3f8>n7>5;|q:1?6=:rT2963;1`8f0>{t1?0;6?uQ979>04g=m:1v>97:181[50027?=l4=5c9~yg44j3:1?7?53zJ0a3=#;kn1=nk4i8794?=n1?0;66a<7983>>d39h0;6>4?:1y'7gb=<890D9?;;I1f2>ob;3:17dk;:188k73e2900q~7::181[?234>:m7k;;|q:2?6=:rT2:63;1`8f7>{t;>21<7n6srb31:>5<42808wE=j6:&0fa<6kl1b584?::k:2?6=3f9<47>5;c62e?6=;3:10D>k9;hg0>5<1<75`24`94?=z{0?1<70q~79:181[?134>:m7k<;|q03=<72;qU?:64=53b>73e3twim<4?:383>5}#;kn1ik5G4068L6c13`o96=44o37a>5<4:J0a3=nm:0;66gj4;29?j42j3:17pl6<729q/?oj5309K042<@:o=7dk<:188m`2=831d>8l50;9~f60a29096=4?{%1a`?ca3A>:86Ft$2`g>``<@=;?7E=j6:kf6?6=3f8>n7>5;|`15d<72:0;6=u+3cf974=O<8>0D>k9;hg0>5<1<75`24`94?=zj;;o6=4=:183!5el3om7E:>4:J0a3=nm;0;66a=5c83>>{e:8o1<7=50;2x 6dc2:;0D9?;;I1f2>ob;3:17dk;:188k73e2900qo<=1;296?6=8r.8ni4jf:J751=O;l<0eh<50;9l60d=831vn?<=:180>5<7s-9ih7=>;I620>N4m?1bi>4?::kf0?6=3f8>n7>5;|`160<72;0;6=u+3cf9ac=O<8>0D>k9;hg1>5<N39=1C?h84id194?=nm=0;66a=5c83>>{e:;31<7<50;2x 6dc2ll0D9?;;I1f2>ob:3:17b<:b;29?xd5:h0;6>4?:1y'7gb=;81C8<:4H2g5?lc42900eh:50;9l60d=831vn?5<7s-9ih7ki;I620>N4m?1bi?4?::m11g<722wi>?k50;194?6|,:ho6>?4H537?M5b>2cn?7>5;hg7>5<N39=1C?h84id094?=h:5<4290;w)=md;12?M26<2B8i;5fe283>>ob<3:17b<:b;29?xd5;<0;6?4?:1y'7gb=mo1C8<:4H2g5?lc52900c?;m:188yg44>3:1?7>50z&0fa<492B?=95G3d48m`5=831bi94?::m11g<722wi=k<50;194?6|,:ho6?;j;I620>N4m?1bi>4?::kf0?6=3f8>n7>5;|`2ac<72;0;6=u+3cf9ac=O<8>0D>k9;hg1>5<N39=1C?h84id194?=nm=0;66a=5c83>>{e9o?1<7<50;2x 6dc2ll0D9?;;I1f2>ob:3:17b<:b;29?xd6n?0;6>4?:1y'7gb=;81C8<:4H2g5?lc42900eh:50;9l60d=831vn?;8:180>5<7s-9ih7=>;I620>N4m?1bi>4?::kf0?6=3f8>n7>5;|`110<72:0;6=u+3cf974=O<8>0D>k9;hg0>5<1<75`24`94?=zj;?86=4<:183!5el39:7E:>4:J0a3=nm:0;66gj4;29?j42j3:17pl=5083>6<729q/?oj5309K042<@:o=7dk<:188m`2=831d>8l50;9~f72a29086=4?{%1a`?563A>:86F5;n06f?6=3th98i4?:283>5}#;kn1?<5G4068L6c13`o86=44id694?=h:5<4290;w)=md;12?M26<2B8i;5fe283>>ob<3:17b<:b;29?xd5<00;6>4?:1y'7gb=;81C8<:4H2g5?lc42900eh:50;9l60d=831vn?:8:180>5<7s-9ih7=>;I620>N4m?1bi>4?::kf0?6=3f8>n7>5;|`100<72:0;6=u+3cf974=O<8>0D>k9;hg0>5<1<75`24`94?=zj=:=6=4<:183!5el39:7E:>4:J0a3=nm:0;66gj4;29?j42j3:17pl;0283>6<729q/?oj5309K042<@:o=7dk<:188m`2=831d>8l50;9~f16729086=4?{%1a`?563A>:86F5;n06f?6=3th8jn4?:383>5}#;kn1ik5G4068L6c13`o96=44o37a>5<53;294~"4jm08=6F;159K7`0>i5=k0;66sm41`94?5=83:p(>lk:238L1733A9n:6gj3;29?lc32900c?;m:188yg27l3:1?7>50z&0fa<492B?=95G3d48m`5=831bi94?::m11g<722wi?i650;094?6|,:ho6hh4H537?M5b>2cn>7>5;n06f?6=3th8h44?:283>5}#;kn1?<5G4068L6c13`o86=44id694?=h:5<5290;w)=md;ge?M26<2B8i;5fe383>>i5=k0;66sm3e794?5=83:p(>lk:238L1733A9n:6gj3;29?lc32900c?;m:188yg5c83:1>7>50z&0fa8l50;9~f6b629086=4?{%1a`?563A>:86F5;n06f?6=3th8oo4?:383>5}#;kn1ik5G4068L6c13`o96=44o37a>5<52;294~"4jm0nj6F;159K7`04:J0a3=nm:0;66gj4;29?j42j3:17pl6<729q/?oj5309K042<@:o=7dk<:188m`2=831d>8l50;9~f6c729086=4?{%1a`?563A>:86F5;n06f?6=3th:o44?:383>5}#;kn1ik5G4068L6c13`o96=44o37a>5<53;294~"4jm08=6F;159K7`0>i5=k0;66sm1ca94?2=83:p(>lk:208L1733A9n:6gj3;29?lc32900eh;50;9l60d=831vn>m;:180>5<7s-9ih7=>;I620>N4m?1bi>4?::kf0?6=3f8>n7>5;|`0g0<72;0;6=u+3cf9ac=O<8>0D>k9;hg1>5<N39=1C?h84id094?=h:5<4290;w)=md;12?M26<2B8i;5fe283>>ob<3:17b<:b;29?xd4k10;6>4?:1y'7gb=;81C8<:4H2g5?lc42900eh:50;9l60d=831vn5<7s-9ih7=9;I620>N4m?1bi>4?::kf0?6=3`o>6=44id494?=nm>0;66gj8;29?lc>2900eho50;9l60d=831vn5<7s-9ih7=>;I620>N4m?1bi>4?::kf0?6=3f8>n7>5;|`2`c<72;0;6=u+3cf9ac=O<8>0D>k9;hg1>5<N39=1C?h84id194?=nm=0;66a=5c83>>{e9l;1<7=50;2x 6dc2:;0D9?;;I1f2>ob;3:17dk;:188k73e2900qo?j2;297?6=8r.8ni4<1:J751=O;l<0eh=50;9ja1<722e99o4?::a5c>=8381<7>t$2`g>``<@=;?7E=j6:kf6?6=3f8>n7>5;|`2bc<72;0;6=u+3cf9ac=O<8>0D>k9;hg1>5<N39=1C?h84id094?=h:5<5290;w)=md;ge?M26<2B8i;5fe383>>i5=k0;66sm21094?4=83:p(>lk:dd8L1733A9n:6gj2;29?j42j3:17pl=0283>7<729q/?oj5eg9K042<@:o=7dk=:188k73e2900qo::181>5<7s-9ih7ki;I620>N4m?1bi?4?::m11g<722wi>=850;094?6|,:ho6hh4H537?M5b>2cn>7>5;n06f?6=3th:j44?:383>5}#;kn1ik5G4068L6c13`o96=44o37a>5<52;294~"4jm0nj6F;159K7`04:J0a3=nm;0;66a=5c83>>{e9oi1<7750;2x 6dc2:<0D9?;;I1f2>ob;3:17dk;:188m`3=831bi;4?::kf3?6=3`o36=44id;94?=nmh0;66a=5c83>>{e9on1<7;50;2x 6dc2:90D9?;;I1f2>ob;3:17dk;:188m`3=831bi;4?::m11g<722wi=kk50;194?6|,:ho6>?4H537?M5b>2cn?7>5;hg7>5<N39=1C?h84id194?=nm=0;66a=5c83>>{e;?k1<7<50;2x 6dc2ll0D9?;;I1f2>ob:3:17b<:b;29?xd4>k0;6?4?:1y'7gb=mo1C8<:4H2g5?lc52900c?;m:188yg51k3:1?7>50z&0fa<492B?=95G3d48m`5=831bi94?::m11g<722wi?;j50;194?6|,:ho6>?4H537?M5b>2cn?7>5;hg7>5<N39=1C?h84id094?=h:5<5290;w)=md;ge?M26<2B8i;5fe383>>i5=k0;66sm1b794?5=83:p(>lk:238L1733A9n:6gj3;29?lc32900c?;m:188yg7d>3:1?7>50z&0fa<492B?=95G3d48m`5=831bi94?::m11g<722wi=n950;194?6|,:ho6?;j;I620>N4m?1bi>4?::kf0?6=3f8>n7>5;|`2fc<72=0;6=u+3cf977=O<8>0D>k9;hg0>5<1<75fe483>>i5=k0;66sm1b294?5=83:p(>lk:37f?M26<2B8i;5fe283>>ob<3:17b<:b;29?xd4?<0;6?4?:1y'7gb=mo1C8<:4H2g5?lc52900c?;m:188yg50>3:1>7>50z&0fa8l50;9~f610290?6=4?{%1a`?553A>:86F5;hg6>5<N39=1C?h84id094?=h:5<5290;w)=md;ge?M26<2B8i;5fe383>>i5=k0;66sm21c94?4=83:p(>lk:dd8L1733A9n:6gj2;29?j42j3:17pl=0c83>7<729q/?oj5eg9K042<@:o=7dk=:188k73e2900qo8l50;9~f76c29086=4?{%1a`?563A>:86F5;n06f?6=3th95}#;kn1>8k4H537?M5b>2cn?7>5;hg7>5<N39=1C?h84id194?=nm=0;66a=5c83>>{e9l?1<7<50;2x 6dc2ll0D9?;;I1f2>ob:3:17b<:b;29?xd6m?0;6?4?:1y'7gb=mo1C8<:4H2g5?lc52900c?;m:188yg7b?3:1>7>50z&0fa8l50;9~f4c?290?6=4?{%1a`?553A>:86F5;hg6>5<0D>k9;hg0>5<1<75`24`94?=zj8oj6=4<:183!5el39:7E:>4:J0a3=nm:0;66gj4;29?j42j3:17pl>ec83>6<729q/?oj524g8L1733A9n:6gj3;29?lc32900c?;m:188yg0c29096=4?{%1a`?ca3A>:86F0D>k9;hg1>5<5<4290;w)=md;12?M26<2B8i;5fe283>>ob<3:17b<:b;29?xd083:1?7>50z&0fa<492B?=95G3d48m`5=831bi94?::m11g<722wi;<4?:283>5}#;kn1>8k4H537?M5b>2cn?7>5;hg7>5<5<4290;w)=md;12?M26<2B8i;5fe283>>ob<3:17b<:b;29?xd3=k0;6>4?:1y'7gb=;81C8<:4H2g5?lc42900eh:50;9l60d=831vn9;l:181>5<7s-9ih7ki;I620>N4m?1bi?4?::m11g<722wi88j50;094?6|,:ho6hh4H537?M5b>2cn>7>5;n06f?6=3th?9h4?:283>5}#;kn1?<5G4068L6c13`o86=44id694?=h:5<4290;w)=md;06a>N39=1C?h84id194?=nm=0;66a=5c83>>{eob;3:17dk;:188k73e2900qo:91;297?6=8r.8ni4=5d9K042<@:o=7dk<:188m`2=831d>8l50;9~f70f290>6=4?{%1a`?543A>:86F5;hg6>5<4:J0a3=nm;0;66a=5c83>>{e:>:1<7<50;2x 6dc2ll0D9?;;I1f2>ob:3:17b<:b;29?xd5?80;6?4?:1y'7gb=mo1C8<:4H2g5?lc52900c?;m:188yg40:3:1>7>50z&0fa8l50;9~f71429096=4?{%1a`?ca3A>:86Ft$2`g>73b3A>:86F5;n06f?6=3th9;84?:283>5}#;kn1?<5G4068L6c13`o86=44id694?=h:5<4290;w)=md;12?M26<2B8i;5fe283>>ob<3:17b<:b;29?xd5>k0;6>4?:1y'7gb=:ob;3:17dk;:188k73e2900qo<9c;297?6=8r.8ni4=5d9K042<@:o=7dk<:188m`2=831d>8l50;9~f70c29086=4?{%1a`?563A>:86F5;n06f?6=3th9:h4?:283>5}#;kn1>8k4H537?M5b>2cn?7>5;hg7>5<N39=1C?h84id194?=nm=0;66a=5c83>>{e:881<7=50;2x 6dc2:;0D9?;;I1f2>ob;3:17dk;:188k73e2900qo<>3;296?6=8r.8ni4jf:J751=O;l<0eh<50;9l60d=831vn??;:180>5<7s-9ih7<:e:J751=O;l<0eh=50;9ja1<722e99o4?::a643=83>1<7>t$2`g>64<@=;?7E=j6:kf7?6=3`o?6=44id794?=h:5<4290;w)=md;06a>N39=1C?h84id194?=nm=0;66a=5c83>>{t>k0;6>uQ6c9>2g<5>>16:k4j3:p2f<72;q6:o464:?46?42j2wx:i4?:3y>2a<5=k16:k4j4:p2`<72;q6:h4=5c9>352c<5=k16;<4j3:p35<72;q6;=4=5c9>3434<5=k16;?4j4:p36<720qU;>524149a6=:<991i>524129a6=:;on1i>5221:9a7=:9l21i>5227f9a6=::881i>5rs9a94?45sW2h70:?4;5;?82793=370=ie;5;?85ai3=370?i3;5;?87bl3=370<<3;5;?845n3=370<=b;5;?845?3=370<=3;5;?846n3=370<>b;5;?846?3=370=k6;5;?85c:3=370=le;5;?85d13=370j7:6:89a1=?1168865799>0gb=?116:o488:?12=<0027:ho488:?7<2<0027:i>488:?2af<00278o?488:?021<00278;=488:?2fa<0027:o<488:p=c<72;qU5k52d68:0>{ti90;6ou23b0914o523629522039a6=z{h;1<76s|d783>7}:l109::52a38f7>{t9kh1<7:t=0``>73e34;h87k=;<3`1?c434;ij7k<;|q2fa<721q6=oj5275894ef2l90100d=m:1688m5e39>643=m=1v{t9kl1<773e34;h<7k;;|q2g4<721q6=n?5275894e>2l80135016h:4}r3`0?6=:r7:o94=5c9>5f0=m=1vn63>c68f7>{t9j<1<773e34;h;7k;;|q2g=<72;q6=n7524`894ef2l>0q~?lb;2955}:8l4=32a>`4<58o>6h<4=0g;>`252z?2`g<5>>16=om5e49~w4bd2909w0?kb;;7?87b:38>n6s|1ef94?4|58no6?;m;<3f6?c43ty:hh4?:3y>5ac=::d18yv7cn3:1>v3>dg811g=:9l:1i95rs0g3>5<5s4;n<7<:b:?2a4`2<58nm6h<4=0de>`4<58o<6h<4=351>`452z?2a6<><27:io4=5c9~w4c22909w0?j5;06f>;6m00n?6s|1d494?4|58o=6?;m;<3f=?c33ty:i:4?:3y>5`1=:v3>e9811g=:9lh1i95rs0g:>5<5s4;n57<:b:?2ad`5<58no6h=4=0d;>`4<5;=86h<4}r3g4?6==r7:ii4=669>66g=1?16>895e29>5ac=m:16=k75e39~w4cb2909w0?jd;;7?87a838>n6s|1dd94?4|58om6?;m;<3e4?c33ty:j<4?:cy>5`b=0k16>>=58c9>67`=0k16>?l58c9>671=0k16>?=58c9>64`=0k16>641=0k16=k=58c9>5c4=:521g`9a7=z{8l?6=4={<3e7??334;m:7<:b:p5c3=838p13o?7p}>f683>1}:9oo1>8l4=32b>`4<58o=6h<4=337>`552z?2b=<5=k16=km5e29~w4`>2909w0?i9;06f>;6nm0n86s|1gc94?4|58lj6?;m;<3e`?c23ty:jo4?:3y>5cd=:v3>fb811g=:9oo1i>5rs0dg>5<5s4;mh7<:b:?2b`5ce=m?1v?>=:181847:38>n63>fb8f3>{t:991<773e34;mo7k7;|q141<72;q6>=:524`894`d2l30q~7}::921>8l4=32`>`552z?14<<5=k16>=m5e59~w76f2909w0;58m0n86s|21`94?4|5;:i6?;m;<03a?c33ty965e=:k:d18yv47l3:1>v3=0e811g=::9o1i>5rs32f>5<5s48;i7<:b:?14c<>50;1x94c421h017}::8;1>8l4=335>`57>52z?157<5=k16><:5e59~w7742909w0<>3;06f>;59<0n96s|20694?4|5;;?6?;m;<021?c43ty9=84?:3y>643=:d583>0}::8h1>;94=31g><0<5;>26h=4=0fg>`0<5;:96h<4}r02g?6=:r79=o464:?15`<5=k1v??k:181846l38>n63=1d8f0>{t9m?1<7;t=33e>7003488i779;<07f?c434;oh7k:;<035?c53ty9>=4?:3y>64`=1=16>?<524`8yv4593:1>v3=20811g=::;81i95rs0f5>5<2s489?7<97:?17c<>>2798i4j3:?2`a4j2:p672=838p1?<<:86897412;?i7p}=2483>7}::;?1>8l4=305>`255z?162<5>>16>9>5979>61`=m:16=ij5e89>653=m;1v?<7:181845?33?70<=a;06f>{t:;31<773e3489m7k;;|q2`=<72?l52758972620<01?;>:d1894bc2l201?>;:d08yv45k3:1>v3=2c8:0>;5:l099o5rs30g>5<5s489h7<:b:?16`3o97p}=3183>7}::;l15952220960d52z?174<5=k16>><5e59~w4bf290>w0<<3;053>;5<:02:63=548f7>;6ll0n863>f`8f6>{t::>1<7<2<5;9=6?;m;|q170<72;q6>>;524`897512l>0q~<;4;297~;5;j02963=468f0>;5<<099o5rs365>5<4s488h77:;<07=?c3348?;7<:b:p61>=839p1?=j:878972e2l>01?:6:37a?xu5u222d9=0=::=n1i95225`960d53z?105<>=2798k4j4:?10a<5=k1v?:j:180843933>70<:1;g7?843n38>n6s|24294?5|5;>964;4=370>`2<5;?:6?;m;|q117<72:q6>9=5949>603=m=16>8=524`8yv42<3:1?v3=3`8:1>;5=>0n863=54811g=z{;?=6=4={<00f??2348>;7<:b:p60>=83;?w0:>5;g3?87a;32o70?jd;:g?844;32o70<=f;:g?845j32o70<=7;:g?845;32o70<>f;:g?846j32o70<>7;:g?85c>32o70=k2;:g?85dm32o70=l9;:g?87b;32o70?jc;:g?85d:32o70=94;:g?850832o7p}=6983>6}Y:?201?87:344?840<3o87p}=6883>7}::?21595227g960d52z?12d<5=k16>::5e59~w70e2909w0<9b;06f>;5>j0n86s|27a94?4|5;63b=:v3=6g811g=::>?1i>5rs353>5<5s48<<7<:b:?130:?50;0x97162;?i70<9b;g7?xu5?;0;6?u2260960d<5;==6h=4}r047?6=:r79;>4=5c9>620=m=1v?9;:181840<38>n63=6b8f7>{t:>?1<773e348=n7k<;|q133<72;q6>:8524`8970b2l>0q~<79;296~X50016>;h5e39~w6032909wS=94:?021<5>>1v>87:181851n3o970=9d;06f>{t;?31<773e349=h7k<;|q02d<72;q6?;o524`8960d2l90q~=9b;296~;4>k099o5237a9a1=z{:8;:868960a2;?i7p}<7183>7}Y;>:01>9?:344?xu4?=0;6?u23629=1=:;>=1>8l4}r141?6=:r78;84=5c9>721=m:1v>99:181850>38>n63<768f1>{t;121<7:t^2:;?84713o970?j8;g6?846:3o?7p}<9883>7}Y;0301?9?:d08yv5d:3:18v3521ca9a1=::8;1i95rs2a0>5<5s49h>77;;<1`7f1=m=1v>m8:18185d?38>n63{t;ko1<78t=2a:>700349om77:;<1`g?c5349oi7k;;<1`0?c4349=m7k=;|q0gd<72;q6?n75959>7fb=:mj:344?85ci33=70=ke;g0?85d=3o970=99;g0?850>3o97p}7}:;jo159523e3960d52z?0`5<5=k16?i?5e59~w6e7290=w0=k2;053>;4lk02:63;4k?0n>63<6c8f6>;4?<0n>6s|3e194?4|5:n964:4=2f6>73e3ty8h94?:3y>7a2=:j::d68yv5d93:19v3=838p1>j7:37a?85c13o?7p}6}:;mh158523eg960d<5:o;6h:4}r1gb?6=:r78hn465:?0a5<5=k1v>k>:18185ci39<463{t;l81<761?349o97k<;|q0a6<72;q6?im536:896b>2l90q~=j4;292~;4m<099o521g09a6=:;m21i?523e69a7=:;m:1i?523b`9a7=z{:l=6=4:{<1ee?41?27?<:465:?0bf01>hk:37a?xu4nj0;6?u23ga960d<5:lo6h:4}r1e3?6=051=1?168=l5e29>63g=m=1v>hi:18185am33?70:?0;06f>{t;o21<7:t=522>70034>;4779;<63`?c4348=m7k:;|q747<72;q68=?5959>055=:5<5s4>;877;;<632?42j2wx8=o50;1x916?20?019>m:37a?827l3o?7p};0b83>7}:<931585241f960d;i7>52z?742<4?1168=>5e59~w16a2909w0:?8;14<>;38:0n86s|40294?4|5=:26>97;<632?c33ty?=n4?:3y>66?=;>201?>i:d18yv26l3:1>v3=3`803==:9o:1i>5rs53f>5<5s488n7=88:?2b3a;g0?xu3:90;6?u222f972><5;;n6h=4}r615?6=:r79?h4<799>674=m:1v9<=:181844n39<463=278f7>{t<;91<761?3489m7k<;|q761<72;q6>9?536:8974b2l90q~:=5;296~;5<;08;5522209a6=z{=8=6=4={<077?500279?;4j3:p00>=83?pR9;7;<66>j7k<;|q71d<72;q68865959>037=:7}:<8l4=57e>`2>j7>52z?71c<5=k168;>5e59~w1072909w0:90;06f>;3>80n86s|49794?4|V=2>70:77;;7?xu30>0;6>u24959631<58hm6h:4=57g>`4ih7>52z\7fa=:;94}r6ee?6=:rT?jl522639a7=zuk>8?7>52;294~"4jm0nj6F;159K7`0j6=4=:183!5el3om7E:>4:J0a3=nm;0;66a=5c83>>{e<;l1<7<50;2x 6dc2ll0D9?;;I1f2>ob:3:17b<:b;29?xd3;90;6?4?:1y'7gb=mo1C8<:4H2g5?lc52900c?;m:188yg2493:1?7>50z&0fa<492B?=95G3d48m`5=831bi94?::m11g<722wi8om50;094?6|,:ho6hh4H537?M5b>2cn>7>5;n06f?6=3th??:4?:383>5}#;kn1ii5G4068L6c13`o96=44o37a>5<h:7>52;294~"4jm0nh6F;159K7`04:J0a3=nm;0;66a=5c83>>{el:0;6?4?:1y'7gb=mm1C8<:4H2g5?lc52900c?;m:188ygbf29096=4?{%1a`?cc3A>:86Ft$2`g>`b<@=;?7E=j6:kf6?6=3f8>n7>5;|`7<3<72;0;6=u+3cf9aa=O<8>0D>k9;hg1>5<N39=1C?h84id094?=h:lk:df8L1733A9n:6gj2;29?j42j3:17pl8a;296?6=8r.8ni4jd:J751=O;l<0eh<50;9l60d=831vn96n:181>5<7s-9ih7kk;I620>N4m?1bi?4?::m11g<722wi8km50;094?6|,:ho6hj4H537?M5b>2cn>7>5;n06f?6=3th=>7>52;294~"4jm0nh6F;159K7`0ob:3:17b<:b;29?xd183:1>7>50z&0fa8l50;9~f0`=8381<7>t$2`g>`b<@=;?7E=j6:kf6?6=3f8>n7>5;|`6`?6=:3:1N39=1C?h84id094?=h:lk:df8L1733A9n:6gj2;29?j42j3:17pl:b;296?6=8r.8ni4jd:J751=O;l<0eh<50;9l60d=831vn8o50;094?6|,:ho6hj4H537?M5b>2cn>7>5;n06f?6=3th>57>52;294~"4jm0nh6F;159K7`0ob:3:17b<:b;29?xd2?3:1>7>50z&0fa8l50;9~f00=8381<7>t$2`g>`b<@=;?7E=j6:kf6?6=3f8>n7>5;|`61?6=:3:1N39=1C?h84id094?=h:lk:df8L1733A9n:6gj2;29?j42j3:17pl9a;296?6=8r.8ni4jd:J751=O;l<0eh<50;9l60d=831vn;750;094?6|,:ho6hj4H537?M5b>2cn>7>5;n06f?6=3th=47>52;294~"4jm0nh6F;159K7`0ob:3:17b<:b;29?xd1>3:1>7>50z&0fa8l50;9~f33=8381<7>t$2`g>`b<@=;?7E=j6:kf6?6=3f8>n7>5;|`50?6=:3:1N39=1C?h84id094?=h:lk:df8L1733A9n:6gj2;29?j42j3:17pl:e;296?6=8r.8ni4jd:J751=O;l<0eh<50;9l60d=831vn9ln:187>5<7s-9ih7??a:J751=O;l<0eh<50;9j=c<722e99o4?::me4?6=3th?:54?:383>5}#;kn1>8m4H537?M5b>2cn>7>5;n06f?6=3th?j>4?:383>5}#;kn1>8m4H537?M5b>2cn>7>5;n06f?6=3th?o>4?:383>5}#;kn1>8m4H537?M5b>2cn>7>5;n06f?6=3th52;294~"4jm099n5G4068L6c13`o96=44o37a>5<i47>52;294~"4jm099n5G4068L6c13`o96=44o37a>5<ji7>52;294~"4jm099n5G4068L6c13`o96=44o37a>5<=?7>52;294~"4jm099n5G4068L6c13`o96=44o37a>5<>57>52;294~"4jm099n5G4068L6c13`o96=44o37a>5<857>53;294~"4jm099i5G4068L6c13`o96=44i8d94?=h:5<5290;w)=md;06g>N39=1C?h84id094?=h:5<5290;w)=md;06g>N39=1C?h84id094?=h:5<4290;w)=md;06`>N39=1C?h84id094?=n1o0;66a=5c83>>{e4:J0a3=nm;0;66a=5c83>>{e<;n1<7<50;2x 6dc2;?h7E:>4:J0a3=nm;0;66a=5c83>>{e<:>1<7<50;2x 6dc2;?h7E:>4:J0a3=nm;0;66a=5c83>>{e4:J0a3=nm;0;66a=5c83>>{e<>81<7<50;2x 6dc2;?h7E:>4:J0a3=nm;0;66a=5c83>>{e<1i1<7=50;2x 6dc2;?o7E:>4:J0a3=nm;0;66g6f;29?j42j3:17pl;dd83>6<729q/?oj524f8L1733A9n:6gj2;29?l?a2900c?;m:188yg20?3:1>7>50z&0fa<5=j1C8<:4H2g5?lc52900c?;m:188yg2?83:1>7>50z&0fa<5=j1C8<:4H2g5?lc52900c?;m:188yg23m3:1>7>50z&0fa<5=j1C8<:4H2g5?lc52900c?;m:188yg4cj3:1>7>50z&0fa<5=j1C8<:4H2g5?lc52900c?;m:188yg4c=3:1>7>50z&0fa<5=j1C8<:4H2g5?lc52900c?;m:188yg57?3:1>7>50z&0fa<5=j1C8<:4H2g5?lc52900c?;m:188yg5793:1>7>50z&0fa<5=j1C8<:4H2g5?lc52900c?;m:188yg4aj3:1>7>50z&0fa<5=j1C8<:4H2g5?lc52900c?;m:188yg4a=3:1>7>50z&0fa<5=j1C8<:4H2g5?lc52900c?;m:188yg4bn3:1>7>50z&0fa<5=j1C8<:4H2g5?lc52900c?;m:188yg4b13:1>7>50z&0fa<5=j1C8<:4H2g5?lc52900c?;m:188yg4b03:1>7>50z&0fa<5=j1C8<:4H2g5?lc52900c?;m:188yg4b:3:1>7>50z&0fa<5=j1C8<:4H2g5?lc52900c?;m:188yg4ck3:1>7>50z&0fa<5=j1C8<:4H2g5?lc52900c?;m:188yg4dn3:1>7>50z&0fa<5=j1C8<:4H2g5?lc52900c?;m:188yv462909w0:=a;03?825n3o97p}=2;296~;3:k09<63;318f6>{t:l0;6?u243`96`=:<:91i?5rs2a94?4|V:i018:5e39~w6b=838pR>j4=479a7=z{:o1<7h50;0xZ6`<5<=1i?5rs5294?4|V=:01865e39~w17=838pR9?4=4;9a7=z{=81<7018m5e39~w13=838pR9;4=4f9a7=z{=<1<75e39~w1?=838pR974=739a7=z{=h1<750;0xZ06<5?=1i?5rs4394?4|V<;01;65e39~w04=838pR8<4=7;9a7=z{<91<7168kj57:?60?42j2wx984?:2y>07>=027?ji47;<76>73e3ty>:7>53z?76=<>34>mh774=44960dg=:{t=00;6>u243:9g>;3nm0h70;6:37a?xu2i3:1?v3;298g?82al3n018o524`8yv3e2908w0:=8;g891`c2l169o4=5c9~w0e=839p19<7:g9>0cb=n27>o7<:b:p1a<72:q68?65119>0cb=99169i4=5c9~w0c=838p19hk:03890c=:;1838>n6s|6083>7}:<;21=85260811g=z{?81<741<5?81>8l4}r40>5<5s4>mh7?7;<40>73e3ty=87>52z?7ba<6127=87<:b:p20<72;q68kj51`9>20<5=k1v;850;0x91`c28h01;8524`8yv002909w0:id;3`?8002;?i7p}98;296~;3nm0:h6398;06f>{t>00;6>u243:95`=:4`<5=lo69;78m;<67e?c534>8577i;<6`3o77i;<6ga??a3ty55z?76=<0;27?ji483:?76g<0;27?>4483:?762<0;2wx;44?:3y]3<=:?h0n>6s|7`83>7}:<;k1;4527`811g=z{>i1<738==638d;g1?xu0m3:1>vP8e:?4b?c53ty52z?76d<0m27{tl:0;6>u243c9=c=:<;=15k52d2811g=z{m31<7{t99?1<746234>9m7??5:p55d=838p19<7:02a?825j3;;n6s|10;94?4|5=8i6<<<;<61e?7612wx=?;50;0x914e288>70:=a;30=>{t9:k1<745f34>957?96=4={<61f?73:27?>44>439~w4232909w0:=b;370>;3:h0:895rs0;7>5<5s4>9n7?64:?76d<6i11vim7k=;|q11<<72:q68oo524`8914?2=h270:=a;6a=>{t:?21<770?34>9;7<98:p621=838pR?98;<0`b?42j2wx>:650;0xZ71?348o97<:b:p62g=838pR?9n;<0gf?42j2wx>:l50;0xZ71e348oo7<:b:p62e=838pR?9l;<0f6?42j2wx>:j50;0xZ71c348n47<:b:p6=4=838pR?6=;<0f=?42j2wx>5=50;0xZ7>4348nj7<:b:p6=2=838pR?6;;<0e1?42j2wx>5;50;0xZ7>2348mn7<:b:p6=0=838pR?69;<135?42j2wx>5950;0xZ7>0349;;7<:b:p6=?=838p19<7:3::?82al38356s|29c94?2|5=836?6n;<6e`?4?i27?>44=889>071=:130q~<7f;296~;3nm094k5243;96=`52z?7ba<5?>16>nh5e39~w7b22909w0:id;04<>;5l<0n>6s|2e`94?4|5=lo6?9n;<0gf?c53ty9hn4?:3y>0cb=:>h01?jl:d08yv4b:3:1>v3;fe813f=::l81i?5rs3g;>5<5s4>mh7<8d:?1a=h750;0x91`c2;29706c3=m;1v?hm:18182al383963=fc8f6>{t;9;1<77>1349;=7k=;|q042<72;q68kj5295896602l80q~=?d;297~;3:108>k;|q057<72;q68?653008914>2::o7p}<6583>7}:6033ty8;=4?:3y>0cb=;>9019<8:253?xu4010;6?u243:97=><5=8<6>67;|q0=<<72;q68?6538;891402:327p};2b83>7}Y<;i019k4=5c9>067=m:1v9n63;308f0>{t<:81<7n6s|42694?4|5=986?;m;<600?c53ty??;4?:3y]060<5=9<6h<4}r603?6=07d=<:?019<6:516?824?38>n6s|42:94?4|V=9370:<9;06f>{t<:k1<715e34>857k=;|q70<<72;qU8974=56a>73e3ty?8o4?:3y>01g=:vP;4e9>01c=:6=4={_661>;3=?0n>6s|44494?4|5=8j69:l;<662?42j2wx88650;0xZ13?34>>57<:b:p00?=838p19<8:57;?82213o97p};6383>7}Y:0;6?u24gf9034<5=<86h<4}r653?6=:rT?::5247:960d=47>52z?76<<3>?168;65e39~w10>2909wS:99:?72d{t<>;1<7n6s|46094?4|5=8j699>;<646?c53ty?;84?:3y]023<5==<6?;m;|q732<72;q68?l5467891102l80q~:8e;296~X3?l1685>524`8yv2?83:1>v3;2`873`=:<1:1i?5rs5:6>5<5sW>3963;878f6>{t<1<1<71>234>3:7<:b:p0=?=838pR966;<6;e?c53ty?4l4?:2y>0cb=<12019n6s|49`94?4|V=2i70:7c;06f>{t<1n1<71>?34>3o7k=;|q7e5<72;qU8l>4=5c0>73e3ty?m>4?:3y>0cb=vP;ae9>0dc=:;3j9099o5rs5`3>5<5s4>mh7:k6:?7f5i47<:b:p0g>=838p19hk:5`2?82e03o97p};b883>7}Yv3;bb811g=:5<5s4>9;7:md:?7ffh<7<:b:p0f6=838p19hk:5`f?82d83o97p};c083>7}Yo4;c59>0f0=:168n6524`8yv2d13:1>v3;2`87g1=:5<5sW>oh63;dd811g=z{=nm6=4={<61e?2ck27?hh4j2:p0c4=838pR9h=;<6e7?42j2wx8k=50;0x914>2=l:70:i3;g1?xu3n=0;6?uQ4g6891`22l80q~:i5;296~;3:h0?j<524g7960dmn7>52z\7bg=:5<2s4>947:ia:?7ba<3nh168?l54gc891402=lj70:ic;06f>{zf88;h7>52zJ0a3=zf88;i7>52zJ0a3=zf88;j7>52zJ0a3=zf88:<7>51zJ0a3=zf88:=7>51zJ0a3=zf88:>7>51zJ0a3=zf88:?7>51zJ0a3=zf88:87>51zJ0a3=zf88:97>51zJ0a3=zf88::7>51zJ0a3=zf88:;7>51zJ0a3=zf88:47>51zJ0a3=zf88:57>51zJ0a3=zf88:m7>51zJ0a3=zf88:n7>51zJ0a3=zf88:o7>51zJ0a3=zf88:h7>51zJ0a3=zf88:i7>51zJ0a3=zf88:j7>51zJ0a3=zf889<7>51zJ0a3=zf889=7>51zJ0a3=zf889>7>51zJ0a3=zf889?7>51zJ0a3=zf88987>51zJ0a3=zf88997>51zJ0a3=zf889:7>51zJ0a3=zf889;7>53zJ0a3=zf88947>53zJ0a3=zf88957>53zJ0a3=zf889m7>53zJ0a3=zf889n7>53zJ0a3=zf889o7>53zJ0a3=zf889h7>53zJ0a3=zf889i7>53zJ0a3=zf889j7>53zJ0a3=zf888<7>53zJ0a3=zf888=7>52zJ0a3=zf888>7>53zJ0a3=zf888?7>53zJ0a3=zf88887>52zJ0a3=zf88897>52zJ0a3=zf888:7>52zJ0a3=zf888;7>52zJ0a3=zf88847>52zJ0a3=zf88857>52zJ0a3=zf888m7>52zJ0a3=zf888n7>52zJ0a3=zf888o7>53zJ0a3=zf888h7>53zJ0a3=zf888i7>53zJ0a3=zf888j7>56zJ0a3=zf88?<7>51zJ0a3=zf88?=7>52zJ0a3=zf88?>7>51zJ0a3=zf88??7>51zJ0a3=zf88?87>51zJ0a3=zf88?97>52zJ0a3=zf88?:7>51zJ0a3=zf88?;7>53zJ0a3=zf88?47>51zJ0a3=zf88?57>56zJ0a3=zf88?m7>53zJ0a3=zf88?n7>53zJ0a3=zf88?o7>53zJ0a3=zf88?h7>53zJ0a3=zf88?i7>53zJ0a3=zf88?j7>53zJ0a3=zf88><7>53zJ0a3=zf88>=7>54zJ0a3=zf88>>7>53zJ0a3=zf88>?7>53zJ0a3=zf88>87>53zJ0a3=zf88>97>53zJ0a3=zf88>:7>51zJ0a3=zf88>;7>53zJ0a3=zf88>47>53zJ0a3=zf88>57>51zJ0a3=zf88>m7>51zJ0a3=zf88>n7>51zJ0a3=zf88>o7>51zJ0a3=zf88>h7>51zJ0a3=zf88>i7>51zJ0a3=zf88>j7>51zJ0a3=zf88=<7>51zJ0a3=zf88==7>51zJ0a3=zf88=>7>51zJ0a3=zf88=?7>51zJ0a3=zf88=87>51zJ0a3=zf88=97>53zJ0a3=zf88=:7>55zJ0a3=zf88=;7>53zJ0a3=zf88=47>52zJ0a3=zf88=57>52zJ0a3=zf88=m7>53zJ0a3=zf88=n7>52zJ0a3=zf88=o7>52zJ0a3=zf88=i7>52zJ0a3=zf88=j7>52zJ0a3=zf88<<7>52zJ0a3=zf88<=7>52zJ0a3=zf88<>7>52zJ0a3=zf8852zJ0a3=zf88<87>54zJ0a3=zf88<97>53zJ0a3=zf88<:7>53zJ0a3=zf88<;7>53zJ0a3=zf88<47>53zJ0a3=zf88<57>53zJ0a3=zf8851zJ0a3=zf8851zJ0a3=zf8851zJ0a3=zf8851zJ0a3=zf8851zJ0a3=zf8851zJ0a3=zf883<7>51zJ0a3=zf883=7>54zJ0a3=zf883>7>51zJ0a3=zf883?7>52zJ0a3=zf88387>51zJ0a3=zf88397>51zJ0a3=zf883:7>51zJ0a3=zf883;7>52zJ0a3=zf88347>51zJ0a3=zf88357>52zJ0a3=zf883m7>51zJ0a3=zf883n7>52zJ0a3=zf883o7>51zJ0a3=zf883h7>52zJ0a3=zf883i7>51zJ0a3=zf883j7>52zJ0a3=zf882<7>51zJ0a3=zf882=7>52zJ0a3=zf882>7>51zJ0a3=zf882?7>52zJ0a3=zf88287>51zJ0a3=zf88297>52zJ0a3=zf882:7>51zJ0a3=zf882;7>52zJ0a3=zf88247>51zJ0a3=zf88257>52zJ0a3=zf882m7>51zJ0a3=zf882n7>53zJ0a3=zf882o7>51zJ0a3=zf882h7>52zJ0a3=zf882i7>51zJ0a3=zf882j7>52zJ0a3=zf88j<7>51zJ0a3=zf88j=7>52zJ0a3=zf88j>7>51zJ0a3=zf88j?7>52zJ0a3=zf88j87>51zJ0a3=zf88j97>52zJ0a3=zf88j:7>51zJ0a3=zf88j;7>51zJ0a3=zf88j47>52zJ0a3=zf88j57>51zJ0a3=zf88jm7>52zJ0a3=zf88jn7>51zJ0a3=zf88jo7>52zJ0a3=zf88jh7>51zJ0a3=zf88ji7>53zJ0a3=zf88jj7>51zJ0a3=zf88i<7>52zJ0a3=zf88i=7>51zJ0a3=zf88i>7>52zJ0a3=zf88i?7>51zJ0a3=zf88i87>52zJ0a3=zf88i97>51zJ0a3=zf88i:7>52zJ0a3=zf88i;7>51zJ0a3=zf88i47>55zJ0a3=zf8i86=4>{I1f2>{i9j>1<7?tH2g5?xh6k<0;63:1=vF=83;pD>k9;|l2g<<728qC?h84}o3`e?6=9rB8i;5rn0aa>5<6sA9n:6sa1ba94?7|@:o=7p`>ce83>4}O;l<0qc?le;295~N4m?1vb2we=i=50;3xL6c13td:h94?:0yK7`051zJ0a3=zf8n<6=4>{I1f2>{i9m21<7?tH2g5?xh6l00;6k9;|l2``<728qC?h84}o3gb?6=9rB8i;5rn0g2>5<6sA9n:6sa1d094?7|@:o=7p`>e283>4}O;l<0qc?j4;295~N4m?1vb2we=h850;3xL6c13td:i:4?:0yK7`051zJ0a3=zf8o26=4>{I1f2>{i9lk1<7?tH2g5?xh6mk0;6k9;|l2ac<728qC?h84}o3e5?6=9rB8i;5rn0d1>5<6sA9n:6sa1g194?7|@:o=7p`>f583>4}O;l<0qc?i5;295~N4m?1vb2we=k950;3xL6c13td:j54?:0yK7`051zJ0a3=zf8lj6=4>{I1f2>{i9oh1<7?tH2g5?xh6nj0;6k9;|l145<728qC?h84}o035?6=9rB8i;5rn321>5<6sA9n:6sa21194?7|@:o=7p`=0583>4}O;l<0qc9:182M5b>2we>=950;3xL6c13td9<54?:0yK7`051zJ0a3=zf;:j6=4>{I1f2>{i:9h1<7?tH2g5?xh58j0;6k9;|l67a<728qC?h84}o70a?6=9rB8i;5rn41e>5<6sA9n:6sa55294?7|@:o=7p`:4083>4}O;l<0qc;;2;295~N4m?1vb8:<:182M5b>2we99:50;3xL6c13td>884?:0yK7`051zJ0a3=zf<>o6=4>{I1f2>{i==o1<7?tH2g5?xh2k9;|l61f<728qC?h84}o76`?6=9rB8i;5rn47f>5<6sA9n:6sa54d94?7|@:o=7p`:6183>4}O;l<0qc;91;295~N4m?1vb88=:182M5b>2we9;=50;3xL6c13td>:94?:0yK7`051zJ0a3=zf<<=6=4>{I1f2>{i=?=1<7?tH2g5?xh2>10;6k9;|l62f<728qC?h84}o75`?6=9rB8i;5rn44f>5<6sA9n:6sa57d94?7|@:o=7p`:7183>4}O;l<0qc;81;295~N4m?1vb89=:182M5b>2we9:=50;3xL6c13td>;94?:0yK7`051zJ0a3=zf<==6=4>{I1f2>{i=>=1<7?tH2g5?xh2?10;6k9;|l63f<728qC?h84}o74`?6=9rB8i;5rn45f>5<6sA9n:6sa56d94?7|@:o=7p`:8183>4}O;l<0qc;71;295~N4m?1vb86=:182M5b>2we95=50;3xL6c13td>494?:0yK7`051zJ0a3=zf<2=6=4>{I1f2>{i=1=1<7?tH2g5?xh2010;6f290:wE=j6:m1=d=83;pD>k9;|l65<6sA9n:6sa59d94?7|@:o=7p`:9183>4}O;l<0qc;61;295~N4m?1vb87=:182M5b>2we94=50;3xL6c13td>594?:0yK7`051zJ0a3=zf<3=6=4>{I1f2>{i=0=1<7?tH2g5?xh2110;613:1=vFk9;|l6=f<728qC?h84}o7:`?6=9rB8i;5rn4;f>5<6sA9n:6sa58d94?7|@:o=7p`:a183>4}O;l<0qc;n1;295~N4m?1vb8o=:182M5b>2we9l=50;3xL6c13td>m94?:0yK7`051zJ0a3=zf{I1f2>{i=h=1<7?tH2g5?xh2i10;6k9;|l6ef<728qC?h84}o7b`?6=9rB8i;5rn4ce>5<6sA9n:6sa5c294?7|@:o=7p`:b083>4}O;l<0qc;m2;295~N4m?1vb8l<:182M5b>2we9o:50;3xL6c13td>n84?:0yK7`051zJ0a3=zf{I1f2>{i=k21<7?tH2g5?xh2j00;6k9;|l6fa<728qC?h84}o7aa?6=9rB8i;5rn4`e>5<6sA9n:6sa5b294?7|@:o=7p`:c083>4}O;l<0qc;l2;295~N4m?1vb8m<:182M5b>2we9n:50;3xL6c13td>o84?:0yK7`051zJ0a3=zf{I1f2>{i=j21<7?tH2g5?xh2k00;6k9;|l6ga<728qC?h84}o7`a?6=9rB8i;5rn4ae>5<6sA9n:6sa5e294?7|@:o=7p`:d083>4}O;l<0qc;k2;295~N4m?1vb8j<:182M5b>2we9i:50;3xL6c13td>h84?:0yK7`051zJ0a3=zf{I1f2>{i=m21<7?tH2g5?xh2l00;6k9;|l6`a<728qC?h84}o7ga?6=9rB8i;5rn4fe>5<6sA9n:6sa5d294?7|@:o=7p`:e083>4}O;l<0qc;j2;295~N4m?1vb8k<:182M5b>2we9h:50;3xL6c13td>i84?:0yK7`051zJ0a3=zf{I1f2>{i=l21<7?tH2g5?xh2m00;6k9;|l6a`<728qC?h84}o7fb?6=9rB8i;5rn4d0>5<6sA9n:6sa5g494?7|@:o=7p`:f683>4}O;l<0qc;i8;295~N4m?1vb8hn:182M5b>2we9km50;3xL6c13td>ji4?:0yK7`051zJ0a3=zf{I1f2>{i>9:1<7?tH2g5?xh18<0;63:1=vFk9;|l;56<728qC?h84}o:20?6=9rB8i;5rn935>5<6sA9n:6sa80c94?7|@:o=7p`71b83>4}O;l<0qc6>d;295~N4m?1vb5?j:182M5b>2we4=4?:0yK7`051zJ0a3=zf1896=4>{I1f2>{i0;91<7?tH2g5?xh?:=0;65=3:1=vFk9;|l;6=<728qC?h84}o:1=?6=9rB8i;5rn90b>5<6sA9n:6sa83`94?7|@:o=7p`72b83>4}O;l<0qc6=e;295~N4m?1vb52we4>>50;3xL6c13td3?<4?:0yK7`07>51zJ0a3=zf19=6=4>{I1f2>{i0:=1<7?tH2g5?xh?;10;6413:1=vFk9;|l;7f<728qC?h84}o:0`?6=9rB8i;5rn91f>5<6sA9n:6sa82d94?7|@:o=7p`74183>4}O;l<0qc6;1;295~N4m?1vb5:=:182M5b>2we49=50;3xL6c13td3894?:0yK7`051zJ0a3=zf1>=6=4>{I1f2>{i0==1<7?tH2g5?xh?<10;6313:1=vFk9;|l;0f<728qC?h84}o:7`?6=9rB8i;5rn96e>5<6sA9n:6sa84294?7|@:o=7p`75083>4}O;l<0qc6:2;295~N4m?1vb5;<:182M5b>2we48:50;3xL6c13td3984?:0yK7`0:7>51zJ0a3=zf1?<6=4>{I1f2>{i0<21<7?tH2g5?xh?=00;62i3:1=vFk9;|l;1a<728qC?h84}o:6a?6=9rB8i;5rn97e>5<6sA9n:6sa87394?7|@:o=7p`76383>4}O;l<0qc693;295~N4m?1vb58;:182M5b>2we4;;50;3xL6c13td3:;4?:0yK7`051zJ0a3=zf1<36=4>{I1f2>{i0?31<7?tH2g5?xh?>h0;61j3:1=vFk9;|l;2`<728qC?h84}o:5b?6=9rB8i;5rn953>5<6sA9n:6sa86394?7|@:o=7p`77383>4}O;l<0qc684;295~N4m?1vb59::182M5b>2we4:850;3xL6c13td3;:4?:0yK7`051zJ0a3=zf1=26=4>{I1f2>{i0>k1<7?tH2g5?xh??k0;60k3:1=vFk9;|l;3c<728qC?h84}o:;4?6=9rB8i;5rn9:2>5<6sA9n:6sa89094?7|@:o=7p`78283>4}O;l<0qc674;295~N4m?1vb56::182M5b>2we45850;3xL6c13td34:4?:0yK7`051zJ0a3=zf1226=4>{I1f2>{i01k1<7?tH2g5?xh?0k0;6?m3:1=vFa290:wE=j6:m<<6=83;pD>k9;|l;=4<728qC?h84}o::7?6=9rB8i;5rn9;7>5<6sA9n:6sa88794?7|@:o=7p`79783>4}O;l<0qc667;295~N4m?1vb5h;:182M5b>2we4k;50;3xL6c13td3j;4?:0yK7`051zJ0a3=zf0:96=4>{I1f2>{i1991<7?tH2g5?xh>8=0;6k9;|l:4=<728qC?h84}o;3f?6=9rB8i;5rn82`>5<6sA9n:6sa91f94?7|@:o=7p`60d83>4}O;l<0qc7>0;295~N4m?1vb4?>:182M5b>2weo=?50;3xL6c13tdh51zJ0a3=zfj:?6=4>{I1f2>{ik9?1<7?tH2g5?xhd8?0;6k9;|l`4d<728qC?h84}oa3a?6=9rB8i;5rnb2e>5<6sA9n:6sac0294?7|@:o=7p`l1083>4}O;l<0qcm>2;295~N4m?1vbn?<:182M5b>2weo<:50;3xL6c13tdh=84?:0yK7`052zJ0a3=zfj;36=4>{I1f2>{ik831<7?tH2g5?xhd9h0;6k9;|l`5`<728qC?h84}oa2b?6=:rB8i;5rnb03>5<6sA9n:6sac3394?7|@:o=7p`l2383>7}O;l<0qcm=3;295~N4m?1vbn<;:182M5b>2weo?;50;0xL6c13tdh>;4?:0yK7`051zJ0a3=zfj836=4={I1f2>{ik;31<7k9;|l`6`<728qC?h84}oa1b?6=9rB8i;5rnb13>5<6sA9n:6sac2394?7|@:o=7p`l3383>4}O;l<0qcm<3;295~N4m?1vbn=;:182M5b>2weo>;50;0xL6c13tdh?;4?:3yK7`052zJ0a3=zfj936=4>{I1f2>{ik:k1<7?tH2g5?xhd;k0;6k9;|l`7c<728qC?h84}oa74?6=9rB8i;5rnb62>5<6sA9n:6sac5094?7|@:o=7p`l4283>4}O;l<0qcm;4;295~N4m?1vbn:::182M5b>2weo9850;3xL6c13tdh8:4?:0yK7`051zJ0a3=zfj>26=4>{I1f2>{ik=k1<7?tH2g5?xhdk9;|l`14<728qC?h84}oa66?6=9rB8i;5rnb70>5<6sA9n:6sac4694?7|@:o=7p`l5483>4}O;l<0qcm:8;295~N4m?1vbn;6:182M5b>2weo8o50;3xL6c13tdh9o4?:0yK7`0o7>51zJ0a3=zfj?o6=4>{I1f2>{ikk9;|l`26<728qC?h84}oa50?6=9rB8i;5rnb46>5<6sA9n:6sac7494?7|@:o=7p`l6683>4}O;l<0qcm98;295~N4m?1vbn86:182M5b>2weo;o50;3xL6c13tdh:o4?:0yK7`051zJ0a3=zfj{I1f2>{ik?o1<7?tH2g5?xhd>o0;6k9;|l`36<728qC?h84}oa40?6=9rB8i;5rnb56>5<6sA9n:6sac6494?7|@:o=7p`l7683>4}O;l<0qcm88;295~N4m?1vbn96:182M5b>2weo:o50;3xL6c13tdh;o4?:0yK7`051zJ0a3=zfj=o6=4>{I1f2>{ik>o1<7?tH2g5?xhd?o0;66290:wE=j6:mg=4=83;pD>k9;|l`<6<728qC?h84}oa;2?6=9rB8i;5rnb:4>5<6sA9n:6sac9:94?7|@:o=7p`l8883>4}O;l<0qcm7b;295~N4m?1vbn6l:182M5b>2weo5j50;3xL6c13tdh4h4?:0yK7`051zJ0a3=zfj3;6=4>{I1f2>{ik0;1<7?tH2g5?xhd1;0;6;3:1=vFk9;|l`=<<728qC?h84}oa:f?6=9rB8i;5rnb;`>5<6sA9n:6sac8f94?7|@:o=7p`l9g83>4}O;l<0qcmn0;295~N4m?1vbno>:182M5b>2weol<50;3xL6c13tdhm>4?:0yK7`051zJ0a3=zfjk>6=4>{I1f2>{ikh<1<7?tH2g5?xhdi>0;6k9;|l`ea<728qC?h84}oaba?6=9rB8i;5rnbce>5<6sA9n:6sacc294?7|@:o=7p`lb083>4}O;l<0qcmm2;295~N4m?1vbnl<:182M5b>2weoo:50;3xL6c13tdhn;4?:0yK7`051zJ0a3=zfjh36=4>{I1f2>{ikk31<7?tH2g5?xhdjh0;6k9;|l`f`<728qC?h84}oaab?6=9rB8i;5rnba3>5<6sA9n:6sacb394?7|@:o=7p`lc383>4}O;l<0qcml3;295~N4m?1vb<>:8;295~N4m?1vb<>:9;295~N4m?1vb<>:a;295~N4m?1vb<>:b;295~N4m?1vb<>:c;295~N4m?1vb<>:d;295~N4m?1vb<>91;295~N4m?1vb<>92;295~N4m?1vb<>93;295~N4m?1vb<>94;295~N4m?1vb<>95;295~N4m?1vb<>96;295~N4m?1vb<>97;295~N4m?1vb<>98;295~N4m?1vb<>99;295~N4m?1vb<>9a;295~N4m?1vb<>9b;295~N4m?1vb<>9c;295~N4m?1vb<>9d;295~N4m?1vb<>9e;295~N4m?1vb<>9f;295~N4m?1vb<>80;295~N4m?1vb<>81;295~N4m?1vb<>82;295~N4m?1vb<>83;295~N4m?1vb<>84;295~N4m?1vb<>85;295~N4m?1vb<>86;295~N4m?1vb<>87;295~N4m?1vb<>88;296~N4m?1vb<>89;295~N4m?1vb<>8a;295~N4m?1vb<>8b;295~N4m?1vb<>8c;295~N4m?1vb<>8d;295~N4m?1vb<>8e;295~N4m?1vb<>8f;295~N4m?1vb<>70;296~N4m?1vb<>71;296~N4m?1vb<>72;295~N4m?1vb<>73;295~N4m?1vb<>74;295~N4m?1vb<>75;296~N4m?1vb<>76;295~N4m?1vb<>77;295~N4m?1vb<>78;295~N4m?1vb<>79;295~N4m?1vb<>7a;295~N4m?1vb<>7b;295~N4m?1vb<>7c;295~N4m?1vb<>7d;295~N4m?1vb<>7e;295~N4m?1vb<>7f;295~N4m?1vb<>60;295~N4m?1vb<>61;295~N4m?1vb<>62;295~N4m?1vb<>63;295~N4m?1vb<>64;295~N4m?1vb<>65;295~N4m?1vb<>66;295~N4m?1vb<>67;295~N4m?1vb<>68;295~N4m?1vb<>69;295~N4m?1vb<>6a;295~N4m?1vb<>6b;295~N4m?1vb<>6c;295~N4m?1vb<>6d;295~N4m?1vb<>6e;295~N4m?1vb<>6f;295~N4m?1vb<>n0;295~N4m?1vb<>n1;295~N4m?1vb<>n2;295~N4m?1vb<>n3;295~N4m?1vb<>n4;295~N4m?1vb<>n5;296~N4m?1vb<>n6;295~N4m?1vb<>n7;295~N4m?1vb<>n8;296~N4m?1vb<>n9;295~N4m?1vb<>na;295~N4m?1vb<>nb;296~N4m?1vb<>nc;295~N4m?1vb<>nd;295~N4m?1vb<>ne;296~N4m?1vb<>nf;295~N4m?1vb<>m0;295~N4m?1vb<>m1;296~N4m?1vb<>m2;295~N4m?1vb<>m3;295~N4m?1vb<>m4;296~N4m?1vb<>m5;295~N4m?1vb<>m6;295~N4m?1vb<>m7;296~N4m?1vb<>m8;295~N4m?1vb<>m9;295~N4m?1vb<>ma;296~N4m?1vb<>mb;295~N4m?1vb<>mc;295~N4m?1vb<>md;296~N4m?1vb<>me;296~N4m?1vb<>mf;296~N4m?1vb<>l0;296~N4m?1vb<>l1;296~N4m?1vb<>l2;296~N4m?1vb<>l3;296~N4m?1vb<>l4;296~N4m?1vb<>l5;296~N4m?1vb<>l6;296~N4m?1vb<>l7;295~N4m?1vb<>l9;295~N4m?1vb<>la;295~N4m?1vb<>lb;295~N4m?1vb<>lc;295~N4m?1vb<>ld;295~N4m?1vb<>le;295~N4m?1vb<>lf;295~N4m?1vb<>k0;295~N4m?1vb<>k1;295~N4m?1vb<>k2;295~N4m?1vb<>k3;295~N4m?1vb<>k4;295~N4m?1vb<>k5;295~N4m?1vb<>k8;295~N4m?1vb<>k9;295~N4m?1vb<>ka;295~N4m?1vb<>kb;295~N4m?1vb<>kc;295~N4m?1vb<>kd;295~N4m?1vb<>kf;295~N4m?1vb<>j0;295~N4m?1vb<>j1;295~N4m?1vb<>j4;295~N4m?1vb<>j5;295~N4m?1vb<>j6;295~N4m?1vb<>j7;295~N4m?1vb<>j8;295~N4m?1vb<>j9;295~N4m?1vb<>ja;296~N4m?1vb<>jb;295~N4m?1vb<>jc;295~N4m?1vb<>jd;295~N4m?1vb<>je;296~N4m?1vb<>jf;295~N4m?1vb<>i0;295~N4m?1vb<>i1;296~N4m?1vb<>i2;295~N4m?1vb<>i3;295~N4m?1vb<>i4;296~N4m?1vb<>i5;295~N4m?1vb<>i6;295~N4m?1vb<>i7;296~N4m?1vb<>i8;296~N4m?1vb<>i9;296~N4m?1vb<>ia;296~N4m?1vb<>ib;296~N4m?1vb<>ic;295~N4m?1vb<>id;296~N4m?1vb<>ie;295~N4m?1vb<>if;295~N4m?1vb0;296~N4m?1vb1;296~N4m?1vb2;296~N4m?1vb3;296~N4m?1vb4;296~N4m?1vb5;296~N4m?1vb7;295~N4m?1vb8;295~N4m?1vb9;295~N4m?1vba;295~N4m?1vbb;295~N4m?1vbc;295~N4m?1vbd;295~N4m?1vbf;295~N4m?1vqpsO@By221b=kh;;8oo8}ABA5{GHYqvLM \ No newline at end of file +$5f:4=792;:>6?=:NWWTPR=ZH6:=7>111922?OIX\^1M1<<:9<24>462@D[YY4N<3395;733;91EC^ZT;C\BVD;::0:2k5<:HLSQQ49B8439=2K7=;0:;@>23;3G;97?0M169B877=97?0M1<>>49B8749?2K7>>47>49B8759<2K7>3:4A=1=0>G;<7>0M1;14:C?2;2Pmtz345668m1J@H>Pmtz34565l2KGI=Qbuy23455c3HFNGKM9Ufyu>?015g?DJB8Vg~t=>?09f8EIC7Wds<=>?959BH@7d3HFN=RQ`r1235a=FDL;TSb|?01320>GIL;>0MCJ<4:CM@1286OAD668EKB?<2KX~kj4ARpe[FjlW@D]=:5NSsd\J5eGTzoUecy>?003:?DYA[K6:<374A^DPF976601JSK]M<00==>GXNZH7=>06;@]EWG:6<730MRH\B=36:<=FWOYI0<819:C\BVD;9>427LQISC>2<;?99B[CUE48427LQISC>14;?>89B[CUE4;85n6OPFR@?66<6601JSK]M<31=<>GXNZH7>364A^DPF95902KTJ^L34?:8EZ@TJ5?546OPFR@?2;>GXNZHT==QFBTDg?DYA[KU:=RGMUGf8EZ@TJV;9SDLZFe9B[CUEW89TEO[Id:C\BVDX9=UBNXHk;@]EWGY6=VCIYKj4A^DPFZ71W@H^Ji5N_GQA[41XAK_Mh6OPFR@\5=YNJ\Lo7LQISC]2=ZOE]Oi0MRH\B^3\MGSAl2KTJ^LP21]JFP@c3HUM_OQ=1^KAQCbGXNZHT>RGMUGa8EZ@TJV9TEO[Ic:C\BVDXGh}}ENi6O`uuMF[hs89:;j6O`uuMF[hs89:;=k5NotvLAZkrp9:;;f:ClqqIBWds<=>?5g9BkprHMVg~t=>?0768FDES=2HJOY?:;CC@P730O<8B9:A22HYNJ\L=7NET>2Igil|>f:Aoadt6WVkeh=>?009G2>BSIJAyi6J[ABIq[kis89::j6J[ABIq[kis89::=:5Kauc?4;12OMmab=5:GP85823LY7=3;4ER>1:0=B[59586K\_1g8AVY7W9Uecy>?01d8AVY7W9Uecy>?013g?@UX8VUjbi>?01g8AVY7WVkeh=>?00g8AVY7WVkeh=>?03g8AVY7WVkeh=>?02g8AVY7WVkeh=>?05g8AVY7WVkeh=>?04g8AVY7WVkeh=>?0768AVY6l2OXSi5JS^0\[dhc89:;i6K\_3]\ekb789::i6K\_3]\ekb789:986K\_2f8AVY4WVkeh=>?0d9FWZ5XWhdo<=>?1d9FWZ5XWhdo<=>?20d8AVYJimnTSljk0123[H~hzVXnxb{<0<2b>CTWDkohRQnde2345YJpfxT^h}zlu>1:4`CTWDkohRQnde2345YXign;<=>>189FWZKflmUTmij?012\[dhc89::=l5JS^Ob`aYXimn;<=>P_`lg45669m1N_R_P1^mq4567m2OXS\Q>_np34566n2OXS\Q>_np345668o1N_R_P1^mq456798o0I^Q^_0]lv5678;o0I^Q^_0]lv5678:o0I^Q^_0]lv5678=o0I^Q^_0]lv5678o0I^Q^_0]lv56781o0I^Q^_0]lv56780o0I^QPaef3456;97o0I^QPaef3456;:7o0I^QPaef3456;;7<0IRGMUG08Aw3CuW9>0IQ>4:D@HN?0JIMJ3:DGT6=A[K;0K>5HNE38M7=N8;1B=?5F239J77=N<;1B9?5F639J31=NIJY>7DOLS068MGSA12CEEY][AUG0?LHQ:2CD96G@ABVg?LIFK]Ufyu>?01g8MJGD\Vg~t=>?00d8MJGD\Vg~t=>?002e?LIFK]Ufyu>?0132b>OHIJ^Taxv?01226c=NGHI_S`{w012356`o1BCLM[_lw{45679>o0EBOLT^ov|5678;o0EBOLT^ov|5678:o0EBOLT^ov|5678=o0EBOLT^ov|5678o0EBOLT^ov|56781o0EBOLT^ov|56780<0EBOLTs48MJDBZ[o0EBLJRS]mkq6788l0EBLJRS]mkq6788;?7DAMM59JKGU13@EIYKK;;HM@W0=NGJY::6G@CR332>OHKZ;::6G@CR312>OHKZ;896G@CR06?LID[:?0EBM\449JKFU2=2CDO^8:;HM@W23OHLFDNh6G@DNLF[jt789:n7DAKOOG\kw6789;n7DAKOOG\kw67898n7DAKOOG\kw67899n7DAKOOG\kw6789>n7DAKOOG\kw6789?n7DAKOOG\kw6789OHD9Ufyu>?01f8MJJ7Wds<=>?1d9JKI6Xe|r;<=>>0d9JKI6Xe|r;<=>>1d9JKI6Xe|r;<=>>2d9JKI6Xe|r;<=>>3d9JKI6Xe|r;<=>>4d9JKI6Xe|r;<=>>5e9JKI6Xe|r;<=>=d:KLH5Yj}q:;<==k;HMO4Zkrp9:;<9j4INN3[hs89:;9i5FOM2\ip~789:=h6G@L1]nq}6789=o7DAC0^ov|56781n0EBB?_lw{45671=1BCA?l;HMO5Zhh|9:;=i5FOM3\jjr789;:96G@LEQ:?LIIX[ojht?>;HMMTWcflpUTc>?0131?LIIX[ojhtQPos234576:2CDB]\jae{\[jt789:9=?5FOORQadb~WVey<=>?3008MJHWZlkouRQ`r12341753@EE\_kndx]\kw6789?=7DA_WBQ:?LIW_[ojhto4INRTV`gcq8=0EB\KRDSe?LIUL[OZSl`k012355=NG[NYI\Qnne23457682CD^I\JQ^cm`5678;;;7DA]DSGR[dhc89:;?k5FOSFQATYj}q:;<=??;HMQ@WCVWds<=>?1038MJTCZL[Taxv?0122447>3038MJTCZL[Taxv?0122046?5028MJTCZL[Taxv?012555=NG[NYI\Qbuy23451682CD^I\JQ^ov|56781;;7DA]DSGR[hs89:;555FOSFQATt13@EYI\|8;HMQATt6?2CD^H_}269JKWCVz:?0EB\X1e9JKWQ6Whdo<=>?e:KLVR7Xign;<=>>e:KLVR7Xign;<=>=e:KLVR7Xign;<=>;e:KLVR7Xign;<=>:e:KLVR7Xign;<=>9e:KLVR7Xign;<=>84:KLW5eOH[9Ujbi>?013g?LIT8Vkeh=>?03f8MJU7Whdo<=>?3b9JKV6Xe|r;<=>k;HMP4Zkrp9:;<i5FOR2\ip~789:8h6G@S1]nq}6789>o7DA\0^ov|5678m1BC^>Pmtz34560l2CD_=Qbuy2345>c3@EXOH[VLXARHId3d8MJUXNZGTJKj=-Hl24>OH[VLXARHId3/Jj4773@EXSK]B_GDg6(Oi:8:0EB]PFRO\BCb5%@d8o6G@S^DPIZ@Al:l0EB]PFRO\BCb4%@d:<6G@S^DPIZ@Al:'Bbh7DA\_GQN[C@c=j1BC^QISL]EBa0a3@EXSK]B_GDg2(Oi991BC^QISL]EBa0*Ag;:<6G@S^DPIZ@Al?'Bb???;HMP[CUJWOLo: Ga3028MJUXNZGTJKj9-Hl755=NGZUM_@QIFe4.Mk3d3@EXSK]B_GDg3c=NGZUM_@QIFe5.Mk773@EXSK]B_GDg3(Oi98:0EB]PFRO\BCb0%@d9==5FOR]EWHYANm=&Ec=>0:KLWZ@TEVLMh:#Fn533?LITWOYFSKHk7,Km11=NG\:h7DAZ0^ov|5678m1BCX>Pmtz34566m2CDY=Qbuy234577m2CDY=Qbuy234576m2CDY=Qbuy234575m2CDY=Qbuy234574m2CDY=Qbuy234573m2CDY=Qbuy234572l2CDY=Qbuy23454c3@E^OH]9Ufyu>?014g?LIR8Vg~t=>?06f8MJS7Wds<=>?8e9JKP6Xe|r;<=>64:KLQ4eOH]8Uecy>?0036?LIRLZ=0EBY]RDSe?LIPZ[OZS`{w012355=NG^XYI\Qbuy23457692CD[_\JQ^ov|56788::=6G@WSPFUZkrp9:;<1:KLSWTBYVg~t=>?00025>OH_[XN]Rczx123445692CD[_\JQ^ov|56788>:=6G@WSPFUZkrp9:;<<;>0:KLSWTBYVg~t=>?0333?LIPZ[OZS`{w0123746?7028MJQUZL[Taxv?012;55=NG^XYI\Qbuy2345??3@E\^_K^r59JWQE23@Y_Oo5FS^DPIZ@Al;o0E^QISL]EBa4*Agl0E^QISL]EBa4*Ag;m7D]PFRO\BCb5%@d9j6G\_GQN[C@c:$Ce?k5FS^DPIZ@Al;'Bb9h4IR]EWHYANm8&Ec;m;HQ\BVKXG|~;o6G\_GQN[Jss88i0E^QISL]Lqq65l2CXSnc_ds3456b3BON\RFFESCQGM_03EELENOCa:NSIZ^HZ;U:56B[ilgq858f3E^bah|3111:<=K\`gn~1=19:NWmhcu4=427AZfmdp?1;?89OPlkbz5=556B[ilgq8=8>3E^bah|39?:8HQojm{^H=>5CThofvQEXkdzTi|>?0137?IRnelx_ORmbp^gr456798>0@YgbesV@[fkwWl{;<=>=159OPlkbz]ITo`~Pep234556<2F_e`k}TB]`iuYby9:;<9?;;MVji`tSKVif|Rk~0123142?2038HQojm{U;S`{w0123747?6038HQojm{U;S`{w01233==K\`gn~R?6;MVji`tX99;97AZfmdp\55YXign;<=>>3:NWmhcuW8:TSl`k01235450^]bja67898:=6B[ilgq[46XWfx;<=>>2:NWmhcuW8:TSb|?0122=>JSadoyS2:NWmhcuW8;TSl`k012356=K\`gn~R?>_^cm`56788;87AZfmdp\54YXign;<=>=129OPlkbzV;To`~Pep2345733E^bah|P1^antZcv89:;=<;4LUknawY6Wjg{Sh?0122443_bos[`w789::=<;4LUknawY6Wjg{Sh?0122643_bos[`w789::?<;4LUknawY6Wjg{Sh?0122043_bos[`w789::9<:4LUknawY6Wjg{Sh?012151=K\`gn~R?Pclr\at67899:86B[ilgq[4YdeyUn}=>?0537?IRnelxT=Rmbp^gr4567=8>0@Ygbes]2[fkwWl{;<=>9159OPlkbzV;To`~Pep234516<2F_e`k}_0]`iuYby9:;<5?;;MVji`tX9Vif|Rk~0123=46_lw{4567981GXdcjr^3\ip~789::=<5CThofvZ7Xe|r;<=>=109OPlkbzV;Taxv?012054=K\`gn~R?Pmtz34563981GXdcjr^3\ip~789:>=<5CThofvZ7Xe|r;<=>9109OPlkbzV;Taxv?012454=K\`gn~R?Pmtz3456?991GXdcjr^3\jjr789;:=6B[ilgq[4Yig}:;<1:NWmhcuW8Uecy>?00025>JSadoyS:=6B[ilgq[4Yig}:;<<;>1:NWmhcuW8Uecy>?004;?IRnelxT>?0008HQojm{U9SRoad12344753E^bah|P2^]bja6789837AZfmdp\747?010;?IRnelxT8?0008HQojm{U?SRoad12344753E^bah|P4^]bja6789837AZfmdp\147?010;?IRnelxT:?0008HQojm{U=SRoad12344753E^bah|P6^]bja6789837AZfmdp\347?010;?IRnelxT4?0008HQojm{U3SRoad12344753E^bah|P8^]bja6789837AZfmdp\=47?0106?Iifl8o0@bok1^]bja6789;h7@okd^]b`a6789UFtb|PRdqvhq:668n0Aljk_^cg`5679VGscQ]erwop97768n0Aljk_^cg`5679VGscQ]erwop97668i0Aljk_^cg`5679VGscQ]erwop9499j1FmijP_`fg4566WDrd~R\jstnw8686k2GjhiQPaef3457XEqeyS_k|umv?0;7d3DkohRQnde2344YJpfxT^h}zlu>6:4eKflmUTmij?013\I}iuW[oxyaz38?3`?HgclVUjhi>?00]N|jtXZly~`y26>048IdbcWVkoh=>?1^]bja6789;<7@okd^]b`a6788UTmcj?012253=JimnTSljk0122[Zgil9:;=<94M`fg[Zgcl9:;=RQnne234476>2GjhiQPaef3457XWhdo<=>=169NeabXWhno<=>>_^cm`567:8;=7@okd^]b`a6788UTmcj?01123>KflmUTmij?013\[dhc89:8=<84M`fg[Zgcl9:;=RQnne2341703DkohRQnde2344YXign;<=:>179NeabXWhno<=>>_^cm`567=8=0Aljk_^cg`5679VUjbi>?04322>KflmUTmij?013\[dhc89:==:5Baef\[dbc89::SRoad12324713DkohRQnde2344YXign;<=9>7:Ob`aYXimn;<=?P_`lg456098<0Aljk_^cg`5679VUjbi>?0934?HgclVUjhi>?00]\ekb7892:=;5Baef\[dbc89::SRoad123=41IE]O?0CO[IE29LV75Wh[[ojhtQ`r12344763XeX^hoky^mq456799;:7\a\Rdcg}Ziu89:;=;PmPV`gcqVey<=>?1332?TiTZlkouRa}012356773XeX^hoky^mq4567:8:0]b]]e`fz[jt789:8==5^oRPfeaXg{:;<=:>0:SlWWcflpUd~=>?0433?TiTZlkouRa}01232463:3=UI5;;255]A=32>5813[K7=<0:;SC?5;3TF4:4::6\N<2<12>TF4:48:6\N<2<71>TF4=4>7_O35?78VD:16<1YM1915:PB8=823[K753=4R@O6?WGJKZ=0^LCLS1Z;?WGJKZ:S=55]ALAP4]4?3[KFO^>W379QEHET911YM@\jae{24>TFE[ojhtQbuy2345763[KF^hoky^ov|56788;:7_OBRdcg}Zkrp9:;;SCNV`gcqVg~t=>?0232?WGJZlkouRczx12341763[KF^hoky^ov|5678<;:7_OBRdcg}Zkrp9:;<;?>;SCNV`gcqVg~t=>?0678VDUBDm1YM^KC_`lg4567m2XJ_HBPaof34566l2XJ_HBPmtz3456b3[KXIAQbuy23457b3[KXIAQbuy23454b3[KXIAQbuy23455b3[KXIAQbuy2345223[KXICj4R@QFJZkrp9:;h5]ARGM[hs89:;?h5]ARGM[hs89:;8h5]ARGM[hs89:;985]ARpe<>TF[{lTB=74R@QqbZH79<1YM^|}d:PBWwtXe|r;<=>j;SCPvwYj}q:;<=?j;SCPvwYj}q:;<=TFW8:TCO[Id:PB[46Xe|r;<=>j;SC\55Yj}q:;<=?j;SC\55Yj}q:;<=TFW8Ufyu>?013g?WGX9Vg~t=>?03f8VDY6Wds<=>?3e9QEZ7Xe|r;<=>;9:PB[7YHJ\Lh7_OP2^ov|5678m1YMRRczx12346bVg~t=>?00f8VDY1Wds<=>?2e9QEZ0Xe|r;<=>TFW1UDNXHl;SC\TFW0Ufyu>?01f8VDY>Wds<=>?1e9QEZ?Xe|r;<=>=d:PB[n;SQ3pjTbn8;j7_]?tnPfb44f3[Y;xb\jf01:?WU7|fXnj?74RR2wkWca;01Y_=z`Rdd7=>TT8}eYik;6;SQ3pjTbn?30^^>{oSge3<=U[9~d^hh79:PP4qiUmo3i7_]PFRO\BCb6j2XXSK]B_GDg6g=U[VLXARHId2`8VVYA[DUMJi:j;SQ\BVKXNOn?!D`i;SQ\BVKXNOn?!D`>b:PP[CUJWOLo9h5]S^DPIZ@Al<'Bbk5]S^DPIZ@Al<'Bb!D`'Bbk5]S^DPIZ@Al>'Bb0g9QWZ@TEVLMh5#Fn3d8VVYA[DUMJi6"Io1e?WUXNZGTJKj7-Hl7b>TTWOYFSKHk8,Km1c=U[VLXARHId9/Jj3`2Xnmiw=6:Pfea4>2Xnmiw;6:Pfea2>2Xnmiw96:Pfea0?2XnjIaae028V``CggoTSl`k012354=UmoNdbhQPaof34566n2XnjIaae^]lv56788:0^hhKoog\[jt789::==5]egFlj`YXg{:;<=<>0:PfbAiimVUd~=>?0233?WcaLfdnSRa}01230462Xnj_k~8:PfbWcvAgo0^hh]ep]bja6789l0^hh]ep]bja6789;m7_kiRds\ekb789:9j6\jfSgr[dhc89:;?k5]egPfuZgil9:;<9h4RddQatYffm:;<=;j;SgeV`wXff~;<=>i;SgeV`wXff~;<=>>6:PfbPt`?2XnjX|h169QacSuo;20^hhZrfKm`>Tbn\xlSb|?012f?Wca]{mTc>?013f?Wca]{mTc>?010f?Wca]{mTc>?0115?Wct}e~=7^AZRBG6?VQ;97?0_Z2=>69PS95=97?0_Z2<>59Pakrd3ZoexRQ`r1234a=Tmg~TSb|?0122`>Ubf}UTc>?010g?Vci|VUd~=>?02f8W`hsWVey<=>?4e9PakrXWfx;<=>:d:QfjqYXg{:;<=8k;RgmpZYhz9:;<:j4Sdlw[Ziu89:;4l5\nePBIFUPZk1Xbi\NMBQTV4e<[gnYM@M\WS33g>Uil[KFO^Y]10a8WkbUIDIX[_?=c:Qm`WGJKZ]Y=>m4SofQEHET_[;?o6]adSCNGVQU9n6]adSCNGVQU>k1Xbi\NMBQTV2d<[gnYM@M\WS:a?VhcZHGH_Z\68:Qm`WIJKZ30_cj]OLAP5d=TfmXDAN]>0`9PjaTHEJY:=l5\nePLIFU6:h1Xbi\@MBQ27d=TfmXDAN]>4`9PjaTHEJY:945\nePLIFU512Yeh_ABCR1:?VhcZFGH_974SofQKHET=01Xbi\@MBQ5=>Uil[EFO^96;RlgVJKD[130_cj]OLAP=1=SZ\Oi7Y]PFRO\BCb6m2^XSK]B_GDg5(Oin2^XSK]B_GDg5(Oi9o1__RH\M^DE`4+Nf;h0X^QISL]EBa4b3]YTJ^CPFGf1)Lha3]YTJ^CPFGf1)Lh6991__RH\M^DE`7+Nf8:m7Y]PFRO\BCb5%@d9j6Z\_GQN[C@c:$Ce?k5[S^DPIZ@Al;'Bb9h4TR]EWHYANm8&Ec;i;UQ\BVKXNOn9!D`9f:VP[CUJWOLo> Ga7g9WWZ@TEVLMh?#Fn9d8PVYA[DUMJi<"Io;a?QUXNZGTCxz?c:VP[CUJWF<<\`gn~1<18:Vji`t;;7=0XdcjrUA26>Rnelx_ORmbp^gr45679:1_e`k}TB]`iuYby9:;<;129Wmhcu\JUha}Qjq123401<\`gn~R>>0:Vji`tX8VUjbi>?0132?Qojm{U;SRoad12344763]cfiQ?_^cm`5678;;:7Ygbes]3[Zgil9:;<>94ThofvZ7682^bah|P1^]bja6789;:7Ygbes]2[Zgil9:;<;UknawY6WVkeh=>?0358PlkbzV8:<6Zfmdp\6ZYffm:;<=?>;UknawY5WVkeh=>?0032?Qojm{U9SRoad123471<\`gn~R=>0:Vji`tX;VUjbi>?0132?Qojm{U8SRoad12344763]cfiQ<_^cm`5678;897Ygbes]NeabXWhno<=>?_LzlvZTb{|f0<0=2:Vji`tXEhnoSRokd1234ZKg{UYi~{ct=0=67=SadoyS@okd^]b`a6789UFtb|PRdqvhq:468i0Xdcjr^Ob`aYXimn;<=>P_`lg45679m1_e`k}_Lcg`ZYflm:;<=QPaof345669j1_e`k}_Lcg`ZYflm:;<=QPaof34576l2^bah|PM`fg[Zgcl9:;1:Vji`tXWhno<=>?<2?01g8PlkbzVkeh=>?00a8QVCUW_CXEOBJ3:T@G<=QAL]TXT^J4:TSEwe<^YKySca{0122`>PWI{Uecy>?003a?SocZHGH_Z\>c:Tj`WGJKZ]Y==l4VhfQEHET_[8i7[gkR@O@WRT4j2\bh_OBCRUQ0g=QamXJAN]XR4`8RlbUIDIX[_8m;WkgVDKD[^XQT4;4>7Z]33?68SVY7l2]XS=QPaof3456b3^YT?0d9TWZ4XWhdo<=>?159TWZ5c3^YT?RQnne2345c<_ZU8SRoad123447a3^YTAljk_^cg`5678VGscQ]erwop9799o1\_RCnde]\eab789:TAua}_Sgpqir;:7;m7Z]PM`fg[Zgcl9:;P_`lg45679h1\_RCnde]\eab789:TSl`k012354?<_ZUFmijP_`fg4567WVkeh=>?10c8SVYJimnTSljk0123[Zgil9:;=3k4WR]\eab789:7?384W`psW@7c3QCGECV"XE@#4+7'[]_I,= > @Q@ML2^T\VMEH:5WSU]UGF0^h494:?6V|tc9\eab789:7=3m4_`fg456648:5o6Qnde2344:697h0Sljk0122878e3Vkoh=>?1=1=f>Yflm:;<<2;>c9\eab789;793l4_`fg45664?4i7Rokd1235919j2Ujhi>?00>;:g=Ximn;<=?39?18gkr53jy87k}m4:klfh2;oCGkprKM9Ud~=>?0332?kGCg|~GI=Q`r12346?5aAR58jDUXNZHh7cO\_GQA[LDRN>1eM^QFOCf8jDUXAFHTCO[IE`9mEVYNGKe~x;5aARmvp<=iIZe~xR@?a:lBWjssWG::i6`NSnww[kis89::j6`NSnww[kis89::=<>4n@QlqqYig}:;<>2g9mEVir|Vddx=>?12d8jDUh}}Uecy>?006e?kGTg|~Tbbz?0136b>hF[fSca{01222c=iIZe~xR``t12352`a3gKXcxzPnnv3457>?2dJcxz@E99mEjssGL;h7cO`uuMF[JDRN<1eNH\]9:lAAWTXNZHn7cLJRS]EWGYHJ\L:=6`MESP\BVDXWfx;<=>>2:lAAWTXNZHTSb|?012254=iJLXYSK]M_^mq45669;1eNH\]_GQA[Ziu89::=<<4nCGQVZ@TJVUd~=>?1331?kDBZ[UM_OQPos23445>3gHN^_QFOCg8jGCUZVCDNRGMUG58jGAXAFH<7cL]_HMAg>hEZVCDNRAMUGd8jGTXAFHTaxv?01224>hEZVCDNRczx12344773gHYSDAM_lw{4567:8:0bO\PIN@\ip~789:8==5aBS]JKGYj}q:;<=:>0:lAVZOHJVg~t=>?0433?kDUW@EIS`{w0123246hDIZUfyu>?016g?kEF[Vg~t=>?04f8jFGTWds<=>?6e9mGDUXe|r;<=>8d:l@EVYj}q:;<=6k;oABWZkrp9:;<484nEVBGNg?f:lGPDELWhdo<=>?1g9m@QGDCVkeh=>?03d8jARFKBUjbi>?011f?kBSIJATbbz?013e?kBSIJATbbz?01322>hCagFNn6`KioNF[JDRNm1eHd`CE^mq4567m2dOecBJ_np34566>2dOecAJ7:lGmkIB9k1eHd`@E^MAQCchCg|~DI4nEmvpJCXign;<=?>119m@jssGLUjbi>?00024>hCg|~DIRoad123563l5aIQN1[LDRN?1eE]B=r59mHAU?3gFO_RH\Be9mHAUXNZHTEO[I8:lO@VYNGKo0bAJ\_HMA[JDRNLh0bAJ\_HMAkprd3gFO_Road1236a=iDMYTmcj?0102`>hKLZUjbi>?030g?kJC[Vkeh=>?22f8jIBTWhdo<=>=4e9mHAUXign;<=<:d:lO@VYffm:;:j4nMFP[dhc89:94i5aLEQ\ekb78982o6`CDR]mkq6788n0bAJ\_omw45669=1e@ZK:;oNTA4ehK_LUjbi>?013g?kJPMVkeh=>?03f8jIQBWhdo<=>?329mK@>1:lLr`tkipUTmcj?01226>hH~lxgmtQPaof34566991eC{k}l`{\[jt789::=6`@vdpoe|YXg{:;<=?>1:lLr`tkipUTc>?01025>hH~lxgmtQPos23455692dDzh|cax]\kw6789>:=6`@vdpoe|YXg{:;<=;8;oPBIIQBk2dYM@BXE^MAQC11e^BCLS0`8jWIJKZUDNXH9;oPLIRC03gXDAZK>b:lQKHQBWFH^J95aUEQ;?kSC[VLXNi5aUEQ\BVDXAK_M46`ZDR]JKGc?1e9mQAUXign;<=?>d:lV@VYffm:;<<j4nTFP[dhc89::8i5aUEQ\ekb789;>h6`ZDR]bja6788n0bXJ\_`lg45660m1eYI]Paof3457>k2d^H^Qaou2344b7c[XE0a8jPQBWhdo<=>?d:lVS@Yffm:;<=?k;oWTAZgil9:;hQEHUTc>?016g?kPJIVUd~=>?04f8jSKFWVey<=>?6e9mRHGXWfx;<=>8d:lUIDYXg{:;<=6l;oTNEZgil9:;C<528qX4;466g4k5b?3;0b>k7:79'7`0=;l;0q^6::2gb>73e289?n<>i:044ag=c;l:1<7?51zQ;2?5bi38>n7?<4c33b?71?l=0zY=;8;295?7=;?op_5853dc960d=9:>i==h5175f3>"4j>0:o;5+24a90a>de|@:h>7)=7c;1f4>\0?39p>7;56;'5f3=;>20'97<:188m2d=831d8>k50;9j053=831d8nm50;9j0<`=831d84l50;9l3f<722e?4o4?::k761<722e?4:4?::m774<722e?>:4?::k:5<5<5<5<>i3880;66g;6183>>o3:?0;66a;9983>>i3<10;66a;6383>>i3j>0;66a;4b83>>i3=<0;66g;d483>>i39j0;66a=7283>!42m38<>6`=5e83?>i5?80;6)<:e;046>h5=m0:76a=8183>!42m38<>6`=5e81?>i5?o0;6)<:e;046>h5=m0876a=7d83>!42m38<>6`=5e87?>i5?m0;6)<:e;046>h5=m0>76a=7b83>!42m38<>6`=5e85?>i5?k0;6)<:e;046>h5=m0<76a=7783>!42m38<>6`=5e8;?>i5?<0;6)<:e;046>h5=m0276a=7583>!42m38<>6`=5e8b?>i5?90;6)<:e;046>h5=m0i76g;b;29 73b2=k0b?;k:198m1?=83.99h4;a:l11a<632c?47>5$37f>1go3=3:1(?;j:5c8j73c2=10e9:50;&11`<3i2d99i4:;:k77?6=,;?n69o4n37g>3=h5=m0<76g;1;29 73b2=k0b?;k:998m16=83.99h4;a:l11a<>32c8j7>5$37f>1go4l3:1(?;j:5c8j73c2j10e>m50;&11`<3i2d99i4k;:k67?6=,;?n69o4n37g>`=h5=m0m76g:1;29 73b2=k0b?;k:028?l37290/>8k54`9m60b=9810e9h50;&11`<3i2d99i4>2:9j0`<72-8>i7:n;o06`?7432c?h7>5$37f>1g5<#:h5=m0::65f35a94?"5=l088o5a24f94>=n;=k1<7*=5d800g=i:=e;l>1<7?50;2x 6>d2mk0D>k<;I1a1>ic13:17pl4<729q/?5m53c48L6c43A9i96a=5`83>>{e;o=1<7?k:5g927}O;k?0(>6l:958^21=9r91q)?l5;14<>o?:3:17b87:188k4632900e9j;:188k7>52900c?k7:188k`2=831b=:4?:%06a?713g8>h7>4;h36>5<#:=n9=0;6)<:e;35?k42l3807d?=:18'60c=9?1e>8j53:9j55<72-8>i7?9;o06`?2<3`l1<7*=5d822>h5=m0>76gj:18'60c=9?1e>8j56:9j`?6=,;?n6<84n37g>2=2d99i46;:kb>5<#:=n13:1(?;j:048j73c2k10e54?:%06a?713g8>h7m4;h594?"5=l0::6`=5e8g?>o6n3:1(?;j:048j73c2l10e2d99i4i;:`0a1<7280;6=u+39a9`d=O;l90D>l:;nf:>5<55;294~"40j0o:6F42900e:650;9jt$2:`>`><@:o87E=m5:kgf?6=3f8>87>5;|`1<0<72;0;6=u+39a9a==O;l90D>l:;hfa>5<N4m:1C?o;4iea94?=nlm0;66a=5583>>{e:1=1<7=50;2x 6>d2:;0D>k<;I1a1>ock3:17djk:188k7332900qo:70;297?6=8r.84n4=569K7`5<@:h>7djl:188mab=831d>8:50;9~f7cb29096=4?{%1;g?c?3A9n?6Ft$2:`>`><@:o87E=m5:kgf?6=3f8>87>5;|`1b5<72;0;6=u+39a9a==O;l90D>l:;hfa>5<N4m:1C?o;4iea94?=nlm0;66gke;29?lba2900c?;;:188ygc229026=4?{%1;g?513A9n?6F5;hff>5<>ob93:17dk=:188m`5=831d>8:50;9~f6de290>6=4?{%1;g?543A9n?6F5;hff>5<6<729q/?5m52458L6c43A9i96gkc;29?lbc2900c?;;:188yg5en3:1>7>50z&05G3c78mad=831d>8:50;9~f6e729096=4?{%1;g?c?3A9n?6Ft$2:`>`><@:o87E=m5:kgf?6=3f8>87>5;|`0g7<72:0;6=u+39a974=O;l90D>l:;hf`>5<6<729q/?5m5309K7`5<@:h>7djl:188mab=831d>8:50;9~f6dd290?6=4?{%1;g?553A9n?6F5;hff>5<N4m:1C?o;4ie`94?=h:<>1<75rb3gb>5<5290;w)=7c;g;?M5b;2B8n85fdc83>>i5==0;66sm2d`94?4=83:p(>6l:d:8L6c43A9i96gkb;29?j42<3:17pl=eb83>7<729q/?5m5e99K7`5<@:h>7djm:188k7332900qo7djl:188mab=831d>8:50;9~f7`429086=4?{%1;g?563A9n?6F5;n060?6=3th9j94?:583>5}#;1i1??5G3d18L6d23`nh6=44ief94?=nll0;66a=5583>>{e;?31<7;50;2x 6>d2:90D>k<;I1a1>ock3:17djk:188mac=831bhk4?::m111<722wi?:>50;194?6|,:2h6>?4H2g0?M5e=2coo7>5;hfg>5<N4m:1C?o;4ie`94?=h:<>1<75rb251>5<5290;w)=7c;g;?M5b;2B8n85fdc83>>i5==0;66sm36194?4=83:p(>6l:d:8L6c43A9i96gkb;29?j42<3:17pl<7583>7<729q/?5m5e99K7`5<@:h>7djm:188k7332900qo=85;296?6=8r.84n4j8:J0a6=O;k?0eil50;9l602=831vn>99:181>5<7s-93o7k7;I1f7>N4j<1bho4?::m111<722wi?:950;094?6|,:2h6h64H2g0?M5e=2con7>5;n060?6=3th8:l4?:383>5}#;1i1i55G3d18L6d23`ni6=44o377>5<53;294~"40j099:5G3d18L6d23`nh6=44ief94?=h:<>1<75rb24`>5>ocl3:17djj:188ma`=831bi=4?::kf5?6=3`o96=44o377>5<53;294~"40j08=6F>i5==0;66sm37g94?5=83:p(>6l:238L6c43A9i96gkc;29?lbc2900c?;;:188yg51n3:187>50z&05G3c78mae=831bhi4?::kga?6=3f8>87>5;|`7<7<72:0;6=u+39a974=O;l90D>l:;hf`>5<>{e<1>1<7<50;2x 6>d2l20D>k<;I1a1>ocj3:17b<:4;29?xd30<0;6>4?:1y'7=e=;81C?h=4H2`6?lbd2900eij50;9l602=831vn969:180>5<7s-93o7<:7:J0a6=O;k?0eim50;9j`a<722e9994?::a70>=8331<7>t$2:`>60<@:o87E=m5:kgg?6=3`no6=44ieg94?=nlo0;66gj0;29?lc62900eh<50;9ja6<722e9994?::a736=8391<7>t$2:`>7303A9n?6F5;n060?6=3th8:<4?:483>5}#;1i1?>5G3d18L6d23`nh6=44ief94?=nll0;66gkf;29?j42<3:17pl<6383>7<729q/?5m5e99K7`5<@:h>7djm:188k7332900qo=93;296?6=8r.84n4j8:J0a6=O;k?0eil50;9l602=831vn>8;:181>5<7s-93o7k7;I1f7>N4j<1bho4?::m111<722wi?;;50;094?6|,:2h6h64H2g0?M5e=2con7>5;n060?6=3th8:;4?:383>5}#;1i1i55G3d18L6d23`ni6=44o377>5<52;294~"40j0n46F>{e;d2:90D>k<;I1a1>ock3:17djk:188mac=831bhk4?::m111<722wi?8l50;194?6|,:2h6>?4H2g0?M5e=2coo7>5;hfg>5<l:;hf`>5<50z&05G3c78mae=831bhi4?::m111<722wi?8h50;194?6|,:2h6>?4H2g0?M5e=2coo7>5;hfg>5<l:;hf`>5<>{e99h1<7;50;2x 6>d2:90D>k<;I1a1>ock3:17djk:188mac=831bhk4?::m111<722wi==m50;194?6|,:2h6>?4H2g0?M5e=2coo7>5;hfg>5<l:;hf`>5<>{e99l1<7=50;2x 6>d2;?<7E=j3:J0f0=nlj0;66gkd;29?j42<3:17pl>1183>6<729q/?5m5309K7`5<@:h>7djl:188mab=831d>8:50;9~f47629086=4?{%1;g?563A9n?6F5;n060?6=3th:<;4?:283>5}#;1i1?<5G3d18L6d23`nh6=44ief94?=h:<>1<75rb024>5<4290;w)=7c;063>N4m:1C?o;4iea94?=nlm0;66a=5583>>{e9921<7=50;2x 6>d2:;0D>k<;I1a1>ock3:17djk:188k7332900qo??9;297?6=8r.84n4=569K7`5<@:h>7djl:188mab=831d>8:50;9~w2<72=qU;63<688gg>;4>80oo63<638gf>{t03:18vP7;<15=?bc349==7jk;<157?be3ty26=4;{_;896152mh01>8>:eg896032mh0q~o50;7xZd=:;ko1hn5237;9``=:;<21hn5211f9`f=z{k0;6;uQb:?0f`53z\`?850;3ni70=:8;fg?xuc290=wSj4=d79`f=:;kh1hi523629`f=:;<21hh5211`9`f=z{l0;6;uQe:?f1?bc349in7jl;<144?bc349>47ji;<33f?bc3tym6=49{_d89`3=ll16?ol5dd9>722=lk16?865e09>55d=ll1v<>50;4xZ46<5l?1hk523c`9`c=:;>?1ho5234:9a5=:99h1hk5rs0094??|V8801?kj:e`89`3=m916?oj5db9>6`g=lk16?:85dc9>70>=m;16==;5de9>55e=lj1v<:50;;xZ42<5;om6il4=d79a4=:;kn1hi522d`9`g=:;>=1ho5234:9a6=:99?1hn5211a9`a=z{8?1<77t^07897>32mh01?h>:ea89`3=m;16?oh5dc9>6c4=lj16?;j5db9>730=lk16=ad<5;l;6il4=d79a6=:;j:1ho522da9`g=:;?k1ho523759`g=:99=1hn5rs0g94?5|V8o01>8m:ea896072mi0q~?i:180[7a349<=7jm;<154?bc3ty54z\47>;3090oh63;838gg>;30:0on6s|8383>7}Y0;168:k5799~w`2=838pRh:4=d7960252z\241=:9931>8:4}r331?6=:r7:<84=559>55`=lj1v<>9:181877>38>863>098g`>{t99=1<773334;;57jl;|q24=<72;q6==652468946>2mn0q~??a;296~;68h09995211d9`a=z{8:i6=4={<33f?42<27:<54kc:p55e=838p1<>l:377?877>3nh7p}>0e83>7}:99n1>8:4=033>ae52z?24`<5==16=<>5de9~w46a2909w0??f;060>;6980oh6s|10294?4|58;;6?;;;<332?bc3ty:=<4?:3y>547=:<>01<>8:ef8yv74i3:1>vP>3`9>7ge=:<>0q~<:1;296~;4m=0o563;7d8;7>{t:181<786s|29194?5|V;2870<76;060>;50>0oo6s|29694?4|5;2?6?;;;<0;2?bd3ty9484?:3y>6=3=:<>01?69:ef8yv4b03:1>vP=e99>6c2=:<>0q~7}::li1>8:4=3d0>ab53z\1aa=::o;1>8:4=5:1>ab52z?1a`<5==16>k?5de9~w7ca2909w0;5n80oi6s|2g294?4|5;l;6?;;;<0e5?ba3ty9j?4?:3y>6c4=:<>01?h;:ef8yv4a;3:1>v3=f28111=::o>1hh5rs274>5<5sW9>;63<5g8111=z{:?36=4={<16;6:377?852m3no7p}<5`83>7}:;8:4=27`>abn7>52z?01g<5==16?8j5dd9~w63d2909w0=:c;060>;4=m0oo6s|34f94?4|5:?o6?;;;<16a?bd3ty89h4?:3y>70c=:<>01>;i:ef8yv5183:1>v3<618111=:;5<5s49==7<:4:?01f:0;6?u23719602<5:?j6ij4}r150?6=:r78:94=559>70g=ll1v>8::181851=38>863<5`8gb>{t;?<1<7733349>n7jl;|q022<72;q6?;952468963e2mn0q~=98;296~X4>116?;h52468yv5113:1>v3<688111=:;?l1hi5rs24b>5<5s49=m7<:4:?02fj0;6?u237a9602<5:73c=lm1v>8j:181851m38>863<6g8gg>{t;>:1<7733349=i7jl;|q034<72;q6?:?52468960e2mn0q~=82;296~;4?;09995237a9`f=z{:=86=4={<147?42<278:n4kd:p722=838p1>9;:377?851k3nn7p}<7483>7}:;>?1>8:4=24`>a`52z?033<5==16?;m5e09~w6102909w0=87;060>;4>j0n<6s|3c`94?4|5:hi6?;;;<1ag?bc3ty8ni4?:3y>7gb=:<>01>m;:ea8yv5em3:1>v35<5s49ij7<:4:?0g750;0x96e72;??70=l3;f`?xu4k80;6?u23b39602<5:i86ij4}r1`6?6=:r78o?4=559>7f2=lm1v>m<:18185d;38>863{t;j>1<7733349io7jl;|q73`<72=q68:k5272897>02mn01?k6:e`8946f2mh0q~:8f;296~;3?l03m63;818111=z{=2:6=4={<64a?>634>3:7<:4:p0=4=838p196=:377?82?>3nh7p};8283>7}:<191>8:4=5:6>ae387>52z?7<1<5==1685;5de9~w1>22909w0:75;060>;30?0oh6s|48194?5|V=3870:70;f`?82?<3ni7p};d583>1}Y01>m>:e`8963>2mh01<>j:e`8yxd3l>0;6>;59e8aa~N4j<1/?5m52938^21=:r8187s+1b7972>>i3?>0;66g;5g83>>o4;?0;66g;d583>>o31l0;66g=8383>>i3110;66g=e983>>i5010;66a;7083>>i5?:0;6)<:e;046>h5=m0;76a=7083>!42m38<>6`=5e82?>i5090;6)<:e;046>h5=m0976a=7g83>!42m38<>6`=5e80?>i5?l0;6)<:e;046>h5=m0?76a=7e83>!42m38<>6`=5e86?>i5?j0;6)<:e;046>h5=m0=76a=7c83>!42m38<>6`=5e84?>i5??0;6)<:e;046>h5=m0376a=7483>!42m38<>6`=5e8:?>i5?=0;6)<:e;046>h5=m0j76a=7183>!42m38<>6`=5e8a?>o6<3:1(?;j:018j73c2910e<<50;&11`<6;2d99i4>;:k24?6=,;?n6<=4n37g>7=5<#:5a24f91>=nk3:1(?;j:018j73c2?10eo4?:%06a?743g8>h794;hc94?"5=l0:?6`=5e8;?>o>290/>8k5129m60b=121b47>5$37f>45!42m3;87c<:d;`8?l7a290/>8k5129m60b=k21b=h4?:%06a?743g8>h7j4;h3g>5<#:5a24f9a>=n9j0;6)<:e;30?k42l3l07d?m:18'60c=9:1e>8j51198m4g=83.99h4>3:l11a<6921b=44?:%06a?743g8>h7?=;:k245<3`;:6=4+24g956=i:5<6290;w)=7c;fb?M5b;2B8n85`d883>>{e;;i1<7;50;2x 6>d2m<0D>k<;I1a1>o?93:17d6<:188m2>=831b4l4?::m125<722wi>5650;194?6|,:2h6i:4H2g0?M5e=2c<47>5;h:b>5<N4m:1C?o;4i6:94?=n0h0;66a=6183>>{e0k0;6>4?:1y'7=e=l=1C?h=4H2`6?l1?2900e5o50;9l636=831vn:850;194?6|,:2h6i:4H2g0?M5e=2c<47>5;h:b>5<N4m:1C?o;4$d594>o003:17d6n:188k7072900qo5<53;294~"40j0o86Ff2900c?8?:188yg4f83:1?7>50z&05G3c78 `1=82c<47>5;h:b>5<N4m:1C?o;4i6:94?=n0h0;66a=6183>>{e;991<7=50;2x 6>d2m>0D>k<;I1a1>"b?3:0e:650;9jt$2:`>a2<@:o87E=m5:&f3?621<75f8`83>>i5>90;66sm31794?5=83:p(>6l:e68L6c43A9i96*j7;28m2>=831b4l4?::m125<722wi?=850;194?6|,:2h6i:4H2g0?M5e=2.n;7>4i6:94?=n0h0;66a=6183>>{e;931<7=50;2x 6>d2m>0D>k<;I1a1>"b?3:0e:650;9jt$2:`>a2<@:o87E=m5:&f3?621<75f8`83>>i5>90;66sm30194?5=83:p(>6l:e68L6c43A9i96*j7;28m2>=831b4l4?::m125<722wi?<650;194?6|,:2h6i:4H2g0?M5e=2.n;7?4i6:94?=n0h0;66a=6183>>{e<031<7<50;2x 6>d2l20D>k<;I1a1>ocj3:17b<:4;29?xd3j80;6?4?:1y'7=e=m11C?h=4H2`6?lbe2900c?;;:188yg20:3:1>7>50z&05G3c78mad=831d>8:50;9~f62729096=4?{%1;g?c?3A9n?6Ft$2:`>67<@:o87E=m5:kgg?6=3`no6=44o377>5<>{e;921<7=50;2x 6>d2:;0D>k<;I1a1>ock3:17djk:188k7332900qo5<7s-93o7<:7:J0a6=O;k?0eim50;9j`a<722e9994?::a77c=8391<7>t$2:`>7303A9n?6F5;n060?6=3th9584?:283>5}#;1i1?<5G3d18L6d23`nh6=44ief94?=h:<>1<75rb3;5>5<5290;w)=7c;g;?M5b;2B8n85fdc83>>i5==0;66sm28594?5=83:p(>6l:238L6c43A9i96gkc;29?lbc2900c?;;:188yg4>03:1?7>50z&01C?h=4H2`6?lbd2900eij50;9l602=831vn?o::180>5<7s-93o7=>;I1f7>N4j<1bhn4?::kg`?6=3f8>87>5;|`1e3<72:0;6=u+39a9601<@:o87E=m5:kgg?6=3`no6=44o377>5<53;294~"40j099:5G3d18L6d23`nh6=44ief94?=h:<>1<75rb3c;>5<4290;w)=7c;12?M5b;2B8n85fdb83>>ocl3:17b<:4;29?xd5i00;6>4?:1y'7=e=:<=0D>k<;I1a1>ock3:17djk:188k7332900qo<6b;296?6=8r.84n4j8:J0a6=O;k?0eil50;9l602=831vn?7l:181>5<7s-93o7k7;I1f7>N4j<1bho4?::m111<722wi>4j50;194?6|,:2h6>?4H2g0?M5e=2coo7>5;hfg>5<N4m:1C?o;4iea94?=nlm0;66a=5583>>{e:0l1<7:50;2x 6>d2;?37E=j3:J0f0=nlj0;66gkd;29?lbb2900c?;;:188yg4?i3:1?7>50z&01C?h=4H2`6?lbd2900eij50;9l602=831vn?6m:181>5<7s-93o7k7;I1f7>N4j<1bho4?::m111<722wi>5m50;094?6|,:2h6h64H2g0?M5e=2con7>5;n060?6=3th94i4?:283>5}#;1i1?<5G3d18L6d23`nh6=44ief94?=h:<>1<75rb3:f>5<4290;w)=7c;12?M5b;2B8n85fdb83>>ocl3:17b<:4;29?xd50o0;6>4?:1y'7=e=:<=0D>k<;I1a1>ock3:17djk:188k7332900qo<60;290?6=8r.84n4=599K7`5<@:h>7djl:188mab=831bhh4?::m111<722wi8>:50;194?6|,:2h6?;8;I1f7>N4j<1bhn4?::kg`?6=3f8>87>5;|`770<72:0;6=u+39a974=O;l90D>l:;hf`>5<>{e<:=1<7=50;2x 6>d2;?<7E=j3:J0f0=nlj0;66gkd;29?j42<3:17pl;3983>7<729q/?5m5e99K7`5<@:h>7djm:188k7332900qo:<9;297?6=8r.84n4=569K7`5<@:h>7djl:188mab=831d>8:50;9~f15f29086=4?{%1;g?563A9n?6F5;n060?6=3th??o4?:283>5}#;1i1?<5G3d18L6d23`nh6=44ief94?=h:<>1<75rb51`>5<2290;w)=7c;06=>N4m:1C?o;4iea94?=nlm0;66gke;29?lba2900c?;;:188yg2e;3:1>7>50z&05G3c78mad=831d>8:50;9~f1d329096=4?{%1;g?c?3A9n?6F1<7>t$2:`>64<@:o87E=m5:kgg?6=3`no6=44ieg94?=h:<>1<75rb557>5<5290;w)=7c;g;?M5b;2B8n85fdc83>>i5==0;66sm46794?4=83:p(>6l:d:8L6c43A9i96gkb;29?j42<3:17pl;7783>1<729q/?5m5339K7`5<@:h>7djl:188mab=831bhh4?::m111<722wi>4=50;694?6|,:2h6><4H2g0?M5e=2coo7>5;hfg>5<7<729q/?5m5e99K7`5<@:h>7djm:188k7332900qot$2:`>7303A9n?6F5;n060?6=3th9n44?:283>5}#;1i1?<5G3d18L6d23`nh6=44ief94?=h:<>1<75rb3`b>5<5290;w)=7c;g;?M5b;2B8n85fdc83>>i5==0;66sm2c`94?5=83:p(>6l:238L6c43A9i96gkc;29?lbc2900c?;;:188yg4ek3:1?7>50z&01C?h=4H2`6?lbd2900eij50;9l602=831vn?m9:180>5<7s-93o7=>;I1f7>N4j<1bhn4?::kg`?6=3f8>87>5;|`1g2<72;0;6=u+39a9a==O;l90D>l:;hfa>5<N4m:1C?o;4iea94?=nlm0;66a=5583>>{e:j31<7=50;2x 6>d2;?<7E=j3:J0f0=nlj0;66gkd;29?j42<3:17pl=cb83>6<729q/?5m5309K7`5<@:h>7djl:188mab=831d>8:50;9~f7ec29096=4?{%1;g?c?3A9n?6Ft$2:`>67<@:o87E=m5:kgg?6=3`no6=44o377>5<53;294~"40j099:5G3d18L6d23`nh6=44ief94?=h:<>1<75rb3f1>5<4290;w)=7c;12?M5b;2B8n85fdb83>>ocl3:17b<:4;29?xd5l:0;6?4?:1y'7=e=m11C?h=4H2`6?lbe2900c?;;:188yg4c<3:1?7>50z&05G3c78mae=831bhi4?::m111<722wi>i;50;194?6|,:2h6?;8;I1f7>N4j<1bhn4?::kg`?6=3f8>87>5;|`1`=<72:0;6=u+39a974=O;l90D>l:;hf`>5<>{e:mk1<7=50;2x 6>d2:;0D>k<;I1a1>ock3:17djk:188k7332900qo7djl:188mab=831d>8:50;9~f7bb29086=4?{%1;g?563A9n?6F5;n060?6=3th9hk4?:383>5}#;1i1i55G3d18L6d23`ni6=44o377>5<53;294~"40j08=6F>i5==0;66sm2d394?5=83:p(>6l:374?M5b;2B8n85fdb83>>ocl3:17b<:4;29?xd5m=0;6>4?:1y'7=e=;81C?h=4H2`6?lbd2900eij50;9l602=831vn?k::181>5<7s-93o7k7;I1f7>N4j<1bho4?::m111<722wi>h850;194?6|,:2h6>?4H2g0?M5e=2coo7>5;hfg>5<l:;hf`>5<7<729q/?5m5e99K7`5<@:h>7djm:188k7332900qot$2:`>7303A9n?6F5;n060?6=3th9n?4?:283>5}#;1i1?<5G3d18L6d23`nh6=44ief94?=h:<>1<75rb3`0>5<5290;w)=7c;g;?M5b;2B8n85fdc83>>i5==0;66sm2c694?5=83:p(>6l:238L6c43A9i96gkc;29?lbc2900c?;;:188yg4e=3:1?7>50z&01C?h=4H2`6?lbd2900eij50;9l602=831vn?98:180>5<7s-93o7=>;I1f7>N4j<1bhn4?::kg`?6=3f8>87>5;|`13=<72;0;6=u+39a9a==O;l90D>l:;hfa>5<N4m:1C?o;4iea94?=nlm0;66a=5583>>{e:>k1<7=50;2x 6>d2;?<7E=j3:J0f0=nlj0;66gkd;29?j42<3:17pl<1`83>7<729q/?5m5e99K7`5<@:h>7djm:188k7332900qo=>c;296?6=8r.84n4j8:J0a6=O;k?0eil50;9l602=831vn>?k:181>5<7s-93o7k7;I1f7>N4j<1bho4?::m111<722wi?5;n060?6=3th8=k4?:383>5}#;1i1i55G3d18L6d23`ni6=44o377>5<53;294~"40j08=6F>i5==0;66sm33394?2=83:p(>6l:37;?M5b;2B8n85fdb83>>ocl3:17djj:188k7332900qo==2;297?6=8r.84n4=569K7`5<@:h>7djl:188mab=831d>8:50;9~f644290?6=4?{%1;g?553A9n?6F5;hff>5<l:;hf`>5<6=4<:183!5?k39:7E=j3:J0f0=nlj0;66gkd;29?j42<3:17pl<1783>6<729q/?5m5309K7`5<@:h>7djl:188mab=831d>8:50;9~f67029086=4?{%1;g?42?2B8i>5G3c78mae=831bhi4?::m111<722wi?<>50;794?6|,:2h6>=4H2g0?M5e=2coo7>5;hfg>5<>i5==0;66sm30394?4=83:p(>6l:d:8L6c43A9i96gkb;29?j42<3:17pl<1383>6<729q/?5m5309K7`5<@:h>7djl:188mab=831d>8:50;9~f66e29096=4?{%1;g?c?3A9n?6Ft$2:`>67<@:o87E=m5:kgg?6=3`no6=44o377>5<53;294~"40j099:5G3d18L6d23`nh6=44ief94?=h:<>1<75rb213>5<2290;w)=7c;06=>N4m:1C?o;4iea94?=nlm0;66gke;29?lba2900c?;;:188yg5493:1>7>50z&05G3c78mad=831d>8:50;9~f65529096=4?{%1;g?c?3A9n?6Ft$2:`>67<@:o87E=m5:kgg?6=3`no6=44o377>5<53;294~"40j099:5G3d18L6d23`nh6=44ief94?=h:<>1<75rb55b>5<4290;w)=7c;12?M5b;2B8n85fdb83>>ocl3:17b<:4;29?xd3?k0;6?4?:1y'7=e=m11C?h=4H2`6?lbe2900c?;;:188yg20k3:1>7>50z&05G3c78mad=831d>8:50;9~f11c290?6=4?{%1;g?4202B8i>5G3c78mae=831bhi4?::kga?6=3f8>87>5;|`7l:;hfa>5<N4m:1C?o;4ie`94?=h:<>1<75rb5:f>5<5290;w)=7c;g;?M5b;2B8n85fdc83>>i5==0;66sm49d94?4=83:p(>6l:d:8L6c43A9i96gkb;29?j42<3:17pl;9183>1<729q/?5m524:8L6c43A9i96gkc;29?lbc2900eik50;9l602=831vn97>:187>5<7s-93o7==;I1f7>N4j<1bhn4?::kg`?6=3`nn6=44o377>5<52;294~"40j0n46F>{e:oi1<7<50;2x 6>d2l20D>k<;I1a1>ocj3:17b<:4;29?xd5nm0;6>4?:1y'7=e=;81C?h=4H2`6?lbd2900eij50;9l602=831vn?hj:181>5<7s-93o7k7;I1f7>N4j<1bho4?::m111<722wi>kh50;094?6|,:2h6h64H2g0?M5e=2con7>5;n060?6=3th8<=4?:383>5}#;1i1i55G3d18L6d23`ni6=44o377>5<54;294~"40j09955G3d18L6d23`nh6=44ief94?=nll0;66a=5583>>{e;981<7=50;2x 6>d2:;0D>k<;I1a1>ock3:17djk:188k7332900qo=8391<7>t$2:`>67<@:o87E=m5:kgg?6=3`no6=44o377>5<53;294~"40j099:5G3d18L6d23`nh6=44ief94?=h:<>1<75rb3db>5<4290;w)=7c;12?M5b;2B8n85fdb83>>ocl3:17b<:4;29?xu02909wS94=3`a>ae6f>=lj1v44?:3y]=>;5kl0oo6s|a;296~Xf348o87jl;|qa>5<5sWh01?jn:ea8yve=838pRn522d49`f=z{m0;6?uQd:?1g452z\f?84e<3nh7p}i:181[`<5;o;6im4}r33>5<5sW;;70<89;f`?xu693:1>vP>1:?1ef=1hi5rs0694?4|V8>01?jj:ef8yv7?2909wS?7;<0a=?bc3ty:57>52z\2=>;5k?0oh6s|1`83>7}Y9h16>nm5de9~w4d=838pRabd;297~X6l279nk4kd:?1f71hi5rs0d94?4|V8l01?oj:ea8yv142903wS9<;<55>=g<5;386im4=23g>ad<5:;;6im4=55`>ad<5;li6il4=3dg>ae70734>7>513y]<7=:;;i1;55229:93==:<>=1;5528c84<>;0>3=370<61;5;?84f;3=370<69;5;?857;3=370=?4;5;?857=3=370=?6;5;?85713=370=?e;5;?856;3=370=>8;5;?84f:3ni7p}7b;291~;?j38=<63<098gg>;3;<0oo63<0c8gf>;3?h0oo6s|8b83>7}:0k03m637d;060>{t:<;1<7a?<5:8h65=4}r044?6=:rT9;=522`d960252z\134=::k?1>8:4}r040?6=:rT9;9522ca960252z\130=::j81>8:4}r042?6=;rT9;;5Q2618971f2;??7p}=7683>7}::>=1>8:4=35b>ae52z?13=<5==16>:75de9~w71>2909w0<89;060>;5?h0oh6s|26`94?4|V;=i70{t:>i1<786s|26f94?4|V;=o70{t:>o1<786s|26d94?4|V;=m70{t:1:1<786s|29094?3|V;2970<63;fg?856k3ni70=>0;ff?82?k3ni7p}=8283>6}Y:1901?h9:e`897`a2mh0q~<78;296~X50116>5652728yv4?13:1>v3=898;e>;51909995rs3:b>5<5s483m7<:4:?1=55l50;0x97>e2;??70<7d;fg?xu50j0;6?u229a9602<5;2n6ij4}r0;`?6=:r794i4=559>6=`=lm1v?6j:18184?m38>863=918ga>{t:1l1<77333482<7jl;|q1=4<7283p1?7>:343?82e=3no70:86;fg?84fk3nh703nh70u22879`f=::h<1hn52281960252z?1=4;51>0oo6s|28494?4|5;3=6?;;;<0:3?bc3ty95:4?:3y>6<1=:<>01?77:ef8yv4>13:1:v3=988125=::091hh5230g9`g=:;8:1hk5249f9`g=::oi1ho5rs3;b>5<5s482576n;<0:b?42<2wx>4l50;0x97?e2;??70<6d;fg?xu51j0;6?u228a9602<5;3n6ij4}r0:`?6=:r795i4=559>6<`=lj1v?7j:18184>m38>863=9g8ga>{t:h:1<770734>3j7jm;|q1e4<72:q6>l>5799>067=?116>l<52468yv4f;3:1>v3=a28125=:<1o1ho5rs3c7>5<5s48j?76n;<0b=?42<2wx>l;50;0x97g22;??706d?=lj1v?o7:18184f038>863=a88g`>{t:hh1<7733348jj7jl;|q1ef<72;q6>lj5246897gb2mn0q~7}::k>1>8:4=3`6>ab52z?1f<<5==16>om5db9~w7d>2909w0;5jk0oh6s|2cc94?4|5;hi6?;;;<0ag?bc3ty9nh4?:3y>6g`=:<>01?m=:ea8yv4en3:1>v3=c18111=::j;1hi5rs3a3>5<5s48h=7<:4:?1g7n;50;0x97e12;??706f?=lm1v?mm:18184dk38>863=cg8gg>{t:ji1<7733348hi7jk;|q1ga<72;q6>nk5246897ea2mn0q~7}::m21>8:4=3fa>ae52z?1`<<5==16>io5de9~w7b>2909w0;5lk0oh6s|2ef94?4|5;nn6?;;;<0f5?bd3ty9hh4?:3y>6a`=:<>01?k?:ef8yv4cn3:1>v3=e18111=::l;1hi5rs3g0>5<5s48n87<:4:?1a2h:50;0x97c22;??706=46{<0:2?be348j:7jk;<0:g?be3483o7jm;<602?be349:j7jm;<121?bd349:=7jm;<0ee?42<2wx>k850;0x97`12;??70=?1;fg?xu5n>0;6?u22g59602<5;l26im4}r0e6c?=lm1v?h6:18184a138>863=f`8gg>{t:oh1<7733349;=7jl;|q1bf<72;q6>km5246896662mo0q~7}:;9:1>8:4=3db>ab52z?044<5==16>k95de9~w6652909w0=?2;060>;5n10oh6s|31194?0|5::86?8?;<0:b?bc3483j7jl;<60=?bd3499>7jl;<104?bb3ty8<94?:5y>755=0h16?=:5272891532mi01>=?:ea8yv57=3:18v3<058;e>;48<09:=524269`a=:;::1hi5rs225>5<0s49;:7<90:?1e0>9:9c8966?2;??7p}<0883>0}:;931>;>4=22;>ab<5;2j6im4=516>ab<5::h6im4}r13e?6=:r78<447a:?04a<5==1v>>m:181857j38>863<0b8g`>{t;9i1<7733349;h7jk;|q04`<72l>58`9>75c=:?:01?77:ea897>f2mn01>>k:ea8yv57n3:1>v3<0d8;e>;49;09995rs233>5<5s49:<7<:4:?0572;fg?xu49:0;68u23179;>4=3c4>ae<5=9<6im4=213>a`52z?0565;060>;49>0oo6s|30494?4|5:;=6?;;;<123?bc3ty8=54?:8y>74>=:?:01?7::ef897g?2mi01?7j:ea897>b2mi019=n:ea896442mn01>?::ef896772mn0q~=>9;296~;49103m63<1c8111=z{:;j6=4={<12e?42<278>=4kd:p74e=838p1>?l:377?85593no7p}<1e83>7}:;8n1>8:4=202>ae52z?05`<5==16???5dd9~w67a2909w0=>f;060>;4::0oi6s|33294?4|5:8;6?;;;<116?bc3ty8><4?:3y>777=:<>01><<:ea8yv55:3:1>v3<238111=:;8h1hn5rs200>5<5s499?7<:4:?05g7}:;;i14l5233g960252z?06f;4;=0oo6s|32394?4|5:9:6?;;;<107?bd3ty8??4?:3y>764=:<>01>=<:ef8yv54;3:1>v3<328111=:;:>1hi5rs215>5<3sW98:63<2d8g`>;4;80on63=fe8g`>{t;:l1<79t=262>733348j97jl;<0:f?be3483n7jm;<60716=:<>01>:>:ef8yv53:3:19vP<439>717=lj16??k5db9>764=lk16>k95db9~w1632908wS:?4:?7=f;<605?4182wx8>=50;0x915621k019=l:377?xu3;=0;6?u24269602<5=9h6im4}r601?6=:r7??84=559>06?=lm1v9=9:181824>38>863;3`8g`>{t<:=1<773334>8n7jl;|q77=<72;q68>652468915e2mn0q~:<9;296~;3;009995242a9`a=z{=9j6=4={<60e?42<27??n4ke:p06d=838p19=m:377?824k3nm7p};3e83>7}Y<:n015j5dc9~w13a2909wS::f:?730<>7<:4:p025=838p199=:e`891112;??7p};7583>7}:<>>1>8:4=555>ae<97>52z?730<5==168:85dd9~w1102909wS:87:?732<5>91v996:181820?32j70:8d;060>{t<>k1<773334>;31809995rs5:`>5<5s4>3o7<:4:?7=5c2;??70:60;ff?xu30l0;6?u249g9602<5=3:6im4}r6;b?6=:r7?4k4=559>0<7=ll1v97?:18182>838>863;908g`>{t<021<7138>86s|48`94?4|V=3i70:6c;060>{t<0o1<77}Y:377?xu3j;0;6?u24c39`g=:8:4}r6a7?6=:r7?n>4=559>0g3=lj1v9l;:18182e<38>863;b48ga>{t1<7;t^5f7?82>k3nh70:m3;fa?820<3ni70:8b;fa?x{e;ok1<7?9:9396l:021?!7d=39<46g72;29?l`b2900e<=n:188m`g=831b=5<:183!5?k3nj7E=j3:J0f0=hl00;66sm40a94?3=83:p(>6l:e48L6c43A9i96g71;29?l>42900e:650;9jt$2:`>a0<@:o87E=m5:&f3?6>o003:17d6n:188k7072900qo5<7s-93o7j9;I1f7>N4j<1b4<4?::k;7?6=3`=36=44i9c94?=h:?:1<75rb9:94?5=83:p(>6l:e68L6c43A9i96g88;29?l>f2900c?8?:188yg4483:1?7>50z&05G3c78m2>=831b4l4?::m125<722wi=>=50;794?6|,:2h6i84H2g0?M5e=2c3=7>5;h:0>5<21<75f8`83>>i5>90;66sm2183>6<729q/?5m5d59K7`5<@:h>7d97:188m=g=831d>;>50;9~f4>c29086=4?{%1;g?b33A9n?6F5;n054?6=3th:=h4?:283>5}#;1i1h95G3d18L6d23`=36=44i9c94?=h:?:1<75rb0c1>5<4290;w)=7c;f7?M5b;2B8n85f7983>>o?i3:17b<90;29?xd6;m0;6>4?:1y'7=e=l=1C?h=4H2`6?l1?2900e5o50;9l636=831vn5<7s-93o7j;;I1f7>N4j<1b;54?::k;e?6=3f8=<7>5;|`e`?6=;3:1N4m:1C?o;4$d594>o003:17d6n:188k7072900qo?6e;291?6=8r.84n4k6:J0a6=O;k?0e5?50;9j<6<722c<47>5;h:b>5<N4m:1C?o;4i9394?=n0:0;66g88;29?l>f2900c?8?:188yg72>3:197>50z&02B8i>5G3c78m=7=831b4>4?::k45<53;294~"40j0o86Ff2900c?8?:188yg50l3:1?7>50z&05G3c78 `1=82c<47>5;h:b>5<N4m:1C?o;4ie`94?=h:<>1<75rb56g>5<5290;w)=7c;g;?M5b;2B8n85fdc83>>i5==0;66sm12394?4=83:p(>6l:d:8L6c43A9i96gkb;29?j42<3:17pl>a183>7<729q/?5m5e99K7`5<@:h>7djm:188k7332900qo:>f;296?6=8r.84n4j8:J0a6=O;k?0eil50;9l602=831vn>>50;194?6|,:2h6?;8;I1f7>N4j<1bhn4?::kg`?6=3f8>87>5;|`0l:;hfa>5<N4m:1C?o;4iea94?=nlm0;66a=5583>>{e<<:1<7=50;2x 6>d2:;0D>k<;I1a1>ock3:17djk:188k7332900qo::1;296?6=8r.84n4j8:J0a6=O;k?0eil50;9l602=831vn9;=:181>5<7s-93o7k7;I1f7>N4j<1bho4?::m111<722wi88=50;194?6|,:2h6>?4H2g0?M5e=2coo7>5;hfg>5<N4m:1C?o;4iea94?=nlm0;66a=5583>>{e9:l1<7=50;2x 6>d2:;0D>k<;I1a1>ock3:17djk:188k7332900qo?;0;296?6=8r.84n4j8:J0a6=O;k?0eil50;9l602=831vn<:>:180>5<7s-93o7=>;I1f7>N4j<1bhn4?::kg`?6=3f8>87>5;|`03c<72;0;6=u+39a9a==O;l90D>l:;hfa>5<l:;hf`>5<7<729q/?5m5e99K7`5<@:h>7djm:188k7332900qo=74;296?6=8r.84n4j8:J0a6=O;k?0eil50;9l602=831vn>6::180>5<7s-93o7=>;I1f7>N4j<1bhn4?::kg`?6=3f8>87>5;|`0<3<72:0;6=u+39a974=O;l90D>l:;hf`>5<;6F>i5==0;66sm39:94?5=83:p(>6l:238L6c43A9i96gkc;29?lbc2900c?;;:188yg5?83:1?7>50z&01C?h=4H2`6?lbd2900eij50;9l602=831vn>9m:180>5<7s-93o7=>;I1f7>N4j<1bhn4?::kg`?6=3f8>87>5;|`03f<72:0;6=u+39a9601<@:o87E=m5:kgg?6=3`no6=44o377>5<9=7>53;294~"40j08=6F>i5==0;66sm43094?4=83:p(>6l:d:8L6c43A9i96gkb;29?j42<3:17pl;2283>6<729q/?5m52458L6c43A9i96gkc;29?lbc2900c?;;:188yg74=3:1?7>50z&05G3c78mae=831bhi4?::m111<722wi=>850;094?6|,:2h6h64H2g0?M5e=2con7>5;n060?6=3th:?:4?:383>5}#;1i1i55G3d18L6d23`ni6=44o377>5<53;294~"40j08=6F>i5==0;66sm12;94?5=83:p(>6l:374?M5b;2B8n85fdb83>>ocl3:17b<:4;29?xd6<:0;6>4?:1y'7=e=;81C?h=4H2`6?lbd2900eij50;9l602=831vn<:6:181>5<7s-93o7k7;I1f7>N4j<1bho4?::m111<722wi=9o50;094?6|,:2h6h64H2g0?M5e=2con7>5;n060?6=3th:8o4?:283>5}#;1i1?<5G3d18L6d23`nh6=44ief94?=h:<>1<75rb06`>5<4290;w)=7c;12?M5b;2B8n85fdb83>>ocl3:17b<:4;29?xd67>50z&05G3c78mad=831d>8:50;9~f42a29096=4?{%1;g?c?3A9n?6Ft$2:`>7303A9n?6F5;n060?6=3th:894?:283>5}#;1i1?<5G3d18L6d23`nh6=44ief94?=h:<>1<75rb066>5<4290;w)=7c;12?M5b;2B8n85fdb83>>ocl3:17b<:4;29?xd64?:1y'7=e=:<=0D>k<;I1a1>ock3:17djk:188k7332900qo?;7;290?6=8r.84n4<2:J0a6=O;k?0eim50;9j`a<722coi7>5;n060?6=3th:854?:283>5}#;1i1>894H2g0?M5e=2coo7>5;hfg>5<N4m:1C?o;4iea94?=nlm0;66a=5583>>{e9hh1<7<50;2x 6>d2l20D>k<;I1a1>ocj3:17b<:4;29?xd6ij0;6?4?:1y'7=e=m11C?h=4H2`6?lbe2900c?;;:188yg7fl3:1>7>50z&05G3c78mad=831d>8:50;9~f4gb29096=4?{%1;g?c?3A9n?6Ft$2:`>`><@:o87E=m5:kgf?6=3f8>87>5;|`2f5<72;0;6=u+39a9a==O;l90D>l:;hfa>5<N4m:1C?o;4ie`94?=h:<>1<75rb0`1>5<4290;w)=7c;063>N4m:1C?o;4iea94?=nlm0;66a=5583>>{e9h?1<7=50;2x 6>d2:;0D>k<;I1a1>ock3:17djk:188k7332900qo?n6;290?6=8r.84n4<2:J0a6=O;k?0eim50;9j`a<722coi7>5;n060?6=3th:m:4?:283>5}#;1i1?<5G3d18L6d23`nh6=44ief94?=h:<>1<75rb0c;>5<4290;w)=7c;063>N4m:1C?o;4iea94?=nlm0;66a=5583>>{e9h31<7:50;2x 6>d2:80D>k<;I1a1>ock3:17djk:188mac=831d>8:50;9~f4gf29086=4?{%1;g?42?2B8i>5G3c78mae=831bhi4?::m111<722wi=8650;194?6|,:2h6>?4H2g0?M5e=2coo7>5;hfg>5<l:;hf`>5<>{e9d2l20D>k<;I1a1>ocj3:17b<:4;29?xd6=j0;6>4?:1y'7=e=;81C?h=4H2`6?lbd2900eij50;9l602=831vn<;k:180>5<7s-93o7=>;I1f7>N4j<1bhn4?::kg`?6=3f8>87>5;|`21`<72=0;6=u+39a977=O;l90D>l:;hf`>5<>i5==0;66sm19d94?5=83:p(>6l:238L6c43A9i96gkc;29?lbc2900c?;;:188yg7>>3:1>7>50z&05G3c78mad=831d>8:50;9~f4?029096=4?{%1;g?c?3A9n?6F=8391<7>t$2:`>7303A9n?6F5;n060?6=3th:544?:383>5}#;1i1i55G3d18L6d23`ni6=44o377>5<52;294~"40j0n46F>{e90i1<7=50;2x 6>d2:;0D>k<;I1a1>ock3:17djk:188k7332900qo?6d;296?6=8r.84n4j8:J0a6=O;k?0eil50;9l602=831vn<7?:187>5<7s-93o7==;I1f7>N4j<1bhn4?::kg`?6=3`nn6=44o377>5<54;294~"40j08>6F>ocm3:17b<:4;29?xd61;0;6>4?:1y'7=e=;81C?h=4H2`6?lbd2900eij50;9l602=831vn<7<:180>5<7s-93o7<:7:J0a6=O;k?0eim50;9j`a<722e9994?::a5<2=8391<7>t$2:`>67<@:o87E=m5:kgg?6=3`no6=44o377>5<54;294~"40j09955G3d18L6d23`nh6=44ief94?=nll0;66a=5583>>{e9;:1<7=50;2x 6>d2:;0D>k<;I1a1>ock3:17djk:188k7332900qo?=7;296?6=8r.84n4j8:J0a6=O;k?0eil50;9l602=831vn<<7:181>5<7s-93o7k7;I1f7>N4j<1bho4?::m111<722wi=?750;194?6|,:2h6?;8;I1f7>N4j<1bhn4?::kg`?6=3f8>87>5;|`26d<72;0;6=u+39a9a==O;l90D>l:;hfa>5<N4m:1C?o;4ie`94?=h:<>1<75rb00`>5<5290;w)=7c;g;?M5b;2B8n85fdc83>>i5==0;66sm13f94?5=83:p(>6l:238L6c43A9i96gkc;29?lbc2900c?;;:188yg75m3:1>7>50z&05G3c78mad=831d>8:50;9~f446290?6=4?{%1;g?553A9n?6F5;hff>5<N4m:1C?o;4iea94?=nlm0;66gke;29?j42<3:17pl>2283>6<729q/?5m5309K7`5<@:h>7djl:188mab=831d>8:50;9~f44329086=4?{%1;g?42?2B8i>5G3c78mae=831bhi4?::m111<722wi=?;50;194?6|,:2h6>?4H2g0?M5e=2coo7>5;hfg>5<l:;hf`>5<>i5==0;66sm35d94?4=83:p(>6l:d:8L6c43A9i96gkb;29?j42<3:17pl<5183>7<729q/?5m5e99K7`5<@:h>7djm:188k7332900qo=:1;296?6=8r.84n4j8:J0a6=O;k?0eil50;9l602=831vn>;=:181>5<7s-93o7k7;I1f7>N4j<1bho4?::m111<722wi?8=50;194?6|,:2h6>?4H2g0?M5e=2coo7>5;hfg>5<l:;hf`>5<6=4;:183!5?k3997E=j3:J0f0=nlj0;66gkd;29?lbb2900c?;;:188yg52>3:1?7>50z&01C?h=4H2`6?lbd2900eij50;9l602=831v?>50;0xZ76<5;:1>;>4}r0f>5k3no70?=c;fa?875l3no70=:1;fa?xu5n3:1>v3=e;:2?8572;??7p}83;29e~X0;2788i47a:?2762><5:>o6:64=3g93==:010<463=3184<>;6;:0<463=0;5;?87?l3=370?>e;5;?87f:3=370?201<201>96:6:8961c2>20q~67:1818>?2;<;70=?:ea8yv>>2909w067:9c896>e2;??7p}ja;296~Xbi27mh76n;|qe`?6==r7mh7<90:?03c;3=90oo63>3g8gg>;40;0oo63>598g`>;4=<0oh6s|10a94?4|V8;h70?>d;:b?xu69m0;6?u210f9636<589>6im4}r32a?6=ab52z?25`;4=559~w4472909w0?=0;060>;6:?0oh6s|13394?4|588:6?;;;<310?bd3ty:>?4?:3y>574=:<>01<<;:ef8yv75;3:1>v3>228111=:9;<1hh5rs007>5<5s4;987<:4:?2600;6?u21359602<588:6im4}r3154=559>577=ll1v<<6:181875138>863>238g`>{t9;k1<773334;9>7jl;|q26g<72;q6=?l5246894452mo0q~?=c;296~;6:j0999521379`a=z{88o6=4={<31`?42<27:>>4kc:p57c=838p1<2g83>7}:9;l1>;>4=00g>ae52z?26c91v<=;:181874;32:70?<9;060>{t9:?1<773334;847jl;|q273<72;q6=>852468945?2mn0q~?<7;296~;6;>09995212;9`a=z{8936=4={<30pR<=n;<302?be34;>o7jl;<160?bd3ty:?o4?:3y]56d<589h6?;;;|q27a<72:qU=>j4=01g>70734;??7jl;|q27`<72>q6=9?52468942d2mi01v3>3g8111=:9=;1hn5rs063>5<5s4;?<7<:4:?204>6im4}r370?6=:r7:894=559>510=lm1v<:::181873=38>863>498gg>{t9=<1<773334;?;7jk;|q202<72;q6=9952468942?2mn0q~?;9;296~;6<00999521429`f=z{8>j6=4={<37e?42<27:9=4kd:p51d=838p1<:m:377?873>3nh7p}>4b83>7}:9=i1>8:4=067>ae52z?20a<5==16=9:5de9~w42b2909w0?;e;060>;6<>0oo6s|15d94?4|58>m6?;;;<373?bb3ty:9=4?:3y>506=:<>01<:::ef8yv72>3:1?v3>578125=:9=h1hi521`f9`g=z{8?<6=4={<36=838p1<;6:377?872k3no7p}>5883>7}:98:4=07g>aem7>52z?21g<5==16=8j5de9~w43e2909w0?:c;060>;6=l0oo6s|14a94?4|58?o6?;;;<36a?bb3ty:4i4?:5y]5=b<582o6?8?;<3;b?bd34;2<7jk;|q2<`<72;q6=5j58`9>5<3=:<>0q~?7f;296~;60o0999521879`a=z{83;6=4={<3:4?42<27:5>4kc:p5<7=838p1<7>:377?87>;3no7p}>9383>7}:9081>8:4=0;6>ac52z?2=6<5==16=4:5db9~w4?32909w0?64;060>;61<0oo6s|18494?4|583=6?;;;<3:4?bd3ty:5:4?:3y>5<1=:<>01<7?:eg8yv7>03:1>v3>998111=:90;1hi5rs0;:>5<5s4;257<:4:?2=45<4=lj1v<7k:18187>l38>863>938g`>{t90o1<770734;2o7jl;|q2=c<72;q6=4k58`9>5d6=:<>0q~?n2;297~X6i;16=l<5272894g32mi0q~?n3;296~;6i;03m63>a`8111=z{8k?6=4={<3b0?42<27:m:4kc:p5d3=838p1a783>7}:9h<1>8:4=0c;>ab52z?2e2<5==16=lo5db9~w4g?2909w0?n8;060>;6i00oh6s|1`;94?4|58k26?;;;<3be?bc3ty:mo4?:3y>5dd=:<>01v3>ab8111=:9k81hi5rs0cg>5<5s4;jh7<:4:?2e05d?=lj1v:18187e938>863>a88ga>{t9k81<773334;j;7jk;|q175<72h47a:?175<5>916=4k5809>57`=0816?=4kd:p667=839p1?=?:9c8943121;01<;j:377?xu5=80;65u23d69`<=:<8i14>5235f9<6=::l03?63>328;7>;61l03?63>2g8;7>;6=?03?6s|2d:94?4|V;o370::2;fa?xu4;6il4=2:0>ad<5=8:6im4=016>ab<58?i6il4}r17a?6=:r788i471:?013<5==1v>:i:181853n38>863<528gg>{t;<:1<7733349>?7jk;|q014<72;q6?8?5246896322mo0q~=:2;296~;4=;0999523449`a=z{:?86=4={<167?42<278994kd:p702=838p1>;;:377?852=3nh7p}<5483>7}:;8:4=275>ae511y>72?=:?:01>6n:e`896>32mh01>9m:ea8942f2mh01<:j:e`894gd2mh012mn01<6i:ef894?f2mh01<7k:e`894472mn01<;?:e`8yv50i3:1>v3<788;e>;4?j09995rs25a>5<5s499k:343?85?j3nh70=71;fg?85?=3nh70=8c;f`?873;3no70?;f;fa?87f<3no70?m1;fa?87213nh70?67;fa?87>03nh70?=7;fa?87513nh70=;f;fa?xu4?l0;6?u236f98:4}r14b?6=:r78;k4=559>7=3=lm1v>6>:18185?938>863<868gg>{t;181<77333493:7jl;|q0<6<72;q6?5=5246896>12mn0q~=74;296~;40=09995239:9`a=z{:2>6=4={<1;1?42<2784=4kc:p7=0=838p1>69:377?85??3no7p}<8683>7}:;1=1>8:4=2:;>ae52z?0<=<5==16?5>5de9~w6>>2909w0=7a;060>;40k0oh6s|41694?4|V=:?70::1;fa?xu39j0;6?uQ40a8917d2;<;7p};1d83>7}:<8i14l5240d96029<7>52z?75f>4=559~w1462909w0:=1;060>;3::0oo6s|43094?4|5=896?;;;<617?bc3ty?8n4?:3y]01e<5=>o6?;;;|q70c<72;q689j5dc9>002=:<>0q~::0;296~;3=90999524469`f=z{=?:6=4={<665?42<27?9>4kc:p004=838p19;=:377?822;3no7p};5283>7}:<<91>8:4=577>ab>j7>53z\71c=:9:;1ho5213c9`g=z{=3n6=4<{_6:a>;6i90on63>988gf>{t1<7;t^5f7?872>32j70::0;fg?873k3no70?nf;fa?x{e;o21<7j51582a~N4j<1/?5m5d99'5f3=;>20c9mm:188m45f2900c9=k:188m45e2900e9>;:188k25=831bh?4?::k124<722c94?4?::k1a=<722cn87>5;h0;5;c60`?6=;3:1N4m:1C?o;4i6:94?=n0h0;66a=6183>>{e;>0;6>4?:1y'7=e=l=1C?h=4H2`6?!c0291b;54?::k;e?6=3f8=<7>5;|`7gg<72=0;6=u+39a9`2=O;l90D>l:;h5;>5<6l:d:8L6c43A9i96gkb;29?j42<3:17pl85;297?6=8r.84n4=569K7`5<@:h>7djl:188mab=831d>8:50;9~f6d=8381<7>t$2:`>`><@:o87E=m5:kgf?6=3f8>87>5;|`702<72;0;6=u+39a9a==O;l90D>l:;hfa>5<N4m:1C?o;4ie`94?=h:<>1<75rb2:94?4=83:p(>6l:d:8L6c43A9i96gkb;29?j42<3:17pl;4283>6<729q/?5m5309K7`5<@:h>7djl:188mab=831d>8:50;9~f12329096=4?{%1;g?c?3A9n?6Ft$2:`>67<@:o87E=m5:kgg?6=3`no6=44o377>5<o=7>54;294~"40j08>6F>ocm3:17b<:4;29?xd4:<0;6>4?:1y'7=e=;81C?h=4H2`6?lbd2900eij50;9l602=831vn><9:181>5<7s-93o7k7;I1f7>N4j<1bho4?::m111<722wi??950;194?6|,:2h6?;8;I1f7>N4j<1bhn4?::kg`?6=3f8>87>5;|`06=<72:0;6=u+39a974=O;l90D>l:;hf`>5<;6F>i5==0;66sm33c94?5=83:p(>6l:238L6c43A9i96gkc;29?lbc2900c?;;:188yg55j3:1?7>50z&01C?h=4H2`6?lbd2900eij50;9l602=831v>950;0x961=:?:01:;5db9~w6?=838p1>95799>7=<5==1v>o50;0x961=0h16?o4=559~w25=83>pR:=4=679602<5=>86im4=5f2>ab73334=>6ij4}rf1>5<3sWn970:o=7jj;|q276<72;qU=>=4=20a>ae52z\27d=:;;<1ho5rs01a>5<5sW;8n63<268gg>{t:?;1<77}Y:1801><::ea8yv4?03:1>vP=899>773=lm1v?k7:181[4b0278>44kc:p772=839p19:<:ef891b62mi01>;4=559>771=lm1v><8:181855?38>863<298g`>{t;;21<7733349957jk;|q06<<72;q6??752468964f2mn0q~==a;296~;4:h09995233`9`a=z{=:?6=4;{_630>;3kk099k52758gf>;4j3ni7p};3e83>7}Y<:n019=k:343?xu3<;0;6?u24559`g=:<=?1>8:4}r677?6=:r7?8>4=559>013=lj1v9:;:181823<38>863;448g`>{t<=<1<7=g<5=><6?;;;|q7gg<72;qU8nl4=5aa>7073ty?h=4?:3y>0a5=lk168i?52468yv2c:3:1>v3;cc8;e>;3l:09995r}c1e=?6=9?0i57m:{I1a1>"40j0:<<5+1b7972>;3:17b?>2;29?l1b2900e<=k:188m2?=831d88h50;9j564=831b:o4?::m7=`<722c:m<4?::k2e7<722c?=o4?::m70=<722e?984?::m14?6=3f>i:7>5;c1f0?6=93:1N4m:1C?o;4oe;94?=zj=h=6=4::183!5?k3n=7E=j3:J0f0=n080;66g73;29?l1?2900e5o50;9l636=831vno<50;194?6|,:2h6i:4H2g0?M5e=2c<47>5;h:b>5<5<4290;w)=7c;f7?M5b;2B8n85f7983>>o?i3:17b<90;29?xdfi3:1?7>50z&05G3c78m2>=831b4l4?::m125<722wim=4?:283>5}#;1i1h95G3d18L6d23`=36=44i9c94?=h:?:1<75rb3294?5=83:p(>6l:e68L6c43A9i96g88;29?l>f2900c?8?:188ygcf29086=4?{%1;g?b33A9n?6F5;n054?6=3th:9k4?:283>5}#;1i1h95G3d18L6d23`=36=44i9c94?=h:?:1<75rb0`0>5<4290;w)=7c;f7?M5b;2B8n85f7983>>o?i3:17b<90;29?xd6=80;6>4?:1y'7=e=l=1C?h=4H2`6?l1?2900e5o50;9l636=831vn5>50;194?6|,:2h6i:4H2g0?M5e=2c<47>5;h:b>5<N4m:1C?o;4i6:94?=n0h0;66a=6183>>{e<d2m>0D>k<;I1a1>o003:17d6n:188k7072900qo:?a;297?6=8r.84n4k4:J0a6=O;k?0e:650;9j=8391<7>t$2:`>a2<@:o87E=m5:k45<6<729q/?5m5d59K7`5<@:h>7d97:188m=g=831d>;>50;9~fcc=83>1<7>t$2:`>a3<@:o87E=m5:k;7?6=3`=36=44i9c94?=h:?:1<75rb031>5<3290;w)=7c;f6?M5b;2B8n85f8283>>o003:17d6n:188k7072900qo7?:180>5<7s-93o7j;;I1f7>N4j<1/i:4?;h5;>5<0;7d97:188m=g=831d>;>50;9~f41729086=4?{%1;g?b33A9n?6F5<4290;w)=7c;f7?M5b;2B8n85+e683?l1?2900e5o50;9l636=831vn<9k:180>5<7s-93o7j;;I1f7>N4j<1/i:4?;h5;>5<0;7d97:188m=g=831d>;>50;9~f41a29086=4?{%1;g?b33A9n?6F5<4290;w)=7c;f7?M5b;2B8n85+e682?l1?2900e5o50;9l636=831vno650;195?5|@:h>7)=7c;3`3>o?m3:17d6i:188k6202900n>k6:180>5<7s-93o7=j2:J0a6=O;k?0eim50;9j`a<722e9994?::p<`<72;qU4h523d;9`a=z{1l1<72mi0q~=;7;296~X4<>16?h752468yxde?3:1?7?53zJ0f0=#;1i1=n94i9g94?=n0o0;66a<4683>>d4m00;6>4?:1y'7=e=;l80D>k<;I1a1>ock3:17djk:188k7332900q~6j:181[>b349n57jk;|q;b?6=:rT3j63{t;==1<786srbc494?5=939pD>l:;%1;g?7d?2c3i7>5;h:e>5<<6=44b2g:>5<4290;w)=7c;1f6>N4m:1C?o;4iea94?=nlm0;66a=5583>>{t0l0;6?uQ8d9>7`?=lm1v5h50;0xZ=`<5:o26im4}r173?6=:rT88:523d;96025}#;1i1i55G3d18L6d23`ni6=44o377>5<?7>52;294~"40j0n46F>{e9d2:;0D>k<;I1a1>ock3:17djk:188k7332900qo:;b;297?6=8r.84n4=569K7`5<@:h>7djl:188mab=831d>8:50;9~f6df29096=4?{%1;g?c?3A9n?6Ft$2:`>`><@:o87E=m5:kgf?6=3f8>87>5;|`735<72;0;6=u+39a9a==O;l90D>l:;hfa>5<N4m:1C?o;4ie`94?=h:<>1<75rb5`a>5<5290;w)=7c;g;?M5b;2B8n85fdc83>>i5==0;66smb583>7<729q/?5m5e99K7`5<@:h>7djm:188k7332900qol::180>5<7s-93o7=>;I1f7>N4j<1bhn4?::kg`?6=3f8>87>5;|`a4?6=:3:1N4m:1C?o;4ie`94?=h:<>1<75rbc394?5=83:p(>6l:238L6c43A9i96gkc;29?lbc2900c?;;:188yggd29096=4?{%1;g?c?3A9n?6Fl:;hf`>5<d2l20D>k<;I1a1>ocj3:17b<:4;29?xdei3:1?7>50z&05G3c78mae=831bhi4?::m111<722winn4?:283>5}#;1i1?<5G3d18L6d23`nh6=44ief94?=h:<>1<75rb52`>5<5290;w)=7c;g;?M5b;2B8n85fdc83>>i5==0;66sm17394?4=83:p(>6l:d:8L6c43A9i96gkb;29?j42<3:17pl>6383>7<729q/?5m5e99K7`5<@:h>7djm:188k7332900qo?93;290?6=8r.84n4<2:J0a6=O;k?0eim50;9j`a<722coi7>5;n060?6=3th:454?:283>5}#;1i1>894H2g0?M5e=2coo7>5;hfg>5<N4m:1C?o;4ie`94?=h:<>1<75rb0:b>5<4290;w)=7c;063>N4m:1C?o;4iea94?=nlm0;66a=5583>>{e91h1<7=50;2x 6>d2:;0D>k<;I1a1>ock3:17djk:188k7332900qo?7c;297?6=8r.84n4=569K7`5<@:h>7djl:188mab=831d>8:50;9~f4>629086=4?{%1;g?563A9n?6F5;n060?6=3th:4?4?:383>5}#;1i1i55G3d18L6d23`ni6=44o377>5<52;294~"40j0n46F8483>6<729q/?5m5309K7`5<@:h>7djl:188mab=831d>8:50;9~f41029096=4?{%1;g?c?3A9n?6F=8381<7>t$2:`>`><@:o87E=m5:kgf?6=3f8>87>5;|`23<<72:0;6=u+39a974=O;l90D>l:;hf`>5<;6F>i5==0;66sm16`94?5=83:p(>6l:238L6c43A9i96gkc;29?lbc2900c?;;:188yg70k3:1?7>50z&01C?h=4H2`6?lbd2900eij50;9l602=831vn<9=:180>5<7s-93o7<:7:J0a6=O;k?0eim50;9j`a<722e9994?::a525=8381<7>t$2:`>`><@:o87E=m5:kgf?6=3f8>87>5;|`231<72=0;6=u+39a977=O;l90D>l:;hf`>5<>i5==0;66sm4b494?3=83:p(>6l:218L6c43A9i96gkc;29?lbc2900eik50;9j`c<722e9994?::a0f1=8381<7>t$2:`>`><@:o87E=m5:kgf?6=3f8>87>5;|`7g=<72;0;6=u+39a9a==O;l90D>l:;hfa>5<N4m:1C?o;4iea94?=nlm0;66a=5583>>{ed2:;0D>k<;I1a1>ock3:17djk:188k7332900qo7=:186>5<7s-93o7<:9:J0a6=O;k?0eim50;9j`a<722coi7>5;hfe>5<5<4290;w)=7c;12?M5b;2B8n85fdb83>>ocl3:17b<:4;29?xd><3:1>7>50z&05G3c78mad=831d>8:50;9~f<3=8391<7>t$2:`>67<@:o87E=m5:kgg?6=3`no6=44o377>5<7djl:188mab=831d>8:50;9~f10129086=4?{%1;g?42?2B8i>5G3c78mae=831bhi4?::m111<722wi8;950;094?6|,:2h6h64H2g0?M5e=2con7>5;n060?6=3th?:54?:283>5}#;1i1?<5G3d18L6d23`nh6=44ief94?=h:<>1<75rb54:>5<5290;w)=7c;g;?M5b;2B8n85fdc83>>i5==0;66sm47c94?5=83:p(>6l:238L6c43A9i96gkc;29?lbc2900c?;;:188yg21j3:1?7>50z&05G3c78mae=831bhi4?::m111<722wi8;m50;194?6|,:2h6?;8;I1f7>N4j<1bhn4?::kg`?6=3f8>87>5;|`72a<72=0;6=u+39a960><@:o87E=m5:kgg?6=3`no6=44ieg94?=h:<>1<75rb54f>5<4290;w)=7c;12?M5b;2B8n85fdb83>>ocl3:17b<:4;29?xd3i<0;6>4?:1y'7=e=:<=0D>k<;I1a1>ock3:17djk:188k7332900qo:n6;296?6=8r.84n4j8:J0a6=O;k?0eil50;9l602=831vn9o8:180>5<7s-93o7=>;I1f7>N4j<1bhn4?::kg`?6=3f8>87>5;|`7e=<72;0;6=u+39a9a==O;l90D>l:;hfa>5<N4m:1C?o;4iea94?=nlm0;66a=5583>>{ed2:;0D>k<;I1a1>ock3:17djk:188k7332900qo:nb;297?6=8r.84n4=569K7`5<@:h>7djl:188mab=831d>8:50;9~f1gd290?6=4?{%1;g?4202B8i>5G3c78mae=831bhi4?::kga?6=3f8>87>5;|`7ea<72:0;6=u+39a974=O;l90D>l:;hf`>5<5<7s-93o7k7;I1f7>N4j<1bho4?::m111<722wi8oh50;094?6|,:2h6h64H2g0?M5e=2con7>5;n060?6=3th?o=4?:383>5}#;1i1i55G3d18L6d23`ni6=44o377>5<h=7>52;294~"40j0n46F6<729q/?5m52458L6c43A9i96gkc;29?lbc2900c?;;:188yg2d<3:187>50z&05G3c78mae=831bhi4?::kga?6=3f8>87>5;|`251<72;0;6=u+39a9a==O;l90D>l:;hfa>5<N4m:1C?o;4ie`94?=h:<>1<75rb035>5<5290;w)=7c;g;?M5b;2B8n85fdc83>>i5==0;66sm10594?4=83:p(>6l:d:8L6c43A9i96gkb;29?j42<3:17pl>1983>7<729q/?5m5e99K7`5<@:h>7djm:188k7332900qo?>9;296?6=8r.84n4j8:J0a6=O;k?0eil50;9l602=831vn5<7s-93o7=;;I1f7>N4j<1bhn4?::kg`?6=3`nn6=44ied94?=nm90;66a=5583>>{e98h1<7:50;2x 6>d2;?37E=j3:J0f0=nlj0;66gkd;29?lbb2900c?;;:188yg71=3:1>7>50z&05G3c78mad=831d>8:50;9~f40029096=4?{%1;g?c?3A9n?6F=8381<7>t$2:`>`><@:o87E=m5:kgf?6=3f8>87>5;|`22<<72;0;6=u+39a9a==O;l90D>l:;hfa>5<N4m:1C?o;4ie`94?=h:<>1<75rb04a>5<5290;w)=7c;g;?M5b;2B8n85fdc83>>i5==0;66sm17a94?4=83:p(>6l:d:8L6c43A9i96gkb;29?j42<3:17pl>6e83>6<729q/?5m5309K7`5<@:h>7djl:188mab=831d>8:50;9~f40b29036=4?{%1;g?523A9n?6F5;hff>5<>ob93:17dk=:188k7332900qo?96;290?6=8r.84n4=599K7`5<@:h>7djl:188mab=831bhh4?::m111<722wi>94?:483>5}#;1i1>874H2g0?M5e=2coo7>5;hfg>5<>i5==0;66sm2783>6<729q/?5m5309K7`5<@:h>7djl:188mab=831d>8:50;9~f71=8381<7>t$2:`>`><@:o87E=m5:kgf?6=3f8>87>5;|`1N4m:1C?o;4ie`94?=h:<>1<75rb3;94?4=83:p(>6l:d:8L6c43A9i96gkb;29?j42<3:17pl=a;296?6=8r.84n4j8:J0a6=O;k?0eil50;9l602=831vn?l50;194?6|,:2h6>?4H2g0?M5e=2coo7>5;hfg>5<5<2290;w)=7c;10?M5b;2B8n85fdb83>>ocl3:17djj:188ma`=831d>8:50;9~f7b=8391<7>t$2:`>7303A9n?6F5;n060?6=3th997>53;294~"40j099:5G3d18L6d23`nh6=44ief94?=h:<>1<75rbda94?5=83:p(>6l:374?M5b;2B8n85fdb83>>ocl3:17b<:4;29?xda=3:1>7>50z&05G3c78mad=831d>8:50;9~fc0=8381<7>t$2:`>`><@:o87E=m5:kgf?6=3f8>87>5;|`e3?6=;3:1N4m:1C?o;4iea94?=nlm0;66a=5583>>{en10;6?4?:1y'7=e=m11C?h=4H2`6?lbe2900c?;;:188yg`>29096=4?{%1;g?c?3A9n?6Fl:;hfa>5<5<5290;w)=7c;g;?M5b;2B8n85fdc83>>i5==0;66smfb83>7<729q/?5m5e99K7`5<@:h>7djm:188k7332900qokk:180>5<7s-93o7=>;I1f7>N4j<1bhn4?::kg`?6=3f8>87>5;|`fa?6=;3:1N4m:1C?o;4iea94?=nlm0;66a=5583>>{emo0;6;4?:1y'7=e=;=1C?h=4H2`6?lbd2900eij50;9j``<722coj7>5;hg3>5<5<4290;w)=7c;063>N4m:1C?o;4iea94?=nlm0;66a=5583>>{en80;6>4?:1y'7=e=:<=0D>k<;I1a1>ock3:17djk:188k7332900qoh=:180>5<7s-93o7=>;I1f7>N4j<1bhn4?::kg`?6=3f8>87>5;|`e7?6=;3:1l:;hf`>5<1<7:50;2x 6>d2;?37E=j3:J0f0=nlj0;66gkd;29?lbb2900c?;;:188yg27m3:1?7>50z&01C?h=4H2`6?lbd2900eij50;9l602=831vn9??:181>5<7s-93o7k7;I1f7>N4j<1bho4?::m111<722wi85;n060?6=3th?=?4?:383>5}#;1i1i55G3d18L6d23`ni6=44o377>5<:?7>52;294~"40j0n46F>{e<8?1<7=50;2x 6>d2;?<7E=j3:J0f0=nlj0;66gkd;29?j42<3:17pl;1783>6<729q/?5m5309K7`5<@:h>7djl:188mab=831d>8:50;9~f170290?6=4?{%1;g?553A9n?6F5;hff>5<N4m:1C?o;4iea94?=nlm0;66a=5583>>{e<<31<7=50;2x 6>d2:;0D>k<;I1a1>ock3:17djk:188k7332900qo::a;297?6=8r.84n4=569K7`5<@:h>7djl:188mab=831d>8:50;9~f13e29086=4?{%1;g?563A9n?6F5;n060?6=3thii7>52;294~"40j0n46Fd2:;0D>k<;I1a1>ock3:17djk:188k7332900qom=:181>5<7s-93o7k7;I1f7>N4j<1bho4?::m111<722wio>4?:383>5}#;1i1i55G3d18L6d23`ni6=44o377>5<>{ek<0;6?4?:1y'7=e=m11C?h=4H2`6?lbe2900c?;;:188yge129086=4?{%1;g?563A9n?6F5;n060?6=3thh;7>53;294~"40j08=6F>i5==0;66smc983>6<729q/?5m52458L6c43A9i96gkc;29?lbc2900c?;;:188ygda290?6=4?{%1;g?553A9n?6F5;hff>5<5<4290;w)=7c;063>N4m:1C?o;4iea94?=nlm0;66a=5583>>{ei=0;6?4?:1y'7=e=m11C?h=4H2`6?lbe2900c?;;:188ygg2290?6=4?{%1;g?4202B8i>5G3c78mae=831bhi4?::kga?6=3f8>87>5;|`b2?6=:3:1N4m:1C?o;4ie`94?=h:<>1<75rb`594?5=83:p(>6l:238L6c43A9i96gkc;29?lbc2900c?;;:188ygg?29086=4?{%1;g?563A9n?6F5;n060?6=3thj57>53;294~"40j099:5G3d18L6d23`nh6=44ief94?=h:<>1<75rs3294?5|V;:01?>5272897e=lj1v?=50;0x976=0h16>84=559~w72=838p1?:52468973=lj1v?850;0x970=:<>01?l5db9~w71=838p1?95246897d=lm1v?650;0x97>=:<>01?m5de9~w7?=838p1?75246897e=ll1v?o50;0x97g=:<>01?m5dg9~w7d=838p1?l5246897b=lj1v?m50;0x97e=:<>01?j5de9~w7b=838p1?j52468973=lm1v;l50;5xZ3d<58<86ij4=0::>ad<582:6ij4=349`f=:n>0oh63;5c8gg>{t?00;6<>t^6;8976=?116il488:?21c<0027:n>488:?214<00273<797;<;3>2><582><58=>6:64=05g>2><58=n6:64=05e>2><582=6:64=52`>ad3=3706?:9c89d4=lk1v5>50;`x9=6=:?:01<8>:e`894>?2mn01<6<:e`8941f2mi01<9<:e`89<2=lk168;75dc9>0d>=lk16j=4kc:?74`=5<5>916584kc:?71d=5=04?:3y>=6<5==165;4kc:p=1<72;q6594=559>=3=0<5==165:4kc:p=3<72;q65;4=559>=20gb=lj168ok5dc9>542=lk16o<4kc:?`6?be34k=6il4}rc2>5<>s4k;6:64=2`;>2><58;96:64=gg93==:km0<463m2;5;?8gb2>201lo5799>e7<5==1vl=50;0x9d6=0h16m44=559~wd2=838p1l:524689d1=lm1vl;50;0x9d3=:<>01l65db9~wd0=838p1l8524689d>=lm1vl950;0x9d1=:<>01l75db9~wd>=838p1l6524689d?=lm1v4j50;:x9dg=:?:01o858g9>fd6im4}rca>5<5s4kj65o4=`f960273334ko6ij4}r;f>5<>s4kn6?8?;<`4>=`<5ki1hn524b49`c=:;f=3no7p}nf;296~;fm32j70l>:377?xue83:1>v3m0;060>;e93no7p}6f;29<~;e:38=<63m8;:e?82d03ni70:l0;fa?876i3no70m>:ef89f5=lk16m84ke:pf6<72;q6n?47a:?a1?42<2wxn94?:3y>f1<5==16n84kd:pf<<72:q6n:47e:?ae?42<27io7jk;|qaf?6=:r7i476j;<``>7333tyih7>54z?a0?be34h;6il4=`a9`g=:k909995rscg94?4|5ko1>8:4=b49`a=z{kl1<78:4=b:9`f=z{j81<78:4=b59`a=z{j>1<78:4=cd9``=z{j<1<78:4=b:9`a=z{j21<7{tmh0;68uQe`9>ad<5>9168n85de9>0gb=ll16ik4kd:pag<72;q6il47a:?e0?42<2wxin4?:3y>af<5==16j94kd:paa<72;q6ii4=559>b5a`<5==16j<4kc:pac<72;q6ik4=559>b4b5<5==16j?4kd:pb4<72;q6j<4=559>b1b7<5==16j>4kd:pb6<72;q6j>4=559>b1b0<5==16ii4kc:pb3<72;q6j;4=559>aab2<5==16ih4kc:pb=<72;q6j54=559>a`b<<5==16ik4kc:pbd<72;q6jl4=559>acbg<5==16ik4kf:pbf<72;q6jn4=559>ac81hn52f48gf>{tno0;6?u2fd8;e>;68909995rs031>5<2sW;:>63>138125=:9>21ho521609`a=:n?0on6s|10194?4|58;965o4=03a>7333ty:=94?:3y>542=:<>01v3>148111=:98k1hh5rs035>5<5s4;::7<:4:?25da;g3?xu6910;6?u210:9602<58;i6im4}r32=?6=:r7:=44=559>54d=ll1v863>1c8g`>{t9:81<73e83>7}Y9:n01<;>:9c8yv7293:18v3>508125=:9<>1ho5247:9`f=:597<:4:?227ad<5=:n6im4=57:>ae?7>52z?216<5==16=8;5db9~w4332909w0?:4;060>;6=<0oh6s|14d94?5|58?m6?8?;<35a?bd34>>57jk;|q225<72;q6=;=5246894022mh0q~?91;296~;6>80999521719`f=z{8<96=4={<356?42<27::>4ke:p532=838p1<;i:9c894012;??7p}>6483>7}:9??1>8:4=04g>ab52z?222<5==16=;k5de9~w40?2909w0?98;060>;6>l0oi6s|17;94?4|58<26?;;;<35a?ba3ty::l4?:3y>53g=:<>01<8j:d38yv71j3:1>v3>6c8111=:9?o1i=5rs04`>5<5s4;=o7<:4:?22`l0;6?u217g9602<58<=6ik4}r35b?6==r7::k4=619>5=e=lj16=;85de9>6<526=:?:01<8n:e`897>=lk16jo4kb:p527=838p1<9?:9c894132;??7p}>7383>7}:9>81>8:4=057>ae52z?236<5==16=::5dd9~w412290hw0?85;054>;37c8gg>;6?=0oh6362;fe?821k3nh70:nb;f`?871j3ni70<;:ea89cg=lk16j?4kc:?7510;6?u21659602<58=26im4}r3452?=lm1v<96:181870138>863>7`8g`>{t9>k1<773334;i1hn52938ga>;3>?0oo63;a48gg>;6>00on63=4;fg?8`42mi019?<:e`8yv70m3:15v3>7e8;e>;6?l09:=52938g`>;3>?0oh63;a48g`>;6>10on63=4;fe?8cd2mi019?>:e`8yv70n3:15v3>7d8;e>;6?o09:=52938gg>;3>h0oo63;a88gg>;6>>0on63=4;ff?8cd2mn019?=:e`8yv7?83:1>v3>7g8;e>;60<09995rs0:2>5<5s4;3=7<:4:?2<052;??70?74;f`?xu60:0;6?u21919602<582?6ij4}r3;0?6=:r7:494=559>5=3=lm1v<69:18g87?>38=<63>8c8gg>;6080oo6363;f`?82103no70:n7;fg?871k3ni70?9d;f`?8412mn01?o5dc9>b25=0=0h16=5m52468yv7?03:1>v3>898111=:91k1hn5rs0::>5<5s4;357<:4:?2f2;??70?7b;fg?xu60k0;6?u219`9602<582h6ij4}r3b5?6=:rT:m<524`f9`f=z{8k96=4={_3b6>;6j:03m6s|1c194?5|58h86?8?;<367?be34386ij4}r065?6=:r78i94k9:?7f37}:;k214l523cc9602;m7>54z\74d=:<9k1>;>4=gg9<6=:98814>5rs52a>5<2s4>;m797;<6:a?1?34>>j797;<67;o7<:4:p05b=838p19>n:9c8916a2;??7p};0d83>7}:<9o1>8:4=536>ae:<7>52z?755<5==168<;5de9~w1762909w0:>1;060>;39>0oo6s|40094?4|5=;96?;;;<622?bd3ty?=>4?:3y>045=:<>019?9:ef8yv26<3:1>v3;158111=:<9l1hi5rs536>5<5s4>:97<:4:?7527;ff?xu39>0;6?u24059602<5=:m6im4}r62f?6=:rT?=o521129`g=z{=9o6=4={_60`>;6910on6s|45:94?4|V=>370:;8;054>{t<=k1<7=g<5=>i6?;;;|q710<72;qU88;4=575>7333ty?954?:3y>000=lk1688l52468yv2213:1>v3;588111=:<5<5s4>>m7<:4:?71g>j7<90:?722?0;6?u24749602<5=03g=lm1v987:181821038>863;6c8gg>{t73334>=n7jk;|q72d<72;q68;o52468910d2mn0q~:9b;296~;3>k09995247f9``=z{=7}:<2?7>52z\7=6=:9831ho5rs5;f>5<4sW>2i63;9d8125=:5<5s4>jj7jm;<6b`?42<2wx8l;50;0x91g22;??70:nc;fg?xu3i?0;6?u24`49602<5=k26ij4}r6b3?6=:r7?m:4=559>0dg=lj1v9o7:18182f038>863;a`8g`>{t73334>jn7jk;|q7ed<72;q68lo5246891gd2mo0q~:nb;296~;3ik0999524`a9`f=z{=kh6=4={<6bg?42<27?mi4kd:p0dc=838p197j:9c891ga2;??7p};b783>6}Y7}:io7>52z?7f3;3k:0oo6s|4cg94?4|5=hn6?;;;<6`6?bd3ty?nk4?:3y>0g`=:<>019m=:ef8yv2d83:1>v3;c18111=:1hn5rs5a2>5<5s4>h=7<:4:?7g138>863;c`8gg>{t73334>h57jl;|q7g=<72;q68n65246891e>2mn0q~:l9;296~;3k00999524bc9`a=z{=ii6=4={_6`f>;4jh0on6srb2d5>5d21>0(=::188m25=831d8?950;9j==<722c8:54?::k71f<722e?5:4?::m5f?6=3`>o87>5;n055?6=3`83>7>5;c1f0?6=93:1N4m:1C?o;4oe;94?=zj:n86=4<:183!5?k3n?7E=j3:J0f0=#m>0;7d97:188m=g=831d>;>50;9~f6b729086=4?{%1;g?b33A9n?6F5<4290;w)=7c;f7?M5b;2B8n85+e683?l1?2900e5o50;9l636=831vn>m6:180>5<7s-93o7j;;I1f7>N4j<1/i:4?;h5;>5<5<7s-93o7j9;I1f7>N4j<1b4<4?::k;7?6=3`=36=44i9c94?=h:?:1<75rb30`>5<2290;w)=7c;f5?M5b;2B8n85f8083>>o?;3:17d97:188m=g=831d>;>50;9~f74?290>6=4?{%1;g?b13A9n?6F5;h5;>5<5<7s-93o7j9;I1f7>N4j<1b4<4?::k;7?6=3`=36=44i9c94?=h:?:1<75rb33`>5<2290;w)=7c;f5?M5b;2B8n85f8083>>o?;3:17d97:188m=g=831d>;>50;9~f77?290>6=4?{%1;g?b13A9n?6F5;h5;>5<5<7s-93o7j9;I1f7>N4j<1b4<4?::k;7?6=3`=36=44i9c94?=h:?:1<75rb2c6>5<2290;w)=7c;f5?M5b;2B8n85+e683?l>62900e5=50;9j3=<722c3m7>5;n054?6=3th8m<4?:483>5}#;1i1h;5G3d18L6d23-o<6=5f8083>>o?;3:17d97:188m=g=831d>;>50;9~f6?c290>6=4?{%1;g?b13A9n?6F0<729q/?5m5d79K7`5<@:h>7)k8:19j<4<722c3?7>5;h5;>5<d2m>0D>k<;I1a1>o003:17d6n:188k7072900qoj?:180>5<7s-93o7j;;I1f7>N4j<1b;54?::k;e?6=3f8=<7>5;|`762<72:0;6=u+39a9`1=O;l90D>l:;h5;>5<t$2:`>a2<@:o87E=m5:k45<53;294~"40j0o86F21<75f8`83>>i5>90;66sm44g94?5=83:p(>6l:e68L6c43A9i96g88;29?l>f2900c?8?:188yg7ck3:197>50z&02B8i>5G3c78m=7=831b4>4?::k45<55;294~"40j0o:6F>o003:17d6n:188k7072900qo=61;291?6=8r.84n4k6:J0a6=O;k?0e5?50;9j<6<722c<47>5;h:b>5<N4m:1C?o;4i9394?=n0:0;66g88;29?l>f2900c?8?:188yg54n3:197>50z&02B8i>5G3c78m=7=831b4>4?::k45<53;294~"40j0o86Ff2900c?8?:188yg7ei3:1?7>50z&05G3c78 `1=82c<47>5;h:b>5<5<:8;<1f=?42<2wvn>on:180>4<4sA9i96*<8b82g2=n0l0;66g7f;29?j53?3:17o=j9;297?6=8r.84n47djl:188mab=831d>8:50;9~w=c=838pR5k4=2g:>ab7}Y;==01>k6:377?x{e;h31<7=51;1xL6d23-93o7?l7:k;a?6=3`2m6=44o264>5<6F>i5==0;66s|8d83>7}Y0l16?h75de9~w=`=838pR5h4=2g:>ae52z\002=:;l31>8:4}|`0`=<72:0:6>uG3c78 6>d28i<7d6j:188m=`=831d?9950;9a7`?=8391<7>t$2:`>6c53A9n?6F5;n060?6=3ty3i7>52z\;a>;4m00oh6s|8g83>7}Y0o16?h75db9~w6202909wS=;7:?0a<<5==1vqo=k7;297?7=;rB8n85+39a95f1>i4<>0;66l6<729q/?5m53d08L6c43A9i96gkc;29?lbc2900c?;;:188yv>b2909wS6j;<1f=?bc3ty3j7>52z\;b>;4m00oo6s|35594?4|V:><70=j9;060>{zj:n=6=4<:080M5e=2.84n4>c69j<`<722c3j7>5;n173?6=3k9n57>53;294~"40j08i?5G3d18L6d23`nh6=44ief94?=h:<>1<75rs9g94?4|V1o01>k6:ef8yv>a2909wS6i;<1f=?bd3ty88:4?:3y]711<5:o26?;;;|a663=8391=7=tH2`6?!5?k3;h;6g7e;29?l>a2900c>:8:188f6c>29086=4?{%1;g?5b:2B8i>5G3c78mae=831bhi4?::m111<722wx4h4?:3y]<`=:;l31hi5rs9d94?4|V1l01>k6:ea8yv53?3:1>vP<469>7`?=:<>0qpl=3783>6<62:qC?o;4$2:`>4e03`2n6=44i9d94?=h;==1<75m3d;94?5=83:p(>6l:2g1?M5b;2B8n85fdb83>>ocl3:17b<:4;29?xu?m3:1>vP7e:?0a<5<5sW9?;6353;397~N4j<1/?5m51b58m=c=831b4k4?::m002<722h8i44?:283>5}#;1i1?h<4H2g0?M5e=2coo7>5;hfg>5<5<5sW2n70=j9;fg?xu?n3:1>vP7f:?0a<"40j0:o:5f8d83>>o?n3:17b=;7;29?g5b13:1?7>50z&05<5sW2m70=j9;f`?xu4<>0;6?uQ355896c>2;??7psm22;94?5=939pD>l:;%1;g?7d?2c3i7>5;h:e>5<<6=44b2g:>5<4290;w)=7c;1f6>N4m:1C?o;4iea94?=nlm0;66a=5583>>{t0l0;6?uQ8d9>7`?=lm1v5h50;0xZ=`<5:o26im4}r173?6=:rT88:523d;96026}O;k?0(>6l:0a4?l>b2900e5h50;9l711=831i?h750;194?6|,:2h6>k=;I1f7>N4j<1bhn4?::kg`?6=3f8>87>5;|q;a?6=:rT3i63{t0o0;6?uQ8g9>7`?=lj1v>:8:181[53?278i44=559~yg44j3:1?7?53zJ0f0=#;1i1=n94i9g94?=n0o0;66a<4683>>d4m00;6>4?:1y'7=e=;l80D>k<;I1a1>ock3:17djk:188k7332900q~6j:181[>b349n57jk;|q;b?6=:rT3j63{t;==1<786srb31`>5<42808wE=m5:&01b4h4?::k;b?6=3f9?;7>5;c1f=?6=;3:1l:;hf`>5<2mn0q~6i:181[>a349n57jl;|q002<72;qU?994=2g:>7333twi>>=50;195?5|@:h>7)=7c;3`3>o?m3:17d6i:188k6202900n>k6:180>5<7s-93o7=j2:J0a6=O;k?0eim50;9j`a<722e9994?::p<`<72;qU4h523d;9`a=z{1l1<72mi0q~=;7;296~X4<>16?h752468yxd5;=0;6>4>:2yK7g3<,:2h65<d2:o97E=j3:J0f0=nlj0;66gkd;29?j42<3:17p}7e;296~X?m278i44kd:p<6=4={_173>;4m009995r}c006?6=;3;1?vF5<:8;<1f=?42<2wvn4o50;094?6|,:2h6h64H2g0?M5e=2con7>5;n060?6=3th2n7>53;294~"40j08=6F>i5==0;66sm3c694?5=83:p(>6l:238L6c43A9i96gkc;29?lbc2900c?;;:188yg54m3:1>7>50z&05G3c78mad=831d>8:50;9~f77529096=4?{%1;g?c?3A9n?6Ft$2:`>67<@:o87E=m5:kgg?6=3`no6=44o377>5<52;294~"40j0n46F7<729q/?5m5e99K7`5<@:h>7djm:188k7332900qo<>b;297?6=8r.84n4<1:J0a6=O;k?0eim50;9j`a<722e9994?::a64c=8381<7>t$2:`>`><@:o87E=m5:kgf?6=3f8>87>5;|`15c<72:0;6=u+39a974=O;l90D>l:;hf`>5<>{e:;91<7=50;2x 6>d2:;0D>k<;I1a1>ock3:17djk:188k7332900qo<=6;296?6=8r.84n4j8:J0a6=O;k?0eil50;9l602=831vn?<8:180>5<7s-93o7=>;I1f7>N4j<1bhn4?::kg`?6=3f8>87>5;|`16d<72;0;6=u+39a9a==O;l90D>l:;hfa>5<N4m:1C?o;4iea94?=nlm0;66a=5583>>{e:;o1<7<50;2x 6>d2l20D>k<;I1a1>ocj3:17b<:4;29?xd5:o0;6>4?:1y'7=e=;81C?h=4H2`6?lbd2900eij50;9l602=831vn5<7s-93o7<:7:J0a6=O;k?0eim50;9j`a<722e9994?::a5`>=8381<7>t$2:`>`><@:o87E=m5:kgf?6=3f8>87>5;|`2a<<72:0;6=u+39a974=O;l90D>l:;hf`>5<>{e9ll1<7=50;2x 6>d2:;0D>k<;I1a1>ock3:17djk:188k7332900qo<:0;297?6=8r.84n4<1:J0a6=O;k?0eim50;9j`a<722e9994?::a61c=8391<7>t$2:`>67<@:o87E=m5:kgg?6=3`no6=44o377>5<53;294~"40j08=6F>i5==0;66sm25c94?5=83:p(>6l:238L6c43A9i96gkc;29?lbc2900c?;;:188yg4303:1?7>50z&05G3c78mae=831bhi4?::m111<722wi>9850;194?6|,:2h6>?4H2g0?M5e=2coo7>5;hfg>5<N4m:1C?o;4iea94?=nlm0;66a=5583>>{e:=81<7=50;2x 6>d2:;0D>k<;I1a1>ock3:17djk:188k7332900qo<;0;297?6=8r.84n4<1:J0a6=O;k?0eim50;9j`a<722e9994?::a66c=8391<7>t$2:`>67<@:o87E=m5:kgg?6=3`no6=44o377>5<53;294~"40j08=6F>i5==0;66sm3e094?5=83:p(>6l:238L6c43A9i96gkc;29?lbc2900c?;;:188yg5dn3:1?7>50z&05G3c78mae=831bhi4?::m111<722wi?nl50;094?6|,:2h6h64H2g0?M5e=2con7>5;n060?6=3th8on4?:283>5}#;1i1?<5G3d18L6d23`nh6=44ief94?=h:<>1<75rb2fb>5<4290;w)=7c;12?M5b;2B8n85fdb83>>ocl3:17b<:4;29?xd4lj0;6>4?:1y'7=e=;81C?h=4H2`6?lbd2900eij50;9l602=831vn>o8:181>5<7s-93o7k7;I1f7>N4j<1bho4?::m111<722wi?l650;194?6|,:2h6>?4H2g0?M5e=2coo7>5;hfg>5<N4m:1C?o;4ie`94?=h:<>1<75rb2c7>5<4290;w)=7c;12?M5b;2B8n85fdb83>>ocl3:17b<:4;29?xd41o0;6?4?:1y'7=e=m11C?h=4H2`6?lbe2900c?;;:188yg5f83:1?7>50z&05G3c78mae=831bhi4?::m111<722wi?4o50;094?6|,:2h6h64H2g0?M5e=2con7>5;n060?6=3th85o4?:383>5}#;1i1i55G3d18L6d23`ni6=44o377>5<53;294~"40j08=6F>i5==0;66sm3`f94?5=83:p(>6l:238L6c43A9i96gkc;29?lbc2900c?;;:188yg5fn3:1?7>50z&05G3c78mae=831bhi4?::m111<722wi=n<50;094?6|,:2h6h64H2g0?M5e=2con7>5;n060?6=3th:o>4?:283>5}#;1i1?<5G3d18L6d23`nh6=44ief94?=h:<>1<75rb0`6>5<3290;w)=7c;11?M5b;2B8n85fdb83>>ocl3:17djj:188k7332900qo=63;297?6=8r.84n4<1:J0a6=O;k?0eim50;9j`a<722e9994?::a7<2=8381<7>t$2:`>`><@:o87E=m5:kgf?6=3f8>87>5;|`0=0<72;0;6=u+39a9a==O;l90D>l:;hfa>5<N4m:1C?o;4iea94?=nlm0;66a=5583>>{e;0=1<7=50;2x 6>d2:;0D>k<;I1a1>ock3:17djk:188k7332900qo?k6;29=?6=8r.84n4<6:J0a6=O;k?0eim50;9j`a<722coi7>5;hfe>5<>ob:3:17dk<:188k7332900qo?k7;297?6=8r.84n4<1:J0a6=O;k?0eim50;9j`a<722e9994?::a5a>=8381<7>t$2:`>`><@:o87E=m5:kgf?6=3f8>87>5;|`2`<<72:0;6=u+39a974=O;l90D>l:;hf`>5<dc83>6<729q/?5m5309K7`5<@:h>7djl:188mab=831d>8:50;9~f4`629096=4?{%1;g?c?3A9n?6F=8381<7>t$2:`>`><@:o87E=m5:kgf?6=3f8>87>5;|`2b<<72;0;6=u+39a9a==O;l90D>l:;hfa>5<N4m:1C?o;4ie`94?=h:<>1<75rb0da>5<5290;w)=7c;g;?M5b;2B8n85fdc83>>i5==0;66sm1ga94?4=83:p(>6l:d:8L6c43A9i96gkb;29?j42<3:17pl>fe83>7<729q/?5m5e99K7`5<@:h>7djm:188k7332900qo?ie;296?6=8r.84n4j8:J0a6=O;k?0eil50;9l602=831vn5<7s-93o7k7;I1f7>N4j<1bho4?::m111<722wi=k<50;094?6|,:2h6h64H2g0?M5e=2con7>5;n060?6=3th:j>4?:383>5}#;1i1i55G3d18L6d23`ni6=44o377>5<52;294~"40j0n46F6=46:183!5?k39=7E=j3:J0f0=nlj0;66gkd;29?lbb2900eih50;9ja5<722cn=7>5;hg1>5<5<7s-93o7=>;I1f7>N4j<1bhn4?::kg`?6=3f8>87>5;|`07=<72:0;6=u+39a974=O;l90D>l:;hf`>5<>{e;:k1<7<50;2x 6>d2l20D>k<;I1a1>ocj3:17b<:4;29?xd4;k0;6>4?:1y'7=e=;81C?h=4H2`6?lbd2900eij50;9l602=831vn>=l:180>5<7s-93o7=>;I1f7>N4j<1bhn4?::kg`?6=3f8>87>5;|`2ff<72;0;6=u+39a9a==O;l90D>l:;hfa>5<N4m:1C?o;4ie`94?=h:<>1<75rb0`f>5<4290;w)=7c;12?M5b;2B8n85fdb83>>ocl3:17b<:4;29?xd6jo0;6>4?:1y'7=e=;81C?h=4H2`6?lbd2900eij50;9l602=831vn5<7s-93o7<:7:J0a6=O;k?0eim50;9j`a<722e9994?::a5g>=83>1<7>t$2:`>64<@:o87E=m5:kgg?6=3`no6=44ieg94?=h:<>1<75rb0`:>5<4290;w)=7c;063>N4m:1C?o;4iea94?=nlm0;66a=5583>>{e;=>1<7<50;2x 6>d2l20D>k<;I1a1>ocj3:17b<:4;29?xd4<<0;6?4?:1y'7=e=m11C?h=4H2`6?lbe2900c?;;:188yg53>3:187>50z&05G3c78mae=831bhi4?::kga?6=3f8>87>5;|`144<72;0;6=u+39a9a==O;l90D>l:;hfa>5<N4m:1C?o;4ie`94?=h:<>1<75rb320>5<5290;w)=7c;g;?M5b;2B8n85fdc83>>i5==0;66sm21694?4=83:p(>6l:d:8L6c43A9i96gkb;29?j42<3:17pl=0483>6<729q/?5m52458L6c43A9i96gkc;29?lbc2900c?;;:188yg47>3:1?7>50z&05G3c78mae=831bhi4?::m111<722wi>=950;194?6|,:2h6?;8;I1f7>N4j<1bhn4?::kg`?6=3f8>87>5;|`14=<72:0;6=u+39a974=O;l90D>l:;hf`>5<>{e9ml1<7<50;2x 6>d2l20D>k<;I1a1>ocj3:17b<:4;29?xd6m90;6?4?:1y'7=e=m11C?h=4H2`6?lbe2900c?;;:188yg7b93:187>50z&05G3c78mae=831bhi4?::kga?6=3f8>87>5;|`2a7<72:0;6=u+39a9601<@:o87E=m5:kgg?6=3`no6=44o377>5<53;294~"40j08=6F>i5==0;66sm1d694?5=83:p(>6l:374?M5b;2B8n85fdb83>>ocl3:17b<:4;29?xd1l3:1>7>50z&05G3c78mad=831d>8:50;9~f3c=8381<7>t$2:`>`><@:o87E=m5:kgf?6=3f8>87>5;|`5b?6=;3:1N4m:1C?o;4iea94?=nlm0;66a=5583>>{e?90;6>4?:1y'7=e=;81C?h=4H2`6?lbd2900eij50;9l602=831vn:?50;194?6|,:2h6?;8;I1f7>N4j<1bhn4?::kg`?6=3f8>87>5;|`46?6=;3:1N4m:1C?o;4iea94?=nlm0;66a=5583>>{e<;k1<7=50;2x 6>d2:;0D>k<;I1a1>ock3:17djk:188k7332900qo:=b;296?6=8r.84n4j8:J0a6=O;k?0eil50;9l602=831vn95<7s-93o7k7;I1f7>N4j<1bho4?::m111<722wi8?j50;194?6|,:2h6>?4H2g0?M5e=2coo7>5;hfg>5<l:;hf`>5<6<729q/?5m52458L6c43A9i96gkc;29?lbc2900c?;;:188yg41;3:197>50z&05G3c78mae=831bhi4?::kga?6=3`nm6=44o377>5<52;294~"40j0n46F>{e:?k1<7<50;2x 6>d2l20D>k<;I1a1>ocj3:17b<:4;29?xd5>k0;6?4?:1y'7=e=m11C?h=4H2`6?lbe2900c?;;:188yg41k3:1>7>50z&05G3c78mad=831d>8:50;9~f70c29086=4?{%1;g?42?2B8i>5G3c78mae=831bhi4?::m111<722wi>;k50;194?6|,:2h6>?4H2g0?M5e=2coo7>5;hfg>5<N4m:1C?o;4iea94?=nlm0;66a=5583>>{e:?>1<7=50;2x 6>d2;?<7E=j3:J0f0=nlj0;66gkd;29?j42<3:17pl=6483>6<729q/?5m52458L6c43A9i96gkc;29?lbc2900c?;;:188yg41>3:1?7>50z&05G3c78mae=831bhi4?::m111<722wi>;950;194?6|,:2h6?;8;I1f7>N4j<1bhn4?::kg`?6=3f8>87>5;|`14d<72:0;6=u+39a974=O;l90D>l:;hf`>5<7<729q/?5m5e99K7`5<@:h>7djm:188k7332900qo7djl:188mab=831d>8:50;9~f76b290?6=4?{%1;g?553A9n?6F5;hff>5<l:;hf`>5<37<5==1v;j50;0x93b=:<>01;h5de9~w3c=838p1;k52468926=lm1v;h50;0x93`=:<>01:?5db9~w26=838p1:>52468927=lm1v:?50;0x927=:<>01:<5de9~w25=833pR:=4=2f6>ae<5:n96im4=2ae>ae<5:ih6im4=322>ad<58o:6im4=345>ae<5;:i6im4}r:1>5<5:rT3>63;4l90<463;4k00<463>eb84<>;6m?0<463=2b84<>;5:10<463=2584<>;5:90<463=1b84<>;5910<463=1584<>;5990<463;4i80<463<9e84<>;4110<463k1;5;?8b72>2019<8:6:891?02>201;l5799>637=?116=i:5799>00c=?116=im5799>5`3=?116?4?5799>763=?116?>h5799>5g0=?116=oo5799~w<>=838pR464=e29=7<5:9>65?4=21e>=7<5:3365?4=2c6>=7<5:k:65?4=2;g>=7<50h1>8:4=2`7>ab<58h>6im4=32b>ae733343i6ij4}raf>5<4s4n:65o4=e29636<50k1ho5rsbd94?4|5m;1>;>4=8`9`f=z{8h?6=4;{<3a1?42<27:ni4kb:?2f`b88111=z{8h36=4={<3a65e=lk1v{t9ki1<773334;ii7jk;|q2fa<72;q6=oj5246894da2mn0q~?me;296~;6jl0999521b29`f=z{8hm6=4={<3ab?42<27:o=4kd:p5f7=838p1c583>46|5=3<65o4=331>ad<5;;=6il4=33b>ad<5;;n6il4=301>ad<5;8=6il4=30b>ad<5;8n6il4=0ga>ab<58o36il4=0gf>ad<58i86?;;;<030?be34;oi7jm;<3f5?bc3ty:h94?:3y>5a2=:?:01v3>d58;e>;6lk09995rs0f5>5<5s4;o:7<:4:?2`g5ag=lm1v863>dc8g`>{t9j21<79t=0f`>7073488>76j;<00a?bc34;o47jm;<3e5ae=0h16=h:52468yv7cm3:1>v3>dd8111=:9l81hn5rs0fe>5<5s4;oj7<:4:?2a750;0x94c72;??70?j3;fg?xu6m80;6?u21d39602<58o?6ij4}r3f6?6=:r7:i?4=559>5`5=lj1v863>e58gg>{t9jh1<78t=0g6>7073488>76i;<00a?bd34;o:7jl;<3e5?be348=o7jm;|q2g<<72v3>e78;e>;6m009995rs0g;>5<5s4;n47<:4:?2a<c`83>1}:9li1>;>4=317>=`<58n26im4=0d7>ad52z?2af;6mo0oh6s|1g294?2|58l<6?;;;<037?be34;oj7jm;<03`?bd3ty:j<4?:3y>5c7=:<>01v3>f38111=:9o<1hi5rs0d0>5<5s4;m?7<:4:?2b35c1=lm1v863>f48g`>{t9o31<773334;m97jj;|q2bd<72;q6=ko5246894`22ml0q~?ib;296~;6nk0999521g79a5=z{8lh6=4={<3eg?42<27:j84j1:p5cb=838p1fd83>7}:9oo1>8:4=0d6>`552z?2bc<5==16=k85db9~w7672909w0?j5;:b?847038>86s|21394?4|5;::6?;;;<031?bd3ty9654=:<>01?>::ef8yv47;3:1>v3=028111=::9<1hi5rs327>5<5s48;87<:4:?142=;50;0x97622;??7065>=lm1v?>6:18087ck32:70?j5;:2?847n38>86s|21c94?4|5;:j6?;;;<03b?bd3ty965d=:<>01?>k:ef8yv47k3:1>v3=0b8111=::9o1hh5rs32g>5<5s48;h7<:4:?14`=k50;0x976b2;??7065h4=363>ae<58n=6ij4=0d:>ad52z?1554=559~w7752909w0<>2;060>;59:0oh6s|1bf94?3|5;;?6?8?;<002?>a348?>7jl;<3g2?ba34;mn7jm;|q150<72;q6><:58`9>641=:<>0q~<>6;296~;59?0999522059`a=z{8in6=4:{<02<750;0x977?21k01??m:377?xu59h0;6?u220c9602<5;;i6ij4}r3`b?6==r79=n4=619>66>=0o16>985db9>5a0=m916=km5dc9~w77c2909w0<>c;:b?846n38>86s|20g94?4|5;;n6?;;;<02b?bc3ty:h=4?:4y>676=:?:01?=6:9d8972?2mi01>4kd:p5a7=83?p1?<;:343?844i32m70<;a;f`?87c>3o:70?id;fa?xu5:<0;6?u223698:4}r012?6=:r79>;4=559>671=lm1v;5d78f7>;6no0on6s|23;94?4|5;8365o4=30a>7333ty9>l4?:3y>67g=:<>01?f3489j7<:4:p67c=838p1?6}:::?14h522529`a=:::o1>8:4}r00b?6=;r79?;47e:?107{t:=91<7=t=31;>=c<5;>=6ij4=367>7333ty9884?:2y>66?=0l16>965de9>610=:<>0q~<;7;297~;5;h03i63=4`8g`>;5<109995rs36:>5<4s488n76j;<07g?bc348?m7<:4:p61d=839p1?=l:9g8972b2mn01?:l:377?xu5u22219<`=::<:1hi5225g960252z?171;6mj03?63>e78;7>;5:j03?63=298;7>;5:=03?63=218;7>;59j03?63=198;7>;59=03?63=118;7>;4i<03?63;41m03?63<998;7>;6lj03?63>e48;7>;41803?63<348;7>;4;o03?6s|27394?5|V;<:70<91;054>;5>m0oo6s|27094?4|5;<:65o4=344>7333ty9:>4?:3y>635=:<>01?8k:ef8yv41<3:1>v3=658111=::??1hi5rs346>5<5s48=97<:4:?123;850;0x97012;??70<97;f`?xu5>10;6?u227:9602<5;63c=lm1v?8n:181841i38>863=658g`>{t:?h1<7733348=j7jl;|q12f<72;q6>;m52468970a2mn0q~<9d;296~;5>m0999522779`f=z{;7}Y:1801?87:e`8yv54=3:1>vP<349>763=:?:0q~=<7;296~;4;l0on63<3b8111=z{:936=4={<10=6:377?854j3nh7p}<3`83>7}:;:k1>8:4=21a>ab52z?07g<5==16?>m5de9~w65c2909w0=<5;:b?854m38>86s|32d94?4|V:9m70={t;=91<7=g<5:>=6?;;;|q001<72;q6?9:5246896212mi0q~=;5;296~;4<<0999523549``=z{:?<6=4;{_163>;58;0on63>e08ga>;58k0oh6s|37:94?4|V:<370<99;fa?xu4180;69u23839636<5:h?6im4=0`6>ab<5;:j6ij4}r1:6?6=:r785<47a:?0=2<5==1v>7<:18185>;38>863<968gg>{t;0>1<77333492:7jl;|q0=0<72;q6?4;5246896?12mn0q~=66;296~;41?0999523859`a=z{:2o6=49{<1:77:9c896?d2;??7p}<9`83>7}:;0k1>8:4=2;`>ae52z?0=g<5==16?4m5de9~w6>b290=w0=6d;054>;4i003j63;41=0on63<398gg>;4<<0on6s|38g94?4|5:3o65o4=2c3>7333ty85k4?:3y>7<`=:<>01>o?:ef8yv5?n3:1:v31ho5rs2c1>5<5s49j=76n;<1b0?42<2wx?l=50;0x96g42;??70=n4;fg?xu4190;68u23`79636<5:ki65h4=2;0>ab<5:936ij4=265>ab52z?0e0;4i10oh6s|3`a94?5|5:kj65k4=2cg>733349jj7jk;|q0e`<72;q6?ll58d9>7d`=:<>0q~=m0;296~;4i0088:523`29`f=z{:h:6=4={<1be?53?278m94kc:p7g4=838p1>om:264?85f03nh7p}3}:;k>1>8:4=0ga>ae<5:k<6il4=2c0>ad<5:3m6il4=2;b>ad55z?0g<<5>916?i858d9>7fd=lk16?io5de9>635=lj1v>mn:18185d132j70=lc;060>{t;jh1<7733349ho7jk;|q0g3<72=q6?nj5272896b121l01>jn:ea897042mn0q~=le;296~;4km03m634ke:p7a7=838p1>j?:9c896b52;??7p}6}:;m91>;>4=2f;>=`<5;<86ih4}r1g0?6=:r78h>47a:?0`0<5==1v>j6:18085c?32n70=ka;060>;4lj0oh6s|3e`94?4|5:n365k4=2f`>7333ty8hi4?:3y>7a0=;==01>mi:ef8yv5cm3:1>v35<5s49o47=;7:?0`0<705``=lj1v>kj:181844=39?;63=128gg>{t;ll1<7620348:;7jl;|q0b5<72;q6>>953558977e2mi0q~=i1;296~;5;1088:5220d9`f=z{:l96=4={<00=?53?279>>4kc:p7c5=838p1?=n:264?845?3nh7p}7}:::h1?994=30a>ae52z?17f<4<>16>?h5db9~w140290>wS:=7:?762<5>916:h4kb:?76dh4kc:p07?=838p19<8:9c891572;??7p};2`83>7}:<;k1>8:4=513>ae9n7>52z?76g<5==168?j5db9~w14d2909w0:=c;060>;3:m0oh6s|43f94?4|5=8o6?;;;<61a?bc3ty?>h4?:3y>07c=:<>019v3;2g8111=:<::1hi5rs57`>5<5sW>>o63;5d8;e>{t<70734;i47jk;<61g?be3ty?5:4?:3y]0<1<5=3<6?8?;|q7`1<72;qU8i:4=34b>ad5}#;1i1i55G3d18L6d23`ni6=44o377>5<:57>52;294~"40j0n46F>{e;ol1<7<50;2x 6>d2l20D>k<;I1a1>ocj3:17b<:4;29?xd3890;6>4?:1y'7=e=;81C?h=4H2`6?lbd2900eij50;9l602=831vn979:181>5<7s-93o7k7;I1f7>N4j<1bho4?::m111<722wi8=850;094?6|,:2h6h84H2g0?M5e=2con7>5;n060?6=3th?m=4?:383>5}#;1i1i;5G3d18L6d23`ni6=44o377>5<997>52;294~"40j0n:6Fd2l<0D>k<;I1a1>ocj3:17b<:4;29?xdc;3:1>7>50z&02B8i>5G3c78mad=831d>8:50;9~f12629096=4?{%1;g?c13A9n?6Ft$2:`>`0<@:o87E=m5:kgf?6=3f8>87>5;|`7gc<72;0;6=u+39a9a3=O;l90D>l:;hfa>5<5<5290;w)=7c;g5?M5b;2B8n85fdc83>>i5==0;66sm7`83>7<729q/?5m5e79K7`5<@:h>7djm:188k7332900qo:91;296?6=8r.84n4j6:J0a6=O;k?0eil50;9l602=831vn9j9:181>5<7s-93o7k9;I1f7>N4j<1bho4?::m111<722wi:?4?:383>5}#;1i1i;5G3d18L6d23`ni6=44o377>5<>{e>90;6?4?:1y'7=e=m?1C?h=4H2`6?lbe2900c?;;:188yg3a29096=4?{%1;g?c13A9n?6Fl:;hfa>5<5<5290;w)=7c;g5?M5b;2B8n85fdc83>>i5==0;66sm5c83>7<729q/?5m5e79K7`5<@:h>7djm:188k7332900qo;n:181>5<7s-93o7k9;I1f7>N4j<1bho4?::m111<722wi944?:383>5}#;1i1i;5G3d18L6d23`ni6=44o377>5<>{e=>0;6?4?:1y'7=e=m?1C?h=4H2`6?lbe2900c?;;:188yg3129096=4?{%1;g?c13A9n?6Fl:;hfa>5<5<5290;w)=7c;g5?M5b;2B8n85fdc83>>i5==0;66sm6`83>7<729q/?5m5e79K7`5<@:h>7djm:188k7332900qo86:181>5<7s-93o7k9;I1f7>N4j<1bho4?::m111<722wi:54?:383>5}#;1i1i;5G3d18L6d23`ni6=44o377>5<>{e>?0;6?4?:1y'7=e=m?1C?h=4H2`6?lbe2900c?;;:188yg0229096=4?{%1;g?c13A9n?6Fl:;hfa>5<5<5290;w)=7c;g5?M5b;2B8n85fdc83>>i5==0;66sm5d83>7<729q/?5m5e79K7`5<@:h>7djm:188k7332900qo:64;290?6=8r.84n4>029K7`5<@:h>7djm:188m<>=831d>8:50;9la<<722wi8>h50;094?6|,:2h6?;:;I1f7>N4j<1bho4?::m111<722wi8nj50;094?6|,:2h6?;:;I1f7>N4j<1bho4?::m111<722wi84j50;094?6|,:2h6?;:;I1f7>N4j<1bho4?::m111<722wi;i4?:383>5}#;1i1>8;4H2g0?M5e=2con7>5;n060?6=3th?5?4?:383>5}#;1i1>8;4H2g0?M5e=2con7>5;n060?6=3th?454?:383>5}#;1i1>8;4H2g0?M5e=2con7>5;n060?6=3th???4?:383>5}#;1i1>8;4H2g0?M5e=2con7>5;n060?6=3th?>54?:383>5}#;1i1>8;4H2g0?M5e=2con7>5;n060?6=3th?<54?:283>5}#;1i1>884H2g0?M5e=2con7>5;h;;>5<l:;hfa>5<l:;hfa>5<l:;hfa>5<96F96F96F96F26=4=:183!5?k38>96F:6F>i5==0;66sm4c:94?5=83:p(>6l:375?M5b;2B8n85fdc83>>o>03:17b<:4;29?xd3k<;I1a1>ocj3:17b<:4;29?xd3=>0;6?4?:1y'7=e=:k<;I1a1>ocj3:17b<:4;29?xd39m0;6?4?:1y'7=e=:k<;I1a1>ocj3:17b<:4;29?xd5j?0;6?4?:1y'7=e=:k<;I1a1>ocj3:17b<:4;29?xd5j90;6?4?:1y'7=e=:k<;I1a1>ocj3:17b<:4;29?xd5m;0;6?4?:1y'7=e=:k<;I1a1>ocj3:17b<:4;29?xd5lj0;6?4?:1y'7=e=:k<;I1a1>ocj3:17b<:4;29?xd5l?0;6?4?:1y'7=e=:k<;I1a1>ocj3:17b<:4;29?xd5l90;6?4?:1y'7=e=:k<;I1a1>ocj3:17b<:4;29?xd5kh0;6?4?:1y'7=e=:k<;I1a1>ocj3:17b<:4;29?xd5k=0;6?4?:1y'7=e=:k<;I1a1>ocj3:17b<:4;29?xd5k:0;6?4?:1y'7=e=:k<;I1a1>ocj3:17b<:4;29?xd5jm0;6?4?:1y'7=e=:k<;I1a1>ocj3:17b<:4;29?xd5j>0;6?4?:1y'7=e=:k<;I1a1>ocj3:17b<:4;29?xd5ih0;6?4?:1y'7=e=:k<;I1a1>ocj3:17b<:4;29?xu593:1>v3;4nl0on6s|2383>7}:;ok1>=523gd9`g=z{;o1<77c<5=:96il4}r1`>5<5sW9h70;;:e`8yv5c2909wS=k;<76>ad5<5sW>;70;7:e`8yv262909wS:>;<7:>ad96=4={_61?83f2mh0q~:<:181[2434?i6il4}r67>5<5sW>?70;l:e`8yv222909wS::;<7g>ad=6=4={_65?83b2mh0q~:8:181[2034?m6il4}r6;>5<5sW>3708?:e`8yv2>2909wS:6;<42>adi6=4={_6a?8052mh0q~:l:181[2d34<86il4}r6g>5<5sW>o708;:e`8yv2b2909wS:j;<46>adm6=4={_6e?8012mh0q~;?:181[3734<<6il4}r72>5<5sW?:7087:e`8yv352909wS;=;<4:>ad11<5==1v8;50;1x96`021168i958:?61?42<2wx9;4?:2y>7c1=127?h:46;<75>7333ty>;7>53z?0b2o;7o4=459602f=:{t=h0;6>u23g59`>;3l>0o70;n:377?xu2j3:1?v3h8:02891b028:018j52468yv3b2909w0:k7;32?83b2;??7p}:f;297~;4n>0:>63;d6826>;2n38>86s|6183>6}:;o=1=9524e5951=:>909995rs7394?4|5:l<6<;4=7396028:?57?42<2wx:94?:3y>0a1=9016:94=559~w33=838p19j8:0c8933=:<>0q~89:18182c?3;i7089:377?xu1?3:1>v3;d682g>;1?38>86s|6983>7}:4c<5=n<6o;7?i;<4b>7333ty=n7>57z?0b<<1j278j;49b:?75<027?:>468:?7f=<>02wx;>4?:4y>7c1=?:168i95729>7cg=?:16?k65729>7c0=?:1v:750;0xZ2?<5>k1ho5rs6c94?4|5:l26:74=6c9602{t?l0;6?uQ7d9>3c7c?=?l16;k4=559~w<>=838pR464=ba9`g=z{ji1<7=t=2d:><><5:l=6464=ba960232970j<:377?xub<3:1>v3;4n10n86s|e`83>7}:;ok1il523g;9ad=z{oo1<7cc<5:l26kk4}r330?6=:r78j:4>059>7cg=99>0q~?>2;296~;4nh0:=n523g;954452z?0bd<69l16?k751208yv74;3:1>v3=4}r30e?6=;r78j:4>3`9>7cg=9:k01>h7:01b?xu6;k0;6?u23gc956d<5:l36<=m;|q27a<72;q6?ko512f896`>289o7p}>8e83>7}:;ok1=5j4=2d:>4g63ty:m?4?:3y>7cg=9h801>h6:0c1?xu5=80;6?u23d69`<=:<0>1ho5rs371>5<4s4>287<:4:?0b2<31:16?k754818yv4193:1>v3;?4}r044?6=:rT9;=522`c960252z\134=::k:1>8:4}r047?6=:rT9;>522c4960252z\131=::k=1>8:4}r041?6=:rT9;8522cf960252z\133=::j91>8:4}r04f?6=:rT9;o522b6960252z\13f=::jk1>8:4}r04`?6=:rT9;i522e2960252z\13`=::m<1>8:4}r04b?6=:rT9;k522ea960252z\1<5=::l81>8:4}r0;6?6=:r78j:4=839>0a1=:180q~<73;290~;4n>094>524e596=5<5:l36?6=;<1e2?4?:2wx>5650;0x91b02;2370=i8;0;<>{t:hk1<7717348jm7jm;|q1f5<72;q68i95263897d72mh0q~09;>522c49`g=z{;h<6=4={<6g3?40<279n:4kb:p6gb=838p19j8:356?84el3ni7p}=c283>7}::84=3a0>ad52z?7`2<5?k16>n:5dc9~w7ef2909w0:k7;04g>;5kh0on6s|2e294?4|5=n<6?9k;<0g4?be3ty9h;4?:3y>0a1=:>o01?j9:e`8yv4ck3:1>v3;d6813c=::mi1ho5rs3g1>5<5s4>o;7<70:?1a7h650;1x96`02;o370:k7;0f<>;4nh09i55rs3gg>5<5s49m;7=::18182c?398:63;4n?089:5rs24;>5<5s49m;7=98:?0b3<4>11v>hm:181[5aj278jn4=559~w6`d2909w0:?0;060>;4nj0on6s|3gf94?4|5:ln6?;;;<634?bd3ty8jh4?:3y>7c`=:<>019>?:ef8yv2793:1>vP;009>055=:<>0q~:?3;296~;38;0999524119`g=z{=:>6=4={_631>;38?0on6s|41494?2|5=n<69>;;<1ee?27<278j54;059>050=:<>0q~:?7;296~X38>168=652468yv2713:1>v35<5sW>:463;1`8111=z{=;j6=4={<62=?42<27?=l4kb:p04e=838pR9?l;<62`?42<2wx8d;fa?xu3:=0;6?uQ436891422mh0q~:=5;296~;4n00?=o5243796029;7>52z\762=:<;21>8:4}r6107>=lk1v9=>:181[24927???4=559~w1552909w0:k7;605>;3;;0on6s|42g94?4|V=9n70:{t<:l1<7=t=5f4>15c349m47:50;0xZ12734>?=7jm;|q704<72;q6?k7542f891262;??7p};4983>7}Y<=2019:6:377?xu3<00;6?u23g;901><5=>26il4}r67g?6=:rT?8n5245g9602?i7>52z?0bd<3>963;568gf>{t<7}:;o<188m4=57g>7333ty?:=4?:3y]036<5=<:6il4}r655?6=;r7?h:4;5g9>7cg=<:377?xu3>;0;6?uQ470891042;??7p};6583>7}:;o3188h4=540>ad<;7>52z\732=:<>21>8:4}r6402>=lk1v968:181[2??27?454=559~w1>?2909w0:k7;645>;3010on6s|49;94?4|V=2270:7a;060>{t<1k1<71d734>3m7jm;|q77333ty?5?4?:3y>0a1=<1h0197=:e`8yv2>;3:1>vP;929>0<2=m01v97::18182>>38>863;958:<>{t<0=1<71?034>2:7jm;|q7==<72;qU8464=5;b>7333ty?5l4?:3y>0a1=<020197n:e`8yv2>j3:1>vP;9c9>00q~:6d;296~;3l>0?5o5248f9`g=z{=3m6=4={_6:b>;3i90on6s|4`294?5|5=n<697j;<1ee?2>m27?m=4=559~w1g62909wS:n1:?7e7<5==1v9o<:18185a13>2i63;a38gf>{t86s|4c;94?4|5:l269l9;<6a;3ko09995rs5f6>5<5sW>o963;d78gf>{t1b334>o;7:k4:?0bd<3l=16?k854e6891b12;??7psa107g>5<5sA9i96sa107f>5<5sA9i96sa107e>5<5sA9i96sa1043>5<6sA9i96sa1042>5<6sA9i96sa1041>5<6sA9i96sa1040>5<6sA9i96sa1047>5<6sA9i96sa1046>5<6sA9i96sa1045>5<6sA9i96sa1044>5<6sA9i96sa104;>5<6sA9i96sa104:>5<6sA9i96sa104b>5<6sA9i96sa104a>5<6sA9i96sa104`>5<6sA9i96sa104g>5<6sA9i96sa104f>5<6sA9i96sa104e>5<6sA9i96sa1053>5<6sA9i96sa1052>5<6sA9i96sa1051>5<6sA9i96sa1050>5<6sA9i96sa1057>5<6sA9i96sa1056>5<6sA9i96sa1055>5<6sA9i96sa1054>5<4sA9i96sa105;>5<4sA9i96sa105:>5<4sA9i96sa105b>5<4sA9i96sa105a>5<4sA9i96sa105`>5<4sA9i96sa105g>5<4sA9i96sa105f>5<4sA9i96sa105e>5<4sA9i96sa10:3>5<4sA9i96sa10:2>5<5sA9i96sa10:1>5<4sA9i96sa10:0>5<4sA9i96sa10:7>5<5sA9i96sa10:6>5<5sA9i96sa10:5>5<5sA9i96sa10:4>5<5sA9i96sa10:;>5<5sA9i96sa10::>5<5sA9i96sa10:b>5<5sA9i96sa10:a>5<5sA9i96sa10:`>5<4sA9i96sa10:g>5<4sA9i96sa10:f>5<4sA9i96sa10:e>5<1sA9i96sa10;3>5<6sA9i96sa10;2>5<5sA9i96sa10;1>5<6sA9i96sa10;0>5<6sA9i96sa10;7>5<6sA9i96sa10;6>5<5sA9i96sa10;5>5<6sA9i96sa10;4>5<4sA9i96sa10;;>5<6sA9i96sa10;:>5<1sA9i96sa10;b>5<4sA9i96sa10;a>5<4sA9i96sa10;`>5<4sA9i96sa10;g>5<4sA9i96sa10;f>5<4sA9i96sa10;e>5<4sA9i96sa10c3>5<4sA9i96sa10c2>5<3sA9i96sa10c1>5<4sA9i96sa10c0>5<4sA9i96sa10c7>5<4sA9i96sa10c6>5<4sA9i96sa10c5>5<6sA9i96sa10c4>5<4sA9i96sa10c;>5<4sA9i96sa10c:>5<6sA9i96sa10cb>5<6sA9i96sa10ca>5<6sA9i96sa10c`>5<6sA9i96sa10cg>5<6sA9i96sa10cf>5<6sA9i96sa10ce>5<6sA9i96sa10`3>5<6sA9i96sa10`2>5<6sA9i96sa10`1>5<6sA9i96sa10`0>5<6sA9i96sa10`7>5<6sA9i96sa10`6>5<4sA9i96sa10`5>5<2sA9i96sa10`4>5<4sA9i96sa10`;>5<5sA9i96sa10`:>5<5sA9i96sa10`b>5<4sA9i96sa10`a>5<5sA9i96sa10``>5<5sA9i96sa10`f>5<5sA9i96sa10`e>5<5sA9i96sa10a3>5<5sA9i96sa10a2>5<5sA9i96sa10a1>5<5sA9i96sa10a0>5<5sA9i96sa10a7>5<3sA9i96sa10a6>5<4sA9i96sa10a5>5<4sA9i96sa10a4>5<4sA9i96sa10a;>5<4sA9i96sa10a:>5<4sA9i96sa10ab>5<6sA9i96sa10aa>5<6sA9i96sa10a`>5<6sA9i96sa10ag>5<6sA9i96sa10af>5<6sA9i96sa10ae>5<6sA9i96sa10f3>5<6sA9i96sa10f2>5<3sA9i96sa10f1>5<6sA9i96sa10f0>5<5sA9i96sa10f7>5<6sA9i96sa10f6>5<6sA9i96sa10f5>5<6sA9i96sa10f4>5<5sA9i96sa10f;>5<6sA9i96sa10f:>5<5sA9i96sa10fb>5<6sA9i96sa10fa>5<5sA9i96sa10f`>5<6sA9i96sa10fg>5<5sA9i96sa10ff>5<6sA9i96sa10fe>5<4sA9i96sa10g3>5<6sA9i96sa10g2>5<5sA9i96sa10g1>5<6sA9i96sa10g0>5<5sA9i96sa10g7>5<6sA9i96sa10g6>5<5sA9i96sa10g5>5<6sA9i96sa10g4>5<5sA9i96sa10g;>5<6sA9i96sa10g:>5<5sA9i96sa10gb>5<6sA9i96sa10ga>5<4sA9i96sa10g`>5<6sA9i96sa10gg>5<5sA9i96sa10gf>5<6sA9i96sa10ge>5<5sA9i96sa10d3>5<6sA9i96sa10d2>5<5sA9i96sa10d1>5<6sA9i96sa10d0>5<5sA9i96sa10d7>5<6sA9i96sa10d6>5<5sA9i96sa10d5>5<6sA9i96sa10d4>5<6sA9i96sa10d;>5<5sA9i96sa10d:>5<6sA9i96sa10db>5<5sA9i96sa10da>5<6sA9i96sa10d`>5<5sA9i96sa10dg>5<6sA9i96sa10df>5<4sA9i96sa10de>5<6sA9i96sa1323>5<5sA9i96sa1322>5<6sA9i96sa1321>5<5sA9i96sa1320>5<6sA9i96sa1327>5<5sA9i96sa1326>5<6sA9i96sa1325>5<5sA9i96sa1324>5<6sA9i96sa132;>5<2sA9i96sa1b194?7|@:h>7p`>c583>4}O;k?0qc?l5;295~N4j<1vb51zJ0f0=zf8ij6=4>{I1a1>{i9jh1<7?tH2`6?xh6kj0;6l:;|l2`6<728qC?o;4}o3g0?6=9rB8n85rn0f5>5<6sA9i96sa1e594?7|@:h>7p`>d983>4}O;k?0qc?k9;295~N4j<1vb51zJ0f0=zf8nm6=4>{I1a1>{i9l;1<7?tH2`6?xh6m;0;6l:;|l2a3<728qC?o;4}o3f3?6=9rB8n85rn0g;>5<6sA9i96sa1d;94?7|@:h>7p`>e`83>4}O;k?0qc?jb;295~N4j<1vb51zJ0f0=zf8l:6=4>{I1a1>{i9o81<7?tH2`6?xh6n:0;6l:;|l2b2<728qC?o;4}o3e5<6sA9i96sa1gc94?7|@:h>7p`>fc83>4}O;k?0qc?ic;295~N4j<1vb51zJ0f0=zf;::6=4>{I1a1>{i:981<7?tH2`6?xh58:0;6l:;|l142<728qC?o;4}o035<6sA9i96sa21c94?7|@:h>7p`=0c83>4}O;k?0qck:182M5e=2we>=k50;3xL6d23td?n<4?:0yK7g3i?7>51zJ0f0=zf=h?6=4>{I1a1>{il:;|l7g=<728qC?o;4}o6`=?6=9rB8n85rn5ab>5<6sA9i96sa4b`94?7|@:h>7p`;cb83>4}O;k?0qc:ld;295~N4j<1vb9mj:182M5e=2we8nh50;3xL6d23td?h=4?:0yK7g3o=7>51zJ0f0=zf=n96=4>{I1a1>{il:;|l7`=<728qC?o;4}o6g=?6=9rB8n85rn5fb>5<6sA9i96sa4e`94?7|@:h>7p`;db83>4}O;k?0qc:kd;295~N4j<1vb9jj:182M5e=2we8ih50;3xL6d23td?i=4?:0yK7g3n=7>51zJ0f0=zf=o96=4>{I1a1>{il:;|l7a=<728qC?o;4}o6f=?6=9rB8n85rn5gb>5<6sA9i96sa4d`94?7|@:h>7p`;eb83>4}O;k?0qc:jd;295~N4j<1vb9kj:182M5e=2we8hh50;3xL6d23td?j=4?:0yK7g3m=7>51zJ0f0=zf=l96=4>{I1a1>{il:;|l7b=<728qC?o;4}o6e=?6=9rB8n85rn5db>5<6sA9i96sa4g`94?7|@:h>7p`;fb83>4}O;k?0qc:id;295~N4j<1vb9hj:182M5e=2we8kh50;3xL6d23td><<4?:0yK7g37>51zJ0f0=zf<:86=4>{I1a1>{i=9>1<7?tH2`6?xh28<0;63:1=vF=83;pD>l:;|l64<<728qC?o;4}o73e?6=9rB8n85rn42a>5<6sA9i96sa51a94?7|@:h>7p`:0e83>4}O;k?0qc;?e;295~N4j<1vb8>i:182M5e=2we9<>50;3xL6d23td>=<4?:0yK7g37>51zJ0f0=zf<;86=4>{I1a1>{i=8>1<7?tH2`6?xh29<0;63:1=vF=83;pD>l:;|l65<<728qC?o;4}o72e?6=9rB8n85rn43a>5<6sA9i96sa50a94?7|@:h>7p`:1e83>4}O;k?0qc;>e;295~N4j<1vb8?i:182M5e=2we9?>50;3xL6d23td>><4?:0yK7g37>51zJ0f0=zf<886=4>{I1a1>{i=;>1<7?tH2`6?xh2:<0;63:1=vF=83;pD>l:;|l66<<728qC?o;4}o71e?6=9rB8n85rn40a>5<6sA9i96sa53a94?7|@:h>7p`:2e83>4}O;k?0qc;=e;295~N4j<1vb8>50;3xL6d23td>??4?:0yK7g351zJ0f0=zf<9<6=4>{I1a1>{i=:21<7?tH2`6?xh2;00;6l:;|l67a<728qC?o;4}o70a?6=9rB8n85rn41e>5<6sA9i96sa55194?7|@:h>7p`:4583>4}O;k?0qc;;5;295~N4j<1vb8:8:182M5e=2we99650;3xL6d23td>844?:0yK7g351zJ0f0=zf<>h6=4>{I1a1>{i==n1<7?tH2`6?xh2l:;|l613<728qC?o;4}o763?6=9rB8n85rn643>5<6sA9i96sa77194?7|@:h>7p`86583>4}O;k?0qc996;295~N4j<1vb:8n:182M5e=2we;;m50;3xL6d23td<:i4?:0yK7g351zJ0f0=zf>{I1a1>{i?>:1<7?tH2`6?xh0?80;6l:;|l430<728qC?o;4}o542?6=9rB8n85rn654>5<6sA9i96sa76:94?7|@:h>7p`87883>4}O;k?0qc98a;295~N4j<1vb:9m:182M5e=2we;:m50;3xL6d23td<;h4?:0yK7g351zJ0f0=zf>2;6=4>{I1a1>{i?1;1<7?tH2`6?xh00;0;63:1=vF0290:wE=m5:m3=>=83;pD>l:;|l4<<<728qC?o;4}o5;e?6=9rB8n85rn6:a>5<6sA9i96sa79a94?7|@:h>7p`88e83>4}O;k?0qc97e;295~N4j<1vb:6i:182M5e=2we;4>50;3xL6d23td<5<4?:0yK7g37>51zJ0f0=zf>386=4>{I1a1>{i?0>1<7?tH2`6?xh01<0;6>3:1=vF=83;pD>l:;|l4=<<728qC?o;4}o5:e?6=9rB8n85rn6;a>5<6sA9i96sa78a94?7|@:h>7p`89e83>4}O;k?0qc96f;295~N4j<1vb:o?:182M5e=2we;l?50;3xL6d23td51zJ0f0=zf>k?6=4>{I1a1>{i?h?1<7?tH2`6?xh0i?0;6l:;|l4ed<728qC?o;4}o5bf?6=9rB8n85rn6c`>5<6sA9i96sa7`f94?7|@:h>7p`8ad83>4}O;k?0qc9nf;295~N4j<1vb:l>:182M5e=2we;o<50;3xL6d23td4?:0yK7g351zJ0f0=zf>h>6=4>{I1a1>{i?k<1<7?tH2`6?xh0j>0;6290:wE=m5:m3gg=83;pD>l:;|l4fg<728qC?o;4}o5ag?6=9rB8n85rn6`g>5<6sA9i96sa7cg94?7|@:h>7p`8bg83>4}O;k?0qc9l0;295~N4j<1vb:m>:182M5e=2we;n<50;3xL6d23td51zJ0f0=zf>i=6=4>{I1a1>{i?j=1<7?tH2`6?xh0k10;6l:;|l4gf<728qC?o;4}o5``?6=9rB8n85rn6af>5<6sA9i96sa7bd94?7|@:h>7p`8d183>4}O;k?0qc9k1;295~N4j<1vb:j=:182M5e=2we;i=50;3xL6d23td51zJ0f0=zf>n=6=4>{I1a1>{i?m=1<7?tH2`6?xh0l10;6l:;|l4``<728qC?o;4}o5gb?6=9rB8n85rn6g3>5<6sA9i96sa7d394?7|@:h>7p`8e283>4}O;k?0qc9j4;295~N4j<1vb:k::182M5e=2we;h850;3xL6d23td51zJ0f0=zf1>>6=4>{I1a1>{i0=<1<7?tH2`6?xh?<10;62:3:1=vFl:;|l;10<728qC?o;4}o:62?6=9rB8n85rn974>5<6sA9i96sa84:94?7|@:h>7p`75c83>4}O;k?0qc6:c;295~N4j<1vb5;k:182M5e=2we48k50;3xL6d23td3:=4?:0yK7g351zJ0f0=zfk?:6=4>{I1a1>{ij<81<7?tH2`6?xhe=:0;6l:;|la12<728qC?o;4}o`65<6sA9i96sab4c94?7|@:h>7p`m5d83>4}O;k?0qcl:f;295~N4j<1vbo8?:182M5e=2wen;?50;3xL6d23tdi:?4?:0yK7g351zJ0f0=zfk{I1a1>{ij??1<7?tH2`6?xhe>>0;6?uG3c78ykd103:1=vF290:wE=m5:mf3g=83;pD>l:;|la2g<728qC?o;4}o`5g?6=9rB8n85rnc4g>5<6sA9i96sab7g94?7|@:h>7p`m6g83>7}O;k?0qcl80;295~N4j<1vbo9>:182M5e=2wen:<50;0xL6d23tdi;>4?:0yK7g351zJ0f0=zfk=>6=4={I1a1>{ij><1<7?tH2`6?xhe?>0;6vF2909wE=m5:mf2g=83;pD>l:;|la3g<728qC?o;4}o`4g?6=9rB8n85rnc5g>5<6sA9i96sab6g94?7|@:h>7p`m7g83>4}O;k?0qcl70;295~N4j<1vbo6>:182M5e=2wen5<50;3xL6d23tdi4>4?:0yK7g351zJ0f0=zfk2>6=4={I1a1>{ij1<1<70;6?uG3c78ykd?03:1=vFf290:wE=m5:mf=d=83;pD>l:;|la5<6sA9i96sab9d94?7|@:h>7p`m9183>4}O;k?0qcl61;295~N4j<1vbo7=:182M5e=2wen4=50;3xL6d23tdi594?:0yK7g351zJ0f0=zfk3=6=4>{I1a1>{ij0=1<7?tH2`6?xhe110;613:1=vFl:;|la=`<728qC?o;4}o`:b?6=9rB8n85rncc3>5<6sA9i96sab`394?7|@:h>7p`ma383>4}O;k?0qcln3;295~N4j<1vboo;:182M5e=2wenl;50;3xL6d23tdim54?:0yK7g351zJ0f0=zfkkj6=4>{I1a1>{ijhh1<7?tH2`6?xheij0;6l:;|laf5<728qC?o;4}o`a5?6=9rB8n85rnc`1>5<6sA9i96sabc194?7|@:h>7p`mb583>4}O;k?0qclm5;295~N4j<1vbol9:182M5e=2weno950;3xL6d23tdin54?:0yK7g351zJ0f0=zfkhj6=4>{I1a1>{ijkh1<7?tH2`6?xhejj0;6l:;|lag5<728qC?o;4}o``5?6=9rB8n85rnca1>5<6sA9i96sabb194?7|@:h>7p`mc583>4}O;k?0qcll5;295~N4j<1vbom9:182M5e=2wenn950;3xL6d23tdio54?:0yK7g351zJ0f0=zfkij6=4>{I1a1>{ijjh1<7?tH2`6?xhekj0;6l:;|la`5<728qC?o;4}o`g5?6=9rB8n85rncf1>5<6sA9i96sabe194?7|@:h>7p`md783>4}O;k?0qclk7;295~N4j<1vboj7:182M5e=2weni750;3xL6d23tdiho4?:0yK7g351zJ0f0=zfkno6=4>{I1a1>{ijmo1<7?tH2`6?xhelo0;6l:;|laa6<728qC?o;4}o`f0?6=9rB8n85rncg6>5<6sA9i96sabd;94?7|@:h>7p`mec83>4}O;k?0qcljc;295~N4j<1vbokk:182M5e=2wenhh50;3xL6d23tdij=4?:0yK7g351zJ0f0=zfkl96=4>{I1a1>{ijo91<7?tH2`6?xhen=0;6l:;|lab=<728qC?o;4}o`ef?6=9rB8n85rncd`>5<6sA9i96sabgf94?7|@:h>7p`mfd83>4}O;k?0qclif;295~N4j<1vbn>?:182M5e=2weo=?50;3xL6d23tdh51zJ0f0=zfj:?6=4>{I1a1>{ik9<1<7?tH2`6?xhd8>0;6290:wE=m5:mg5g=83;pD>l:;|l`4g<728qC?o;4}oa3g?6=9rB8n85rnb2g>5<6sA9i96sac1g94?7|@:h>7p`l0g83>4}O;k?0qcm>0;295~N4j<1vbn?>:182M5e=2weo<<50;3xL6d23tdh=>4?:0yK7g351zJ0f0=zfok26=4>{I1a1>{inhk1<7?tH2`6?xhaik0;6l:;|lef7<728qC?o;4}oda7?6=9rB8n85rng`7>5<6sA9i96safc794?7|@:h>7p`ib783>4}O;k?0qchm7;295~N4j<1vbkl7:182M5e=2wejo750;3xL6d23tdmnl4?:0yK7g351zJ0f0=zfohh6=4>{I1a1>{inkn1<7?tH2`6?xhajl0;6l:;|leg7<728qC?o;4}od`7?6=9rB8n85rnga7>5<6sA9i96safb794?7|@:h>7p`ic783>4}O;k?0qchl7;295~N4j<1vbkm7:181M5e=2wejn750;3xL6d23tdmol4?:0yK7g351zJ0f0=zfoih6=4>{I1a1>{injn1<7?tH2`6?xhakl0;6l:;|le`7<728qC?o;4}odg7?6=9rB8n85rngf7>5<6sA9i96safe794?4|@:h>7p`id783>4}O;k?0qchk7;295~N4j<1vbkj7:182M5e=2weji750;3xL6d23tdmhl4?:0yK7g351zJ0f0=zfonh6=4>{I1a1>{inmn1<7?tH2`6?xhall0;6l:;|lea7<728qC?o;4}odf7?6=9rB8n85rngg7>5<6sA9i96safd794?7|@:h>7p`ie783>4}O;k?0qchj7;295~N4j<1vbkk7:182M5e=2wejh750;3xL6d23tdmil4?:0yK7g351zJ0f0=zfooh6=4>{I1a1>{inln1<7?tH2`6?xhaml0;6l:;|leb7<728qC?o;4}ode7?6=9rB8n85rngd7>5<6sA9i96safg794?4|@:h>7p`if783>4}O;k?0qchi7;295~N4j<1vbkh7:181M5e=2wejk750;3xL6d23tdmjl4?:0yK7g352zJ0f0=zfolh6=4>{I1a1>{inon1<7?tH2`6?xhanl0;6?uG3c78yk`an3:1=vFvFvF3:1=vFvFvFvFvFvFvFvFvFvFvFvF3:1>vF3:1=vFvFvFvFvF3:1=vFvFvFvFvFvFvFvFvFvF3:1>vFvFvFvFvFvFvFvFvFvFvFvFvFvFvFvF96*>N506?!7I<=?0(<@;8008 Wcqazcdb7.>.37:$!><.V;B8 X9G>9S964&^3M01Y302,T=C:7_53844=69;1:>7AZTQWW>WG;980;2<>4178JJUSS2H69?76111915?OIX\^1M1<>:0<20>442@D[YY4N_GQA875=97l0?7GAPTV9WR:4284>7L2>0?78E9766<1J0<<15:C?56823H6:83;4A=36:0=F48<596O316<6?D:607?0M1?6>59B84823H69<394A=02>4823H69=3;4A=01:2=F4;9143;4A=00:1=F4;4?7L2<>59B81833H6>295N<7<7?D:06=1J050;;@>::1=FDL:>7LBJ0L78EIC7[j1J@H>Pmtz3456c3HFNGKM9Ufyu>?016g?DJB8Vg~t=>?04f8EIC7Wds<=>?6e9BH@6Xe|r;<=>8d:COA5Yj}q:;<=6k;@NF4Zkrp9:;<4:4AMG2g>GKM8UTc>?00f8EIC6WVey<=>>129BJA2GIL1>0M^|id:CPvcYDdbUBB[?8;@QqbZH7k2KX~kQaou2344b19:C\BVD;98427LQISC>26;?89B[CUE48>556OPFR@?508>3HUM_O2>6?;8EZ@TJ5;<245N_GQA84>912KTJ^L318<;?DYA[K6:245N_GQA876912KTJ^L320<:?DYA[K69>3l4A^DPF94428427LQISC>17;>GXNZH79364A^DPF90902KTJ^L37?:8EZ@TJ52546OPFR@?=;bGXNZHT=?QFBTDg?DYA[KU:?RGMUGf8EZ@TJV;?SDLZFe9B[CUEW8?TEO[Id:C\BVDX9?UBNXHk;@]EWGY6?VCIYKj4A^DPFZ7?W@H^Ji5N_GQA[4?XAK_Mo6OPFR@\5ZOE]On0MRH\B^03[LDRNm1JSK]M_33\MGSAl2KTJ^LP23]JFP@c3HUM_OQ=3^KAQCeGXNZHT?RGMUGa8EZ@TJV>TEO[Ic:C\BVDX=VCIYKm4A^DPFZ0XAK_Mo6OPFR@\3ZOE]Oi0MRH\B^:\MGSAk2KTJ^LP9^KAQC0=f:ClqqIBWds<=>?3g9BkprHMVg~t=>?05d8EjssGLUfyu>?017e?Dir|FOTaxv?01250>DFK]?0NLM[149AEFR5=2HJOY|<;CWE55=E]@FOSYO]GDVBVFN?3K_XSD@IO09@0>E6>D30O<8B_H@VB3=D:9GNBo5L21OFJZOE]O<0O?;BEO`8G73JMGUDNXH<;B:N<>E?EVCIYK:4C9Oq7>EF[k1HM^Qbuy2345eEF[Vg~t=>?03a8GDUXe|r;<=>o6MNS^ov|5678?i0OL]Pmtz34560k2IJ_Rczx1234=4EI\01HC@CFTUGG3>EUMH^NH?5LS29@PI0>;Eg8@WEX[\IHI^]IUM58@drf494<7Io{a=3=2>Bh}}ENh6J`uuMF[jt789:n7IaztNG\kw6789;n7IaztNG\kw67898n7IaztNG\kw67899n7IaztNG\kw6789>n7IaztNG\kw6789?n7IaztNG\kw6789<:7H;4EGcoh3=BNhfg=;5JF`no60=B[5:596K\<0<6?@U;:7?0I^2<>59FWZ6b3LYTPnnv3456a3LYTPnnv34566l2OXS=QPaof3456b3LYT?0d9FWZ4XWhdo<=>?1d9FWZ4XWhdo<=>?259FWZ5c3LYT?RQnne2345cP_`lg45679h1N_RCnde]\eab789:TSl`k012354??10c8AVYJimnTSljk0123[Zgil9:;=07;DZSEKBBL8;0IU^NNEGG[GECWOCGI<:4EYRBJACCWJEE_Y\NIOVP54=BPYKEHHJPIRG\BLJB>2OTEO[I2:Gq1>Cu494>7H|31?68AwY7<2OyS<:4FBNH=>@DDBUBNXH=;GF0?CBD<2LOOH:4FEAS7>@CX;1MJ55IPFCJJLR?3OXDAR[LF29EWG7?5F339J07=N=;1B:?5F759JEFU23@KH_<:4ICWE6>OI02CEJH\]EE;8MKOS[]K_I>5FNWg8MKYIOMUJBIQMCE08MJ3>4g9JKDESWds<=>?14d8MJGD\Vg~t=>?004e?LIFK]Ufyu>?0134a>OHIJ^Taxv?0121a>OHIJ^Taxv?0120a>OHIJ^Taxv?0127a>OHIJ^Taxv?0126a>OHIJ^Taxv?0125a>OHIJ^Taxv?0124a>OHIJ^Taxv?012;a>OHIJ^Taxv?012:2>OHIJ^y:6G@BDPQa>OHJLXYSca{0122b>OHJLXYSca{012251=NGKG?7DAMS79JKGSAM?1BCNABP59JKFU23@EH_<84INAP550;4INAP60=NGJY896G@CR66?LID[h5FOEMMAZiu89:;?h5FOEMMAZiu89:;8h5FOEMMAZiu89:;9h5FOEMMAZiu89:;:h5FOEMMAZiu89:;;h5FOEMMAZiu89:;455FOEMMAlh03@EOCCK}4:KLH5eOHD9Ufyu>?013f?LIK8Vg~t=>?002f?LIK8Vg~t=>?003f?LIK8Vg~t=>?000f?LIK8Vg~t=>?001f?LIK8Vg~t=>?006f?LIK8Vg~t=>?007g?LIK8Vg~t=>?03f8MJJ7Wds<=>?3e9JKI6Xe|r;<=>;d:KLH5Yj}q:;<=;k;HMO4Zkrp9:;<;j4INN3[hs89:;;i5FOM2\ip~789:3h6G@L1]nq}67893?7DAC1b9JKI7Xff~;<=?k;HMO5Zhh|9:;=<;4INNGW<=NGGZYiljv109JKKVUmhnrSRa}012357=NGGZYiljv_^mq45679880EB@_Rdcg}ZYhz9:;?01126>OHFYXnmiwP_np345639;1BCC^]e`fz[Ziu89:;9;5FOQU@W<=NGY]Yiljva:KLTRTbims:;6G@REPFUc=NG[NYI\Qnne2345773@EYH_K^_`lg456798:0EB\KRDS\ekb789:9==5FOSFQATYffm:;<==i;HMQ@WCVWds<=>?119JKWBUMXUfyu>?01325>OHZMXN]Rczx123446692CD^I\JQ^ov|56788;:=6G@REPFUZkrp9:;<<<>1:KLVATBYVg~t=>?00125>OHZMXN]Rczx123442682CD^I\JQ^ov|5678;;;7DA]DSGR[hs89:;?<>4INPGV@WXe|r;<=>;119JKWBUMXUfyu>?01724>OHZMXN]Rczx12343773@EYH_K^_lw{4567?8:0EB\KRDS\ip~789:3==5FOSFQATYj}q:;<=77;HMQ@WCVz?1BC_K^r69JKWCVz8=0EB\JQs04?LIUMXx896G@RV3g?LIU_8Ujbi>?01g8MJTP9Vkeh=>?00g8MJTP9Vkeh=>?03g8MJTP9Vkeh=>?02g8MJTP9Vkeh=>?05g8MJTP9Vkeh=>?04g8MJTP9Vkeh=>?07g8MJTP9Vkeh=>?0668MJU7k2CD_=Qnne2345bOH[9Ujbi>?011`?LIT8Vg~t=>?0e9JKV6Xe|r;<=>>e:KLW5Yj}q:;<=??d:KLW5Yj}q:;<=j4INQ3[hs89:;8i5FOR2\ip~789:>h6G@S1]nq}6789n0EB]?_lw{45670m1BC^>Pmtz3456>k2CD_RH\M^DE`4eOH[VLXARHId3/Jj46m4INQ\BVKXNOn8j6G@S^DPIZ@Al:'Bb<>4INQ\BVKXNOn8!D`>119JKVYA[DUMJi="Io024>OH[VLXARHId2/Jj6773@EXSK]B_GDg7(Oi<8:0EB]PFRO\BCb4%@d>==5FOR]EWHYANm9&Ec8l;HMP[CUJWOLo8n5FOR]EWHYANm?h7DA\_GQN[C@c>o1BC^QISL]EBa0*Ag;;7DA\_GQN[C@c>$Ce=<>4INQ\BVKXNOn=!D`=119JKVYA[DUMJi8"Io124>OH[VLXARHId7/Jj1773@EXSK]B_GDg2(Oi=j1BC^QISL]EBa1a3@EXSK]B_GDg3(Oi991BC^QISL]EBa1*Ag;:<6G@S^DPIZ@Al>'Bb???;HMP[CUJWOLo; Ga3028MJUXNZGTJKj8-Hl755=NGZUM_@QIFe5.Mk313@E_U]K;;HMV4f=NG\:Taxv?012g?LIR8Vg~t=>?00g8MJS7Wds<=>?11g8MJS7Wds<=>?10g8MJS7Wds<=>?13g8MJS7Wds<=>?12g8MJS7Wds<=>?15g8MJS7Wds<=>?14f8MJS7Wds<=>?2e9JKP6Xe|r;<=>0EB[>c:KLQ4Yig}:;<OH_[XN]k5FOVPQATYj}q:;<=??;HMTVWCVWds<=>?1038MJQUZL[Taxv?0122447>3038MJQUZL[Taxv?01220470:KLSWTBYVg~t=>?0533?LIPZ[OZS`{w0123146?999JKRTUMXx?7D][C49JWQE6j2CXSK]B_GDg5`=N[VLXARHId0/Jjc=N[VLXARHId0/Jj4`OTWOYFSKHk2,Kmb>OTWOYFSKHk2,Km5c=N[VLXARHId3/Jj7`OTWOYFSB{{03f8MVYdeyUn}=>?0d9HA@VX@@OYM_MGY69OMGTFZP<0@BOKEE18HJE03EELENOCa:NSIZ^HZ;U:56B[ilgq858f3E^bah|3111:<=K\`gn~1=19:NWmhcu4=427AZfmdp?1;?89OPlkbz5=556B[ilgq8=8>3E^bah|39?:8HQojm{^H=>5CThofvQEXkdzTi|>?0137?IRnelx_ORmbp^gr456798>0@YgbesV@[fkwWl{;<=>=159OPlkbz]ITo`~Pep234556<2F_e`k}TB]`iuYby9:;<9?;;MVji`tSKVif|Rk~0123142?2038HQojm{U;S`{w0123747?6038HQojm{U;S`{w01233==K\`gn~R?6;MVji`tX99;97AZfmdp\55YXign;<=>>3:NWmhcuW8:TSl`k01235450^]bja67898:=6B[ilgq[46XWfx;<=>>2:NWmhcuW8:TSb|?0122=>JSadoyS2:NWmhcuW8;TSl`k012356=K\`gn~R?>_^cm`56788;87AZfmdp\54YXign;<=>=129OPlkbzV;To`~Pep2345733E^bah|P1^antZcv89:;=<;4LUknawY6Wjg{Sh?0122443_bos[`w789::=<;4LUknawY6Wjg{Sh?0122643_bos[`w789::?<;4LUknawY6Wjg{Sh?0122043_bos[`w789::9<:4LUknawY6Wjg{Sh?012151=K\`gn~R?Pclr\at67899:86B[ilgq[4YdeyUn}=>?0537?IRnelxT=Rmbp^gr4567=8>0@Ygbes]2[fkwWl{;<=>9159OPlkbzV;To`~Pep234516<2F_e`k}_0]`iuYby9:;<5?;;MVji`tX9Vif|Rk~0123=46_lw{4567981GXdcjr^3\ip~789::=<5CThofvZ7Xe|r;<=>=109OPlkbzV;Taxv?012054=K\`gn~R?Pmtz34563981GXdcjr^3\ip~789:>=<5CThofvZ7Xe|r;<=>9109OPlkbzV;Taxv?012454=K\`gn~R?Pmtz3456?991GXdcjr^3\jjr789;:=6B[ilgq[4Yig}:;<1:NWmhcuW8Uecy>?00025>JSadoyS:=6B[ilgq[4Yig}:;<<;>1:NWmhcuW8Uecy>?004;?IRnelxT>?0008HQojm{U9SRoad12344753E^bah|P2^]bja6789837AZfmdp\747?010;?IRnelxT8?0008HQojm{U?SRoad12344753E^bah|P4^]bja6789837AZfmdp\147?010;?IRnelxT:?0008HQojm{U=SRoad12344753E^bah|P6^]bja6789837AZfmdp\347?010;?IRnelxT4?0008HQojm{U3SRoad12344753E^bah|P8^]bja6789837AZfmdp\=47?0106?Iifl8o0@bok1^]bja6789;h7@okd^]b`a6789UFtb|PRdqvhq:668n0Aljk_^cg`5679VGscQ]erwop97768n0Aljk_^cg`5679VGscQ]erwop97668i0Aljk_^cg`5679VGscQ]erwop9499j1FmijP_`fg4566WDrd~R\jstnw8686k2GjhiQPaef3457XEqeyS_k|umv?0;7d3DkohRQnde2344YJpfxT^h}zlu>6:4eKflmUTmij?013\I}iuW[oxyaz38?3`?HgclVUjhi>?00]N|jtXZly~`y26>048IdbcWVkoh=>?1^]bja6789;<7@okd^]b`a6788UTmcj?012253=JimnTSljk0122[Zgil9:;=<94M`fg[Zgcl9:;=RQnne234476>2GjhiQPaef3457XWhdo<=>=169NeabXWhno<=>>_^cm`567:8;=7@okd^]b`a6788UTmcj?01123>KflmUTmij?013\[dhc89:8=<84M`fg[Zgcl9:;=RQnne2341703DkohRQnde2344YXign;<=:>179NeabXWhno<=>>_^cm`567=8=0Aljk_^cg`5679VUjbi>?04322>KflmUTmij?013\[dhc89:==:5Baef\[dbc89::SRoad12324713DkohRQnde2344YXign;<=9>7:Ob`aYXimn;<=?P_`lg456098<0Aljk_^cg`5679VUjbi>?0934?HgclVUjhi>?00]\ekb7892:=;5Baef\[dbc89::SRoad123=41<;O223>HB\^EYG;5AOBNJT4=H<2EIYK;4OCWEA6=HFL80C_=4OS00?JT4;2EY8>5@UU38Tf=W&;::?<>>3^N0?U77;2Z:=>5_1318T4543Y;??6^>529S535==4P300?U44;2Z98>5_2418T7143Y83?6^=939S76=W;990\><<;Q107>V4<:1[?8=4P240?U50:2Z??6^;029S045V2<:1[98=4P440?U3?;2Z>5?5_629S255<90\;8<;Q446>V0;2Z<<>5_7318T2543Y=??6^8629S325287]962:R;7>V?8:1[4<=4P900?U>2;2Z3:>5_8618T=?53Y387]7?3:R:56=W1;90\4=<;Q;77>V>=:1[5;=4P850?UGC<2ZJ^Yo4PHL\FPUNLQh0\D@PBTQMEHC43YXN=6_7;PmPV`gcqo1Zc^\jae{\kw6789;;7\a\Rdcg}Ziu89:;=>0038UjUUmhnrSb|?01225474QnQQadb~Wfx;<=>=119RkVTbimsTc>?01124>Wh[[ojhtQ`r12341773XeX^hoky^mq4567=8:0]b]]e`fz[jt789:===5^oRPfeaXg{:;<=9>0:SlWWcflpUd~=>?0933?TiTZlkouRa}0123=0=UI5:5:6\N<02=<>TF48;1<384R@>25;3TF4:4=7_O33?35?WG;;78=7_O33?15?WG;;7>>7_O34?78VD:26<1YM1815:PB82823[K743;4R@>::6=UID?0^LCLS69QEHET8Q20^LCLS1Z2<>TFEJY;T?64R@O@W5^4>2XJAN]>8:PBIWcflp;;7_OBRdcg}Zkrp9:;<?1038VDKUmhnrS`{w0123647?5038VDKUmhnrS`{w0123247TF[LFTmcj?011f?WGTMEUjbi>?023g?WGTMEUfyu>?01g8VDUBDVg~t=>?00g8VDUBDVg~t=>?03g8VDUBDVg~t=>?02g8VDUBDVg~t=>?05a8VDUBDVey<=>?d:PBW@JXg{:;<=?j;SCPAIYhz9:;<<>j;SCPAIYhz9:;<TF[LFTc>?0033a>TF[LFTc>?0032`>TF[LFTc>?000g?WGTMEUd~=>?12f8VDUBDVey<=>>4e9QEVCKWfx;<=?:d:PBW@JXg{:;<<8k;SCPAIYhz9:;=:j4R@QFHZiu89::4i5]ARGO[jt789;296\NSDLg?WGTMGUfyu>?01g8VDUBFVg~t=>?00g8VDUBFVg~t=>?03g8VDUBFVg~t=>?02g8VDUBFVg~t=>?05g8VDUBFVg~t=>?04g8VDUBFVg~t=>?07g8VDUBFVg~t=>?06g8VDUBFVg~t=>?0978VDUun11YM^|i_O2:?WGTzoUE<<;4R@Qqva=UIZxyS`{w0123a>TF[{xTaxv?0122a>TF[{xTaxv?0121a>TF[{xTaxv?0120a>TF[{xTaxv?0127a>TF[{xTaxv?0126=>TFW9UDNXHl;SC\4Zkrp9:;TFW8:Taxv?0122a>TFW8:Taxv?0121a>TFW8:Taxv?0120a>TFW8:Taxv?0127e>TFW8;TCO[I9:PB[4YHJ\Lh7_OP1^ov|5678m1YMR?Pmtz34566l2XJSTCO[Ic:PB[1Yj}q:;<=j4R@]7[hs89:;=i5]A^6\ip~789:9h6\N_5]nq}67899o7_OP4^ov|5678=30^LQ:_N@VBf=UIV?Taxv?012g?WGX=Vg~t=>?00f8VDY2Wds<=>?2e9QEZ3Xe|r;<=>TFW>UDNXHl;SC\3Zkrp9:;TFW1Ufyu>?01f8VDY?Wds<=>?1e9QEZ>Xe|r;<=>=d:PB[=Yj}q:;<==k;SC\TFW0Ufyu>?016`?WCWDHINSBENY49QKHET>2XDAN]>6:PLIFU5>2XDAN]<6:PLIFU3?2XDAN];X99QKHET?1YC@M\729QWQdTTWOYFSKHk7,Km6c=U[VLXARHId6/Jj6`o1Y_RH\M^DE`2+Nf>h0^^QISL]EBa>b3[YTJ^CPFGf;)Lha3[YTJ^CPFGf;)Lh6991Y_RH\M^DE`=+Nf8::<6\\_GQN[C@c0$Ce=2028VVYA[DUMJi6"Io3055=U[VLXARHId9/Jj42682XXSK]B_GDg<(Oi9<;;7_]PFRO\BCb?%@d::<>4RR]EWHYANm2&Ec?8119QWZ@TEVLMh5#Fn0:e?WUXNZGTJKj7-Hl1b>TTWOYFSKHk8,Km7c=U[VLXARHId9/Jj1`*Ag3i7_]PFRO\BCb>m2XXSK]B_GDg=(Oin2XXSK]B_GDg=(Oi98:0^^QISL]EBa?*Ag;;==5]S^DPIZ@Al0'Bb0:PP[CUJWOLo5 Ga1333?WUXNZGTJKj6-Hl2746TTWOYFSKHk9,Km;5]e`fz73=Umhnr8;5]e`fz13=Umhnr:;5]e`fz32=UmoNdbh??;Sge@jhbWVkeh=>?0038V``CggoTSl`k01235c=UmoNdbhQPos2345773[omHb`j_^mq456798:0^hhKoog\[jt789:9==5]egFlj`YXg{:;<==>0:PfbAiimVUd~=>?0533?WcaLfdnSRa}01231462Xnj_k~7:PfbWcv9>1Yik\jq3:8V``UmxCei6\jfSgr[dhc89:;j6\jfSgr[dhc89:;=k5]egPfuZgil9:;;f:PfbWcvWhdo<=>?5d9QacTbyVddx=>?0g9QacTbyVddx=>?0048V``Rzn=0^hhZrf34?Wca]{m946\jfTpdMkb5\EU78WR:66h1X[1?1_H@VB0=T_585m6]X<3<\MGSA?2Y\0>4>>49PS959i2Y\0>0PICWE0>Ubf}i0_h`{_^mq4567l2YnbyQPos23457c3ZoexRQ`r12347b<[ldSRa}01237a=Tmg~TSb|?0127`>Ubf}UTc>?017g?Vci|VUd~=>?07f8W`hsWVey<=>?7e9PakrXWfx;<=>7a:Qm`WGJKZ]Yn6]adSCNGVQU9j1Xbi\NMBQTV46d3Zdo^LCLSVP25f=TfmXJAN]XR00`?VhcZHGH_Z\>3b9PjaTFEJY\^<:l;RlgVDKD[^X:9n5\nePBIFUPZ8Uil[EFO^?>a:Qm`WIJKZ;9m6]adSMNGV74i2Yeh_ABCR37e>Uil[EFO^?:9:Qm`WIJKZ827^`kRNO@W6?<[gnYC@M\489PjaTHEJY>56]adSMNGV0>3Zdo^BCLS6;8WkbUGDIX445\nePLIFU>92^87Y\F8:VQMVRF\L>0X_[Jb:VP[CUJWOLo=h5[S^DPIZ@Al8'Bbk5[S^DPIZ@Al8'Bb4TR]EWHYANm8&Ec??f:VP[CUJWOLo> Ga2g9WWZ@TEVLMh?#Fn2d8PVYA[DUMJi<"Io6e?QUXNZGTJKj=-Hl6b>RTWOYFSKHk2,Km2c=S[VLXARHId3/Jj2`<\ZUM_@QIFe0.Mk>a3]YTJ^CPFGf1)Lh>j2^XSK]B_Nww4f=S[VLXARAzt13`?QUXNZGTCxz?259W]UC?3]cfi2?>99Wmhcu48437Ygbes>1:==Sadoy0>08;UknawRD9;1_e`k}TB]`iuYby9:;<<=4ThofvQEXkdzTi|>?01327>Rnelx_ORmbp^gr4567:890XdcjrUA\ghvXmx:;<==>3:Vji`tSKVif|Rk~0123045<\`gn~YMPclr\at6789?<7Ygbes]355=SadoyS=QPaof3456692^bah|P0^]bja6789;:=6Zfmdp\4ZYffm:;<=<>1:Vji`tX8VUjbi>?0114?Qojm{U:==5[ilgq[4YXign;<=>>1:Vji`tX9VUjbi>?01325>RnelxT=RQnne2345403]cfiQ=119WmhcuW;UTmcj?01225>RnelxT>RQnne23457692^bah|P2^]bja67898<7Ygbes]055=SadoyS>QPaof3456692^bah|P3^]bja6789;:=6Zfmdp\7ZYffm:;<=<=2:Vji`tXEhnoSRokd1234ZKg{UYi~{ct=3=67=SadoyS@okd^]b`a6789UFtb|PRdqvhq:56;80Xdcjr^Ob`aYXimn;<=>PMymq[Wct}e~7?3?l;UknawYJimnTSljk0123[Zgil9:;<?01]\ekb789;:=<5[ilgq[Zgcl9:;<1?1109WmhcuWVkoh=>?0=0=54=SadoySRokd1234959l2^bah|Paof3456b3]cfiQnne2345713\D^_HJn;TQFVZGKAHYh7X]JR^TJWLDKM:1]ON74VHGT[Q_WM=1]\L|l;WRBvZhh|9:;=i5YP@p\jjr789;:n6XfdSCNGVQU9j1]ei\NMBQTV46e3_co^LCLSVP1f>Pnl[KFO^Y]3c9UmaTFEJY\^9l4VhfQEHET_[?i7[gkR@O@WRT1j2\bh_OBCRUQ3g=QamXJAN]XR9`8RlbUIDIX[_7:;VQ?4;3<_Z6:285XS=0=1>QT4:4?7Z]P0e9TWZ6XWhdo<=>?e:UP[5YXign;<=>>e:UP[5YXign;<=>=4:UP[4b<_ZU:SRoad1234`=P[V;TSl`k012351=P[V8o7Z]P2^]bja6789o0[^Q=_^cm`56788>0[^Qj;VQ\7ZYffm:;<=?>f:UP[HgclVUjhi>?01]N|jtXZly~`y2>>0d8SVYJimnTSljk0123[H~hzVXnxb{<3<2b>QTWDkohRQnde2345YJpfxT^h}zlu>0:4?<_ZUFmijP_`fg4567WVkeh=>?00c8SVYJimnTSljk0123[Zgil9:;<?0^]bja6788;j7Z]PM`fg[Zgcl9:;d:ZJHLH_%QNI,= > RVVF%6)9)KXODG<;YMQ0>^HZ;<0T^ZCIC58\VRXOGN<7U][_WA@1>^XGGO>7UQ[RH48\Z\BZF<0TilPIe`8\anXX{cfEcxl;Yfk[Utne]s{ik5Wdi]SvlkQm{ybcc??;Yfn[Hgmg{\n~~g`n028\akXE`dd~[k}shmm2>^h494:?6V|t59YAWIe3Vkoh=>?0=3=g>Yflm:;<<2>0?a8[dbc89::0?1=7=f>Yflm:;<<29>c9\eab789;7;3l4_`fg4566414i7Rokd12359?9;2iex?5ls29ewg24n@FlqqJB8Vey<=>?109mEAir|EO;Sb|?012254=iIMe~xAK?_np34565981eMIaztMG3[jt789:856`NDnwwH@7f3gKOcxzCE03f?kGCg|~GI?00d8jDUh}}Uecy>?00324>hF[fSca{012255`?00326>hEM[XTJ^LP_np345759;1eNH\]_GQA[Ziu89::?45aBDPQ[LIEm2dII_\PIN@\MGSA?2dIKRG@Bb9mFBYNGKUBNXH8;o@Q[LIEk2dI^RG@B^MAQC`<>4nCP\MJDXe|r;<=><119mFWYNGKUfyu>?01624>hEZVCDNRczx12340773gHYSDAM_lw{4567>8:0bO\PIN@\ip~789:<86`LAR;8jFGTWFH^Jn5aC@Q\jjr789;o7cMNS^llp56798<0bIZNCJc8jARFKBUM_Oh4nEVBGNYA[KUDNXHn;oFWEFMXAFHm7cJ[ABI\MJDXAK_Mi6`KT@AH[dhc89:;j6`KT@AH[dhc89:;=k5aDUC@OZgil9:;6:lGmkJBj2dOecBJ_N@VBa=iL`dGIRa}0123a>hCagFNSb|?01222>hCagEN;6`KioMF5g=iL`dDIRAMUGg8jAoiGLUjbi>?01d8jAoiGLUjbi>?013e?kBnfFOTmcj?0121b>hCagENSl`k01237c=iL`dDIRoad12341`0:lGkprHMVkeh=>?1278jLVK:h1eE]B=_H@VB3=iAYF9~95aLEQ;?kJC[VLXNi5aLEQ\BVDXAK_M46`CDR]JKGc?2e9mHAUXign;<=<>d:lO@VYffm:;>j4nMFP[dhc89:98i5aLEQ\ekb7898>h6`CDR]bja678;n0bAJ\_`lg45650m1e@I]Paof3454>k2dGH^Qaou2344b7cBXE0a8jIQBWhdo<=>?d:lOS@Yffm:;<=?k;oNTAZgil9:;5aOD68jJC6<2dDI?64nNG\KGSA02dDzh|cax32?kIqm{fjuRQnne2345753gE}ibny^]bja6789;:<6`@vdpoe|YXg{:;<=?>;oMuawjfqVUd~=>?0032?kIqm{fjuRQ`r12347763gE}ibny^]lv5678:;:7cAyesnb}ZYhz9:;<9?>;oMuawjfqVUd~=>?0458jWGJD^Oh7c\NMMUF[JDRN>1e^LCZWDa8jWGJ]^OTCO[I4:lQEV3hUIZ9>7c\NS578jWGT=<1e^L]99:lQEVYHJ\L?7c\JSc9mV@UXAFH^JHm4nSGP[kis89::h6`]ER]mkq6788;<7c\JSnww2>hUGDIX;6`]OLAP5g=iZFGH_RAMUG48jWIJ_L=0b_ABWD3a?kTHE^OTCO[I4:lV@V>hRLZUjbi>?003g?kSC[Vkeh=>?13f8jPBTWhdo<=>>3e9mQAUXign;<=?;d:lV@VYffm:;<<;k;oWGWZgil9:;=;j4nTFP[dhc89::;i5aUEQ\ekb789;3h6`ZDR]bja67883h7c[KS^llp5679m1eYI]Pnnv34576<2d^[H;4nTUF5f=i]^OTmcj?012g?kSPMVkeh=>?00f8jPQBWhdo<=>?2e9mQRCXign;<=><4:lUID>hQEHUTc>?015g?kPJIVUd~=>?09a8jSKFWhdo<=>?d:lUIDYffm:;<=?k;oTNEZgil9:;hQXHUBCOQFBTD`?kPWIVkeh=>?0e9mRUGXign;<=>>c:lUTDYig}:;<5aWD58jRCXNZHh7cYJ_GQA[LDRN11ekilzimf0?wgj02rh58>?xm32?}e>=8>?taz~112.55>{Rc1>1g52;2h6<=;b02b>45f<9qe84h51:l7e5<13->2n7:62:Pe4<3i;094n4>35`24d<6;h>?7^?jf;7;2?1=9:>i==o512c7<>Uf93?3:795126a55g=9:k?m6j;9083>4<6sZk969o=:3:`>453j8:j6<=n419'<2<2011Cm55yTd594?7=93>?5v]n2;6b6?4?k3;88o??a;30e16<,=<36;W6:a?4|};=h6<5z26f94>{#:m319574$dc94>"b03?3n6*j9;33a>d3180;69l5a58a2~N3>?1/8>l54838^=>=;r;;6<=5158~ 4cc2=8<7&;;2;29?l>d2900c9h?:188m1db2900c89m:188m02b2900c8:n:188k=c=831d9>o50;9j0ab=831d9>850;9l0`d=831d8h?50;9jf6<722cm=7>5;h6e6?6=3f>h<7>5;n6g5?6=3`?;i7>5;n705;n764?6=3f?957>5;h;3>5<=h:lo1<7*=dc81ac=i:mk1=65`2gf94?"5lk09ik5a2ec96>=h:oi1<7*=dc81ac=i:mk1?65`2g`94?"5lk09ik5a2ec90>=h:ok1<7*=dc81ac=i:mk1965`2g;94?"5lk09ik5a2ec92>=h:o21<7*=dc81ac=i:mk1;65`2g194?"5lk09ik5a2ec9<>=h:o81<7*=dc81ac=i:mk1565`2g394?"5lk09ik5a2ec9e>=h:ln1<7*=dc81ac=i:mk1n65f5`83>!4cj3?27cil5589m6ag=921b9:4?:%0gf?3>3g8om7<4;h75>5<#:mh1945a2ec97>=n==0;6)07d;<:18'6ad==01e>io55:9j17<72-8on7;6;o0ge?0<3`?:6=4+2e`91<=i:mk1;65f5183>!4cj3?27cil5589m6ag=121b8h4?:%0gf?3>3g8om7o4;h6g>5<#:mh1945a2ec9f>=nio5d:9j27<72-8on7;6;o0ge?c<3`<:6=4+2e`91<=i:mk1j65f6183>!4cj3?27co2n3:1(?jm:4;8j7bf28;07d;j:18'6ad==01e>io51398m0b=83.9ho4:9:l1`d<6;21b9n4?:%0gf?3>3g8om7?;;:k6f?6=,;ni6874n3fb>43<3`?>6=4+2e`91<=i:mk1=;54i2d4>5<#:mh1?k84n3fb>5=5<#:mh1?k84n3fb>7=:183!24j3lo7E:65:J723=#<0n18l=4$0gf>0>f3flh6=4+48f96=g<3th?5:4?:083>5}#<:h18;94H5;6?M21>2.?5i4=849'5`c==1k0c?6m:18'04b=vF;679'06d=i:1Q454>{039y!7bl3>9;6g6e;29?j5?13:17b?91;29?j5783:17b:?3;29?l>52900e8:=:188k1732900c<42900c?hi:188k6>32900c<>8:188m73=83.9ho4=4:l1`d<732c9?7>5$3fa>72o583:1(?jm:368j7bf2:10e0=h5lh0=76g>b;29 7be2;>0b?jn:698m4g=83.9ho4=4:l1`d5$3fa>72o6?3:1(?jm:368j7bf2k10e<850;&1`g<5<2d9hl4l;:k21?6=,;ni6?:4n3fb>a=h5lh0n76g=c;29 7be2;>0b?jn:g98f1?1290:6=4?{%60f?`c3A>296F;679'05;n0g`?6=3k8oh7>56;294~"31m0m46g>0`83>!2>l3;;m65f9e83>!2>l33o76g6e;29 1?c20o07d=i1;29 1?c2:l:76g<9083>!2>l392=65`29c94?"31m094l54}c6:e?6=93:152z\1`a=::mn1>5o4}rc4>5<5sWk<70{t1o0;6?uQ9g9>6ab=;0;0q~7k:181[?c348oh77k;|q;=?6=:rT3563=de8:a>{tnm0;6?u22ef97c7<5=3j6?6n;|a757=8381<7>t$51a>46c3A>296F;679'00`98k7>5290/84j529c8L1?d32wi?=<50;094?6|,=9i6<>k;I6:1>N3>?1/84j5879'5`c==1k0ekk50;&7=a<68h10c?6=:18'0t$51a>16<@=3>7E:96:&7=a<4n2.:ih4:8`9jbc<72->2h7??a:9j556=83.?5i4>0`98k7>5290/84j529c8?xd48=0;6>4?:1y'06d=<91C84;4H545?!2>l39m7)?je;7;e>oan3:1(97k:02b?>o6890;6):6d;33e>=h:181<7*;9e8153;294~"3;k094:5G4878L1013->2h7<76:&2a`<20h1bjk4?:%6:`?77i21b==>50;&7=a<68h10c?6=:18'07<729q/8>l511f8L1?23A>=:6*;9e8;2>"6ml0>4l5ffd83>!2>l3;;m65`29094?"31m094l5G48a8?xd40k0;6?4?:1y'06d=99n0D97:;I652>"31m03:6*>ed86=h:181<7*;9e817<729q/8>l511f8L1?23A>=:6*;9e8;2>"6ml0>4l5ffd83>!2>l3;;m65`29094?"31m094l5G48a8?xd40m0;684?:1y'06d=<;1C84;4H545?!2>l39m7)?je;7;e>oan3:1(97k:02b?>o6890;6):6d;33e>=n99;1<7*;9e824d=7>5$5;g>7>f32wi==650;;94?6|,=9i69;4H5;6?M21>2.?5i450;&7=a<68h10e<>>:18'00283>!2>l3;;m65f11694?"31m0:5<#<0n1==o4;h332?6=,=3o6<>n;:m1<7<72->2h7<7a:9~f10d290>6=4?{%60f?253A>296F;679'00`98m467290/84j511c8?l7793:1(97k:02b?>o68;0;6):6d;33e>=h:181<7*;9e81=i7>53;294~"3;k0?<6F;949K030<,=3o6>h4$0gf>0>f3`lm6=4+48f955g<3`;;<7>5$5;g>46f32e94?4?:%6:`?4?i21vn98i:180>5<7s->8n7<77:J7=0=O0183>!2>l3;;m65`29094?"31m094l54}c644?6=:3:113-;ni7;7a:kea?6=,=3o6<>n;:m1<7<72->2h7<7a:J7=f=<=7>52;294~"3;k0:2h769;%3fa?3?i2cmi7>5$5;g>46f32e94?4?:%6:`?4?i2B?5n54}c646?6=:3:113-;ni7;7a:kea?6=,=3o6<>n;:m1<7<72->2h7<7a:J7=f=53;294~"3;k0?<6F;949K030<,=3o6>h4$0gf>0>f3`lm6=4+48f955g<3`;;<7>5$5;g>46f32e94?4?:%6:`?4?i21vn99;:180>5<7s->8n7:?;I6:1>N3>?1/84j53g9'5`c==1k0ekh50;&7=a<68h10e<>?:18'0=:6*;9e80b>"6ml0>4l5ffg83>!2>l3;;m65f11294?"31m0:5<#<0n1>5o4;|`72a<72=0;6=u+42`904=O<0?0D989;%6:`?5a3-;ni7;7a:keb?6=,=3o6<>n;:k245<72->2h7??a:9j557=83.?5i4>0`98k7>5290/84j529c8?xd40<0;6?4?:1y'06d=99n0D97:;I652>"31m03:6*>ed86=h:181<7*;9e817<729q/8>l511f8L1?23A>=:6*;9e8;2>"6ml0>4l5ffd83>!2>l3;;m65`29094?"31m094l5G48a8?xd40>0;6?4?:1y'06d=99n0D97:;I652>"31m03:6*>ed86=h:181<7*;9e817<729q/8>l511f8L1?23A>=:6*;9e8;2>"6ml0>4l5ffd83>!2>l3;;m65`29094?"31m094l5G48a8?xd40l0;6>4?:1y'06d=:1=0D97:;I652>"31m094;5+1dg91=g7>5$5;g>7>f32wi?5h50;194?6|,=9i69>4H5;6?M21>2.?5i450;&7=a<68h10c?6=:18'01<729q/8>l5409K0<3<@=<=7):6d;1e?!7bm3?3m6gif;29 1?c28:j76g>0183>!2>l3;;m65f11394?"31m0:5<#<0n1>5o4;|`750<72<0;6=u+42`907=O<0?0D989;%6:`?5a3-;ni7;7a:keb?6=,=3o6<>n;:k245<72->2h7??a:9j557=83.?5i4>0`98m465290/84j511c8?j4?:3:1(97k:3:b?>{e<8i1<7=50;2x 15e2=:0D97:;I652>"31m08j6*>ed86=n99:1<7*;9e824d=5}#<:h1==j4H5;6?M21>2.?5i476:&2a`<20h1bjh4?:%6:`?77i21d>5<50;&7=a<50h1C84m4;|`75`<72;0;6=u+42`955b<@=3>7E:96:&7=a2.:ih4:8`9jb`<72->2h7??a:9l6=4=83.?5i4=8`9K05}#<:h1==j4H5;6?M21>2.?5i476:&2a`<20h1bjh4?:%6:`?77i21d>5<50;&7=a<50h1C84m4;|`765<72;0;6=u+42`955b<@=3>7E:96:&7=a2.:ih4:8`9jb`<72->2h7??a:9l6=4=83.?5i4=8`9K0<4?:383>5}#<:h1==j4H5;6?M21>2.?5i476:&2a`<20h1bjh4?:%6:`?77i21d>5<50;&7=a<50h1C84m4;|`767<72;0;6=u+42`955b<@=3>7E:96:&7=a2.:ih4:8`9jb`<72->2h7??a:9l6=4=83.?5i4=8`9K0>4?:383>5}#<:h1==j4H5;6?M21>2.?5i476:&2a`<20h1bjh4?:%6:`?77i21d>5<50;&7=a<50h1C84m4;|`753<72;0;6=u+42`955b<@=3>7E:96:&7=a2.:ih4:8`9jb`<72->2h7??a:9l6=4=83.?5i4=8`9K05}#<:h1>594H5;6?M21>2.?5i4=879'5`c==1k0ekh50;&7=a<68h10e<>?:18'0=83:p(9=m:568L1?23A>=:6*;9e80b>"6ml0>4l5ffg83>!2>l3;;m65f11294?"31m0:5<#<0n1==o4;h336?6=,=3o6<>n;:k246<72->2h7??a:9j552=83.?5i4>0`98m462290/84j511c8?j4?:3:1(97k:3:b?>{e<831<7=50;2x 15e2=:0D97:;I652>"31m08j6*>ed86=n99:1<7*;9e824d=5}#<:h18=5G4878L1013->2h7=i;%3fa?3?i2cmj7>5$5;g>46f32c:<=4?:%6:`?77i21d>5<50;&7=a<50h10qo:>b;290?6=8r.??o4;1:J7=0=O0083>!2>l3;;m65`29094?"31m094l54}c705?6=;3:1N31<1C8;84$5;g>6`<,8on686n;hde>5<#<0n1==o4;h334?6=,=3o6<>n;:m1<7<72->2h7<7a:9~f05529096=4?{%60f?77l2B?585G4748 1?c21<0(k21vn8=<:181>5<7s->8n7??d:J7=0=O296F;679'00`98m467290/84j511c8?j4?:3:1(97k:3:b?>{e=:?1<7=50;2x 15e2;2<7E:65:J723=#<0n1>584$0gf>0>f3`lm6=4+48f955g<3`;;<7>5$5;g>46f32e94?4?:%6:`?4?i21vn9>;:18:>5<7s->8n7::;I6:1>N3>?1/84j53g9'5`c==1k0ekh50;&7=a<68h10e<>?:18'00383>!2>l3;;m65f11194?"31m0:5<#<0n1==o4;h331?6=,=3o6<>n;:k243<72->2h7??a:9l6=4=83.?5i4=8`98yg27k3:1?7>50z&77g<50>1C84;4H545?!2>l383:6*>ed86=n99:1<7*;9e824d=5}#<:h18?5G4878L1013->2h7=i;%3fa?3?i2cmj7>5$5;g>46f32c:<=4?:%6:`?77i21b==?50;&7=a<68h10e<>=:18'0=2B?:;5+48f9<3=#9lo195o4igg94?"31m0:5<#<0n1>5o4H5;`?>{e<9l1<7<50;2x 15e28:o7E:65:J723=#<0n14;5+1dg91=g=2B?:;5+48f9<3=#9lo195o4igg94?"31m0:5<#<0n1>5o4H5;`?>{e<8;1<7<50;2x 15e28:o7E:65:J723=#<0n14;5+1dg91=g=2B?:;5+48f9<3=#9lo195o4igg94?"31m0:5<#<0n1>5o4H5;`?>{e<891<7<50;2x 15e28:o7E:65:J723=#<0n14;5+1dg91=g=2B?:;5+48f9<3=#9lo195o4igg94?"31m0:5<#<0n1>5o4H5;`?>{e<9<1<7;50;2x 15e2=80D97:;I652>"31m08j6*>ed86=n99:1<7*;9e824d=7>5$5;g>46f32e94?4?:%6:`?4?i21vn9>8:180>5<7s->8n7:?;I6:1>N3>?1/84j53g9'5`c==1k0ekh50;&7=a<68h10e<>?:18'0=2B?:;5+48f96=0<,8on686n;hde>5<#<0n1==o4;h334?6=,=3o6<>n;:m1<7<72->2h7<7a:9~f16>290?6=4?{%60f?263A>296F;679'00`98m467290/84j511c8?l7793:1(97k:02b?>i50;0;6):6d;0;e>=zj=:j6=4<:183!24j3>;7E:65:J723=#<0n1?k5+1dg91=g7>5$5;g>7>f32wi8=l50;194?6|,=9i69>4H5;6?M21>2.?5i450;&7=a<68h10c?6=:18'02b83>6<729q/8>l52958L1?23A>=:6*;9e81<3=#9lo195o4igd94?"31m0:5<#<0n1==o4;n0;6?6=,=3o6?6n;:a567=8381<7>t$51a>46c3A>296F;679'00`98k7>5290/84j529c8L1?d32wi=><50;794?6|,=9i69<4H5;6?M21>2.?5i450;&7=a<68h10e<>>:18'0!2>l383m65rb010>5<4290;w):=2B?:;5+48f97c=#9lo195o4igd94?"31m0:5<#<0n1==o4;n0;6?6=,=3o6?6n;:a562=8391<7>t$51a>7>03A>296F;679'0i50;0;6):6d;0;e>=zj89>6=4=:183!24j3;;h6F;949K030<,=3o6584$0gf>0>f3`ln6=4+48f955g<3f83>7>5$5;g>7>f3A>2o65rb015>5<4290;w):N31<1C8;84$5;g>7>13-;ni7;7a:keb?6=,=3o6<>n;:k245<72->2h7??a:9l6=4=83.?5i4=8`98yg74?3:1?7>50z&77g<382B?585G4748 1?c2:l0(i50;0;6):6d;0;e>=zj8936=4<:183!24j3>;7E:65:J723=#<0n1?k5+1dg91=g7>5$5;g>7>f32wi=?j50;194?6|,=9i69>4H5;6?M21>2.?5i450;&7=a<68h10c?6=:18'02d83>6<729q/8>l52958L1?23A>=:6*;9e81<3=#9lo195o4igd94?"31m0:5<#<0n1==o4;n0;6?6=,=3o6?6n;:a57`=8391<7>t$51a>16<@=3>7E:96:&7=a<4n2.:ih4:8`9jbc<72->2h7??a:9j556=83.?5i4>0`98k7>5290/84j529c8?xd6;90;6>4?:1y'06d=:1=0D97:;I652>"31m094;5+1dg91=g7>5$5;g>7>f32wx=84?:5y]50=:<8?1jk5241f9bc=:<9o1jh5rs0494?2|V8<019?::023?827l3;;<63;0g8ea>{t9>0;69uQ169>04c=nl168=j5113891772oo0q~?7:186[7?34>=j7hi;<621?77927?<94if:?2714=536>46534>;h7??2:?754019~w4g=839pRcc<5=:?6<>?;|q2f?6=>rT:n63>098eb>;3>j0:<=5240a9bc=:<9>1==?4=011>c`;39j0:<=524169554<58996<>?;|q2`?6=>rT:h63>098244=:cc<5=:?6<>;;<306?7792wx=h4?:7y]5`=:9921==<4=54`>46534>9=7hj;<630?77;27:??4>039~w76=833pR?>4=2:b>cc<58:36<><;<65a?`a3493:7hj;<616?`b34>;87??5:?26f<68916=>=5fg9~w74=833pR?<4=2:a>cc<58:36<>;;<65a?7782784:4ie:?766079>57e=no16=>=51128yv442902wS<<;<135?`b3493h7hi;<338523109b`=:;1i1jh5211:9550<5==:6kk4=2:;>cc<5=;=6kk4=530>cc<588n6kh4}r0`>5<4sW8h70:>7;de?827k3lm7p}=d;297~X5l27?=i4ie:?74f<6891v5<50;6xZ=4<5<8m6<>?;<705?`a34?8>7hj;|q:a?6=:rT2i63:2e8;=>{t99=1<76s|13`94?4|V88i70?<0;0;6>{t9;i1<77>534;8:7hi;|q26a<72;q6=?j52908944a28:;7p}>2d83>7}:9;o1>5<4=013>c`52z?26c<50;16=>>51128yv7493:1>v3>3081<7=:9:<1==>4}r306?6=:r7:??4=839>57`=no1v<=<:181874;383>63>2e8eb>{t9:>1<77>534;8;7hi;|q270<72;q6=>;52908945028:;7p}>3783>7}:9:<1>5<4=01;>4673ty:?:4?:3y>561=:1801<?;|q224<72;qU=;?4=54g>7>53ty9;h4?:3y>0<0=nj169?j59g9~w7`a2909wS>?:180[578278<>4=839>752=no1v>>>:1818579383>63<028eb>{t;981<77>5349;?7??0:p7=2=838pR>6;;<1:4?4?:2wx?5;50;0x96>22;2970=7e;334>{t;1<1<77>53492<7hi;|q0<2<72;q6?595290896>a2ol0q~=78;296~;401094?5239d955653z\0<<=:;1n1>5<4=412>4673ty84l4?:3y>7=g=:1801>6k:023?xu40k0;6?u239`96=4<5:2o6<>>;|q0c28:97p}<8d83>7}:;1o1>5<4=2;3>4673ty84k4?:3y>7=`=:1801>7?:022?xu38:0;6?uQ4118916e2;297p};0583>7}:<9>1>5<4=52a>c`;97>52z?740<50;168=o51128yv27>3:1>v3;0781<7=:<921==>4}r633?6=:r7?<:4=839>05?=99;0q~:?8;296~;381094?5241;9bc=z{=:26=4={<63=?4?:27?n:3:1?827j3;;<6s|41a94?4|5=:h6?6=;<63=?7782wx8=j50;0x916c2;2970:?8;de?xu38l0;6?u241g96=4<5=:=6kh4}r63b?6=:r7?050=99:0q~:>0;296~;399094?524149557:=7>52z?754<50;168=851108yv26:3:1>v3;1381<7=:<9=1jk5rs530>5<5s4>:?7<72:?742<6891v9?;:181[26<27?=o4=839~w1722909w0:>5;0;6>;39k0:<=5rs535>5<5s4>::7<72:?75=<68<1v9?8:181826?383>63;188245=z{=;36=4={<62009~w17>2909w0:>9;0;6>;39h0:<=5rs53b>5<5s4>:m7<72:?75ga;de?xu39m0;6?u240f96=4<5=;<6<>?;|q75`<72;q68f;296~;39o094?5240:95569<7>52z?765<50;168<651138yv2593:1>v3;2081<7=:<821==<4}r616?6=:r7?>?4=839>04>=99>0q~:=3;296~;3::094?5240:9555=o7>52z?72f<50;168;j51128yv21m3:1>v3;6d81<7=:<>?1jk5rs54e>5<5s4>=j7<72:?73650;0x91172;2970:83;334>{t<>;1<77>534><87hi;|q737<72;q68:<52908911328:;7p};7283>7}:<>91>5<4=556>4673ty?;94?:3y>022=:180198k:022?xu3?<0;6?u246796=4<5=>i4=de9>752=99:01>6::gg894562oo0q~;=e;296~;2:m0j;63:2g81<7=z{<9;6=4={<71`??c34?897<72:p167=838p18=>:3:1?834=3lm7p}:3383>7}:=:81>5<4=417>c`52z?676<50;169>:51128yv34<3:1>v3:3581<7=:=:?1==>4}r776?6=;rT>8?5253d9bc=:=:91jh5rs4:0>5<3sW?3?63;738ea>;38<0mi63>348ea>{zj<2<6=4<4;a0>`5|@=<=7):\?038p==4>2;'5`b=<;=0e4k50;9l10`=831b8oj50;9l11g=831d9>o50;9j756=831d8hl50;9j<7<722c8io4?::m66<<722c>=<4?::k0`c<722c>4>4?::k60a<722c9jk4?::m602<722c8494?::m040<722e>>>4?::m1b5<72-8on7;:m1ba<72-8on75=h5lh0:76g>e;29 7be2;;0b?jn:398m4b=83.9ho4=1:l1`d<432c:o7>5$3fa>77o6i3:1(?jm:338j7bf2?10e<750;&1`g<592d9hl48;:k2==h5lh0276g>6;29 7be2;;0b?jn:`98m43=83.9ho4=1:l1`d5$3fa>77o5j3:1(?jm:338j7bf2l10e?o50;&1`g<592d9hl4i;:k1=?6=,;ni6??4n3fb>46<3`836=4+2e`964=i:mk1=<54i3594?"5lk09=6`=d`826>=n:?0;6)f;29 7be2;;0b?jn:068?g2>>3:1=7>50z&77giak3:1(97k:3:b?>{e;m81<7;52;4x 15e2o?0D97:;I652>"6ml0>4l5f9e83>>o>n3:17d66:188md1=831d>ij50;9a6ab=83<1<7>t$5;g>c>=n;o;1<7*;9e80b4=5$5;g>7>f32wi84o50;394?6|,=3o69o<;n0;e?6=,=3o6?6n;:p6ab=838pR?jk;<0g`?4?i2wxm:4?:3y]e2=::mn1==o4}r;e>5<5sW3m70{t1m0;6?uQ9e9>6ab=1m1v5750;0xZ=?<5;no64k4}rdg>5<5s48oh7=i1:?7=d<50h1vqo=?5;297?4=>2900el950;9l6ab=831i>ij50;796?0|,=9i6k84$0gf>0>f3f8oh7>5;h0gg?6=3`k<6=44i8d94?=n000;66li6;292?6=8r.?5i4i8:k24d<72->2h7??a:9j=a<72->2h77k;:k:a?6=,=3o64k4;h1e5?6=,=3o6>h>;:k0=4<72->2h7=61:9l6=g=83.?5i4=8`98yg2>03:1=7>50z&7=a<50<1d>5l50;&7=a<50h10q~o8:181[g034l=6<>n;|q;=?6=:rT3563i6;;f?xu>n3:1>vP6f:?e2?5>92wx>im50;0xZ7bd34l=6>h>;|q1`a<72;qU>ij4=g496=g=;7>52z?e2??c34>247<7b:~f7<7280;6=u+4779=>"3;k0mh6*;9e87e6=#9lo195o4oga94?"31m094l54}r0g`?6=:rT9hi522ef96ab6ab=1o16>7hl;%651?e53;090~"3;k0m?6F;949K030<,8on686n;h::>5<56;294~"31m0m46g>0`83>!2>l3;;m65f9e83>!2>l33o76g6e;29 1?c20o07d=i1;29 1?c2:l:76g<9083>!2>l392=65`29c94?"31m094l54}c6:{t:mi1<77}Y:mn01k8529c8yv21?3:1>v3i6;;g?82>0383n6srb383>4<729q/8;;59:&77gij52ef8yvg02909wSo8;<0g`?g03ty357>52z\;=>;5lm0356s|5;297~;5lm09hn522ef9=c=::3lh7):95;a8yxd48o0;6>4=:5y'06d=n:1C84;4H545?!77k3o0(>2900el950;9l6ab=831i>ij50;796?0|,=9i6k84$0gf>0>f3f8oh7>5;h0gg?6=3`k<6=44i8d94?=n000;66li6;292?6=8r.?5i4i8:k24d<72->2h7??a:9j=a<72->2h77k;:k:a?6=,=3o64k4;h1e5?6=,=3o6>h>;:k0=4<72->2h7=61:9l6=g=83.?5i4=8`98yg2>03:1=7>50z&7=a<50<1d>5l50;&7=a<50h10q~o8:181[g034l=6<>n;|q;=?6=:rT3563i6;;f?xu>n3:1>vP6f:?e2?5>92wx>im50;0xZ7bd34l=6>h>;|q1`a<72;qU>ij4=g496=g=;7>52z?e2??c34>247<7b:~f7<7280;6=u+4779=>"3;k0mh6*;9e87e6=#9lo195o4oga94?"31m094l54}r0g`?6=:rT9hi522ef96ab6ab=1o16>7hl;%651?e53;090~"3;k0m?6F;949K030<,8:h6h5+1dg91=g>i5lm0;66l=de83>0<52?q/8>l5f79'5`c==1k0c?jk:188m7bd2900el950;9j=c<722c357>5;cd5>5<1290;w):6d;d;?l77i3:1(97k:02b?>o>l3:1(97k:8f8?l?b290/84j59d98m6`6290/84j53g38?l5>93:1(97k:2;2?>i50h0;6):6d;0;e>=zj=336=4>:183!2>l38396a=8c83>!2>l383m65rs`594?4|Vh=01k8511c8yv>>2909wS66;7}Y:mi01k853g38yv4cl3:1>vP=de9>b3<50h1v988:1818`120n01977:3:a?x{e:3:1=7>50z&720<>3->8n7hk;%6:`?2f;2.:ih4:8`9lbf<72->2h7<7a:9~w7bc2909wS5<5sW2270;5lm02j63=:ga8 1022j1vqo=l:d9'5`c==1k0e5750;9je2<722e9hi4?::`1`a<72<096;u+42`9b3=#9lo195o4o3fg>5<5$5;g>46f32c2h7>5$5;g>5$5;g>6?632e94l4?:%6:`?4?i21vn977:182>5<7s->2h7<75:m12h7<7a:9~wd1=838pRl94=g4955g7>;|q1`f<72;qU>im4=g497c752z\1`a=:n?094l5rs544>5<5s4l=64j4=5;;>7>e3twi>7>51;294~"3><027):l3>j?6*>ed86=z{;no6=4={_0g`>;5lm09hi5rs`594?4|Vh=01?jk:`58yv>>2909wS66;<0g`?>>3ty>6=4<{<0g`?4ck279hi46f:?1>ce<,=<>6n5r}c105?6=;3818v*;3c8e7>N31<1C8;84$02`>`=#9lo195o4i9;94?=ni>0;66a=de83>>d5lm0;684=:7y'06d=n?1/=hk559c8k7bc2900e?jl:188md1=831b5k4?::k;=?6=3kl=6=49:183!2>l3l37d??a;29 1?c28:j76g6d;29 1?c20n07d7j:18'0h>:18'0!2>l383m65rb5;;>5<6290;w):6d;0;1>i50k0;6):6d;0;e>=z{h=1<7>34l=64k4}r;e>5<5sW3m70h9:2;2?xu5lj0;6?uQ2ea89c0=;o;0q~2h7:n3:&2a`<20h1djn4?:%6:`?4?i21v?jk:181[4cl279hi4=de9~wd1=838pRl94=3fg>d1;52oi0(98::b9~yg54j3:1?7<54z&77g3}#<:h1j;5+1dg91=g5<>o?13:17oh9:185>5<7s->2h7h7;h33e?6=,=3o6<>n;:k:`?6=,=3o64j4;h;f>5<#<0n15h54i2d2>5<#<0n1?k?4;h1:5?6=,=3o6>7>;:m12h7<7a:9~f1??290:6=4?{%6:`?4?=2e94o4?:%6:`?4?i21vl950;0xZd1<5o<1==o4}r::>5<5sW2270h9:8g8yv?a2909wS7i;6?63ty9hn4?:3y]6ae<5o<1?k?4}r0g`?6=:rT9hi52f781"6ml0>4l5`fb83>!2>l383m65rs3fg>5<5sW8oh63=de81`a=z{h=1<7>348oh766;|q6>5<4s48oh7n2796km4$546>f=zuk>nn7>53;090~"3;k0m?6F;949K030<,8on686n;h::>5<56;294~"31m0m46g>0`83>!2>l3;;m65f9e83>!2>l33o76g6e;29 1?c20o07d=i1;29 1?c2:l:76g<9083>!2>l392=65`29c94?"31m094l54}c6:{t:mi1<77}Y:mn01k8529c8yv21?3:1>v3i6;;g?82>0383n6srb383>4<729q/8;;59:&77gij52ef8yvg02909wSo8;<0g`?g03ty357>52z\;=>;5lm0356s|5;297~;5lm09hn522ef9=c=::3lh7):95;a8yxd41;0;6>4=:5y'06d=n:1C84;4H545?!77k3o0(>2900el950;9l6ab=831i>ij50;796?0|,=9i6k84$0gf>0>f3f8oh7>5;h0gg?6=3`k<6=44i8d94?=n000;66li6;292?6=8r.?5i4i8:k24d<72->2h7??a:9j=a<72->2h77k;:k:a?6=,=3o64k4;h1e5?6=,=3o6>h>;:k0=4<72->2h7=61:9l6=g=83.?5i4=8`98yg2>03:1=7>50z&7=a<50<1d>5l50;&7=a<50h10q~o8:181[g034l=6<>n;|q;=?6=:rT3563i6;;f?xu>n3:1>vP6f:?e2?5>92wx>im50;0xZ7bd34l=6>h>;|q1`a<72;qU>ij4=g496=g=;7>52z?e2??c34>247<7b:~f7<7280;6=u+4779=>"3;k0mh6*;9e87e6=#9lo195o4oga94?"31m094l54}r0g`?6=:rT9hi522ef96ab6ab=1o16>7hl;%651?e4?:281>1}#<:h1j>5G4878L1013-;;o7k4$0gf>0>f3`226=44i`594?=h:mn1<75m2ef94?3=:33:1o68h0;6):6d;33e>=n1m0;6):6d;;g?>o>m3:1(97k:8g8?l5a93:1(97k:2d2?>o4180;6):6d;1:5>=h:1k1<7*;9e81247>51;294~"31m09485`29`94?"31m094l54}rc4>5<5sWk<70h9:02b?xu?13:1>vP79:?e2??b3ty2j7>52z\:b>;a>392=6s|2ea94?4|V;nh70h9:2d2?xu5lm0;6?uQ2ef89c0=:1k0q~:97;296~;a>33o70:68;0;f>{zj;0;6<4?:1y'033=12.??o4id:&7=a<3i:1/=hk559c8kce=83.?5i4=8`98yv4cl3:1>vP=de9>6ab=:mn0q~o8:181[g0348oh7o8;|q;=?6=:rT3563=de8;=>{t=3:1?v3=de81`f=::mn15k522;d`?!21=3i0qpl<9583>6<52=q/8>l5f29K0<3<@=<=7)??c;g8 4cb2<2j7d66:188md1=831d>ij50;9a6ab=83?1>78t$51a>c0<,8on686n;n0g`?6=3`8oo7>5;hc4>5<>da>3:1:7>50z&7=a5$5;g>5$5;g>6`632c85<4?:%6:`?5>921d>5o50;&7=a<50h10qo:68;295?6=8r.?5i4=849l6=d=83.?5i4=8`98yvg02909wSo8;46f3ty357>52z\;=>;a>33n7p}6f;296~X>n27m:7=61:p6ae=838pR?jl;6`63ty9hi4?:3y]6ab<5o<1>5o4}r653?6=:r7m:77k;<6:5}#"31m0?m>5+1dg91=g52z\1`a=::mn1>ij4}rc4>5<5sWk<70vP79:?1`a53z?1`a<5lj16>ij59g9>6?`d3->=97m4}|`0=0<72:0969u+42`9b6=O<0?0D989;%33g?c<,8on686n;h::>5<56;294~"31m0m46g>0`83>!2>l3;;m65f9e83>!2>l33o76g6e;29 1?c20o07d=i1;29 1?c2:l:76g<9083>!2>l392=65`29c94?"31m094l54}c6:{t:mi1<77}Y:mn01k8529c8yv21?3:1>v3i6;;g?82>0383n6srb383>4<729q/8;;59:&77gij52ef8yvg02909wSo8;<0g`?g03ty357>52z\;=>;5lm0356s|5;297~;5lm09hn522ef9=c=::3lh7):95;a8yxd41?0;6>4=:5y'06d=n:1C84;4H545?!77k3o0(>2900el950;9l6ab=831i>ij50;796?0|,=9i6k84$0gf>0>f3f8oh7>5;h0gg?6=3`k<6=44i8d94?=n000;66li6;292?6=8r.?5i4i8:k24d<72->2h7??a:9j=a<72->2h77k;:k:a?6=,=3o64k4;h1e5?6=,=3o6>h>;:k0=4<72->2h7=61:9l6=g=83.?5i4=8`98yg2>03:1=7>50z&7=a<50<1d>5l50;&7=a<50h10q~o8:181[g034l=6<>n;|q;=?6=:rT3563i6;;f?xu>n3:1>vP6f:?e2?5>92wx>im50;0xZ7bd34l=6>h>;|q1`a<72;qU>ij4=g496=g=;7>52z?e2??c34>247<7b:~f7<7280;6=u+4779=>"3;k0mh6*;9e87e6=#9lo195o4oga94?"31m094l54}r0g`?6=:rT9hi522ef96ab6ab=1o16>7hl;%651?e1}#<:h1j>5G4878L1013-;;o7k4$0gf>0>f3`226=44i`594?=h:mn1<75m2ef94?3=:33:1o68h0;6):6d;33e>=n1m0;6):6d;;g?>o>m3:1(97k:8g8?l5a93:1(97k:2d2?>o4180;6):6d;1:5>=h:1k1<7*;9e81247>51;294~"31m09485`29`94?"31m094l54}rc4>5<5sWk<70h9:02b?xu?13:1>vP79:?e2??b3ty2j7>52z\:b>;a>392=6s|2ea94?4|V;nh70h9:2d2?xu5lm0;6?uQ2ef89c0=:1k0q~:97;296~;a>33o70:68;0;f>{zj;0;6<4?:1y'033=12.??o4id:&7=a<3i:1/=hk559c8kce=83.?5i4=8`98yv4cl3:1>vP=de9>6ab=:mn0q~o8:181[g0348oh7o8;|q;=?6=:rT3563=de8;=>{t=3:1?v3=de81`f=::mn15k522;d`?!21=3i0qpl<9c83>6<52=q/8>l5f29K0<3<@=<=7)??c;g8 4cb2<2j7d66:188md1=831d>ij50;9a6ab=83?1>78t$51a>c0<,8on686n;n0g`?6=3`8oo7>5;hc4>5<>da>3:1:7>50z&7=a5$5;g>5$5;g>6`632c85<4?:%6:`?5>921d>5o50;&7=a<50h10qo:68;295?6=8r.?5i4=849l6=d=83.?5i4=8`98yvg02909wSo8;46f3ty357>52z\;=>;a>33n7p}6f;296~X>n27m:7=61:p6ae=838pR?jl;6`63ty9hi4?:3y]6ab<5o<1>5o4}r653?6=:r7m:77k;<6:5}#"31m0?m>5+1dg91=g52z\1`a=::mn1>ij4}rc4>5<5sWk<70vP79:?1`a53z?1`a<5lj16>ij59g9>6?`d3->=97m4}|`0e1<72:0969u+42`9b6=O<0?0D989;%33g?c<,8on686n;h::>5<56;294~"31m0m46g>0`83>!2>l3;;m65f9e83>!2>l33o76g6e;29 1?c20o07d=i1;29 1?c2:l:76g<9083>!2>l392=65`29c94?"31m094l54}c6:{t:mi1<77}Y:mn01k8529c8yv21?3:1>v3i6;;g?82>0383n6srb383>4<729q/8;;59:&77gij52ef8yvg02909wSo8;<0g`?g03ty357>52z\;=>;5lm0356s|5;297~;5lm09hn522ef9=c=::3lh7):95;a8yxd4j10;6>4=:5y'06d=n:1C84;4H545?!77k3l0(>2900el950;9l6ab=831i>ij50;796?0|,=9i6k84$0gf>0>f3f8oh7>5;h0gg?6=3`k<6=44i8d94?=n000;66li6;292?6=8r.?5i4i8:k24d<72->2h7??a:9j=a<72->2h77k;:k:a?6=,=3o64k4;h1e5?6=,=3o6>h>;:k0=4<72->2h7=61:9l6=g=83.?5i4=8`98yg2>03:1=7>50z&7=a<50<1d>5l50;&7=a<50h10q~o8:181[g034l=6<>n;|q;=?6=:rT3563i6;;f?xu>n3:1>vP6f:?e2?5>92wx>im50;0xZ7bd34l=6>h>;|q1`a<72;qU>ij4=g496=g=;7>52z?e2??c34>247<7b:~f7<7280;6=u+4779=>"3;k0mh6*;9e87e6=#9lo195o4oga94?"31m094l54}r0g`?6=:rT9hi522ef96ab6ab=1o16>7hl;%651?e854?:383>5}#<:h1==j4H5;6?M21>2.?5i476:&2a`<20h1bjh4?:%6:`?77i21d>5<50;&7=a<50h1C84m4;|`625<72;0;6=u+42`955b<@=3>7E:96:&7=a2.:ih4:8`9jb`<72->2h7??a:9l6=4=83.?5i4=8`9K0>94?:383>5}#<:h1==j4H5;6?M21>2.?5i476:&2a`<20h1bjh4?:%6:`?77i21d>5<50;&7=a<50h1C84m4;|`0a<<72;0;6=u+42`955b<@=3>7E:96:&7=a2.:ih4:8`9jb`<72->2h7??a:9l6=4=83.?5i4=8`9K05}#<:h18=5G4878L1013->2h7=i;%3fa?3?i2cmj7>5$5;g>46f32c:<=4?:%6:`?77i21d>5<50;&7=a<50h10qo=kd;296?6=8r.??o4>0e9K0<3<@=<=7):6d;:5?!7bm3?3m6gie;29 1?c28:j76a=8383>!2>l383m6F;9b98yg5cm3:1?7>50z&77g<382B?585G4748 1?c2:l0(i50;0;6):6d;0;e>=zj:;:6=4<:183!24j3>;7E:65:J723=#<0n1?k5+1dg91=g7>5$5;g>7>f32wi8hk50;094?6|,=9i6<>k;I6:1>N3>?1/84j5879'5`c==1k0ekk50;&7=a<68h10c?6=:18'0t$51a>46c3A>296F;679'00`98k7>5290/84j529c8L1?d32wi99l50;194?6|,=9i6?68;I6:1>N3>?1/84j52948 4cb2<2j7dhi:18'0!2>l383m65rb40b>5<5290;w):N31<1C8;84$5;g>=0<,8on686n;hdf>5<#<0n1==o4;n0;6?6=,=3o6?6n;I6:g>=zj<8i6=4<:183!24j383;6F;949K030<,=3o6?69;%3fa?3?i2cmj7>5$5;g>46f32c:<=4?:%6:`?77i21d>5<50;&7=a<50h10qo=k4;297?6=8r.??o4=869K0<3<@=<=7):6d;0;2>"6ml0>4l5ffg83>!2>l3;;m65f11294?"31m0:5<#<0n1>5o4;|`056<72:0;6=u+42`905=O<0?0D989;%6:`?5a3-;ni7;7a:keb?6=,=3o6<>n;:k245<72->2h7??a:9l6=4=83.?5i4=8`98yg56<3:1>7>50z&77g<68m1C84;4H545?!2>l32=7)?je;7;e>oam3:1(97k:02b?>i50;0;6):6d;0;e>N31j10qo=>5;297?6=8r.??o4;0:J7=0=O!2>l383m65rb235>5<4290;w):N31<1C8;84$5;g>7>13-;ni7;7a:keb?6=,=3o6<>n;:k245<72->2h7??a:9l6=4=83.?5i4=8`98yg?229086=4?{%60f?4??2B?585G4748 1?c2;2=7)?je;7;e>oan3:1(97k:02b?>o6890;6):6d;33e>=h:181<7*;9e815$5;g>46f32c:<=4?:%6:`?77i21d>5<50;&7=a<50h10qo78:180>5<7s->8n7:?;I6:1>N3>?1/84j53g9'5`c==1k0ekh50;&7=a<68h10e<>?:18'07<729q/8>l511f8L1?23A>=:6*;9e8;2>"6ml0>4l5ffd83>!2>l3;;m65`29094?"31m094l5G48a8?xd>13:1?7>50z&77g<382B?585G4748 1?c2:l0(i50;0;6):6d;0;e>=zj0k1<7=50;2x 15e2=:0D97:;I652>"31m08j6*>ed86=n99:1<7*;9e824d=53;294~"3;k094:5G4878L1013->2h7<76:&2a`<20h1bjk4?:%6:`?77i21b==>50;&7=a<68h10c?6=:18'0"6ml0>4l5ffg83>!2>l3;;m65f11294?"31m0:5<#<0n1>5o4;|`005<72:0;6=u+42`96=1<@=3>7E:96:&7=a<50?1/=hk559c8mc`=83.?5i4>0`98m467290/84j511c8?j4?:3:1(97k:3:b?>{e;=;1<7=50;2x 15e2=:0D97:;I652>"31m08j6*>ed86=n99:1<7*;9e824d=5}#<:h1==j4H5;6?M21>2.?5i476:&2a`<20h1bjh4?:%6:`?77i21d>5<50;&7=a<50h1C84m4;|`006<72:0;6=u+42`905=O<0?0D989;%6:`?5a3-;ni7;7a:keb?6=,=3o6<>n;:k245<72->2h7??a:9l6=4=83.?5i4=8`98yg53<3:1?7>50z&77g<50>1C84;4H545?!2>l383:6*>ed86=n99:1<7*;9e824d=4?:283>5}#<:h1>594H5;6?M21>2.?5i4=879'5`c==1k0ekh50;&7=a<68h10e<>?:18'0=2B?:;5+48f9<3=#9lo195o4igg94?"31m0:5<#<0n1>5o4H5;`?>{e;:?1<7<50;2x 15e28:o7E:65:J723=#<0n14;5+1dg91=g=2B?:;5+48f9<3=#9lo195o4igg94?"31m0:5<#<0n1>5o4H5;`?>{e;:=1<7=50;2x 15e2=:0D97:;I652>"31m08j6*>ed86=n99:1<7*;9e824d=5}#<:h18<5G4878L1013->2h7=i;%3fa?3?i2cmj7>5$5;g>46f32c:<=4?:%6:`?77i21b==?50;&7=a<68h10c?6=:18'06<729q/8>l52958L1?23A>=:6*;9e81<3=#9lo195o4igd94?"31m0:5<#<0n1==o4;n0;6?6=,=3o6?6n;:a76g=8391<7>t$51a>7>03A>296F;679'0i50;0;6):6d;0;e>=zj::<6=4::183!24j38356F;949K030<,=3o6?69;%3fa?3?i2cmj7>5$5;g>46f32c:<=4?:%6:`?77i21b==?50;&7=a<68h10e<>=:18'0=2B?:;5+48f9<3=#9lo195o4igg94?"31m0:5<#<0n1>5o4H5;`?>{e;931<7<50;2x 15e28:o7E:65:J723=#<0n14;5+1dg91=g=2B?:;5+48f96=0<,8on686n;hde>5<#<0n1==o4;h334?6=,=3o6<>n;:m1<7<72->2h7<7a:9~f66e29086=4?{%60f?273A>296F;679'00`98m467290/84j511c8?j4?:3:1(97k:3:b?>{e;9i1<7=50;2x 15e2=:0D97:;I652>"31m08j6*>ed86=n99:1<7*;9e824d=5}#<:h1>594H5;6?M21>2.?5i4=879'5`c==1k0ekh50;&7=a<68h10e<>?:18'0=2B?:;5+48f96=0<,8on686n;hde>5<#<0n1==o4;h334?6=,=3o6<>n;:m1<7<72->2h7<7a:9~f00529096=4?{%60f?77l2B?585G4748 1?c21<0(k21vn88<:181>5<7s->8n7??d:J7=0=O296F;679'00`98m467290/84j511c8?l7793:1(97k:02b?>i50;0;6):6d;0;e>=zj<8=6=4=:183!24j3;;h6F;949K030<,=3o6584$0gf>0>f3`ln6=4+48f955g<3f83>7>5$5;g>7>f3A>2o65rb404>5<5290;w):N31<1C8;84$5;g>=0<,8on686n;hdf>5<#<0n1==o4;n0;6?6=,=3o6?6n;I6:g>=zj<836=4;:183!24j3>:7E:65:J723=#<0n1?k5+1dg91=g5$5;g>46f32e94?4?:%6:`?4?i21vn><9:181>5<7s->8n7??d:J7=0=O29096=4?{%60f?77l2B?585G4748 1?c21<0(k21vn>5<7s->8n7??d:J7=0=Ok21vn>5<7s->8n7??d:J7=0=Ok21vn>5<7s->8n7??d:J7=0=Ok21vn>=?:181>5<7s->8n7??d:J7=0=O296F;679'00`98m467290/84j511c8?l7793:1(97k:02b?>o68;0;6):6d;33e>=n9991<7*;9e824d=5$5;g>46f32c:<;4?:%6:`?77i21d>5<50;&7=a<50h10qo==8;297?6=8r.??o4;0:J7=0=O!2>l383m65rb264>5<4290;w):=2B?:;5+48f97c=#9lo195o4igd94?"31m0:5<#<0n1==o4;n0;6?6=,=3o6?6n;:a71>=8381<7>t$51a>46c3A>296F;679'00`98k7>5290/84j529c8L1?d32wi?9750;194?6|,=9i69>4H5;6?M21>2.?5i450;&7=a<68h10c?6=:18'06<729q/8>l52958L1?23A>=:6*;9e81<3=#9lo195o4igd94?"31m0:5<#<0n1==o4;n0;6?6=,=3o6?6n;:a702=8391<7>t$51a>16<@=3>7E:96:&7=a<4n2.:ih4:8`9jbc<72->2h7??a:9j556=83.?5i4>0`98k7>5290/84j529c8?xd4=<0;6?4?:1y'06d=99n0D97:;I652>"31m03:6*>ed86=h:181<7*;9e816<729q/8>l5419K0<3<@=<=7):6d;1e?!7bm3?3m6gif;29 1?c28:j76g>0183>!2>l3;;m65`29094?"31m094l54}c163?6=;3:12.:ih4:8`9jbc<72->2h7??a:9j556=83.?5i4>0`98k7>5290/84j529c8?xd4>80;6>4?:1y'06d=<91C84;4H545?!2>l39m7)?je;7;e>oan3:1(97k:02b?>o6890;6):6d;33e>=h:181<7*;9e817>52;294~"3;k0:2h769;%3fa?3?i2cmi7>5$5;g>46f32e94?4?:%6:`?4?i2B?5n54}c157?6=;3:1N31<1C8;84$5;g>6`<,8on686n;hde>5<#<0n1==o4;h334?6=,=3o6<>n;:m1<7<72->2h7<7a:9~f60329086=4?{%60f?4??2B?585G4748 1?c2;2=7)?je;7;e>oan3:1(97k:02b?>o6890;6):6d;33e>=h:181<7*;9e8153;294~"3;k0?<6F;949K030<,=3o6>h4$0gf>0>f3`lm6=4+48f955g<3`;;<7>5$5;g>46f32e94?4?:%6:`?4?i21vn>87:181>5<7s->8n7??d:J7=0=O29086=4?{%60f?273A>296F;679'00`98m467290/84j511c8?j4?:3:1(97k:3:b?>{e;?k1<7=50;2x 15e2;2<7E:65:J723=#<0n1>584$0gf>0>f3`lm6=4+48f955g<3`;;<7>5$5;g>46f32e94?4?:%6:`?4?i21vn>8k:180>5<7s->8n7:?;I6:1>N3>?1/84j53g9'5`c==1k0ekh50;&7=a<68h10e<>?:18'0=2B?:;5+48f9<3=#9lo195o4igg94?"31m0:5<#<0n1>5o4H5;`?>{e;?l1<7=50;2x 15e2=:0D97:;I652>"31m08j6*>ed86=n99:1<7*;9e824d=5}#<:h1>594H5;6?M21>2.?5i4=879'5`c==1k0ekh50;&7=a<68h10e<>?:18'0=:6*;9e80b>"6ml0>4l5ffg83>!2>l3;;m65f11294?"31m0:5<#<0n1>5o4;|`031<72;0;6=u+42`955b<@=3>7E:96:&7=a2.:ih4:8`9jb`<72->2h7??a:9l6=4=83.?5i4=8`9K05}#<:h18=5G4878L1013->2h7=i;%3fa?3?i2cmj7>5$5;g>46f32c:<=4?:%6:`?77i21d>5<50;&7=a<50h10qo=86;297?6=8r.??o4=869K0<3<@=<=7):6d;0;2>"6ml0>4l5ffg83>!2>l3;;m65f11294?"31m0:5<#<0n1>5o4;|`03<<72:0;6=u+42`905=O<0?0D989;%6:`?5a3-;ni7;7a:keb?6=,=3o6<>n;:k245<72->2h7??a:9l6=4=83.?5i4=8`98yg50i3:1>7>50z&77g<68m1C84;4H545?!2>l32=7)?je;7;e>oam3:1(97k:02b?>i50;0;6):6d;0;e>N31j10qo=8b;297?6=8r.??o4;0:J7=0=O!2>l383m65rb25`>5<4290;w):N31<1C8;84$5;g>7>13-;ni7;7a:keb?6=,=3o6<>n;:k245<72->2h7??a:9l6=4=83.?5i4=8`98yg50n3:1?7>50z&77g<382B?585G4748 1?c2:l0(i50;0;6):6d;0;e>=zj:2;6=4=:183!24j3;;h6F;949K030<,=3o6584$0gf>0>f3`ln6=4+48f955g<3f83>7>5$5;g>7>f3A>2o65rb2:2>5<4290;w):=2B?:;5+48f97c=#9lo195o4igd94?"31m0:5<#<0n1==o4;n0;6?6=,=3o6?6n;:a7=4=8391<7>t$51a>7>03A>296F;679'0i50;0;6):6d;0;e>=zj:?j6=4<:183!24j3>;7E:65:J723=#<0n1?k5+1dg91=g7>5$5;g>7>f32wi?8l50;094?6|,=9i6<>k;I6:1>N3>?1/84j5879'5`c==1k0ekk50;&7=a<68h10c?6=:18'0t$51a>16<@=3>7E:96:&7=a<4n2.:ih4:8`9jbc<72->2h7??a:9j556=83.?5i4>0`98k7>5290/84j529c8?xd4=m0;6>4?:1y'06d=:1=0D97:;I652>"31m094;5+1dg91=g7>5$5;g>7>f32wi?9j50;194?6|,=9i69>4H5;6?M21>2.?5i450;&7=a<68h10c?6=:18'07<729q/8>l511f8L1?23A>=:6*;9e8;2>"6ml0>4l5ffd83>!2>l3;;m65`29094?"31m094l5G48a8?xd44?:1y'06d=<91C84;4H545?!2>l39m7)?je;7;e>oan3:1(97k:02b?>o6890;6):6d;33e>=h:181<7*;9e81<7>53;294~"3;k094:5G4878L1013->2h7<76:&2a`<20h1bjk4?:%6:`?77i21b==>50;&7=a<68h10c?6=:18'06<729q/8>l5419K0<3<@=<=7):6d;1e?!7bm3?3m6gif;29 1?c28:j76g>0183>!2>l3;;m65`29094?"31m094l54}c0e1?6=:3:113-;ni7;7a:kea?6=,=3o6<>n;:m1<7<72->2h7<7a:J7=f=53;294~"3;k0?<6F;949K030<,=3o6>h4$0gf>0>f3`lm6=4+48f955g<3`;;<7>5$5;g>46f32e94?4?:%6:`?4?i21vn?h8:180>5<7s->8n7<77:J7=0=O0183>!2>l3;;m65`29094?"31m094l54}c1ae?6=;3:1N31<1C8;84$5;g>6`<,8on686n;hde>5<#<0n1==o4;h334?6=,=3o6<>n;:m1<7<72->2h7<7a:9~f6e529086=4?{%60f?273A>296F;679'00`98m467290/84j511c8?j4?:3:1(97k:3:b?>{e;j91<7<50;2x 15e28:o7E:65:J723=#<0n14;5+1dg91=g=2B?:;5+48f9<3=#9lo195o4igg94?"31m0:5<#<0n1>5o4H5;`?>{e;j?1<7<50;2x 15e28:o7E:65:J723=#<0n14;5+1dg91=g=:6*;9e80b>"6ml0>4l5ffg83>!2>l3;;m65f11294?"31m0:5<#<0n1>5o4;|`0g2<72;0;6=u+42`955b<@=3>7E:96:&7=a2.:ih4:8`9jb`<72->2h7??a:9l6=4=83.?5i4=8`9K05}#<:h1==j4H5;6?M21>2.?5i476:&2a`<20h1bjh4?:%6:`?77i21d>5<50;&7=a<50h1C84m4;|`0g<<72:0;6=u+42`96=1<@=3>7E:96:&7=a<50?1/=hk559c8mc`=83.?5i4>0`98m467290/84j511c8?j4?:3:1(97k:3:b?>{e;kh1<7=50;2x 15e2;2<7E:65:J723=#<0n1>584$0gf>0>f3`lm6=4+48f955g<3`;;<7>5$5;g>46f32e94?4?:%6:`?4?i21vn>ll:180>5<7s->8n7:?;I6:1>N3>?1/84j53g9'5`c==1k0ekh50;&7=a<68h10e<>?:18'0=:6*;9e80b>"6ml0>4l5ffg83>!2>l3;;m65f11294?"31m0:5<#<0n1==o4;n0;6?6=,=3o6?6n;:a7gc=8391<7>t$51a>7>03A>296F;679'0i50;0;6):6d;0;e>=zj:hm6=4<:183!24j3>;7E:65:J723=#<0n1?k5+1dg91=g7>5$5;g>7>f32wi?n>50;194?6|,=9i69>4H5;6?M21>2.?5i450;&7=a<68h10c?6=:18'01<729q/8>l529:8L1?23A>=:6*;9e81<3=#9lo195o4igd94?"31m0:5<#<0n1==o4;h335?6=,=3o6<>n;:m1<7<72->2h7<7a:9~f6?c290>6=4?{%60f?253A>296F;679'00`98m467290/84j511c8?l7793:1(97k:02b?>o68;0;6):6d;33e>=h:181<7*;9e8152;294~"3;k0:2h769;%3fa?3?i2cmi7>5$5;g>46f32e94?4?:%6:`?4?i2B?5n54}c1:b?6=:3:113-;ni7;7a:kea?6=,=3o6<>n;:m1<7<72->2h7<7a:J7=f=53;294~"3;k094:5G4878L1013->2h7<76:&2a`<20h1bjk4?:%6:`?77i21b==>50;&7=a<68h10c?6=:18'06<729q/8>l5419K0<3<@=<=7):6d;1e?!7bm3?3m6gif;29 1?c28:j76g>0183>!2>l3;;m65`29094?"31m094l54}c1b6?6=;3:12.:ih4:8`9jbc<72->2h7??a:9j556=83.?5i4>0`98k7>5290/84j529c8?xd4i:0;6>4?:1y'06d=<91C84;4H545?!2>l39m7)?je;7;e>oan3:1(97k:02b?>o6890;6):6d;33e>=h:181<7*;9e8153;294~"3;k0?<6F;949K030<,=3o6>h4$0gf>0>f3`lm6=4+48f955g<3`;;<7>5$5;g>46f32e94?4?:%6:`?4?i21vn>76:180>5<7s->8n7<77:J7=0=O0183>!2>l3;;m65`29094?"31m094l54}c1g2?6==3:12.:ih4:8`9jbc<72->2h7??a:9j556=83.?5i4>0`98m466290/84j511c8?l77:3:1(97k:02b?>i50;0;6):6d;0;e>=zj:n<6=4=:183!24j3;;h6F;949K030<,=3o6584$0gf>0>f3`ln6=4+48f955g<3f83>7>5$5;g>7>f3A>2o65rb2f;>5<5290;w):N31<1C8;84$5;g>=0<,8on686n;hdf>5<#<0n1==o4;n0;6?6=,=3o6?6n;I6:g>=zj:n26=4<:183!24j3>;7E:65:J723=#<0n1?k5+1dg91=g7>5$5;g>7>f32wi?io50;194?6|,=9i6?68;I6:1>N3>?1/84j52948 4cb2<2j7dhi:18'0!2>l383m65rb2fa>5<4290;w):N31<1C8;84$5;g>7>13-;ni7;7a:keb?6=,=3o6<>n;:k245<72->2h7??a:9l6=4=83.?5i4=8`98yg5f>3:1>7>50z&77g<68m1C84;4H545?!2>l32=7)?je;7;e>oam3:1(97k:02b?>i50;0;6):6d;0;e>N31j10qo=m0;296?6=8r.??o4>0e9K0<3<@=<=7):6d;:5?!7bm3?3m6gie;29 1?c28:j76a=8383>!2>l383m6F;9b98yg5e93:1?7>50z&77g<50>1C84;4H545?!2>l383:6*>ed86=n99:1<7*;9e824d=5}#<:h1==j4H5;6?M21>2.?5i476:&2a`<20h1bjh4?:%6:`?77i21d>5<50;&7=a<50h1C84m4;|`0f6<72:0;6=u+42`905=O<0?0D989;%6:`?5a3-;ni7;7a:keb?6=,=3o6<>n;:k245<72->2h7??a:9l6=4=83.?5i4=8`98yg5e<3:1>7>50z&77g<68m1C84;4H545?!2>l32=7)?je;7;e>oam3:1(97k:02b?>i50;0;6):6d;0;e>N31j10qo=m5;296?6=8r.??o4>0e9K0<3<@=<=7):6d;:5?!7bm3?3m6gie;29 1?c28:j76a=8383>!2>l383m6F;9b98yg5e>3:1>7>50z&77g<68m1C84;4H545?!2>l32=7)?je;7;e>oam3:1(97k:02b?>i50;0;6):6d;0;e>N31j10qo=m7;297?6=8r.??o4;0:J7=0=O!2>l383m65rb2c4>5<4290;w):=2B?:;5+48f97c=#9lo195o4igd94?"31m0:5<#<0n1==o4;n0;6?6=,=3o6?6n;:a7d>=8391<7>t$51a>16<@=3>7E:96:&7=a<4n2.:ih4:8`9jbc<72->2h7??a:9j556=83.?5i4>0`98k7>5290/84j529c8?xd4i00;6>4?:1y'06d=<91C84;4H545?!2>l39m7)?je;7;e>oan3:1(97k:02b?>o6890;6):6d;33e>=h:181<7*;9e8153;294~"3;k094:5G4878L1013->2h7<76:&2a`<20h1bjk4?:%6:`?77i21b==>50;&7=a<68h10c?6=:18'01<729q/8>l5409K0<3<@=<=7):6d;1e?!7bm3?3m6gif;29 1?c28:j76g>0183>!2>l3;;m65f11394?"31m0:5<#<0n1>5o4;|`0ef<72:0;6=u+42`905=O<0?0D989;%6:`?5a3-;ni7;7a:keb?6=,=3o6<>n;:k245<72->2h7??a:9l6=4=83.?5i4=8`98yg5fl3:1?7>50z&77g<50>1C84;4H545?!2>l383:6*>ed86=n99:1<7*;9e824d=5}#<:h18=5G4878L1013->2h7=i;%3fa?3?i2cmj7>5$5;g>46f32c:<=4?:%6:`?77i21d>5<50;&7=a<50h10qo=nf;297?6=8r.??o4=869K0<3<@=<=7):6d;0;2>"6ml0>4l5ffg83>!2>l3;;m65f11294?"31m0:5<#<0n1>5o4;|`67g<72;0;6=u+42`955b<@=3>7E:96:&7=a2.:ih4:8`9jb`<72->2h7??a:9l6=4=83.?5i4=8`9K0?n4?:383>5}#<:h1==j4H5;6?M21>2.?5i476:&2a`<20h1bjh4?:%6:`?77i21d>5<50;&7=a<50h1C84m4;|`67a<72;0;6=u+42`955b<@=3>7E:96:&7=a2.:ih4:8`9jb`<72->2h7??a:9l6=4=83.?5i4=8`9K0?h4?:383>5}#<:h1==j4H5;6?M21>2.?5i476:&2a`<20h1bjh4?:%6:`?77i21d>5<50;&7=a<50h1C84m4;|`67c<72=0;6=u+42`96=><@=3>7E:96:&7=a<50?1/=hk559c8mc`=83.?5i4>0`98m467290/84j511c8?l7793:1(97k:02b?>i50;0;6):6d;0;e>=zj<>;6=4;:183!24j3>:7E:65:J723=#<0n1?k5+1dg91=g5$5;g>46f32e94?4?:%6:`?4?i21vn>?7:181>5<7s->8n7??d:J7=0=O296F;679'00`98m467290/84j511c8?j4?:3:1(97k:3:b?>{e;8o1<7<50;2x 15e28:o7E:65:J723=#<0n14;5+1dg91=g=2B?:;5+48f9<3=#9lo195o4igg94?"31m0:5<#<0n1>5o4H5;`?>{e;;:1<7<50;2x 15e28:o7E:65:J723=#<0n14;5+1dg91=g=2B?:;5+48f9<3=#9lo195o4igg94?"31m0:5<#<0n1>5o4H5;`?>{e;;81<7=50;2x 15e2=:0D97:;I652>"31m08j6*>ed86=n99:1<7*;9e824d=>4?:283>5}#<:h18=5G4878L1013->2h7=i;%3fa?3?i2cmj7>5$5;g>46f32c:<=4?:%6:`?77i21d>5<50;&7=a<50h10qo==4;297?6=8r.??o4=869K0<3<@=<=7):6d;0;2>"6ml0>4l5ffg83>!2>l3;;m65f11294?"31m0:5<#<0n1>5o4;|`05<<72:0;6=u+42`905=O<0?0D989;%6:`?5a3-;ni7;7a:keb?6=,=3o6<>n;:k245<72->2h7??a:9l6=4=83.?5i4=8`98yg56i3:1?7>50z&77g<50>1C84;4H545?!2>l383:6*>ed86=n99:1<7*;9e824d=5}#<:h18=5G4878L1013->2h7=i;%3fa?3?i2cmj7>5$5;g>46f32c:<=4?:%6:`?77i21d>5<50;&7=a<50h10qo=>c;297?6=8r.??o4=869K0<3<@=<=7):6d;0;2>"6ml0>4l5ffg83>!2>l3;;m65f11294?"31m0:5<#<0n1>5o4;|q21?6=:rT:963<578eb>{t9?0;6?uQ179>735=no1v<950;0xZ41<5:<26kh4}r3;>5<5sW;370=9f;de?xu613:1>vP>9:?030;l:gd8yv7d2909wS?l;<17b?`a3ty:h7>52z\2`>;4?k0mj6s|1d83>7}Y9l16>k85fg9~w4`=838pR4673ty9<7>52z\14>;5n=0:<=5rs3094?4|V;801>96:023?xu5>3:1>vP=6:?011<6891v?950;0xZ71<5:<:6<>?;|q14>019~w7d=839pR?l4=27b>467349?h7??0:p6f<72;qU>n5236d9556cc<5::j6kh4=2a1>c`<5:i36kk4=2;g>467349247hi;<1a6?`b349i?7hi;<127f?=no16?l>5fg9>7g2=nl16??3:1>v367;0;6>;>i3lm7p}68;296~;>0383>636a;334>{t100;6?u29881<7=:1k0mj6s|9`83>7}:1h094?529c8245=z{0h1<74}r;f>5<6:rT2i63;48<0356375;::?857n322707<:9;8965b21301>=>:9;896?521301>7<:9;896?321301>7::9;896?121301>7n:9;896?e21301>o;:9;896d?21301>=k:gg8yv40m3:1>v3;978eg>;4l;02j6s|2df94?4|V;oo70=;a;0;6>{t:lo1<76s|2g394?4|V;l:70=:7;0;6>{t:o81<76s|2g194?5|V;l87S63=f68eb>{t:o?1<77>5348m:7??0:p6c0=838p1?h9:3:1?84a?3;;<6s|2g:94?4|V;l370=94;0;6>{t:o31<76s|2gc94?4|V;lj70=80;0;6>{t:oh1<7383>6s|2ga94?4|V;lh70=8c;0;6>{t:on1<76s|2gd94?3|V;lm70=>1;334>;4k<0mi63<9e8eb>;2;k0mi6s|31294?0|V::;70=l2;334>;4i;0mj63;4j<0mi63<1g8ea>{t;9?1<76l94=22f>7>53ty8<:4?:3y>751=:1801>>j:gd8yv5703:1>v3<0981<7=:;9k1==>4}r13=?6=:r78<44=839>75d=99:0q~=?a;296~;48h094?5231a955652z?04g<50;16?=j51128yv57k3:1>v3<0b81<7=:;9n1jk5rs22g>5<5s49;h7<72:?04`<6891v>>i:182=~;48o09hi525769556<5<836<>?;<173?`a349?47hj;<160?`a349>97hj;<155?`a349=>7hj;<153?`a349=47hj;<15`?`a349=i7hj;<147?`a349<87hj;<14=?`a349n7hj;<17`?`a349?i7hj;<0e0?`a348m97hj;|q055<72=q6?717=no1v>?=:181857n3k<70=>6;0;6>{t;891<77>5349:97hi;|q051<72;q6?<:52908967228:;7p}<1483>7}:;8?1>5<4=235>4673ty8=:4?:7y>742=nl165;4>019>714=nl16?>:5fd9>75?=nl16?v3<1981<7=:;;81==>4}r12=?6=:r78=44=839>74g=99:0q~=>a;296~;49h094?5230`9bc=z{:;i6=4={<12f?4?:278=n4if:p74b=838p1>?k:3:1?855;3lm7p}<1d83>7}:;8o1>5<4=200>4673ty8=k4?:3y>74`=:1801>?6:023?xu4:90;6?u233296=4<5:;i6<>?;|q064<72;q6???52908967d28:;7p}<2383>7}:;;81>5<4=23b>c`52z?066<50;16??:51128yv55<3:1>v3<2581<7=:;831jk5rs206>5<4s498?7??0:?042<68916??652908yv55>3:1>v3<2781<7=:;;=1jk5rs204>5<5s499;7<72:?06=2;2970==7;334>{t;;k1<77>53499;7??1:p77d=838p1>6s|33a94?4|5:8h6?6=;<113?77;2wx??j50;0x964c2;2970==7;330>{t;;o1<77>53499;7??5:p77`=838p1>?50;5x96562;no70=ma;de?85d>3lm70=6d;335>;4i?0mi63:3b8ea>;4:80mi6s|32094?4|5:9:6l94=21b>7>53ty8?>4?:3y>765=:1801>=n:gd8yv54<3:1>v3<3581<7=:;:=1==>4}r101?6=:r78?84=839>76>=no1v>=9:181854>383>63<398244=z{:9<6=4={<103?4?:278?44if:p76>=838p1>=7:3:1?85413;;<6s|32;94?4|5:926?6=;<10e?7782wx?>l50;0x965e2;no70;u232`9<<=:52z?07`<5lm169>j5fd9~w65a2909w0=6s|35294?4|5:>;6?6=;<170?`a3ty88<4?:3y>717=:1801>:<:gd8yv53:3:1>v3<4381<7=:;=91==>4}r177?6=:r788>4=839>712=99:0q~=;6;296~;4<>094?5235c9bc=z{:><6=4={<17019~w62?2909w0=;9;0;6>;45<5s49?h7<72:?015{t;=o1<77>5349><7??0:p705=838p1>;;:3:1?852?3lm7p}<5583>7}:;5<4=275>4673ty8984?:3y>700=:1801>;8:023?xu4=00;6?u234c96=4<5:?o6kh4}r16e?6=:r789o4=839>70e=99:0q~=:b;296~;4=j094?5234f955652z?024<50;16?;:5fg9~w6062909w0=92;0;6>;4>:0:<=5rs241>5<5s49=?7<72:?021<6891v>89:181851?383>63<6`8eb>{t;?=1<77>5349=57??0:p73>=838p1>86:3:1?851i3;;<6s|37a94?4|5:73c=:1801>8i:023?xu4>l0;6?u237d96=4<5:=;6<>?;|q037<72;q6?:=5290896112ol0q~=83;296~;4?=094?52367955652z?030<50;16?:851128yv5003:1>v3<7881<7=:;>i1jk5rs25:>5<5s499n:181850j383>63<7b8245=z{:=n6=4={<14b?4?:2784?4if:p72`=838p1>6?:3:1?85?93;;<6s|39294?4|5:2:6?6=;<1;6?7782wx?5:50;0xZ6>334??47hj;|q0=7<72?q6?4<52ef896542ol01>>8:gd8964a2oo01>m>:023?85ci3lm7p}<9283>1}:;081m:5238196ab<5:8n6kk4=2f5>4663ty8594?:5y>7<5=i>16?4:52ef8964c2oo01>j9:gd8yv5>=3:19v3<958b3>;41<09hi523529bc=:;;i1jh523e4955658z?0=3<5lm165:4>019>76>=99:01>>l:gd8964f2oo01>lm:gd896??28:;70=m1;334>{t;0=1<7d1<5:326?6=;|q0==<72;q6?465290896?>28:;7p}<9`83>1}:;0k1>ij4=224>4653499:7hj;<1:=?`a3ty85o4?:6y>76d=i>16?4o5a69>7?9:gd89<3=99:01>>8:022?85513ln7p}<9b83>7}:;0h1m:523`196=452z?0=a<50;16?l=5fg9~w6?b2909w0=6e;0;6>;4i90:<=5rs2;e>5<5s492j7<72:?0e4<6891v>o?:18185f8383>63{t;h;1<77>5349j>7??0:p7d4=838p1>o=:3:1?85f;3;;<6s|3`694?0|5:3>6l94=2c7>7bc343>6kh4=263>4673499n7hj;<1g2?77:2wx?l;50;0x96g32h=01>oi:3:1?xu4i?0;6?u23`496=4<5:h<6<>?;|q0e2<72;q6?l95290896gf2ol0q~=n8;296~;4i1094?523`c955652z?0e<<50;16?ll5fg9~w6gf2909w0=na;0;6>;4ij0:<=5rs2ca>5<5s49jn7<72:?0ea<6891v>ol:18185fk383>63{t;hn1<77>5349ji7hi;|q0e`<72;q6?lk5290896ga28:;7p}7}:;k:1>5<4=2c4>4673ty8n<4?:3y>7g7=:1801>o7:gd8yv5e:3:1>v34}r1a7?6=:r78n>4=839>7dd=99:0q~=m4;296~;4j=094?523`;955652z?0f0<50;16?ll51138yv5e>3:1>v34}r1a3?6=:r78n:4=839>7d`=no1v>l7:18f85e038oh63<128245=:100mj63<408245=:;:=1jk5231`9bc=:;::1jh523cc9556<5:i=6<>?;<1:`?77:278n<4if:?0f2m>:3:1?xu4jh0;6?u23cc96=4<5:i;6kh4}r1af?6=:r78no4=839>7gb=99:0q~=mc;296~;4jj094?523cg955652z?0fa<50;16?n?51138yv5em3:1>v35<5s49ij7<72:?0g5<6891v>m?:18185d8383>63{t;j81<77>5349ii7hi;|q0g6<72;q6?n=5290896e>28:;7p}7}:;j>1>5<4=2``>4673ty8o84?:3y>7f3=:1801>li:023?xu4k?0;6?u23b496=4<5:hi6<>?;|q0g2<72;q6?n95290896dc2ol0q~=l8;296~;4k1094?523cf955752z?0g<<50;16?om5fg9~w6b5290>w0=k2;0g`>;4m00mi63;4j?0mi63<218ea>{t;m91<7d1<5:n?6?6=;|q0`0<72;q6?i<59e9>7ad=:180q~=k6;296~;4l?094?523e`9bc=z{:n<6=4={<1g3?4?:278h44if:p7a>=838p1>j7:3:1?85c13;;<6s|3e;94?4|5:n26?6=;<1ge?7782wx?io50;0x96bf2;2970=kb;334>{t;mi1<7=t=2ff>7>5349h?7hj;<1:a?`b3ty8hi4?:3y>7ab=:1801>jj:023?xu4lo0;6;uQ3ed896bb2ol01>j;:023?85c?3ln70=m3;334>;49m0mj6s|3d:94?1|5:oj6?6=;<;4>c`<5:9=6kk4=22;>cc<5:i?6kk4=2a4>cc<5:3m6kk4}r1f=?6=:r78i44=839>7`g=99:0q~=jb;293~X4mk16?ho5fg9>7a2=no16?i65fd9>7de=no16??<5fg9>772=no1v9lk:187[2el27>8o4>019>17d=no169>h51128yv2bj3:1>vP;ec9>0`d=:mn0q~:jd;296~;3mk0j;63;ed81<7=z{<;:6=4={_725>;2:>0mi6s|53194?4|V<8870;=4;0;6>{t=;?1<7cc<5<836?6=;|q663<72;q69?852908904?2ol0q~;=7;296~;2:>094?5253:955752z\66<=:=;h1>5<4}r71e?6=:r7>>l4=839>17d=99:0q~;52908yv34j3:1>v3:3c81<7=:=:l1jk5rs41`>5<5s4?8o7<72:?67c<6881v8=k:181834l383>63:418eb>{t=:o1<77>534??<7??1:p16`=838p18=i:3:1?83383;;<6s|55594?4|V<><70;;8;0;6>{t==k1<76s|55f94?4|V<>o70;93;df?xu2=o0;6?uQ54d890072;297p}:6083>7}:=?:1jh5257696=47>52z?627<50;169;:5fg9~w0042909w0;93;0;6>;2>=0:<<5rs4:0>5<2sW?3?63:4c8eb>;2:h0mi63:638ea>;2:?0mi6srb5`0>5<6>32:65jtH545?!24j3;946*>ee8762=n1l0;66g>2583>>o6>80;66g>1183>>o6<:0;66a>6383>>o3jm0;66g72;29?j71<3:17d;>1;29?l75j3:17d;73;29?l33l3:17b?;5;29?j7di3:17d=74;29?j2am3:17b?:a;29?j7e=3:17bt$51a>cb<@=3>7E:96:&7=a<3i:1/=hk559c8kce=83.?5i4=8`98yg2c=3:197<56z&77g5;c0g`?6=>3:1o68h0;6):6d;33e>=n1m0;6):6d;;g?>o>m3:1(97k:8g8?l5a93:1(97k:2d2?>o4180;6):6d;1:5>=h:1k1<7*;9e812m7>51;294~"31m0?m>5`29c94?"31m094l54}r0g`?6=:rT9hi522ef96=g7}Y1o16>ij53838yv?c2909wS7k;<0g`??c3ty357>52z\;=>;5lm02i6s|fe83>7}::mn1?k?4=5;b>7>f3twi?k750;796?0|,=9i6k;4H5;6?M21>2.:5;h;e>5<>i5lm0;66l=de83>3<729q/84j5f99j55g=83.?5i4>0`98m2h77j;:k0b4<72->2h7=i1:9j7<7=83.?5i4<9098k7>f290/84j529c8?xd31h0;6<4?:1y'07}Y:mn01?jk:3:b?xuf?3:1>vPn7:?1`a<68h1v4h50;0xZ<`<5;no6>7>;|q:`?6=:rT2h63=de8:`>{t000;6?uQ889>6ab=1l1vkj50;0x97bc2:l:70:6a;0;e>{zj:i1<7;52;4x 15e2o?0D97:;I652>"6ml0>4l5f9e83>>o>n3:17d66:188md1=831d>ij50;9a6ab=83<1<7>t$5;g>c>=n;o;1<7*;9e80b4=5$5;g>7>f32wi84o50;394?6|,=3o69o<;n0;e?6=,=3o6?6n;:p6ab=838pR?jk;<0g`?4?i2wxm:4?:3y]e2=::mn1==o4}r;e>5<5sW3m70{t1m0;6?uQ9e9>6ab=1m1v5750;0xZ=?<5;no64k4}rdg>5<5s48oh7=i1:?7=d<50h1vqoo::180>7<3s->8n7h<;I6:1>N3>?1/=hk559c8m=?=831bm:4?::m1`a<722h9hi4?:481>3}#<:h1j;5+1dg91=g5<>o?13:17oh9:185>5<7s->2h7h7;h33e?6=,=3o6<>n;:k:`?6=,=3o64j4;h;f>5<#<0n15h54i2d2>5<#<0n1?k?4;h1:5?6=,=3o6>7>;:m12h7<7a:9~f1??290:6=4?{%6:`?4?=2e94o4?:%6:`?4?i21vl950;0xZd1<5o<1==o4}r::>5<5sW2270h9:8g8yv?a2909wS7i;6?63ty9hn4?:3y]6ae<5o<1?k?4}r0g`?6=:rT9hi52f781"6ml0>4l5`fb83>!2>l383m65rs3fg>5<5sW8oh63=de81`a=z{h=1<7>348oh766;|q6>5<4s48oh7n2796km4$546>f=zuk8>n7>53;090~"3;k0m?6F;949K030<,8on686n;h::>5<56;294~"31m0m46g>0`83>!2>l3;;m65f9e83>!2>l33o76g6e;29 1?c20o07d=i1;29 1?c2:l:76g<9083>!2>l392=65`29c94?"31m094l54}c6:{t:mi1<77}Y:mn01k8529c8yv21?3:1>v3i6;;g?82>0383n6srb383>4<729q/8;;59:&77gij52ef8yvg02909wSo8;<0g`?g03ty357>52z\;=>;5lm0356s|5;297~;5lm09hn522ef9=c=::3lh7):95;a8yxd6=h0;684=:7y'06d=n<1C84;4H545?!7bm3?3m6g6d;29?l?a2900e5750;9je2<722e9hi4?::`1`a<72?0;6=u+48f9b==n99k1<7*;9e824d==n1l0;6):6d;;f?>o4n80;6):6d;1e5>=n;0;1<7*;9e80=4=5}#<0n18l=4o3:b>5<#<0n1>5o4;|q1`a<72;qU>ij4=3fg>7>f3tyj;7>52z\b3>;5lm0:l3:1>vP6d:?1`a<>l2wx444?:3y]<<=::mn15h5rsgf94?4|5;no6>h>;<6:e?4?i2wvn?k50;196?2|,=9i6k=4H5;6?M21>2.:ih4:8`9j<<<722cj;7>5;n0g`?6=3k8oh7>55;092~"3;k0m:6*>ed860;66g6f;29?l>>2900nk850;494?6|,=3o6k64i02b>5<#<0n1==o4;h;g>5<#<0n15i54i8g94?"31m02i65f3g394?"31m08j<54i2;2>5<#<0n1?4?4;n0;e?6=,=3o6?6n;:a0<>=83;1<7>t$5;g>7>23f83n7>5$5;g>7>f32wxm:4?:3y]e2=:n?0:52z\1`f=:n?08j<5rs3fg>5<5sW8oh63i6;0;e>{t5l4}|`1>5<6290;w):95;;8 15e2on0(97k:5c0?!7bm3?3m6aic;29 1?c2;2j76s|2ef94?4|V;no70{ti>0;6?uQa69>6ab=i>1v5750;0xZ=?<5;no6574}r794?5|5;no6?jl;<0g`??a3481jn5+4779g>{zj8h>6=4<:387!24j3l87E:65:J723=#9lo195o4i9;94?=ni>0;66a=de83>>d5lm0;684=:7y'06d=n?1/=hk559c8k7bc2900e?jl:188md1=831b5k4?::k;=?6=3kl=6=49:183!2>l3l37d??a;29 1?c28:j76g6d;29 1?c20n07d7j:18'0h>:18'0!2>l383m65rb5;;>5<6290;w):6d;0;1>i50k0;6):6d;0;e>=z{h=1<7>34l=64k4}r;e>5<5sW3m70h9:2;2?xu5lj0;6?uQ2ea89c0=;o;0q~2h7:n3:&2a`<20h1djn4?:%6:`?4?i21v?jk:181[4cl279hi4=de9~wd1=838pRl94=3fg>d1;52oi0(98::b9~yg73=3:1?7<54z&77gij50;9a6ab=83?1>78t$51a>c0<,8on686n;n0g`?6=3`8oo7>5;hc4>5<>da>3:1:7>50z&7=a5$5;g>5$5;g>6`632c85<4?:%6:`?5>921d>5o50;&7=a<50h10qo:68;295?6=8r.?5i4=849l6=d=83.?5i4=8`98yvg02909wSo8;46f3ty357>52z\;=>;a>33n7p}6f;296~X>n27m:7=61:p6ae=838pR?jl;6`63ty9hi4?:3y]6ab<5o<1>5o4}r653?6=:r7m:77k;<6:5}#"31m0?m>5+1dg91=g52z\1`a=::mn1>ij4}rc4>5<5sWk<70vP79:?1`a53z?1`a<5lj16>ij59g9>6?`d3->=97m4}|`2gd<72:0969u+42`9b6=O<0?0D989;%3fa?3?i2c357>5;hc4>5<5<22;0=w):>o5lj0;66gn7;29?l?a2900e5750;9ab3<72?0;6=u+48f9b==n99k1<7*;9e824d==n1l0;6):6d;;f?>o4n80;6):6d;1e5>=n;0;1<7*;9e80=4=5}#<0n1>5;4o3:a>5<#<0n1>5o4;|qb3?6=:rTj;63i6;33e>{t000;6?uQ889>b3<>m2wx5k4?:3y]=c=:n?085<5rs3f`>5<5sW8oo63i6;1e5>{t:mn1<77}:n?02h63;9981l5fe9'0u22ef96ae<5;no64h4=38eg>"3><0h7psm17694?5=:3>p(9=m:g18L1?23A>=:6*>ed86r.??o4i6:&2a`<20h1d>ij50;9j6ae=831bm:4?::k:b?6=3`226=44bg494?0=83:p(97k:g:8m46f290/84j511c8?l?c290/84j59e98m{e<021<7?50;2x 1?c2;2>7b<7b;29 1?c2;2j76s|a683>7}Yi>16j;4>0`9~w=?=838pR574=g49=`=z{0l1<71g43-;ni7;7a:meg?6=,=3o6?6n;:p6ab=838pR?jk;<0g`?4cl2wxm:4?:3y]e2=::mn1m:5rs9;94?4|V1301?jk:9;8yv3=839p1?jk:3f`?84cl33m70<5fb9'033=k2wvn<:;:180>7<3s->8n7h<;I6:1>N3>?1/=hk559c8m=?=831bm:4?::m1`a<722h9hi4?:481>3}#<:h1j;5+1dg91=g5<>o?13:17oh9:185>5<7s->2h7h7;h33e?6=,=3o6<>n;:k:`?6=,=3o64j4;h;f>5<#<0n15h54i2d2>5<#<0n1?k?4;h1:5?6=,=3o6>7>;:m12h7<7a:9~f1??290:6=4?{%6:`?4?=2e94o4?:%6:`?4?i21vl950;0xZd1<5o<1==o4}r::>5<5sW2270h9:8g8yv?a2909wS7i;6?63ty9hn4?:3y]6ae<5o<1?k?4}r0g`?6=:rT9hi52f781"6ml0>4l5`fb83>!2>l383m65rs3fg>5<5sW8oh63=de81`a=z{h=1<7>348oh766;|q6>5<4s48oh7n2796km4$546>f=zuk;9?7>53;090~"3;k0m?6F;949K030<,8:h6h5+1dg91=g>i5lm0;66l=de83>0<52?q/8>l5f79'5`c==1k0c?jk:188m7bd2900el950;9j=c<722c357>5;cd5>5<1290;w):6d;d;?l77i3:1(97k:02b?>o>l3:1(97k:8f8?l?b290/84j59d98m6`6290/84j53g38?l5>93:1(97k:2;2?>i50h0;6):6d;0;e>=zj=336=4>:183!2>l38396a=8c83>!2>l383m65rs`594?4|Vh=01k8511c8yv>>2909wS66;7}Y:mi01k853g38yv4cl3:1>vP=de9>b3<50h1v988:1818`120n01977:3:a?x{e:3:1=7>50z&720<>3->8n7hk;%6:`?2f;2.:ih4:8`9lbf<72->2h7<7a:9~w7bc2909wS5<5sW2270;5lm02j63=:ga8 1022j1vqo?l6;291?4=>r.??o4i5:J7=0=O5;n0g`?6=3k8oh7>56;294~"31m0m46g>0`83>!2>l3;;m65f9e83>!2>l33o76g6e;29 1?c20o07d=i1;29 1?c2:l:76g<9083>!2>l392=65`29c94?"31m094l54}c6:e?6=93:152z\1`a=::mn1>5o4}rc4>5<5sWk<70{t1o0;6?uQ9g9>6ab=;0;0q~7k:181[?c348oh77k;|q;=?6=:rT3563=de8:a>{tnm0;6?u22ef97c7<5=3j6?6n;|a500=83?1>78t$51a>c3<@=3>7E:96:&2a`<20h1b5i4?::k:b?6=3`226=44i`594?=h:mn1<75m2ef94?0=83:p(97k:g:8m46f290/84j511c8?l?c290/84j59e98m{e<0k1<7?50;2x 1?c2=k87b<7a;29 1?c2;2j76s|2ef94?4|V;no70{ti>0;6?uQa69>6ab=99k0q~7i:181[?a348oh7=61:p=a<72;qU5i522ef9=a=z{131<755;092~"3;k0m96F;949K030<,8on686n;h;g>5<>of?3:17b50z&7=a5$5;g>5$5;g>6`632c85<4?:%6:`?5>921d>5o50;&7=a<50h10qo:6a;295?6=8r.?5i4;a29l6=g=83.?5i4=8`98yv4cl3:1>vP=de9>6ab=:1k0q~o8:181[g0348oh7??a:p=c<72;qU5k522ef97<7ij53g3891?f2;2j7psm43:94?5=:3>p(9=m:g18L1?23A>=:6*>0b8f?!7bm3?3m6g79;29?lg02900c?jk:188f7bc290>6?49{%60f?`13-;ni7;7a:m1`a<722c9hn4?::kb3?6=3`3m6=44i9;94?=en?0;6;4?:1y'0l21b5h4?:%6:`??b32c8j<4?:%6:`?5a921b?4?50;&7=a<41810c?6n:18'04<729q/84j52978k7>e290/84j529c8?xuf?3:1>vPn7:?e2?77i2wx444?:3y]<<=:n?02i6s|9g83>7}Y1o16j;4<909~w7bd2909wSij50;0xZ7bc34l=6?6n;|q722<72;q6j;46d:?7==<50k1vqo<50;394?6|,=<>645+42`9ba=#<0n18l=4$0gf>0>f3flh6=4+48f96=g<3ty9hi4?:3y]6ab<5;no6?jk;|qb3?6=:rTj;63=de8b3>{t000;6?uQ889>6ab=001v84?:2y>6ab=:mi01?jk:8d8977:t$51a>c5<@=3>7E:96:&24f5<i5lm0;66g=db83>>of?3:17d7i:188m=?=831ij;4?:783>5}#<0n1j55f11c94?"31m0:!2>l33n76g!2>l39m=65f38394?"31m085<54o3:b>5<#<0n1>5o4;|`7==<7280;6=u+48f96=352z\b3>;a>3;;m6s|8883>7}Y0016j;46e:p=c<72;qU5k52f780=4=z{;nh6=4={_0gg>;a>39m=6s|2ef94?4|V;no70h9:3:b?xu3>>0;6?u2f78:`>;311094o5r}c094?7=83:p(98::89'06d=nm1/84j54`18 4cb2<2j7bhl:18'07}Y:mn01?jk:3fg?xuf?3:1>vPn7:?1`a6}::mn1>im4=3fg><`<5;0mo6*;648`?x{e9?91<7<50;2x 15e28:o7E:65:J723=#<0n14;5+1dg91=g=2B?:;5+48f9<3=#9lo195o4igg94?"31m0:5<#<0n1>5o4H5;`?>{e9<21<7<50;2x 15e28:o7E:65:J723=#<0n14;5+1dg91=g=2B?:;5+48f9<3=#9lo195o4igg94?"31m0:5<#<0n1>5o4H5;`?>{e6<729q/8>l52958L1?23A>=:6*;9e81<3=#9lo195o4igd94?"31m0:5<#<0n1==o4;n0;6?6=,=3o6?6n;:a06?=8381<7>t$51a>46c3A>296F;679'00`98k7>5290/84j529c8L1?d32wi8>o50;194?6|,=9i69>4H5;6?M21>2.?5i450;&7=a<68h10c?6=:18'06<729q/8>l5419K0<3<@=<=7):6d;1e?!7bm3?3m6gif;29 1?c28:j76g>0183>!2>l3;;m65`29094?"31m094l54}c737?6=:3:113-;ni7;7a:kea?6=,=3o6<>n;:m1<7<72->2h7<7a:J7=f=52;294~"3;k0:2h769;%3fa?3?i2cmi7>5$5;g>46f32e94?4?:%6:`?4?i2B?5n54}c731?6=;3:1N31<1C8;84$5;g>6`<,8on686n;hde>5<#<0n1==o4;h334?6=,=3o6<>n;:m1<7<72->2h7<7a:9~f06129086=4?{%60f?273A>296F;679'00`98m467290/84j511c8?j4?:3:1(97k:3:b?>{e9?<1<7=50;2x 15e2=:0D97:;I652>"31m08j6*>ed86=n99:1<7*;9e824d=5}#<:h1==j4H5;6?M21>2.?5i476:&2a`<20h1bjh4?:%6:`?77i21d>5<50;&7=a<50h1C84m4;|`22=<72:0;6=u+42`905=O<0?0D989;%6:`?5a3-;ni7;7a:keb?6=,=3o6<>n;:k245<72->2h7??a:9l6=4=83.?5i4=8`98yg25m3:1>7>50z&77g<68m1C84;4H545?!2>l32=7)?je;7;e>oam3:1(97k:02b?>i50;0;6):6d;0;e>N31j10qo:<0;297?6=8r.??o4=869K0<3<@=<=7):6d;0;2>"6ml0>4l5ffg83>!2>l3;;m65f11294?"31m0:5<#<0n1>5o4;|`774<72:0;6=u+42`905=O<0?0D989;%6:`?5a3-;ni7;7a:keb?6=,=3o6<>n;:k245<72->2h7??a:9l6=4=83.?5i4=8`98yg24:3:1>7>50z&77g<68m1C84;4H545?!2>l32=7)?je;7;e>oam3:1(97k:02b?>i50;0;6):6d;0;e>N31j10qo:<3;296?6=8r.??o4>0e9K0<3<@=<=7):6d;:5?!7bm3?3m6gie;29 1?c28:j76a=8383>!2>l383m6F;9b98yg24<3:1?7>50z&77g<382B?585G4748 1?c2:l0(i50;0;6):6d;0;e>=zj=9>6=4<:183!24j3>;7E:65:J723=#<0n1?k5+1dg91=g7>5$5;g>7>f32wi8>850;194?6|,=9i6?68;I6:1>N3>?1/84j52948 4cb2<2j7dhi:18'0!2>l383m65rb514>5<4290;w):=2B?:;5+48f97c=#9lo195o4igd94?"31m0:5<#<0n1==o4;n0;6?6=,=3o6?6n;:a07`=8391<7>t$51a>7>03A>296F;679'0i50;0;6):6d;0;e>=zj=8j6=4<:183!24j3>;7E:65:J723=#<0n1?k5+1dg91=g7>5$5;g>7>f32wi8?l50;194?6|,=9i6?68;I6:1>N3>?1/84j52948 4cb2<2j7dhi:18'0!2>l383m65rb5fb>5<4290;w):=2B?:;5+48f97c=#9lo195o4igd94?"31m0:5<#<0n1==o4;n0;6?6=,=3o6?6n;:a0ad=8381<7>t$51a>46c3A>296F;679'00`98k7>5290/84j529c8L1?d32wi8im50;194?6|,=9i6?68;I6:1>N3>?1/84j52948 4cb2<2j7dhi:18'0!2>l383m65rb07`>5<4290;w):=2B?:;5+48f97c=#9lo195o4igd94?"31m0:5<#<0n1==o4;n0;6?6=,=3o6?6n;:a50b=8381<7>t$51a>46c3A>296F;679'00`98k7>5290/84j529c8L1?d32wi=8k50;094?6|,=9i6<>k;I6:1>N3>?1/84j5879'5`c==1k0ekk50;&7=a<68h10c?6=:18'0t$51a>16<@=3>7E:96:&7=a<4n2.:ih4:8`9jbc<72->2h7??a:9j556=83.?5i4>0`98k7>5290/84j529c8?xd6>90;6>4?:1y'06d=:1=0D97:;I652>"31m094;5+1dg91=g7>5$5;g>7>f32wi=;o50;194?6|,=9i69>4H5;6?M21>2.?5i450;&7=a<68h10c?6=:18'07183>7<729q/8>l511f8L1?23A>=:6*;9e8;2>"6ml0>4l5ffd83>!2>l3;;m65`29094?"31m094l5G48a8?xd6?80;6?4?:1y'06d=99n0D97:;I652>"31m03:6*>ed86=h:181<7*;9e817383>6<729q/8>l5419K0<3<@=<=7):6d;1e?!7bm3?3m6gif;29 1?c28:j76g>0183>!2>l3;;m65`29094?"31m094l54}c347?6=;3:1N31<1C8;84$5;g>6`<,8on686n;hde>5<#<0n1==o4;h334?6=,=3o6<>n;:m1<7<72->2h7<7a:9~f41329096=4?{%60f?77l2B?585G4748 1?c21<0(k21vn<9::181>5<7s->8n7??d:J7=0=Ok21vn<98:180>5<7s->8n7<77:J7=0=O0183>!2>l3;;m65`29094?"31m094l54}c35f?6=;3:1N31<1C8;84$5;g>6`<,8on686n;hde>5<#<0n1==o4;h334?6=,=3o6<>n;:m1<7<72->2h7<7a:9~f40d29086=4?{%60f?273A>296F;679'00`98m467290/84j511c8?j4?:3:1(97k:3:b?>{e9?n1<7=50;2x 15e2;2<7E:65:J723=#<0n1>584$0gf>0>f3`lm6=4+48f955g<3`;;<7>5$5;g>46f32e94?4?:%6:`?4?i21vn<8j:187>5<7s->8n7:>;I6:1>N3>?1/84j53g9'5`c==1k0ekh50;&7=a<68h10e<>?:18'0!2>l383m65rb04e>5<4290;w):N31<1C8;84$5;g>7>13-;ni7;7a:keb?6=,=3o6<>n;:k245<72->2h7??a:9l6=4=83.?5i4=8`98yg7dk3:1?7>50z&77g<382B?585G4748 1?c2:l0(i50;0;6):6d;0;e>=zj8n86=4=:183!24j3;;h6F;949K030<,=3o6584$0gf>0>f3`ln6=4+48f955g<3f83>7>5$5;g>7>f3A>2o65rb0f7>5<5290;w):N31<1C8;84$5;g>=0<,8on686n;hdf>5<#<0n1==o4;n0;6?6=,=3o6?6n;I6:g>=zj8n>6=4=:183!24j3;;h6F;949K030<,=3o6584$0gf>0>f3`ln6=4+48f955g<3f83>7>5$5;g>7>f3A>2o65rb0f5>5<5290;w):N31<1C8;84$5;g>=0<,8on686n;hdf>5<#<0n1==o4;n0;6?6=,=3o6?6n;I6:g>=zj8n<6=4=:183!24j3;;h6F;949K030<,=3o6584$0gf>0>f3`ln6=4+48f955g<3f83>7>5$5;g>7>f3A>2o65rb0f;>5<5290;w):N31<1C8;84$5;g>=0<,8on686n;hdf>5<#<0n1==o4;n0;6?6=,=3o6?6n;I6:g>=zj8n26=4=:183!24j3;;h6F;949K030<,=3o6584$0gf>0>f3`ln6=4+48f955g<3f83>7>5$5;g>7>f3A>2o65rb0fb>5<4290;w):N31<1C8;84$5;g>7>13-;ni7;7a:keb?6=,=3o6<>n;:k245<72->2h7??a:9l6=4=83.?5i4=8`98yg7dl3:1?7>50z&77g<382B?585G4748 1?c2:l0(i50;0;6):6d;0;e>=zj8in6=4;:183!24j3>:7E:65:J723=#<0n1?k5+1dg91=g5$5;g>46f32e94?4?:%6:`?4?i21vn5<7s->8n7:?;I6:1>N3>?1/84j53g9'5`c==1k0ekh50;&7=a<68h10e<>?:18'0=2B?:;5+48f96=0<,8on686n;hde>5<#<0n1==o4;h334?6=,=3o6<>n;:m1<7<72->2h7<7a:9~f4b6290?6=4?{%60f?263A>296F;679'00`98m467290/84j511c8?l7793:1(97k:02b?>i50;0;6):6d;0;e>=zj8n96=4<:183!24j383;6F;949K030<,=3o6?69;%3fa?3?i2cmj7>5$5;g>46f32c:<=4?:%6:`?77i21d>5<50;&7=a<50h10qo?8f;297?6=8r.??o4;0:J7=0=O!2>l383m65rb0:3>5<4290;w):N31<1C8;84$5;g>7>13-;ni7;7a:keb?6=,=3o6<>n;:k245<72->2h7??a:9l6=4=83.?5i4=8`98yg7?93:1>7>50z&77g<68m1C84;4H545?!2>l32=7)?je;7;e>oam3:1(97k:02b?>i50;0;6):6d;0;e>N31j10qo?72;296?6=8r.??o4>0e9K0<3<@=<=7):6d;:5?!7bm3?3m6gie;29 1?c28:j76a=8383>!2>l383m6F;9b98yg7?;3:1?7>50z&77g<382B?585G4748 1?c2:l0(i50;0;6):6d;0;e>=zj82?6=4<:183!24j3>;7E:65:J723=#<0n1?k5+1dg91=g7>5$5;g>7>f32wi=5;50;694?6|,=9i69?4H5;6?M21>2.?5i450;&7=a<68h10e<>>:18'0=:6*;9e80b>"6ml0>4l5ffg83>!2>l3;;m65f11294?"31m0:5<#<0n1>5o4;|`2f`<72;0;6=u+42`955b<@=3>7E:96:&7=a2.:ih4:8`9jb`<72->2h7??a:9l6=4=83.?5i4=8`9K05}#<:h1==j4H5;6?M21>2.?5i476:&2a`<20h1bjh4?:%6:`?77i21d>5<50;&7=a<50h1C84m4;|`2g5<72:0;6=u+42`96=1<@=3>7E:96:&7=a<50?1/=hk559c8mc`=83.?5i4>0`98m467290/84j511c8?j4?:3:1(97k:3:b?>{e9j;1<7<50;2x 15e28:o7E:65:J723=#<0n14;5+1dg91=g=2B?:;5+48f9<3=#9lo195o4igg94?"31m0:5<#<0n1>5o4H5;`?>{e9j91<7<50;2x 15e28:o7E:65:J723=#<0n14;5+1dg91=g=:6*;9e80b>"6ml0>4l5ffg83>!2>l3;;m65f11294?"31m0:5<#<0n1>5o4;|`2g0<72;0;6=u+42`955b<@=3>7E:96:&7=a2.:ih4:8`9jb`<72->2h7??a:9l6=4=83.?5i4=8`9K05}#<:h18<5G4878L1013->2h7=i;%3fa?3?i2cmj7>5$5;g>46f32c:<=4?:%6:`?77i21b==?50;&7=a<68h10c?6=:18'0b883>1<729q/8>l5409K0<3<@=<=7):6d;1e?!7bm3?3m6gif;29 1?c28:j76g>0183>!2>l3;;m65f11394?"31m0:5<#<0n1>5o4;|`2fd<72:0;6=u+42`905=O<0?0D989;%6:`?5a3-;ni7;7a:keb?6=,=3o6<>n;:k245<72->2h7??a:9l6=4=83.?5i4=8`98yg7ej3:1?7>50z&77g<50>1C84;4H545?!2>l383:6*>ed86=n99:1<7*;9e824d=5}#<:h18=5G4878L1013->2h7=i;%3fa?3?i2cmj7>5$5;g>46f32c:<=4?:%6:`?77i21d>5<50;&7=a<50h10qo?md;290?6=8r.??o4=899K0<3<@=<=7):6d;0;2>"6ml0>4l5ffg83>!2>l3;;m65f11294?"31m0:5<#<0n1==o4;n0;6?6=,=3o6?6n;:a511=8391<7>t$51a>16<@=3>7E:96:&7=a<4n2.:ih4:8`9jbc<72->2h7??a:9j556=83.?5i4>0`98k7>5290/84j529c8?xd6"31m03:6*>ed86=h:181<7*;9e814g83>7<729q/8>l511f8L1?23A>=:6*;9e8;2>"6ml0>4l5ffd83>!2>l3;;m65`29094?"31m094l5G48a8?xd6=90;6>4?:1y'06d=:1=0D97:;I652>"31m094;5+1dg91=g7>5$5;g>7>f32wi=8?50;094?6|,=9i6<>k;I6:1>N3>?1/84j5879'5`c==1k0ekk50;&7=a<68h10c?6=:18'0t$51a>46c3A>296F;679'00`98k7>5290/84j529c8L1?d32wi=8=50;094?6|,=9i6<>k;I6:1>N3>?1/84j5879'5`c==1k0ekk50;&7=a<68h10c?6=:18'0t$51a>16<@=3>7E:96:&7=a<4n2.:ih4:8`9jbc<72->2h7??a:9j556=83.?5i4>0`98k7>5290/84j529c8?xd6=<0;6?4?:1y'06d=99n0D97:;I652>"31m03:6*>ed86=h:181<7*;9e814983>1<729q/8>l5409K0<3<@=<=7):6d;1e?!7bm3?3m6gif;29 1?c28:j76g>0183>!2>l3;;m65f11394?"31m0:5<#<0n1>5o4;|`20<<72=0;6=u+42`904=O<0?0D989;%6:`?5a3-;ni7;7a:keb?6=,=3o6<>n;:k245<72->2h7??a:9j557=83.?5i4>0`98k7>5290/84j529c8?xd64?:1y'06d=<91C84;4H545?!2>l39m7)?je;7;e>oan3:1(97k:02b?>o6890;6):6d;33e>=h:181<7*;9e8153;294~"3;k094:5G4878L1013->2h7<76:&2a`<20h1bjk4?:%6:`?77i21b==>50;&7=a<68h10c?6=:18'04b83>6<729q/8>l5419K0<3<@=<=7):6d;1e?!7bm3?3m6gif;29 1?c28:j76g>0183>!2>l3;;m65`29094?"31m094l54}c37`?6=<3:12.:ih4:8`9jbc<72->2h7??a:9j556=83.?5i4>0`98m466290/84j511c8?j4?:3:1(97k:3:b?>{e;oh1<7<50;2x 15e28:o7E:65:J723=#<0n14;5+1dg91=g=2B?:;5+48f9<3=#9lo195o4igg94?"31m0:5<#<0n1>5o4H5;`?>{e;on1<7<50;2x 15e28:o7E:65:J723=#<0n14;5+1dg91=g=2B?:;5+48f9<3=#9lo195o4igg94?"31m0:5<#<0n1>5o4H5;`?>{e;ol1<7=50;2x 15e2=:0D97:;I652>"31m08j6*>ed86=n99:1<7*;9e824d=5}#<:h1>594H5;6?M21>2.?5i4=879'5`c==1k0ekh50;&7=a<68h10e<>?:18'0=:6*;9e80b>"6ml0>4l5ffg83>!2>l3;;m65f11294?"31m0:5<#<0n1==o4;n0;6?6=,=3o6?6n;:a054=8391<7>t$51a>7>03A>296F;679'0i50;0;6):6d;0;e>=z{;o1<78<7hi;<346?`a34;<87hj;<3g2?`b34;hh7hi;<3;5?`b34;h?7hj;<3`0?77827:9>4ie:?211<68916?kj5fd9~w6b=838p1>m59e9>7`<50;1v5<50;cxZ=4<5:l26l94=07b>d1<5=n36kk4=045>46734>8=7??0:?7`g=?<5:l26574=2a9<<=:i<03563=5c8;=>;6=h03563=e;::?87e=32270?;5;::?87di32270?94;::?873<32270?=3;::?87d>32270?:6;::?870l32270:=8;::?825k3227p}n5;296~;f=38oh633:1>v3n5;c4?824i383>6s|10294?4|V8;;70?=3;c4?xu6::0;68u213196ab<5=8n6kk4=50b>46734;<<7hj;<3g7?`b3ty:>94?:3y]572<5=nj6<>?;|q26g<72?qU=?l4=421>c`<58<=6kh4=512>c`<58=m6<>?;<635?7782wx=9=50;0xZ42434;?87o8;|q201<72;q6=9:52ef8943d2ol0q~?;5;290~X6<<16=9;52ef894202ol01<:7:023?xu65<4}r373?6=:r7:8:4=839>51b=99:0q~?;8;296~;6<1094?5215`9bc=z{8>26=4={<37=?4?:27:8o4>019~w42f2909w0?;a;0;6>;65<5s4;?n7<72:?20f36kh4}r37b?6=:r7:8k4=839>51>=99;0q~?:0;296~;6=9094?5215;9556=7>52z?214<50;16=975fg9~w4352909w0?:2;0;6>;6<00:<<5rs070>5<5s4;>?7<72:?20f<6891v<;;:181872<383>63>4`8eb>{t97>534;?m7??0:p500=838p1<;9:3fg?872<3lm7p}>5683>7}:9<<1m:5214:96=4m7>52z\21d=:9ij4}r36f?6=:r7:9l46d:?225<50;1v<;l:181872k383>63>5g8eb>{t97>534;>j7??0:p50c=838p1<;j:3:1?87183;;<6s|14d94?4|58?m6?6=;<354?`a3ty::<4?:5y]537<58?o6kk4=0:0>c`<5=:;6kh4}r356?6=:rT::?5217196=453z\221=:9?>1>ij4=04b>c`57z?22=<50;16=:=5fg9>5fc=99:01531=:1801<87:023?xu6>00;6?u21769e2=:9?l1>5<4}r35e?6=:r7::l4=839>53e=no1v<8m:181871j383>63>6e8245=z{850;0x94172;2970?87;de?xu6?80;6?u216396=4<58=<6<>?;|q237<72;q6=:<52908940c2ol0q~?83;296~;6?:094?5217`9bc=z{8=?6=4={<340?4?:27::o4>019~w4122909w0?85;0;6>;6>l0mj6s|16494?4|58==6?6=;<35a?7792wx=:950;0x94102;2970?9c;334>{t9>n1<7=t=05g>7bc34;<>7??0:?2`0{t9>l1<77>534;3?7??0:p5=6=838p1<6>:3:1?87?<3lm7p}>8083>7}:9181>5<4=0:7>4673ty:4?4?:3y>5=5=:1801<6::gd8yv7?;3:1>v3>8581<7=:91?1==?4}r3a1?6=4673ty:n;4?:3y>5g3=i>16=oj52908yv7e?3:1>v3>b681<7=:9kn1==>4}r3a5gd=no1v63>bc8245=z{8hj6=4={<3ae?4?:27:ni4>009~w4de2909w0?mb;0;6>;6jj0mj6s|1ca94?4|58hh6?6=;<3a`?`a3ty:nh4?:3y>5gc=:1801v3>bg81<7=:9k21==?4}r3`4?6=:r7:o=4=839>5g?=99:0q~?l1;296~;6k8094?521c;9bc=z{8i96=4={<3`6?4?:27:n44>009~w4e42909w0?l3;0;6>;6jj0:<=5rs0a7>5<5s4;h87<72:?2fd{t9j<1<77bc34;h87hi;|q2g2<72;q6=n85a69>5f>=:180q~?la;297~X6kh16=no52ef894ed2ol0q~?lb;296~;6kh0j;63>d381<7=z{8ih6=4={<3`g?4?:27:ok4if:p5fb=838p1cd83>7}:9jo1>5<4=0f3>4673ty:ok4?:3y>5f`=:1801v3>d181<7=:9m;1==>4}r3g5?6=:r7:h<4=839>5a4=99:0q~?k3;296~;6l:094?521ec9bc=z{8n?6=4={<3g0?4?:27:hl4>019~w4b22909w0?k5;0;6>;6km0:<=5rs0f5>5<5s4;o:7<72:?2g`{t9m21<77>534;o=7hi;|q2`<<72;q6=i75290894b628::7p}>d`83>7}:9mk1>5<4=0ae>4673ty99o4?:4y>7f5f0=1m16=8859e9>7`<6891v?;l:180842j3k<70?8d;;g?87?=383>6s|26g94?>|5=3=6km4=5f6><`<5:l264h4=2a9=c=:9;28=0mi6s|3g;94?0|5:l26?jk;<353?`b34>8>7hj;<6ge?`a34;>o7??0:?2<720n019>=:3:1?xu4nk0;6?u23g`96=4<5:lm6kh4}r1eg?6=:r78jn4=839>7c`=99:0q~=id;296~;4nm094?52413955752z?0b`<50;168=<51128yv5an3:1>v34}r634?6=:r7?<=4=839>057=no1v9>>:1818279383>63;038eb>{t<;21<7??{<61l4if:?2345<4}r61e?6=:r7?>l4=839>07d=99:0q~:=c;2955}::l0j;63;2b81`a=:<:k1jk524229556<5=9?6kh4=50a>c`<58?;<342?`b34;ho7??0:?2`<?;|q775<72;q68>>5290891512ol0q~:<1;296~;3;8094?524279bc=z{=996=4={<606?4?:27??84>019~w1542909w0:<3;0;6>;3;>0:<=5rs517>5<5s4>887<72:?76c;50;0x91522;2970:<6;334>{t<:<1<77>534>8;7hi;|q772<72;q68>952908914a28:;7p};3983>7}:<:31>5<4=51b>4673ty?ni4?:3y]0gb<5<:86kk4}r6g1?6=:rT?h8524e796abo;7>52z?7`02909w0:k5;;g?82ck383>6s|4ec94?4|5=nj6?6=;<6gg?`a3ty?ho4?:3y>0ad=:18019jl:023?xu3nl0;6?uQ4gg891`a2;297p}:0083>7}:7>52z?647<50;169=85fg9~w0642909w0;?3;0;6>;28<0mj6s|51694?4|5<:?6?6=;<731?7782wx9=;50;0x90622;2970;?6;334>{t=8;1<7=t^432?87203ln70?:1;df?xu2uQ55f894e?2oo01:gg8yv3?;3:19vP:829>52b=i>169=<51128941428:;70?k7;df?x{e?1/8>l5fc9'5`b=<;=0c89n:188m4062900c9ki:188m4052900e9lk:188k=4=831bj<4?::k1``<722c9jk4?::k0<1<722c:<:4?::k040<722c:9l4?::`7ac<72:0969u+42`9b6=O<0?0D989;%3fa?3?i2c357>5;hc4>5<5<22;0=w):>o5lj0;66gn7;29?l?a2900e5750;9ab3<72?0;6=u+48f9b==n99k1<7*;9e824d==n1l0;6):6d;;f?>o4n80;6):6d;1e5>=n;0;1<7*;9e80=4=5}#<0n1>5;4o3:a>5<#<0n1>5o4;|qb3?6=:rTj;63i6;33e>{t000;6?uQ889>b3<>m2wx5k4?:3y]=c=:n?085<5rs3f`>5<5sW8oo63i6;1e5>{t:mn1<77}:n?02h63;9981l5fe9'0u22ef96ae<5;no64h4=38eg>"3><0h7psm4783>6<52=q/8>l5f29K0<3<@=<=7)??c;g8 4cb2<2j7d66:188md1=831d>ij50;9a6ab=83?1>78t$51a>c0<,8on686n;n0g`?6=3`8oo7>5;hc4>5<>da>3:1:7>50z&7=a5$5;g>5$5;g>6`632c85<4?:%6:`?5>921d>5o50;&7=a<50h10qo:68;295?6=8r.?5i4=849l6=d=83.?5i4=8`98yvg02909wSo8;46f3ty357>52z\;=>;a>33n7p}6f;296~X>n27m:7=61:p6ae=838pR?jl;6`63ty9hi4?:3y]6ab<5o<1>5o4}r653?6=:r7m:77k;<6:5}#"31m0?m>5+1dg91=g52z\1`a=::mn1>ij4}rc4>5<5sWk<70vP79:?1`a53z?1`a<5lj16>ij59g9>6?`d3->=97m4}|`63d<72=0968u+42`9b2=O<0?0D989;od:>4=#9lo195o4i9;94?=ni>0;66g=db83>>i5lm0;66l=de83>0<52?q/8>l5f79'5`c==1k0c?jk:188m7bd2900el950;9j=c<722c357>5;cd5>5<1290;w):6d;d;?l77i3:1(97k:02b?>o>l3:1(97k:8f8?l?b290/84j59d98m6`6290/84j53g38?l5>93:1(97k:2;2?>i50h0;6):6d;0;e>=zj=336=4>:183!2>l38396a=8c83>!2>l383m65rs`594?4|Vh=01k8511c8yv>>2909wS66;7}Y:mi01k853g38yv4cl3:1>vP=de9>b3<50h1v988:1818`120n01977:3:a?x{e93:1=7>50z&7208n7hk;%6:`?2f;2.:ih4:8`9lbf<72->2h7<7a:9~w7bc2909wSd1k;I6:1>N3>?1/84j5879'5`c==1k0ekk50;&7=a<68h10c?6=:18'07E:96:&7=a<50?1/=hk559c8mc`=83.?5i4>0`98m467290/84j511c8?j4?:3:1(97k:3:b?>{e"31m03:6*>ed86=h:181<7*;9e817<729q/8>l511f8L1?23A>=:6*;9e8;2>"6ml0>4l5ffd83>!2>l3;;m65`29094?"31m094l5G48a8?xd20;0;6?4?:1y'06d=99n0D97:;I652>"31m03:6*>ed86=h:181<7*;9e810e9K0<3<@=<=7):6d;:5?!7bm3?3m6gie;29 1?c28:j76a=8383>!2>l383m6F;9b98yg2a=3:1?7>50z&77g<382B?585G4748 1?c2:l0(i50;0;6):6d;0;e>=zj=l=6=4=:183!24j3;;h6F;949K030<,=3o6584$0gf>0>f3`ln6=4+48f955g<3f83>7>5$5;g>7>f3A>2o65rb5d4>5<4290;w):=2B?:;5+48f97c=#9lo195o4igd94?"31m0:5<#<0n1==o4;n0;6?6=,=3o6?6n;:a1=6=83>1<7>t$51a>17<@=3>7E:96:&7=a<4n2.:ih4:8`9jbc<72->2h7??a:9j556=83.?5i4>0`98m466290/84j511c8?j4?:3:1(97k:3:b?>{e;jh1<7=50;2x 15e2=:0D97:;I652>"31m08j6*>ed86=n99:1<7*;9e824d=5}#<:h1==j4H5;6?M21>2.?5i476:&2a`<20h1bjh4?:%6:`?77i21d>5<50;&7=a<50h1C84m4;|`0ga<72:0;6=u+42`96=1<@=3>7E:96:&7=a<50?1/=hk559c8mc`=83.?5i4>0`98m467290/84j511c8?j4?:3:1(97k:3:b?>{e;jo1<7=50;2x 15e2=:0D97:;I652>"31m08j6*>ed86=n99:1<7*;9e824d=5}#<:h1>594H5;6?M21>2.?5i4=879'5`c==1k0ekh50;&7=a<68h10e<>?:18'0=:6*;9e80b>"6ml0>4l5ffg83>!2>l3;;m65f11294?"31m0:5<#<0n1>5o4;|`0`4<72:0;6=u+42`96=1<@=3>7E:96:&7=a<50?1/=hk559c8mc`=83.?5i4>0`98m467290/84j511c8?j4?:3:1(97k:3:b?>{t7}:{t<00;6?u2478b3>;3i383>6s|8383>1}Y0;16494=839>0c3=no1695>51128yv>42909w06<:3:1?8>328:;7p}i1;290~Xa927?ik479:?63dcc<5<2;6<>>;|q21d<72;qU=8o4=2f2>c`52z\224=:;ji1jh5rs041>5<5sW;=>63{t:mo1<77}Y:ol01>mm:gd8yv57=3:1>vP<049>7fd=99:0q~=74;296~X40=16?nh5fg9~w6ef2908w0:i5;334>;2090mj63ml:3:1?85dl3;;<6s|3bf94?4|5:io6?6=;<1`a?7782wx?nk50;0x96eb2;2970=lf;334>{t;jl1<77>5349o<7??0:p7a6=838p1>j?:3:1?85c93;;<6s|4cf94?2|V=ho70;8a;0gg>;?;3ln70:n:gg8yv2bn3:1>vP;eg9>0``=:mn0q~:i4;296~;3n00mi63;f681<7=z{=l>6=4={<6e1?4?:27?j:4if:p0c0=838p19h9:3:1?82a?3;;<6s|4g:94?4|5=om6l94=5d:>7>53ty>;l4?:3y]12g<5<=j6?jk;|q63c<72;q695<5fd9>1=6=:180q~;71;296~;2?h0j;63:8381<7=zuk>i>7>5178a=?e2sA>=:6*;3c8262=#9ln18?94o007>5<5<5<>o6>=0;66g7a;29?j3693:17d?:9;29?l1f2900c8:k:188m4e>2900e2.?5i4;a29'5`c==1k0ckm50;&7=a<50h10qo;95;291?4=>r.??o4i5:J7=0=O5;n0g`?6=3k8oh7>56;294~"31m0m46g>0`83>!2>l3;;m65f9e83>!2>l33o76g6e;29 1?c20o07d=i1;29 1?c2:l:76g<9083>!2>l392=65`29c94?"31m094l54}c6:e?6=93:152z\1`a=::mn1>5o4}rc4>5<5sWk<70{t1o0;6?uQ9g9>6ab=;0;0q~7k:181[?c348oh77k;|q;=?6=:rT3563=de8:a>{tnm0;6?u22ef97c7<5=3j6?6n;|aga<72:0969u+42`9b6=O<0?0D989;%3fa?3?i2c357>5;hc4>5<5<22;0=w):>o5lj0;66gn7;29?l?a2900e5750;9ab3<72?0;6=u+48f9b==n99k1<7*;9e824d==n1l0;6):6d;;f?>o4n80;6):6d;1e5>=n;0;1<7*;9e80=4=5}#<0n1>5;4o3:a>5<#<0n1>5o4;|qb3?6=:rTj;63i6;33e>{t000;6?uQ889>b3<>m2wx5k4?:3y]=c=:n?085<5rs3f`>5<5sW8oo63i6;1e5>{t:mn1<77}:n?02h63;9981l5fe9'0u22ef96ae<5;no64h4=38eg>"3><0h7psmc883>6<52=q/8>l5f29K0<3<@=<=7)?je;7;e>o?13:17do8:188k7bc2900n?jk:186>7<1s->8n7h9;%3fa?3?i2e9hi4?::k1`f<722cj;7>5;h;e>5<3<729q/84j5f99j55g=83.?5i4>0`98m2h77j;:k0b4<72->2h7=i1:9j7<7=83.?5i4<9098k7>f290/84j529c8?xd3110;6<4?:1y'0{t1o0;6?uQ9g9>b3<4181v?jl:181[4ck27m:7=i1:p6ab=838pR?jk;7>f3ty?::4?:3y>b3<>l27?554=8c9~yg4=83;1<7>t$546><=#<:h1ji5+48f90d5<,8on686n;nd`>5<#<0n1>5o4;|q1`a<72;qU>ij4=3fg>7bc3tyj;7>52z\b3>;5lm0j;6s|8883>7}Y0016>ij5889~w0<72:q6>ij52ea897bc20l01?4ic:&7201}#<:h1j>5G4878L1013-;ni7;7a:k;=?6=3`k<6=44o3fg>5<i5lm0;66g=db83>>of?3:17d7i:188m=?=831ij;4?:783>5}#<0n1j55f11c94?"31m0:!2>l33n76g!2>l39m=65f38394?"31m085<54o3:b>5<#<0n1>5o4;|`7==<7280;6=u+48f96=352z\b3>;a>3;;m6s|8883>7}Y0016j;46e:p=c<72;qU5k52f780=4=z{;nh6=4={_0gg>;a>39m=6s|2ef94?4|V;no70h9:3:b?xu3>>0;6?u2f78:`>;311094o5r}c094?7=83:p(98::89'06d=nm1/84j54`18 4cb2<2j7bhl:18'07}Y:mn01?jk:3fg?xuf?3:1>vPn7:?1`a6}::mn1>im4=3fg><`<5;0mo6*;648`?x{ejk0;6>4=:5y'06d=n:1C84;4H545?!7bm3?3m6g79;29?lg02900c?jk:188f7bc290>6?49{%60f?`13-;ni7;7a:m1`a<722c9hn4?::kb3?6=3`3m6=44i9;94?=en?0;6;4?:1y'0l21b5h4?:%6:`??b32c8j<4?:%6:`?5a921b?4?50;&7=a<41810c?6n:18'04<729q/84j52978k7>e290/84j529c8?xuf?3:1>vPn7:?e2?77i2wx444?:3y]<<=:n?02i6s|9g83>7}Y1o16j;4<909~w7bd2909wSij50;0xZ7bc34l=6?6n;|q722<72;q6j;46d:?7==<50k1vqo<50;394?6|,=<>645+42`9ba=#<0n18l=4$0gf>0>f3flh6=4+48f96=g<3ty9hi4?:3y]6ab<5;no6?jk;|qb3?6=:rTj;63=de8b3>{t000;6?uQ889>6ab=001v84?:2y>6ab=:mi01?jk:8d8975;hc4>5<5<22;0=w):>o5lj0;66gn7;29?l?a2900e5750;9ab3<72?0;6=u+48f9b==n99k1<7*;9e824d==n1l0;6):6d;;f?>o4n80;6):6d;1e5>=n;0;1<7*;9e80=4=5}#<0n1>5;4o3:a>5<#<0n1>5o4;|qb3?6=:rTj;63i6;33e>{t000;6?uQ889>b3<>m2wx5k4?:3y]=c=:n?085<5rs3f`>5<5sW8oo63i6;1e5>{t:mn1<77}:n?02h63;9981l5fe9'0u22ef96ae<5;no64h4=38eg>"3><0h7psm10294?5=:3>p(9=m:g18L1?23A>=:6*>ed86r.??o4i6:&2a`<20h1d>ij50;9j6ae=831bm:4?::k:b?6=3`226=44bg494?0=83:p(97k:g:8m46f290/84j511c8?l?c290/84j59e98m{e<021<7?50;2x 1?c2;2>7b<7b;29 1?c2;2j76s|a683>7}Yi>16j;4>0`9~w=?=838pR574=g49=`=z{0l1<71g43-;ni7;7a:meg?6=,=3o6?6n;:p6ab=838pR?jk;<0g`?4cl2wxm:4?:3y]e2=::mn1m:5rs9;94?4|V1301?jk:9;8yv3=839p1?jk:3f`?84cl33m70<5fb9'033=k2wvn<69:180>7<3s->8n7h<;I6:1>N3>?1/=hk559c8m=?=831bm:4?::m1`a<722h9hi4?:481>3}#<:h1j;5+1dg91=g5<>o?13:17oh9:185>5<7s->2h7h7;h33e?6=,=3o6<>n;:k:`?6=,=3o64j4;h;f>5<#<0n15h54i2d2>5<#<0n1?k?4;h1:5?6=,=3o6>7>;:m12h7<7a:9~f1??290:6=4?{%6:`?4?=2e94o4?:%6:`?4?i21vl950;0xZd1<5o<1==o4}r::>5<5sW2270h9:8g8yv?a2909wS7i;6?63ty9hn4?:3y]6ae<5o<1?k?4}r0g`?6=:rT9hi52f781"6ml0>4l5`fb83>!2>l383m65rs3fg>5<5sW8oh63=de81`a=z{h=1<7>348oh766;|q6>5<4s48oh7n2796km4$546>f=zuk;on7>53;090~"3;k0m?6F;949K030<,8on686n;h::>5<56;294~"31m0m46g>0`83>!2>l3;;m65f9e83>!2>l33o76g6e;29 1?c20o07d=i1;29 1?c2:l:76g<9083>!2>l392=65`29c94?"31m094l54}c6:{t:mi1<77}Y:mn01k8529c8yv21?3:1>v3i6;;g?82>0383n6srb383>4<729q/8;;59:&77gij52ef8yvg02909wSo8;<0g`?g03ty357>52z\;=>;5lm0356s|5;297~;5lm09hn522ef9=c=::3lh7):95;a8yxd6?10;6>4=:5y'06d=n:1C84;4H545?!7bm3?3m6g79;29?lg02900c?jk:188f7bc290>6?49{%60f?`13-;ni7;7a:m1`a<722c9hn4?::kb3?6=3`3m6=44i9;94?=en?0;6;4?:1y'0l21b5h4?:%6:`??b32c8j<4?:%6:`?5a921b?4?50;&7=a<41810c?6n:18'04<729q/84j52978k7>e290/84j529c8?xuf?3:1>vPn7:?e2?77i2wx444?:3y]<<=:n?02i6s|9g83>7}Y1o16j;4<909~w7bd2909wSij50;0xZ7bc34l=6?6n;|q722<72;q6j;46d:?7==<50k1vqo<50;394?6|,=<>645+42`9ba=#<0n18l=4$0gf>0>f3flh6=4+48f96=g<3ty9hi4?:3y]6ab<5;no6?jk;|qb3?6=:rTj;63=de8b3>{t000;6?uQ889>6ab=001v84?:2y>6ab=:mi01?jk:8d8975;hc4>5<5<22;0=w):>o5lj0;66gn7;29?l?a2900e5750;9ab3<72?0;6=u+48f9b==n99k1<7*;9e824d==n1l0;6):6d;;f?>o4n80;6):6d;1e5>=n;0;1<7*;9e80=4=5}#<0n1>5;4o3:a>5<#<0n1>5o4;|qb3?6=:rTj;63i6;33e>{t000;6?uQ889>b3<>m2wx5k4?:3y]=c=:n?085<5rs3f`>5<5sW8oo63i6;1e5>{t:mn1<77}:n?02h63;9981l5fe9'0u22ef96ae<5;no64h4=38eg>"3><0h7psm55f94?5=:3>p(9=m:g18L1?23A>=:6*>ed86r.??o4i6:&2a`<20h1d>ij50;9j6ae=831bm:4?::k:b?6=3`226=44bg494?0=83:p(97k:g:8m46f290/84j511c8?l?c290/84j59e98m{e<021<7?50;2x 1?c2;2>7b<7b;29 1?c2;2j76s|a683>7}Yi>16j;4>0`9~w=?=838pR574=g49=`=z{0l1<71g43-;ni7;7a:meg?6=,=3o6?6n;:p6ab=838pR?jk;<0g`?4cl2wxm:4?:3y]e2=::mn1m:5rs9;94?4|V1301?jk:9;8yv3=839p1?jk:3f`?84cl33m70<5fb9'033=k2wvn8?>:180>7<3s->8n7h<;I6:1>N3>?1/=hk559c8m=?=831bm:4?::m1`a<722h9hi4?:481>3}#<:h1j;5+1dg91=g5<>o?13:17oh9:185>5<7s->2h7h7;h33e?6=,=3o6<>n;:k:`?6=,=3o64j4;h;f>5<#<0n15h54i2d2>5<#<0n1?k?4;h1:5?6=,=3o6>7>;:m12h7<7a:9~f1??290:6=4?{%6:`?4?=2e94o4?:%6:`?4?i21vl950;0xZd1<5o<1==o4}r::>5<5sW2270h9:8g8yv?a2909wS7i;6?63ty9hn4?:3y]6ae<5o<1?k?4}r0g`?6=:rT9hi52f781"6ml0>4l5`fb83>!2>l383m65rs3fg>5<5sW8oh63=de81`a=z{h=1<7>348oh766;|q6>5<4s48oh7n2796km4$546>f=zuk>h?7>53;090~"3;k0m?6F;949K030<,8on686n;h::>5<56;294~"31m0m46g>0`83>!2>l3;;m65f9e83>!2>l33o76g6e;29 1?c20o07d=i1;29 1?c2:l:76g<9083>!2>l392=65`29c94?"31m094l54}c6:{t:mi1<77}Y:mn01k8529c8yv21?3:1>v3i6;;g?82>0383n6srb383>4<729q/8;;59:&77gij52ef8yvg02909wSo8;<0g`?g03ty357>52z\;=>;5lm0356s|5;297~;5lm09hn522ef9=c=::3lh7):95;a8yxd3nh0;6>4=:5y'06d=n:1C84;4H545?!7bm3?3m6g79;29?lg02900c?jk:188f7bc290>6?49{%60f?`13-;ni7;7a:m1`a<722c9hn4?::kb3?6=3`3m6=44i9;94?=en?0;6;4?:1y'0l21b5h4?:%6:`??b32c8j<4?:%6:`?5a921b?4?50;&7=a<41810c?6n:18'04<729q/84j52978k7>e290/84j529c8?xuf?3:1>vPn7:?e2?77i2wx444?:3y]<<=:n?02i6s|9g83>7}Y1o16j;4<909~w7bd2909wSij50;0xZ7bc34l=6?6n;|q722<72;q6j;46d:?7==<50k1vqo<50;394?6|,=<>645+42`9ba=#<0n18l=4$0gf>0>f3flh6=4+48f96=g<3ty9hi4?:3y]6ab<5;no6?jk;|qb3?6=:rTj;63=de8b3>{t000;6?uQ889>6ab=001v84?:2y>6ab=:mi01?jk:8d8975;hc4>5<5<22;0=w):>o5lj0;66gn7;29?l?a2900e5750;9ab3<72?0;6=u+48f9b==n99k1<7*;9e824d==n1l0;6):6d;;f?>o4n80;6):6d;1e5>=n;0;1<7*;9e80=4=5}#<0n1>5;4o3:a>5<#<0n1>5o4;|qb3?6=:rTj;63i6;33e>{t000;6?uQ889>b3<>m2wx5k4?:3y]=c=:n?085<5rs3f`>5<5sW8oo63i6;1e5>{t:mn1<77}:n?02h63;9981l5fe9'0u22ef96ae<5;no64h4=38eg>"3><0h7psm47;94?5=:3>p(9=m:g18L1?23A>=:6*>ed86r.??o4i6:&2a`<20h1d>ij50;9j6ae=831bm:4?::k:b?6=3`226=44bg494?0=83:p(97k:g:8m46f290/84j511c8?l?c290/84j59e98m{e<021<7?50;2x 1?c2;2>7b<7b;29 1?c2;2j76s|a683>7}Yi>16j;4>0`9~w=?=838pR574=g49=`=z{0l1<71g43-;ni7;7a:meg?6=,=3o6?6n;:p6ab=838pR?jk;<0g`?4cl2wxm:4?:3y]e2=::mn1m:5rs9;94?4|V1301?jk:9;8yv3=839p1?jk:3f`?84cl33m70<5fb9'033=k2wvn<<;:187>7<2s->8n7h;;I6:1>N3>?1/=hk559c8m<`=831b444?::kb3?6=3f8oh7>5;c0g`?6==381:v*;3c8e2>"6ml0>4l5`2ef94?=n:mi1<75fa683>>o>n3:17d66:188fc0=83<1<7>t$5;g>c>=n;o;1<7*;9e80b4=5$5;g>7>f32wi84650;394?6|,=3o6?6:;n0;f?6=,=3o6?6n;:pe2<72;qUm:52f7824d=z{131<7;a>383m6s|47594?4|5o<15i5248:96=d:183!21=3k0(9=m:gf8 1?c2=k87)?je;7;e>iak3:1(97k:3:b?>{t:mn1<77}Yi>16>ij5a69~w<`=838pR4h4=3fg><`5<5$5;g>46f32c2h7>5$5;g>5$5;g>6?632e94l4?:%6:`?4?i21vn977:182>5<7s->2h7<75:m12h7<7a:9~wd1=838pRl94=g4955g7>;|q1`f<72;qU>im4=g497c752z\1`a=:n?094l5rs544>5<5s4l=64j4=5;;>7>e3twi?7>51;294~"3><0j7):l3>j?6*>ed86=z{;no6=4={_0g`>;5lm09hi5rs`594?4|Vh=01?jk:`58yv?a2909wS7i;<0g`??a3ty357>52z\;=>;5lm0356s|6;296~;5lm09hn523;d`?!21=3n0qplnb;297?4=l:d9'5`c==1k0e5750;9je2<722e9hi4?::`1`a<72<096;u+42`9b3=#9lo195o4o3fg>5<5$5;g>46f32c2h7>5$5;g>5$5;g>6?632e94l4?:%6:`?4?i21vn977:182>5<7s->2h7<75:m12h7<7a:9~wd1=838pRl94=g4955g7>;|q1`f<72;qU>im4=g497c752z\1`a=:n?094l5rs544>5<5s4l=64j4=5;;>7>e3twi>7>51;294~"3><027):l3>j?6*>ed86=z{;no6=4={_0g`>;5lm09hi5rs`594?4|Vh=01?jk:`58yv>>2909wS66;<0g`?>>3ty>6=4<{<0g`?4ck279hi46f:?1>ce<,=<>6n5r}c3:2?6=;3818v*;3c8e7>N31<1C8;84$02`>`=#9lo195o4i9;94?=ni>0;66a=de83>>d5lm0;684=:7y'06d=n?1/=hk559c8k7bc2900e?jl:188md1=831b5k4?::k;=?6=3kl=6=49:183!2>l3l37d??a;29 1?c28:j76g6d;29 1?c20n07d7j:18'0h>:18'0!2>l383m65rb5;;>5<6290;w):6d;0;1>i50k0;6):6d;0;e>=z{h=1<7>34l=64k4}r;e>5<5sW3m70h9:2;2?xu5lj0;6?uQ2ea89c0=;o;0q~2h7:n3:&2a`<20h1djn4?:%6:`?4?i21v?jk:181[4cl279hi4=de9~wd1=838pRl94=3fg>d1;52oi0(98::b9~yg7>?3:1?7<54z&77g3}#<:h1j;5+1dg91=g5<>o?13:17oh9:185>5<7s->2h7h7;h33e?6=,=3o6<>n;:k:`?6=,=3o64j4;h;f>5<#<0n15h54i2d2>5<#<0n1?k?4;h1:5?6=,=3o6>7>;:m12h7<7a:9~f1??290:6=4?{%6:`?4?=2e94o4?:%6:`?4?i21vl950;0xZd1<5o<1==o4}r::>5<5sW2270h9:8g8yv?a2909wS7i;6?63ty9hn4?:3y]6ae<5o<1?k?4}r0g`?6=:rT9hi52f781"6ml0>4l5`fb83>!2>l383m65rs3fg>5<5sW8oh63=de81`a=z{h=1<7>348oh766;|q6>5<4s48oh7n2796km4$546>f=zuk;2o7>53;090~"3;k0m?6F;949K030<,8:h6h5+1dg91=g>i5lm0;66l=de83>0<52?q/8>l5f79'5`c==1k0c?jk:188m7bd2900el950;9j=c<722c357>5;cd5>5<1290;w):6d;d;?l77i3:1(97k:02b?>o>l3:1(97k:8f8?l?b290/84j59d98m6`6290/84j53g38?l5>93:1(97k:2;2?>i50h0;6):6d;0;e>=zj=336=4>:183!2>l38396a=8c83>!2>l383m65rs`594?4|Vh=01k8511c8yv>>2909wS66;7}Y:mi01k853g38yv4cl3:1>vP=de9>b3<50h1v988:1818`120n01977:3:a?x{e:3:1=7>50z&720<>3->8n7hk;%6:`?2f;2.:ih4:8`9lbf<72->2h7<7a:9~w7bc2909wS5<5sW2270;5lm02j63=:ga8 1022j1vqo?n4;297?4=l:d9'5`c==1k0e5750;9je2<722e9hi4?::`1`a<72<096;u+42`9b3=#9lo195o4o3fg>5<5$5;g>46f32c2h7>5$5;g>5$5;g>6?632e94l4?:%6:`?4?i21vn977:182>5<7s->2h7<75:m12h7<7a:9~wd1=838pRl94=g4955g7>;|q1`f<72;qU>im4=g497c752z\1`a=:n?094l5rs544>5<5s4l=64j4=5;;>7>e3twi>7>51;294~"3><027):l3>j?6*>ed86=z{;no6=4={_0g`>;5lm09hi5rs`594?4|Vh=01?jk:`58yv>>2909wS66;<0g`?>>3ty>6=4<{<0g`?4ck279hi46f:?1>ce<,=<>6n5r}c3b1?6=;3818v*;3c8e7>N31<1C8;84$02`>`=#9lo195o4i9;94?=ni>0;66a=de83>>d5lm0;684=:7y'06d=n?1/=hk559c8k7bc2900e?jl:188md1=831b5k4?::k;=?6=3kl=6=49:183!2>l3l37d??a;29 1?c28:j76g6d;29 1?c20n07d7j:18'0h>:18'0!2>l383m65rb5;;>5<6290;w):6d;0;1>i50k0;6):6d;0;e>=z{h=1<7>34l=64k4}r;e>5<5sW3m70h9:2;2?xu5lj0;6?uQ2ea89c0=;o;0q~2h7:n3:&2a`<20h1djn4?:%6:`?4?i21v?jk:181[4cl279hi4=de9~wd1=838pRl94=3fg>d1;52oi0(98::b9~yg7f>3:1?7<54z&77g3}#<:h1j;5+1dg91=g5<>o?13:17oh9:185>5<7s->2h7h7;h33e?6=,=3o6<>n;:k:`?6=,=3o64j4;h;f>5<#<0n15h54i2d2>5<#<0n1?k?4;h1:5?6=,=3o6>7>;:m12h7<7a:9~f1??290:6=4?{%6:`?4?=2e94o4?:%6:`?4?i21vl950;0xZd1<5o<1==o4}r::>5<5sW2270h9:8g8yv?a2909wS7i;6?63ty9hn4?:3y]6ae<5o<1?k?4}r0g`?6=:rT9hi52f781"6ml0>4l5`fb83>!2>l383m65rs3fg>5<5sW8oh63=de81`a=z{h=1<7>348oh766;|q6>5<4s48oh7n2796km4$546>f=zuk;jh7>53;090~"3;k0m?6F;949K030<,8:h6k5+1dg91=g>i5lm0;66l=de83>0<52?q/8>l5f79'5`c==1k0c?jk:188m7bd2900el950;9j=c<722c357>5;cd5>5<1290;w):6d;d;?l77i3:1(97k:02b?>o>l3:1(97k:8f8?l?b290/84j59d98m6`6290/84j53g38?l5>93:1(97k:2;2?>i50h0;6):6d;0;e>=zj=336=4>:183!2>l38396a=8c83>!2>l383m65rs`594?4|Vh=01k8511c8yv>>2909wS66;7}Y:mi01k853g38yv4cl3:1>vP=de9>b3<50h1v988:1818`120n01977:3:a?x{e:3:1=7>50z&720<>3->8n7hk;%6:`?2f;2.:ih4:8`9lbf<72->2h7<7a:9~w7bc2909wS5<5sW2270;5lm02j63=:ga8 1022j1vqoj<:180>4<4sA>=:6*;3c82b7=ni00;66gna;29?j5a83:17o:n1;297?6=8r.??o4;959K0<3<@=<=7):6d;6:7>"6ml0>4l5ffg83>!2>l3;;m65f11294?"31m0:5<#<0n1>5o4;|qb=?6=:rTj563;a08245=z{hk1<7>d3i80;6>4?:1y'06d=<0>0D97:;I652>"31m0?5>5+1dg91=g7>5$5;g>7>f32wxm44?:3y]e<=:4}rcb>5<5sWkj70:n1;de?xu4n90;6?uQ3g2891g62;297psmd083>6<62:qC8;84$51a>4`53`k26=44i`c94?=h;o:1<75m4`394?5=83:p(9=m:5;7?M2>=2B?:;5+48f90<5<,8on686n;hde>5<#<0n1==o4;h334?6=,=3o6<>n;:m1<7<72->2h7<7a:9~wd?=838pRl74=5c2>4673tyjm7>52z\be>;3i80mj6s|3g294?4|V:l;70:n1;0;6>{zj<:36=4=:183!24j3;;h6F;949K030<,=3o6584$0gf>0>f3`ln6=4+48f955g<3f83>7>5$5;g>7>f3A>2o65rb05b>5<5290;w):N31<1C8;84$5;g>=0<,8on686n;hdf>5<#<0n1==o4;n0;6?6=,=3o6?6n;I6:g>=zj8=i6=4=:183!24j3;;h6F;949K030<,=3o6584$0gf>0>f3`ln6=4+48f955g<3f83>7>5$5;g>7>f3A>2o65rb05`>5<4290;w):=2B?:;5+48f97c=#9lo195o4igd94?"31m0:5<#<0n1==o4;n0;6?6=,=3o6?6n;:a0cb=8391<7>t$51a>7>03A>296F;679'0i50;0;6):6d;0;e>=zj=0>f3`ln6=4+48f955g<3f83>7>5$5;g>7>f3A>2o65rb005>5<5290;w):N31<1C8;84$5;g>=0<,8on686n;hdf>5<#<0n1==o4;n0;6?6=,=3o6?6n;I6:g>=zj<896=4=:183!24j3;;h6F;949K030<,=3o6584$0gf>0>f3`ln6=4+48f955g<3f83>7>5$5;g>7>f3A>2o65rb47f>5<5290;w):N31<1C8;84$5;g>=0<,8on686n;hdf>5<#<0n1==o4;n0;6?6=,=3o6?6n;I6:g>=zj<0>f3`ln6=4+48f955g<3f83>7>5$5;g>7>f3A>2o65rbbd94?4=83:p(9=m:02g?M2>=2B?:;5+48f9<3=#9lo195o4igg94?"31m0:5<#<0n1>5o4H5;`?>{el90;6>4?:1y'06d=<91C84;4H545?!2>l39m7)?je;7;e>oan3:1(97k:02b?>o6890;6):6d;33e>=h:181<7*;9e810>f3`ln6=4+48f955g<3f83>7>5$5;g>7>f3A>2o65rbba94?5=83:p(9=m:528L1?23A>=:6*;9e80b>"6ml0>4l5ffg83>!2>l3;;m65f11294?"31m0:5<#<0n1>5o4;|``3?6=:3:113-;ni7;7a:kea?6=,=3o6<>n;:m1<7<72->2h7<7a:J7=f=;7E:65:J723=#<0n1?k5+1dg91=g7>5$5;g>7>f32wini4?:383>5}#<:h1==j4H5;6?M21>2.?5i476:&2a`<20h1bjh4?:%6:`?77i21d>5<50;&7=a<50h1C84m4;|`g1?6=;3:1N31<1C8;84$5;g>6`<,8on686n;hde>5<#<0n1==o4;h334?6=,=3o6<>n;:m1<7<72->2h7<7a:9~fa1=8391<7>t$51a>16<@=3>7E:96:&7=a<4n2.:ih4:8`9jbc<72->2h7??a:9j556=83.?5i4>0`98k7>5290/84j529c8?xd3k<0;6?4?:1y'06d=99n0D97:;I652>"31m03:6*>ed86=h:181<7*;9e818983>7<729q/8>l511f8L1?23A>=:6*;9e8;2>"6ml0>4l5ffd83>!2>l3;;m65`29094?"31m094l5G48a8?xd6000;6?4?:1y'06d=99n0D97:;I652>"31m03:6*>ed86=h:181<7*;9e818`83>1<729q/8>l5409K0<3<@=<=7):6d;1e?!7bm3?3m6gif;29 1?c28:j76g>0183>!2>l3;;m65f11394?"31m0:5<#<0n1>5o4;|`2ec<72:0;6=u+42`96=1<@=3>7E:96:&7=a<50?1/=hk559c8mc`=83.?5i4>0`98m467290/84j511c8?j4?:3:1(97k:3:b?>{e9k:1<7<50;2x 15e28:o7E:65:J723=#<0n14;5+1dg91=g=2B?:;5+48f96=0<,8on686n;hde>5<#<0n1==o4;h334?6=,=3o6<>n;:m1<7<72->2h7<7a:9~f4d529086=4?{%60f?273A>296F;679'00`98m467290/84j511c8?j4?:3:1(97k:3:b?>{e9k91<7=50;2x 15e2;2<7E:65:J723=#<0n1>584$0gf>0>f3`lm6=4+48f955g<3`;;<7>5$5;g>46f32e94?4?:%6:`?4?i21vn5<7s->8n7:?;I6:1>N3>?1/84j53g9'5`c==1k0ekh50;&7=a<68h10e<>?:18'0=2B?:;5+48f9<3=#9lo195o4igg94?"31m0:5<#<0n1>5o4H5;`?>{e9hk1<7<50;2x 15e28:o7E:65:J723=#<0n14;5+1dg91=g=:6*;9e80b>"6ml0>4l5ffg83>!2>l3;;m65f11294?"31m0:5<#<0n1>5o4;|`2ef<72:0;6=u+42`905=O<0?0D989;%6:`?5a3-;ni7;7a:keb?6=,=3o6<>n;:k245<72->2h7??a:9l6=4=83.?5i4=8`98yg7>m3:1>7>50z&77g<68m1C84;4H545?!2>l32=7)?je;7;e>oam3:1(97k:02b?>i50;0;6):6d;0;e>N31j10qo?6f;296?6=8r.??o4>0e9K0<3<@=<=7):6d;:5?!7bm3?3m6gie;29 1?c28:j76a=8383>!2>l383m6F;9b98yg7f83:1?7>50z&77g<382B?585G4748 1?c2:l0(i50;0;6):6d;0;e>=zj8k:6=4<:183!24j383;6F;949K030<,=3o6?69;%3fa?3?i2cmj7>5$5;g>46f32c:<=4?:%6:`?77i21d>5<50;&7=a<50h10qo?n2;297?6=8r.??o4;0:J7=0=O!2>l383m65rb0c0>5<4290;w):N31<1C8;84$5;g>7>13-;ni7;7a:keb?6=,=3o6<>n;:k245<72->2h7??a:9l6=4=83.?5i4=8`98yg7>13:1?7>50z&77g<50>1C84;4H545?!2>l383:6*>ed86=n99:1<7*;9e824d=5}#<:h1==j4H5;6?M21>2.?5i476:&2a`<20h1bjh4?:%6:`?77i21d>5<50;&7=a<50h1C84m4;|`2=g<72=0;6=u+42`904=O<0?0D989;%6:`?5a3-;ni7;7a:keb?6=,=3o6<>n;:k245<72->2h7??a:9j557=83.?5i4>0`98k7>5290/84j529c8?xd2?<0;684?:1y'06d=<;1C84;4H545?!2>l39m7)?je;7;e>oan3:1(97k:02b?>o6890;6):6d;33e>=n99;1<7*;9e824d=7>5$5;g>7>f32wi9:850;094?6|,=9i6<>k;I6:1>N3>?1/84j5879'5`c==1k0ekk50;&7=a<68h10c?6=:18'0t$51a>46c3A>296F;679'00`98k7>5290/84j529c8L1?d32wi9:650;194?6|,=9i69>4H5;6?M21>2.?5i450;&7=a<68h10c?6=:18'06<729q/8>l5419K0<3<@=<=7):6d;1e?!7bm3?3m6gif;29 1?c28:j76g>0183>!2>l3;;m65`29094?"31m094l54}ccg>5<2290;w):N31<1C8;84$5;g>7>13-;ni7;7a:keb?6=,=3o6<>n;:k245<72->2h7??a:9j557=83.?5i4>0`98m465290/84j511c8?j4?:3:1(97k:3:b?>{eil0;6>4?:1y'06d=<91C84;4H545?!2>l39m7)?je;7;e>oan3:1(97k:02b?>o6890;6):6d;33e>=h:181<7*;9e810>f3`ln6=4+48f955g<3f83>7>5$5;g>7>f3A>2o65rbc294?5=83:p(9=m:528L1?23A>=:6*;9e80b>"6ml0>4l5ffg83>!2>l3;;m65f11294?"31m0:5<#<0n1>5o4;|`a5?6=;3:1N31<1C8;84$5;g>6`<,8on686n;hde>5<#<0n1==o4;h334?6=,=3o6<>n;:m1<7<72->2h7<7a:9~fg4=8391<7>t$51a>7>03A>296F;679'0i50;0;6):6d;0;e>=zj<;36=4<:183!24j383;6F;949K030<,=3o6?69;%3fa?3?i2cmj7>5$5;g>46f32c:<=4?:%6:`?77i21d>5<50;&7=a<50h10qo;>9;296?6=8r.??o4>0e9K0<3<@=<=7):6d;:5?!7bm3?3m6gie;29 1?c28:j76a=8383>!2>l383m6F;9b98yg36i3:1?7>50z&77g<382B?585G4748 1?c2:l0(i50;0;6):6d;0;e>=zj<;i6=4=:183!24j3;;h6F;949K030<,=3o6584$0gf>0>f3`ln6=4+48f955g<3f83>7>5$5;g>7>f3A>2o65rb43`>5<4290;w):=2B?:;5+48f97c=#9lo195o4igd94?"31m0:5<#<0n1==o4;n0;6?6=,=3o6?6n;:a14b=8391<7>t$51a>16<@=3>7E:96:&7=a<4n2.:ih4:8`9jbc<72->2h7??a:9j556=83.?5i4>0`98k7>5290/84j529c8?xd29l0;6>4?:1y'06d=:1=0D97:;I652>"31m094;5+1dg91=g7>5$5;g>7>f32wi9N3>?1/84j52948 4cb2<2j7dhi:18'00083>!2>l3;;m65`29094?"31m094l54}c714?6=;3:1N31<1C8;84$5;g>6`<,8on686n;hde>5<#<0n1==o4;h334?6=,=3o6<>n;:m1<7<72->2h7<7a:9~f03329086=4?{%60f?4??2B?585G4748 1?c2;2=7)?je;7;e>oan3:1(97k:02b?>o6890;6):6d;33e>=h:181<7*;9e8197>52;294~"3;k0:2h769;%3fa?3?i2cmi7>5$5;g>46f32e94?4?:%6:`?4?i2B?5n54}c762?6=;3:1N31<1C8;84$5;g>6`<,8on686n;hde>5<#<0n1==o4;h334?6=,=3o6<>n;:m1<7<72->2h7<7a:9~f03029096=4?{%60f?77l2B?585G4748 1?c21<0(k21vn8;7:180>5<7s->8n7:?;I6:1>N3>?1/84j53g9'5`c==1k0ekh50;&7=a<68h10e<>?:18'0=:6*;9e80b>"6ml0>4l5ffg83>!2>l3;;m65f11294?"31m0:5<#<0n1>5o4;|`61d<72:0;6=u+42`96=1<@=3>7E:96:&7=a<50?1/=hk559c8mc`=83.?5i4>0`98m467290/84j511c8?j4?:3:1(97k:3:b?>{e=584$0gf>0>f3`lm6=4+48f955g<3`;;<7>5$5;g>46f32c:<<4?:%6:`?77i21d>5<50;&7=a<50h10qo;:c;297?6=8r.??o4;0:J7=0=O!2>l383m65rb44`>5<2290;w):=2B?:;5+48f97c=#9lo195o4igd94?"31m0:5<#<0n1==o4;h335?6=,=3o6<>n;:k247<72->2h7??a:9l6=4=83.?5i4=8`98yg31l3:1>7>50z&77g<68m1C84;4H545?!2>l32=7)?je;7;e>oam3:1(97k:02b?>i50;0;6):6d;0;e>N31j10qo;9e;296?6=8r.??o4>0e9K0<3<@=<=7):6d;:5?!7bm3?3m6gie;29 1?c28:j76a=8383>!2>l383m6F;9b98yg31n3:1>7>50z&77g<68m1C84;4H545?!2>l32=7)?je;7;e>oam3:1(97k:02b?>i50;0;6):6d;0;e>N31j10qo;80;296?6=8r.??o4>0e9K0<3<@=<=7):6d;:5?!7bm3?3m6gie;29 1?c28:j76a=8383>!2>l383m6F;9b98yg3093:1?7>50z&77g<382B?585G4748 1?c2:l0(i50;0;6):6d;0;e>=zj<=96=4<:183!24j383;6F;949K030<,=3o6?69;%3fa?3?i2cmj7>5$5;g>46f32c:<=4?:%6:`?77i21d>5<50;&7=a<50h10qo;83;290?6=8r.??o4;1:J7=0=O0083>!2>l3;;m65`29094?"31m094l54}c30f?6=:3:113-;ni7;7a:kea?6=,=3o6<>n;:m1<7<72->2h7<7a:J7=f=52;294~"3;k0:2h769;%3fa?3?i2cmi7>5$5;g>46f32e94?4?:%6:`?4?i2B?5n54}c30`?6=:3:113-;ni7;7a:kea?6=,=3o6<>n;:m1<7<72->2h7<7a:J7=f=52;294~"3;k0:2h769;%3fa?3?i2cmi7>5$5;g>46f32e94?4?:%6:`?4?i2B?5n54}c30b?6=:3:113-;ni7;7a:kea?6=,=3o6<>n;:m1<7<72->2h7<7a:J7=f=52;294~"3;k0:2h769;%3fa?3?i2cmi7>5$5;g>46f32e94?4?:%6:`?4?i2B?5n54}c375?6=>3:1N31<1C8;84$5;g>6`<,8on686n;hde>5<#<0n1==o4;h334?6=,=3o6<>n;:k244<72->2h7??a:9j554=83.?5i4>0`98m464290/84j511c8?j4?:3:1(97k:3:b?>{e9=81<7:50;2x 15e2;237E:65:J723=#<0n1>584$0gf>0>f3`lm6=4+48f955g<3`;;<7>5$5;g>46f32c:<<4?:%6:`?77i21d>5<50;&7=a<50h10qo?7c;296?6=8r.??o4>0e9K0<3<@=<=7):6d;:5?!7bm3?3m6gie;29 1?c28:j76a=8383>!2>l383m6F;9b98yg7?m3:1>7>50z&77g<68m1C84;4H545?!2>l32=7)?je;7;e>oam3:1(97k:02b?>i50;0;6):6d;0;e>N31j10qo?7f;296?6=8r.??o4>0e9K0<3<@=<=7):6d;:5?!7bm3?3m6gie;29 1?c28:j76a=8383>!2>l383m6F;9b98yg7>83:1>7>50z&77g<68m1C84;4H545?!2>l32=7)?je;7;e>oam3:1(97k:02b?>i50;0;6):6d;0;e>N31j10qo?61;296?6=8r.??o4>0e9K0<3<@=<=7):6d;:5?!7bm3?3m6gie;29 1?c28:j76a=8383>!2>l383m6F;9b98yg7>:3:1>7>50z&77g<68m1C84;4H545?!2>l32=7)?je;7;e>oam3:1(97k:02b?>i50;0;6):6d;0;e>N31j10qo?63;296?6=8r.??o4>0e9K0<3<@=<=7):6d;:5?!7bm3?3m6gie;29 1?c28:j76a=8383>!2>l383m6F;9b98yg7><3:1?7>50z&77g<382B?585G4748 1?c2:l0(i50;0;6):6d;0;e>=zj83>6=47:183!24j3>?7E:65:J723=#<0n1?k5+1dg91=g5$5;g>46f32c:;:18'0!2>l383m65rb0:g>5<3290;w):N31<1C8;84$5;g>7>13-;ni7;7a:keb?6=,=3o6<>n;:k245<72->2h7??a:9j557=83.?5i4>0`98k7>5290/84j529c8?xd4:3:197>50z&77g<5001C84;4H545?!2>l383:6*>ed86=n99:1<7*;9e824d=7>5$5;g>46f32e94?4?:%6:`?4?i21vn>:50;194?6|,=9i69>4H5;6?M21>2.?5i450;&7=a<68h10c?6=:18'00e9K0<3<@=<=7):6d;:5?!7bm3?3m6gie;29 1?c28:j76a=8383>!2>l383m6F;9b98yg5129096=4?{%60f?77l2B?585G4748 1?c21<0(k21vn>950;094?6|,=9i6<>k;I6:1>N3>?1/84j5879'5`c==1k0ekk50;&7=a<68h10c?6=:18'07E:96:&7=a2.:ih4:8`9jb`<72->2h7??a:9l6=4=83.?5i4=8`9K053;294~"3;k0?<6F;949K030<,=3o6>h4$0gf>0>f3`lm6=4+48f955g<3`;;<7>5$5;g>46f32e94?4?:%6:`?4?i21vn>o50;794?6|,=9i69<4H5;6?M21>2.?5i450;&7=a<68h10e<>>:18'0!2>l383m65rb2`94?5=83:p(9=m:3:4?M2>=2B?:;5+48f96=0<,8on686n;hde>5<#<0n1==o4;h334?6=,=3o6<>n;:m1<7<72->2h7<7a:9~f65=8391<7>t$51a>7>03A>296F;679'0i50;0;6):6d;0;e>=zj8;96=4<:183!24j383;6F;949K030<,=3o6?69;%3fa?3?i2cmj7>5$5;g>46f32c:<=4?:%6:`?77i21d>5<50;&7=a<50h10qo?>b;296?6=8r.??o4>0e9K0<3<@=<=7):6d;:5?!7bm3?3m6gie;29 1?c28:j76a=8383>!2>l383m6F;9b98yg76k3:1>7>50z&77g<68m1C84;4H545?!2>l32=7)?je;7;e>oam3:1(97k:02b?>i50;0;6):6d;0;e>N31j10qo?>d;297?6=8r.??o4;0:J7=0=O!2>l383m65rb03f>5<5290;w):N31<1C8;84$5;g>=0<,8on686n;hdf>5<#<0n1==o4;n0;6?6=,=3o6?6n;I6:g>=zj8;m6=4=:183!24j3;;h6F;949K030<,=3o6584$0gf>0>f3`ln6=4+48f955g<3f83>7>5$5;g>7>f3A>2o65rb003>5<5290;w):N31<1C8;84$5;g>=0<,8on686n;hdf>5<#<0n1==o4;n0;6?6=,=3o6?6n;I6:g>=zj88:6=4=:183!24j3;;h6F;949K030<,=3o6584$0gf>0>f3`ln6=4+48f955g<3f83>7>5$5;g>7>f3A>2o65rb001>5<5290;w):N31<1C8;84$5;g>=0<,8on686n;hdf>5<#<0n1==o4;n0;6?6=,=3o6?6n;I6:g>=zj8;86=4<:183!24j3>;7E:65:J723=#<0n1?k5+1dg91=g7>5$5;g>7>f32wi=<:50;194?6|,=9i69>4H5;6?M21>2.?5i450;&7=a<68h10c?6=:18'01483>3<729q/8>l5429K0<3<@=<=7):6d;1e?!7bm3?3m6gif;29 1?c28:j76g>0183>!2>l3;;m65f11394?"31m0:5<#<0n1==o4;h337?6=,=3o6<>n;:m1<7<72->2h7<7a:9~f47129086=4?{%60f?4??2B?585G4748 1?c2;2=7)?je;7;e>oan3:1(97k:02b?>o6890;6):6d;33e>=h:181<7*;9e8153;294~"3;k094:5G4878L1013->2h7<76:&2a`<20h1bjk4?:%6:`?77i21b==>50;&7=a<68h10c?6=:18'01983>6<729q/8>l5419K0<3<@=<=7):6d;1e?!7bm3?3m6gif;29 1?c28:j76g>0183>!2>l3;;m65`29094?"31m094l54}c32=?6=;3:12.:ih4:8`9jbc<72->2h7??a:9j556=83.?5i4>0`98k7>5290/84j529c8?xd69h0;694?:1y'06d=:120D97:;I652>"31m094;5+1dg91=g5$5;g>46f32e94?4?:%6:`?4?i21vn9m8:180>5<7s->8n7<77:J7=0=O0183>!2>l3;;m65`29094?"31m094l54}c6`=?6=:3:113-;ni7;7a:kea?6=,=3o6<>n;:m1<7<72->2h7<7a:J7=f=hm7>52;294~"3;k0:2h769;%3fa?3?i2cmi7>5$5;g>46f32e94?4?:%6:`?4?i2B?5n54}c6`f?6=:3:113-;ni7;7a:kea?6=,=3o6<>n;:m1<7<72->2h7<7a:J7=f=ho7>52;294~"3;k0:2h769;%3fa?3?i2cmi7>5$5;g>46f32e94?4?:%6:`?4?i2B?5n54}c6``?6=:3:113-;ni7;7a:kea?6=,=3o6<>n;:m1<7<72->2h7<7a:J7=f=hi7>53;294~"3;k094:5G4878L1013->2h7<76:&2a`<20h1bjk4?:%6:`?77i21b==>50;&7=a<68h10c?6=:18'06<729q/8>l5419K0<3<@=<=7):6d;1e?!7bm3?3m6gif;29 1?c28:j76g>0183>!2>l3;;m65`29094?"31m094l54}c6g4?6=<3:1N31<1C8;84$5;g>6`<,8on686n;hde>5<#<0n1==o4;h334?6=,=3o6<>n;:k244<72->2h7??a:9l6=4=83.?5i4=8`98yg2d03:1?7>50z&77g<382B?585G4748 1?c2:l0(i50;0;6):6d;0;e>=zj<:i6=4<:183!24j3>;7E:65:J723=#<0n1?k5+1dg91=g7>5$5;g>7>f32wi9=m50;194?6|,=9i6?68;I6:1>N3>?1/84j52948 4cb2<2j7dhi:18'0!2>l383m65rb42g>5<4290;w):=2B?:;5+48f97c=#9lo195o4igd94?"31m0:5<#<0n1==o4;n0;6?6=,=3o6?6n;:a`<<72;0;6=u+42`955b<@=3>7E:96:&7=a2.:ih4:8`9jb`<72->2h7??a:9l6=4=83.?5i4=8`9K053;294~"3;k0?<6F;949K030<,=3o6>h4$0gf>0>f3`lm6=4+48f955g<3`;;<7>5$5;g>46f32e94?4?:%6:`?4?i21vnij50;094?6|,=9i6<>k;I6:1>N3>?1/84j5879'5`c==1k0ekk50;&7=a<68h10c?6=:18'07E:96:&7=a2.:ih4:8`9jb`<72->2h7??a:9l6=4=83.?5i4=8`9K052;294~"3;k0:2h769;%3fa?3?i2cmi7>5$5;g>46f32e94?4?:%6:`?4?i2B?5n54}cg3>5<5290;w):N31<1C8;84$5;g>=0<,8on686n;hdf>5<#<0n1==o4;n0;6?6=,=3o6?6n;I6:g>=zjl;1<7=50;2x 15e2=:0D97:;I652>"31m08j6*>ed86=n99:1<7*;9e824d=7>53;294~"3;k0?<6F;949K030<,=3o6>h4$0gf>0>f3`lm6=4+48f955g<3`;;<7>5$5;g>46f32e94?4?:%6:`?4?i21vnh=50;194?6|,=9i6?68;I6:1>N3>?1/84j52948 4cb2<2j7dhi:18'0!2>l383m65rbec94?2=83:p(9=m:538L1?23A>=:6*;9e80b>"6ml0>4l5ffg83>!2>l3;;m65f11294?"31m0:5<#<0n1==o4;n0;6?6=,=3o6?6n;:a`g<72:0;6=u+42`96=1<@=3>7E:96:&7=a<50?1/=hk559c8mc`=83.?5i4>0`98m467290/84j511c8?j4?:3:1(97k:3:b?>{ejo0;6?4?:1y'06d=99n0D97:;I652>"31m03:6*>ed86=h:181<7*;9e81"6ml0>4l5ffg83>!2>l3;;m65f11294?"31m0:5<#<0n1==o4;n0;6?6=,=3o6?6n;:ag4<72;0;6=u+42`955b<@=3>7E:96:&7=a2.:ih4:8`9jb`<72->2h7??a:9l6=4=83.?5i4=8`9K07>53;294~"3;k0?<6F;949K030<,=3o6>h4$0gf>0>f3`lm6=4+48f955g<3`;;<7>5$5;g>46f32e94?4?:%6:`?4?i21vnn=50;194?6|,=9i69>4H5;6?M21>2.?5i450;&7=a<68h10c?6=:18'0"6ml0>4l5ffg83>!2>l3;;m65f11294?"31m0:5<#<0n1>5o4;|q1a?6=;rT9i63=e;0g`>;4i3lm7p}<1;296~;5m3k<70=<:3:1?xu4:3:1>v3<2;0;6>;4;3lm7p}<4;296~;4<383>63<9;de?xu4=3:1>v3<5;0;6>;413;;<6s|3783>7}:;?094?523`8245=z{:=1<75<5s4936?6=;<1b>4653ty857>52z?0=?4?:278n7hi;|q0e?6=:r78m7<72:?0f?7782wx?o4?:3y>7g<50;16?>4>019~w2g=83=pR:o4=0:b>46734;i<7hj;<3b>32270?67;::?87>k32270?n4;::?87f=32270?n6;::?87fl32270:l5;df?xu>83:18vP60:?6207o8;<`g>cc7bc34;347hj;<3bb?77827:ml4ie:?2e4eg<5lm16n=4if:?64feg7<72:pea<72;q6mi4=839>f5<6891vlk50;0x9dc=:1801o?5fg9~wd`=838p1lh529089g7=99:0q~l?:1818d72;2970l=:gd8yvd62909w0l>:3:1?8d528:;7p}m3;290~Xe;27no7o8;cc<5kl1jh5rsc594?g|5kh1>ij4=e39e<=:l<0:<=525679557<5<cc<589i6kk4=ea9bc=:lm0mi63l1;df?xuek3:15v3mb;::?821132270?<9;::?875<32270kl:9;89fb=0016o4479:?`1?>>34ho6?6=;|qaa?6=:r7in7o8;7>53tyij7>52z?ab?4?:27h>7??0:pg5<72;q6o=4=839>g6g4<50;16o>4>019~wf4=838p1n<529089f2=no1vn=50;0x9f5=:1801n:51128yvd?2903w0m::3fg?8b62hk01i;5fg9>120=nl169:>5fd9>56b=nl16i=4ie:?`4?`a3tyh:7>52z?`1?g034i36?6=;|q`3?6=:r7h;7<72:?`g<<5lm16h?4na:?g3?`a34?<97??2:?62f<689169;k5fd9>56c=nl16hk4ie:?`4?7782wxol4?:3y>g<gf<6891voo50;:x9fb=:mn01i=5a`9>121=nl169;h5fd9>517=99:01im511289ac=nl16o=4>009~wfc=838p1nj5a69>`5<50;1vnh50;0x9f`=:1801i>51128yvb32908w0j=:`;89a3=:1801i951128yvb12909w0j<:`;89a1=:180q~j7:1878ea2oo01nl5fd9>g2a4<6891vio50;0x9ag=:1801il51128yvbd2909w0jl:3:1?8c42ol0q~jk:1818bc2;2970k=:gd8yvbb2909w0jj:3:1?8c528:;7p}kf;296~;cn383>63ka;de?xub83:1>v3j0;0;6>;ci3;;=6s|e083>7}:m8094?52dc8eb>{tm;0;6?u2e381<7=:m:0:<=5rsd194?4|5l91>5<4=ec95566`734i36kh4}rg6>5<5s4n96>h?;c`6`734n;6kh4}rg`>5<4s4oh6?jk;c`<5j81jk5rs033>5<2sW;:<63>1181`a=:=>?1==>4=44`>46634;:97??0:p547=838p11383>7}:9881>5<4=03b>4673ty:=>4?:3y>545=:1801541=99:0q~?>6;296~;69?094?5210:955652z?252<50;16=v3>1981<7=:9831==>4}r32=?6=:r7:=44=839>54g=no1v63>128eb>{t98i1<77>534;:?7??0:p54b=838p11d83>7}:98o1>5<4=037>4673ty:=k4?:3y>54`=:1801v3>2181<7=:98?1==?4}r315?6=:r7:><4=839>543=9980q~?=2;296~;6:;094?52107955555z\261=:9;>1>ij4=0;f>cc<58326kh4=03a>cc52z?261;4=839~w45>290>wS?<9:?27<<5lm16=4h5fd9>5v3>388b3>;6<;094?5rs01a>5<5s4;8n7<72:?204m50;0x945d2;2970?;1;335>{t9:n1<77>534;?=7??2:p56c=838p1<=j:3:1?87393;;?6s|12d94?4|589m6?6=;<376?`a3ty:8=4?:3y>516=:1801<:=:022?xu6<80;6?u215396=4<58>96<>?;|q21<<72;qU=874=403>c`52z\221=:9>21m:5rs05;>5<3s4;<47=l4if:?613m:gd8yv70i3:1>v3>7`81<7=:9>i1jk5rs05a>5<5s4;38oh63>948eb>;28k0:<=5rs0:4>5<5s4;3m7<72:?2?2;2970?7a;de?xu6000;6?u219;96=4<582j6<>>;|q25=b=:180q~?7c;296~;60j094?52186955652z?2<`<50;16=4;51128yv7?n3:1>v3>8g81<7=:90?1==?4}r3:4?6=:r7:5=4=839>5<3=9980q~?61;296~;618094?5218795527>52z?2=7<50;16=4;51118yv7>;3:1>v3>9281<7=:90?1==;4}r3:0?6=:r7:594=839>5=b=no1v<7::18187>=383>63>8e8244=z{83=6=4:{<3:2?4cl27:n>4if:?293ln70=9:gg894462oo0q~?68;296~;61>0j;63>9c81<7=z{8326=4={<3:=?4?:27:5o4if:p5j3;;=6s|18a94?e|583h6?jk;<6e`?`a34;j>7hi;<3:f?77827jh7??2:?65`9l4if:?2=77hi;<314?`b34;:47hi;<6``?`b3ty:5i4?:3y>516=l=52908yv7>m3:1>v3>9d81<7=:9h:1jk5rs0;e>5<5s4;2j7<72:?2e5<6891v63>a08245=z{8k:6=4={<3b5?4?:27:m?4>019~w4g52909w0?n2;0;6>;6i:0:<=5rs0c7>5ea<688169<65fg9>102=no16=4>5fd9>77<68916=<75fg9>0fe=nl1v;fl3;;<63:198245=:=<>1==>4=0:e>cc<5:81==<4=031>c`<5=ij6kk4}r3b2?6=1r7:m84n7:?2e3<5lm16mi4if:?65f954if:?2<`7??1:?257<689168nl5fd9~w4g02909w0?n6;c4?87fk383>6s|1`:94?4|58k36?6=;<3bg?`a3ty:m44?:3y>5d?=:1801v3>a`81<7=:9hh1==>4}r3bf?6=:r7:mo4=839>5de=99:0q~?nd;29`~;6im09hi521c09bc=:9h21jk52ad8eb>;29h0:<=525449556<58386kk4=0;7>c`<5:>1==>4=2:9b`=:98n1jk5210d9b`=:5<5s4;jh7o8;<3a7?4?:2wx=lh50;0x94ga2;2970?m1;de?xu6j90;6?u21c296=4<58h:6<>?;|q2f4<72;q6=o?5290894d528:;7p}>b383>7}:9k81>5<4=0`0>4673ty:o44?:3y]5f?<5:k50;0x91?12oi0188::8d8yv2113:1?v3;6881`a=:=>?1jk5257a9554=m7>52z?72<56?=1o1v9m;:18682d;32270;;d;::?836932270:ia;::?82d=383>6s|4b494?4|5=i86l94=5a;>7>53ty?o:4?:3y>0f1=:18019mj:gd8yv2d13:1>v3;c881<7=:4}r6`e?6=:r7?ol4=839>0a6=no1v9mm:18182dj383>63;cg8eb>{t7>534>hj7??0:p0fb=838p19mk:3:1?82d03;;<6s|4bg94?4|5=in6?6=;<6g4?7782wx8nh50;0x91ea2;2970:k0;335>{t7>534>h47hi;|q7`1<72;qU8i:4=005>ccnj7>52z\7ac=:9:l1jh5rs5db>5<5sW>mm63;f`81`a=z{=lh6=4={<6ee?g034>mh7<72:p151=838pR8>8;<73k:3:1?xu28k0;6?u251`96=4<5<:h6<>?;|q64f<72;q69=m52908906c28:;7p}:1083>6}Y=8;018?>:3fg?83613ln7p}:1683>7}:=;81jh5253296=452z?65=<50;169v3:1881<7=:=8i1==>4}r72e?6=:r7>=l4=839>14b=no1v8?m:181836j383>63:1e8245=z{<;h6=4={<72g?4?:27>=h4>019~w07c2909w0;>d;0;6>;29o0:<<5rs43f>5<5s4?:i7<72:?65c{t=;;1<7d1<5<896?6=;|q607<72;qU99<4=063>cc53z\60a=:==n1>ij4=476>cc?7>52z?61`9n4=839~w0332909w0;:4;0;6>;2=k0:<=5rs476>5<5s4?>97<72:?61=<6891v8;9:181832>383>63:588eb>{t=<=1<77>534?>57??0:p10>=838p18;7:3:1?832i3;;<6s|54;94?4|5?;|q61a<72;q699j5a69>10c=:180q~;95;297~X2><169;;52ef8945d2oo0q~;99;296~;2><0j;63:6`81<7=z{<7}:=?n1>5<4=452>c`52z?62`<50;169:?51128yv31n3:1>v3:6g81<7=:=>91jk5rs453>5<5s4?<<7<72:?636<6881v89>:1818309383>63:738245=z{<=96=4={<746?4?:27>;>4>019~w0132909w0;9a;df?8301383>6s|56794?4|5<=>6?6=;<74=?`a3ty>;;4?:3y>120=:1801897:gd8yv30?3:1>v3:7681<7=:=>21==>4}r74;54=839>12?=99:0q~;8a;296~X2?h168;l5fd9~yg2fn3:1i7m<:b`xL1013->8n7o?;%3f`?25?2e8i54?::k:a?6=3`>;?7>5;n1gg?6=3`296=44o5g2>5<>i5ll0;66g=fg83>>d31?0;6<4?:1y'06d=nm1C84;4H545?!2>l3>j?6*>ed86=zj=2?6=4<:387!24j3l87E:65:J723=#99i1i6*>ed86r.??o4i6:&2a`<20h1d>ij50;9j6ae=831bm:4?::k:b?6=3`226=44bg494?0=83:p(97k:g:8m46f290/84j511c8?l?c290/84j59e98m{e<021<7?50;2x 1?c2;2>7b<7b;29 1?c2;2j76s|a683>7}Yi>16j;4>0`9~w=?=838pR574=g49=`=z{0l1<71g43-;ni7;7a:meg?6=,=3o6?6n;:p6ab=838pR?jk;<0g`?4cl2wxm:4?:3y]e2=::mn1m:5rs9;94?4|V1301?jk:9;8yv3=839p1?jk:3f`?84cl33m70<5fb9'033=k2wvn96>:180>7<3s->8n7h<;I6:1>N3>?1/==m5e:&2a`<20h1b444?::kb3?6=3f8oh7>5;c0g`?6==381:v*;3c8e2>"6ml0>4l5`2ef94?=n:mi1<75fa683>>o>n3:17d66:188fc0=83<1<7>t$5;g>c>=n;o;1<7*;9e80b4=5$5;g>7>f32wi84650;394?6|,=3o6?6:;n0;f?6=,=3o6?6n;:pe2<72;qUm:52f7824d=z{131<7;a>383m6s|47594?4|5o<15i5248:96=d:183!21=330(9=m:gf8 1?c2=k87)?je;7;e>iak3:1(97k:3:b?>{t:mn1<77}Yi>16>ij5a69~w=?=838pR574=3fg>=?7bd348oh77i;<09bf=#5<42;0?w):=2B?:;5+11a9a>"6ml0>4l5f8883>>of?3:17b2.:ih4:8`9l6ab=831b>im50;9je2<722c2j7>5;h::>5<n:18'0m21b?k?50;&7=a<4n810e>7>:18'0{ti>0;6?uQa69>b3<68h1v5750;0xZ=?<5o<15h5rs8d94?4|V0l01k853838yv4ck3:1>vP=db9>b3<4n81v?jk:181[4cl27m:7<7a:p031=838p1k859e9>0<>=:1h0qpl=:182>5<7s->=9774$51a>cb<,=3o69o<;%3fa?3?i2emo7>5$5;g>7>f32wx>ij50;0xZ7bc348oh7296F;679'55e=m2.:ih4:8`9j<<<722cj;7>5;n0g`?6=3k8oh7>55;092~"3;k0m:6*>ed860;66g6f;29?l>>2900nk850;494?6|,=3o6k64i02b>5<#<0n1==o4;h;g>5<#<0n15i54i8g94?"31m02i65f3g394?"31m08j<54i2;2>5<#<0n1?4?4;n0;e?6=,=3o6?6n;:a0<>=83;1<7>t$5;g>7>23f83n7>5$5;g>7>f32wxm:4?:3y]e2=:n?0:52z\1`f=:n?08j<5rs3fg>5<5sW8oh63i6;0;e>{t5l4}|`1>5<6290;w):95;;8 15e2on0(97k:5c0?!7bm3?3m6aic;29 1?c2;2j76s|2ef94?4|V;no70{ti>0;6?uQa69>6ab=i>1v5750;0xZ=?<5;no6574}r794?5|5;no6?jl;<0g`??a3481jn5+4779g>{zj;;<6=4::385!24j3l>7E:65:J723=#9lo195o4i8f94?=n1o0;66g79;29?lg02900c?jk:188f7bc290=6=4?{%6:`?`?3`;;m7>5$5;g>46f32c2h7>5$5;g>5$5;g>6?632e94l4?:%6:`?4?i21vn97n:182>5<7s->2h7:n3:m12h7<7a:9~w7bc2909wSn;|q:b?6=:rT2j63=de80=4=z{0n1<7>348oh77j;|qe`?6=:r79hi400<52?q/8>l5f49K0<3<@=<=7)?je;7;e>o>l3:17d7i:188m=?=831bm:4?::m1`a<722h9hi4?:783>5}#<0n1j55f11c94?"31m0:!2>l33n76g!2>l39m=65f38394?"31m085<54o3:b>5<#<0n1>5o4;|`7=d<7280;6=u+48f90d5l279hi46d:p<<<72;qU44522ef9=`=z{on1<76`634>2m7<7a:~f730290>6?49{%60f?`23A>296F;679'5`c==1k0e4j50;9j=c<722c357>5;hc4>5<5<1290;w):6d;d;?l77i3:1(97k:02b?>o>l3:1(97k:8f8?l?b290/84j59d98m6`6290/84j53g38?l5>93:1(97k:2;2?>i50h0;6):6d;0;e>=zj=3j6=4>:183!2>l3>j?6a=8`83>!2>l383m65rs3fg>5<5sW8oh63=de81n279hi4<909~w4?:481>3}#<:h1j85G4878L1013-;ni7;7a:k:`?6=3`3m6=44i9;94?=ni>0;66a=de83>>d5lm0;6;4?:1y'0l21b5h4?:%6:`??b32c8j<4?:%6:`?5a921b?4?50;&7=a<41810c?6n:18'04<729q/84j54`18k7>f290/84j529c8?xu5lm0;6?uQ2ef897bc2;2j7p}n7;296~Xf?279hi4>0`9~w<`=838pR4h4=3fg>6?63ty2h7>52z\:`>;5lm02h6s|8883>7}Y0016>ij59d9~wcb=838p1?jk:2d2?82>i383m6srb36e>5<22;0=w):=2B?:;5+1dg91=g>o?13:17do8:188k7bc2900n?jk:185>5<7s->2h7h7;h33e?6=,=3o6<>n;:k:`?6=,=3o64j4;h;f>5<#<0n15h54i2d2>5<#<0n1?k?4;h1:5?6=,=3o6>7>;:m12h7<7a:9~f1?f290:6=4?{%6:`?2f;2e94l4?:%6:`?4?i21v?jk:181[4cl279hi4=8`9~wd1=838pRl94=3fg>46f3ty2j7>52z\:b>;5lm085<5rs8f94?4|V0n01?jk:8f8yv>>2909wS66;<0g`??b3tymh7>52z?1`a<4n81684o529c8yxd5=n1l0;6):6d;;f?>o4n80;6):6d;1e5>=n;0;1<7*;9e80=4=5}#<0n18l=4o3:b>5<#<0n1>5o4;|q1`a<72;qU>ij4=3fg>7>f3tyj;7>52z\b3>;5lm0:l3:1>vP6d:?1`a<>l2wx444?:3y]<<=::mn15h5rsgf94?4|5;no6>h>;<6:e?4?i2wvn?:8:186>7<1s->8n7h:;I6:1>N3>?1/=hk559c8m5<l3l37d??a;29 1?c28:j76g6d;29 1?c20n07d7j:18'0h>:18'0!2>l383m65rb5;b>5<6290;w):6d;6b7>i50h0;6):6d;0;e>=z{;no6=4={_0g`>;5lm094l5rs`594?4|Vh=01?jk:02b?xu>n3:1>vP6f:?1`a<4181v4j50;0xZ5<5sW2270v3=de80b4=:<0k1>5o4}|`106<72<096;u+42`9b0=O<0?0D989;%3fa?3?i2c2h7>5;h;e>5<>i5lm0;66l=de83>3<729q/84j5f99j55g=83.?5i4>0`98m2h77j;:k0b4<72->2h7=i1:9j7<7=83.?5i4<9098k7>f290/84j529c8?xd31h0;6<4?:1y'07}Y:mn01?jk:3:b?xuf?3:1>vPn7:?1`a<68h1v4h50;0xZ<`<5;no6>7>;|q:`?6=:rT2h63=de8:`>{t000;6?uQ889>6ab=1l1vkj50;0x97bc2:l:70:6a;0;e>{zj;9m6=4::385!24j3l>7E:65:J723=#9lo195o4i8f94?=n1o0;66g79;29?lg02900c?jk:188f7bc290=6=4?{%6:`?`?3`;;m7>5$5;g>46f32c2h7>5$5;g>5$5;g>6?632e94l4?:%6:`?4?i21vn97n:182>5<7s->2h7:n3:m12h7<7a:9~w7bc2909wSn;|q:b?6=:rT2j63=de80=4=z{0n1<7>348oh77j;|qe`?6=:r79hi400<52?q/8>l5f49K0<3<@=<=7)?je;7;e>o>l3:17d7i:188m=?=831bm:4?::m1`a<722h9hi4?:783>5}#<0n1j55f11c94?"31m0:!2>l33n76g!2>l39m=65f38394?"31m085<54o3:b>5<#<0n1>5o4;|`7=d<7280;6=u+48f90d5l279hi46d:p<<<72;qU44522ef9=`=z{on1<76`634>2m7<7a:~f133290>6?49{%60f?`23A>296F;679'55e=m2.:ih4:8`9j=a<722c2j7>5;h::>5<n:18'0m21b?k?50;&7=a<4n810e>7>:18'0{t:mn1<77}Yi>16>ij511c8yv?a2909wS7i;<0g`?5>92wx5i4?:3y]=a=::mn15i5rs9;94?4|V1301?jk:8g8yv`c2909w0;31h094l5r}c664?6==381:v*;3c8e1>N31<1C8;84$02`>`=#9lo195o4i8f94?=n1o0;66g79;29?lg02900c?jk:188f7bc290=6=4?{%6:`?`?3`;;m7>5$5;g>46f32c2h7>5$5;g>5$5;g>6?632e94l4?:%6:`?4?i21vn97n:182>5<7s->2h7:n3:m12h7<7a:9~w7bc2909wSn;|q:b?6=:rT2j63=de80=4=z{0n1<7>348oh77j;|qe`?6=:r79hi400<52?q/8>l5f49K0<3<@=<=7)??c;g8 4cb2<2j7d7k:188m<`=831b444?::kb3?6=3f8oh7>5;c0g`?6=>3:1o68h0;6):6d;33e>=n1m0;6):6d;;g?>o>m3:1(97k:8g8?l5a93:1(97k:2d2?>o4180;6):6d;1:5>=h:1k1<7*;9e812m7>51;294~"31m0?m>5`29c94?"31m094l54}r0g`?6=:rT9hi522ef96=g7}Y1o16>ij53838yv?c2909wS7k;<0g`??c3ty357>52z\;=>;5lm02i6s|fe83>7}::mn1?k?4=5;b>7>f3twi89950;796?0|,=9i6k;4H5;6?M21>2.:5;h;e>5<>i5lm0;66l=de83>3<729q/84j5f99j55g=83.?5i4>0`98m2h77j;:k0b4<72->2h7=i1:9j7<7=83.?5i4<9098k7>f290/84j529c8?xd31h0;6<4?:1y'07}Y:mn01?jk:3:b?xuf?3:1>vPn7:?1`a<68h1v4h50;0xZ<`<5;no6>7>;|q:`?6=:rT2h63=de8:`>{t000;6?uQ889>6ab=1l1vkj50;0x97bc2:l:70:6a;0;e>{zjo:1<7=52;6x 15e2o90D97:;I652>"6ml0>4l5f8883>>of?3:17b2.:ih4:8`9l6ab=831b>im50;9je2<722c2j7>5;h::>5<n:18'0m21b?k?50;&7=a<4n810e>7>:18'0{ti>0;6?uQa69>b3<68h1v5750;0xZ=?<5o<15h5rs8d94?4|V0l01k853838yv4ck3:1>vP=db9>b3<4n81v?jk:181[4cl27m:7<7a:p031=838p1k859e9>0<>=:1h0qpl=:182>5<7s->=9774$51a>cb<,=3o69o<;%3fa?3?i2emo7>5$5;g>7>f32wx>ij50;0xZ7bc348oh77:t$51a>c5<@=3>7E:96:&2a`<20h1b444?::kb3?6=3f8oh7>5;c0g`?6==381:v*;3c8e2>"6ml0>4l5`2ef94?=n:mi1<75fa683>>o>n3:17d66:188fc0=83<1<7>t$5;g>c>=n;o;1<7*;9e80b4=5$5;g>7>f32wi84650;394?6|,=3o6?6:;n0;f?6=,=3o6?6n;:pe2<72;qUm:52f7824d=z{131<7;a>383m6s|47594?4|5o<15i5248:96=d:183!21=330(9=m:gf8 1?c2=k87)?je;7;e>iak3:1(97k:3:b?>{t:mn1<77}Yi>16>ij5a69~w=?=838pR574=3fg>=?7bd348oh77i;<09bf=#5<42;0?w):=2B?:;5+1dg91=g>i5lm0;66l=de83>0<52?q/8>l5f79'5`c==1k0c?jk:188m7bd2900el950;9j=c<722c357>5;cd5>5<1290;w):6d;d;?l77i3:1(97k:02b?>o>l3:1(97k:8f8?l?b290/84j59d98m6`6290/84j53g38?l5>93:1(97k:2;2?>i50h0;6):6d;0;e>=zj=336=4>:183!2>l38396a=8c83>!2>l383m65rs`594?4|Vh=01k8511c8yv>>2909wS66;7}Y:mi01k853g38yv4cl3:1>vP=de9>b3<50h1v988:1818`120n01977:3:a?x{e:3:1=7>50z&720<>3->8n7hk;%6:`?2f;2.:ih4:8`9lbf<72->2h7<7a:9~w7bc2909wS5<5sW2270;5lm02j63=:ga8 1022j1vqo;;6;297?4=>2900el950;9l6ab=831i>ij50;796?0|,=9i6k84$0gf>0>f3f8oh7>5;h0gg?6=3`k<6=44i8d94?=n000;66li6;292?6=8r.?5i4i8:k24d<72->2h7??a:9j=a<72->2h77k;:k:a?6=,=3o64k4;h1e5?6=,=3o6>h>;:k0=4<72->2h7=61:9l6=g=83.?5i4=8`98yg2>03:1=7>50z&7=a<50<1d>5l50;&7=a<50h10q~o8:181[g034l=6<>n;|q;=?6=:rT3563i6;;f?xu>n3:1>vP6f:?e2?5>92wx>im50;0xZ7bd34l=6>h>;|q1`a<72;qU>ij4=g496=g=;7>52z?e2??c34>247<7b:~f7<7280;6=u+4779=>"3;k0mh6*;9e87e6=#9lo195o4oga94?"31m094l54}r0g`?6=:rT9hi522ef96ab6ab=1o16>7hl;%651?e53;090~"3;k0m?6F;949K030<,8on686n;h::>5<56;294~"31m0m46g>0`83>!2>l3;;m65f9e83>!2>l33o76g6e;29 1?c20o07d=i1;29 1?c2:l:76g<9083>!2>l392=65`29c94?"31m094l54}c6:{t:mi1<77}Y:mn01k8529c8yv21?3:1>v3i6;;g?82>0383n6srb383>4<729q/8;;59:&77gij52ef8yvg02909wSo8;<0g`?g03ty357>52z\;=>;5lm0356s|5;297~;5lm09hn522ef9=c=::3lh7):95;a8yxd5ll0;6>4=:5y'06d=n:1C84;4H545?!7bm3?3m6g79;29?lg02900c?jk:188f7bc290>6?49{%60f?`13-;ni7;7a:m1`a<722c9hn4?::kb3?6=3`3m6=44i9;94?=en?0;6;4?:1y'0l21b5h4?:%6:`??b32c8j<4?:%6:`?5a921b?4?50;&7=a<41810c?6n:18'04<729q/84j52978k7>e290/84j529c8?xuf?3:1>vPn7:?e2?77i2wx444?:3y]<<=:n?02i6s|9g83>7}Y1o16j;4<909~w7bd2909wSij50;0xZ7bc34l=6?6n;|q722<72;q6j;46d:?7==<50k1vqo<50;394?6|,=<>645+42`9ba=#<0n18l=4$0gf>0>f3flh6=4+48f96=g<3ty9hi4?:3y]6ab<5;no6?jk;|qb3?6=:rTj;63=de8b3>{t000;6?uQ889>6ab=001v84?:2y>6ab=:mi01?jk:8d8977:t$51a>c5<@=3>7E:96:&2a`<20h1b444?::kb3?6=3f8oh7>5;c0g`?6==381:v*;3c8e2>"6ml0>4l5`2ef94?=n:mi1<75fa683>>o>n3:17d66:188fc0=83<1<7>t$5;g>c>=n;o;1<7*;9e80b4=5$5;g>7>f32wi84650;394?6|,=3o6?6:;n0;f?6=,=3o6?6n;:pe2<72;qUm:52f7824d=z{131<7;a>383m6s|47594?4|5o<15i5248:96=d:183!21=330(9=m:gf8 1?c2=k87)?je;7;e>iak3:1(97k:3:b?>{t:mn1<77}Yi>16>ij5a69~w=?=838pR574=3fg>=?7bd348oh77i;<09bf=#5<42;0?w):=2B?:;5+1dg91=g>i5lm0;66l=de83>0<52?q/8>l5f79'5`c==1k0c?jk:188m7bd2900el950;9j=c<722c357>5;cd5>5<1290;w):6d;d;?l77i3:1(97k:02b?>o>l3:1(97k:8f8?l?b290/84j59d98m6`6290/84j53g38?l5>93:1(97k:2;2?>i50h0;6):6d;0;e>=zj=336=4>:183!2>l38396a=8c83>!2>l383m65rs`594?4|Vh=01k8511c8yv>>2909wS66;7}Y:mi01k853g38yv4cl3:1>vP=de9>b3<50h1v988:1818`120n01977:3:a?x{e:3:1=7>50z&720<>3->8n7hk;%6:`?2f;2.:ih4:8`9lbf<72->2h7<7a:9~w7bc2909wS5<5sW2270;5lm02j63=:ga8 1022j1vqor.??o4i5:J7=0=O5;n0g`?6=3k8oh7>56;294~"31m0m46g>0`83>!2>l3;;m65f9e83>!2>l33o76g6e;29 1?c20o07d=i1;29 1?c2:l:76g<9083>!2>l392=65`29c94?"31m094l54}c6:e?6=93:152z\1`a=::mn1>5o4}rc4>5<5sWk<70{t1o0;6?uQ9g9>6ab=;0;0q~7k:181[?c348oh77k;|q;=?6=:rT3563=de8:a>{tnm0;6?u22ef97c7<5=3j6?6n;|a646=83?1>78t$51a>c3<@=3>7E:96:&2a`<20h1b5i4?::k:b?6=3`226=44i`594?=h:mn1<75m2ef94?0=83:p(97k:g:8m46f290/84j511c8?l?c290/84j59e98m{e<0k1<7?50;2x 1?c2=k87b<7a;29 1?c2;2j76s|2ef94?4|V;no70{ti>0;6?uQa69>6ab=99k0q~7i:181[?a348oh7=61:p=a<72;qU5i522ef9=a=z{131<7?<7>55;092~"3;k0m96F;949K030<,8on686n;h;g>5<>of?3:17b50z&7=a5$5;g>5$5;g>6`632c85<4?:%6:`?5>921d>5o50;&7=a<50h10qo:6a;295?6=8r.?5i4;a29l6=g=83.?5i4=8`98yv4cl3:1>vP=de9>6ab=:1k0q~o8:181[g0348oh7??a:p=c<72;qU5k522ef97<7ij53g3891?f2;2j7psm3ea94?3=:3=:6*>ed86>2900el950;9l6ab=831i>ij50;494?6|,=3o6k64i02b>5<#<0n1==o4;h;g>5<#<0n15i54i8g94?"31m02i65f3g394?"31m08j<54i2;2>5<#<0n1?4?4;n0;e?6=,=3o6?6n;:a0t$5;g>1g43f83m7>5$5;g>7>f32wx>ij50;0xZ7bc348oh7<7a:pe2<72;qUm:522ef955g7}Y1m16>ij59e9~w=?=838pR574=3fg>5;c0g`?6=>3:1o68h0;6):6d;33e>=n1m0;6):6d;;g?>o>m3:1(97k:8g8?l5a93:1(97k:2d2?>o4180;6):6d;1:5>=h:1k1<7*;9e812m7>51;294~"31m0?m>5`29c94?"31m094l54}r0g`?6=:rT9hi522ef96=g7}Y1o16>ij53838yv?c2909wS7k;<0g`??c3ty357>52z\;=>;5lm02i6s|fe83>7}::mn1?k?4=5;b>7>f3twi=ik50;196?2|,=9i6k=4H5;6?M21>2.:5;hc4>5<5<22;0=w):>o5lj0;66gn7;29?l?a2900e5750;9ab3<72?0;6=u+48f9b==n99k1<7*;9e824d==n1l0;6):6d;;f?>o4n80;6):6d;1e5>=n;0;1<7*;9e80=4=5}#<0n1>5;4o3:a>5<#<0n1>5o4;|qb3?6=:rTj;63i6;33e>{t000;6?uQ889>b3<>m2wx5k4?:3y]=c=:n?085<5rs3f`>5<5sW8oo63i6;1e5>{t:mn1<77}:n?02h63;9981l5fe9'0u22ef96ae<5;no64h4=38eg>"3><0h7psm1d094?5=:3>p(9=m:g18L1?23A>=:6*>0b8f?!7bm3?3m6g79;29?lg02900c?jk:188f7bc290>6?49{%60f?`13-;ni7;7a:m1`a<722c9hn4?::kb3?6=3`3m6=44i9;94?=en?0;6;4?:1y'0l21b5h4?:%6:`??b32c8j<4?:%6:`?5a921b?4?50;&7=a<41810c?6n:18'04<729q/84j52978k7>e290/84j529c8?xuf?3:1>vPn7:?e2?77i2wx444?:3y]<<=:n?02i6s|9g83>7}Y1o16j;4<909~w7bd2909wSij50;0xZ7bc34l=6?6n;|q722<72;q6j;46d:?7==<50k1vqo<50;394?6|,=<>645+42`9ba=#<0n18l=4$0gf>0>f3flh6=4+48f96=g<3ty9hi4?:3y]6ab<5;no6?jk;|qb3?6=:rTj;63=de8b3>{t000;6?uQ889>6ab=001v84?:2y>6ab=:mi01?jk:8d8976gn9;29?lgf2900c>h?:188f1g629086=4?{%60f?2><2B?585G4748 1?c2=387)?je;7;e>oan3:1(97k:02b?>o6890;6):6d;33e>=h:181<7*;9e817}Yih168l?5fg9~w6`72909wS=i0:?7e4<50;1vqo::9;297?7=;rB?:;5+42`95c4>i4n90;66l;a083>6<729q/8>l54868L1?23A>=:6*;9e87=6=#9lo195o4igd94?"31m0:5<#<0n1==o4;n0;6?6=,=3o6?6n;:pe<<72;qUm4524`395567}Y;o:019o>:3:1?x{e<<21<7=51;1xL1013->8n7?i2:kb=?6=3`kj6=44o2d3>5<286F;949K030<,=3o697<;%3fa?3?i2cmj7>5$5;g>46f32c:<=4?:%6:`?77i21d>5<50;&7=a<50h10q~o6:181[g>34>j=7??0:ped<72;qUml524`39bc=z{:l;6=4={_1e4>;3i8094?5r}c6;=?6=;3;1?vF;679'06d=9o80el750;9jed<722e8j=4?::`7e4<72:0;6=u+42`90<2<@=3>7E:96:&7=a<31:1/=hk559c8mc`=83.?5i4>0`98m467290/84j511c8?j4?:3:1(97k:3:b?>{ti00;6?uQa89>0d7=99:0q~on:181[gf34>j=7hi;|q0b5<72;qU?k>4=5c2>7>53twi85650;195?5|@=<=7):of13:17don:188k6`72900n9o>:180>5<7s->8n7:64:J7=0=O0183>!2>l3;;m65`29094?"31m094l54}rc:>5<5sWk270:n1;334>{tih0;6?uQa`9>0d7=no1v>h?:181[5a827?m<4=839~yg2??3:1?7?53zJ723=#<:h1=k<4i`;94?=nih0;66a>d3i80;6>4?:1y'06d=<0>0D97:;I652>"31m0?5>5+1dg91=g7>5$5;g>7>f32wxm44?:3y]e<=:4}rcb>5<5sWkj70:n1;de?xu4n90;6?uQ3g2891g62;297psm27294?5=939pD989;%60f?7a:2cj57>5;hcb>5<5<4290;w):N31<1C8;84$5;g>1?43-;ni7;7a:keb?6=,=3o6<>n;:k245<72->2h7??a:9l6=4=83.?5i4=8`98yvg>2909wSo6;<6b5?7782wxml4?:3y]ed=:5<5sW9m<63;a081<7=zuk8==7>53;397~N3>?1/8>l51g08md?=831bml4?::m0b5<722h?m<4?:283>5}#<:h184:4H5;6?M21>2.?5i4;929'5`c==1k0ekh50;&7=a<68h10e<>?:18'07}Yi0168l?51128yvgf2909wSon;<6b5?`a3ty8j=4?:3y]7c6<5=k:6?6=;|a634=8391=7=tH545?!24j3;m>6gn9;29?lgf2900c>h?:188f1g629086=4?{%60f?2><2B?585G4748 1?c2=387)?je;7;e>oan3:1(97k:02b?>o6890;6):6d;33e>=h:181<7*;9e817}Yih168l?5fg9~w6`72909wS=i0:?7e4<50;1vqo<93;297?7=;rB?:;5+42`95c4>i4n90;66l;a083>6<729q/8>l54868L1?23A>=:6*;9e87=6=#9lo195o4igd94?"31m0:5<#<0n1==o4;n0;6?6=,=3o6?6n;:pe<<72;qUm4524`395567}Y;o:019o>:3:1?x{e:?>1<7=51;1xL1013->8n7?i2:kb=?6=3`kj6=44o2d3>5<286F;949K030<,=3o697<;%3fa?3?i2cmj7>5$5;g>46f32c:<=4?:%6:`?77i21d>5<50;&7=a<50h10q~o6:181[g>34>j=7??0:ped<72;qUml524`39bc=z{:l;6=4={_1e4>;3i8094?5r}c051?6=;3;1?vF;679'06d=9o80el750;9jed<722e8j=4?::`7e4<72:0;6=u+42`90<2<@=3>7E:96:&7=a<31:1/=hk559c8mc`=83.?5i4>0`98m467290/84j511c8?j4?:3:1(97k:3:b?>{ti00;6?uQa89>0d7=99:0q~on:181[gf34>j=7hi;|q0b5<72;qU?k>4=5c2>7>53twi>;850;195?5|@=<=7):of13:17don:188k6`72900n9o>:180>5<7s->8n7:64:J7=0=O0183>!2>l3;;m65`29094?"31m094l54}rc:>5<5sWk270:n1;334>{tih0;6?uQa`9>0d7=no1v>h?:181[5a827?m<4=839~yg41?3:1?7?53zJ723=#<:h1=k<4i`;94?=nih0;66a>d3i80;6>4?:1y'06d=<0>0D97:;I652>"31m0?5>5+1dg91=g7>5$5;g>7>f32wxm44?:3y]e<=:4}rcb>5<5sWkj70:n1;de?xu4n90;6?uQ3g2891g62;297psm24g94?5=939pD989;%60f?7a:2cj57>5;hcb>5<5<4290;w):N31<1C8;84$5;g>1?43-;ni7;7a:keb?6=,=3o6<>n;:k245<72->2h7??a:9l6=4=83.?5i4=8`98yvg>2909wSo6;<6b5?7782wxml4?:3y]ed=:5<5sW9m<63;a081<7=zuk8>j7>53;397~N3>?1/8>l51g08md?=831bml4?::m0b5<722h?m<4?:283>5}#<:h184:4H5;6?M21>2.?5i4;929'5`c==1k0ekh50;&7=a<68h10e<>?:18'07}Yi0168l?51128yvgf2909wSon;<6b5?`a3ty8j=4?:3y]7c6<5=k:6?6=;|a60b=8391=7=tH545?!24j3;m>6gn9;29?lgf2900c>h?:188f1g629086=4?{%60f?2><2B?585G4748 1?c2=387)?je;7;e>oan3:1(97k:02b?>o6890;6):6d;33e>=h:181<7*;9e817}Yih168l?5fg9~w6`72909wS=i0:?7e4<50;1vqol::181>5<7s->8n7??d:J7=0=Ot$51a>16<@=3>7E:96:&7=a<4n2.:ih4:8`9jbc<72->2h7??a:9j556=83.?5i4>0`98k7>5290/84j529c8?xd3>:0;6>4?:1y'06d=<91C84;4H545?!2>l39m7)?je;7;e>oan3:1(97k:02b?>o6890;6):6d;33e>=h:181<7*;9e8152;294~"3;k0:2h769;%3fa?3?i2cmi7>5$5;g>46f32e94?4?:%6:`?4?i2B?5n54}c00`?6=:3:113-;ni7;7a:kea?6=,=3o6<>n;:m1<7<72->2h7<7a:J7=f=53;294~"3;k0?<6F;949K030<,=3o6>h4$0gf>0>f3`lm6=4+48f955g<3`;;<7>5$5;g>46f32e94?4?:%6:`?4?i21vn?:>:181>5<7s->8n7??d:J7=0=O296F;679'00`98m467290/84j511c8?j4?:3:1(97k:3:b?>{e:=?1<7<50;2x 15e28:o7E:65:J723=#<0n14;5+1dg91=g=:6*;9e80b>"6ml0>4l5ffg83>!2>l3;;m65f11294?"31m0:5<#<0n1>5o4;|`10<<72;0;6=u+42`955b<@=3>7E:96:&7=a2.:ih4:8`9jb`<72->2h7??a:9l6=4=83.?5i4=8`9K05}#<:h18=5G4878L1013->2h7=i;%3fa?3?i2cmj7>5$5;g>46f32c:<=4?:%6:`?77i21d>5<50;&7=a<50h10qo<;d;296?6=8r.??o4>0e9K0<3<@=<=7):6d;:5?!7bm3?3m6gie;29 1?c28:j76a=8383>!2>l383m6F;9b98yg43m3:1?7>50z&77g<382B?585G4748 1?c2:l0(i50;0;6):6d;0;e>=zj;?:6=4=:183!24j3;;h6F;949K030<,=3o6584$0gf>0>f3`ln6=4+48f955g<3f83>7>5$5;g>7>f3A>2o65rb371>5<4290;w):=2B?:;5+48f97c=#9lo195o4igd94?"31m0:5<#<0n1==o4;n0;6?6=,=3o6?6n;:a603=8381<7>t$51a>46c3A>296F;679'00`98k7>5290/84j529c8L1?d32wi>8850;194?6|,=9i69>4H5;6?M21>2.?5i450;&7=a<68h10c?6=:18'07<729q/8>l511f8L1?23A>=:6*;9e8;2>"6ml0>4l5ffd83>!2>l3;;m65`29094?"31m094l5G48a8?xd5=h0;6>4?:1y'06d=<91C84;4H545?!2>l39m7)?je;7;e>oan3:1(97k:02b?>o6890;6):6d;33e>=h:181<7*;9e8153;294~"3;k094:5G4878L1013->2h7<76:&2a`<20h1bjk4?:%6:`?77i21b==>50;&7=a<68h10c?6=:18'07<729q/8>l511f8L1?23A>=:6*;9e8;2>"6ml0>4l5ffd83>!2>l3;;m65`29094?"31m094l5G48a8?xd59=0;6>4?:1y'06d=<91C84;4H545?!2>l39m7)?je;7;e>oan3:1(97k:02b?>o6890;6):6d;33e>=h:181<7*;9e8152;294~"3;k0:2h769;%3fa?3?i2cmi7>5$5;g>46f32e94?4?:%6:`?4?i2B?5n54}c02e?6=;3:1N31<1C8;84$5;g>6`<,8on686n;hde>5<#<0n1==o4;h334?6=,=3o6<>n;:m1<7<72->2h7<7a:9~f71e29086=4?{%60f?273A>296F;679'00`98m467290/84j511c8?j4?:3:1(97k:3:b?>{e:>31<7=50;2x 15e2=:0D97:;I652>"31m08j6*>ed86=n99:1<7*;9e824d=5}#<:h18=5G4878L1013->2h7=i;%3fa?3?i2cmj7>5$5;g>46f32c:<=4?:%6:`?77i21d>5<50;&7=a<50h10qo<85;297?6=8r.??o4;0:J7=0=O!2>l383m65rb350>5<4290;w):=2B?:;5+48f97c=#9lo195o4igd94?"31m0:5<#<0n1==o4;n0;6?6=,=3o6?6n;:a627=8391<7>t$51a>16<@=3>7E:96:&7=a<4n2.:ih4:8`9jbc<72->2h7??a:9j556=83.?5i4>0`98k7>5290/84j529c8?xd5>o0;6>4?:1y'06d=<91C84;4H545?!2>l39m7)?je;7;e>oan3:1(97k:02b?>o6890;6):6d;33e>=h:181<7*;9e8153;294~"3;k0?<6F;949K030<,=3o6>h4$0gf>0>f3`lm6=4+48f955g<3`;;<7>5$5;g>46f32e94?4?:%6:`?4?i21vn?8m:180>5<7s->8n7:?;I6:1>N3>?1/84j53g9'5`c==1k0ekh50;&7=a<68h10e<>?:18'0=:6*;9e80b>"6ml0>4l5ffg83>!2>l3;;m65f11294?"31m0:5<#<0n1>5o4;|`7<3<72:0;6=u+42`905=O<0?0D989;%6:`?5a3-;ni7;7a:keb?6=,=3o6<>n;:k245<72->2h7??a:9l6=4=83.?5i4=8`98yg2?;3:1?7>50z&77g<382B?585G4748 1?c2:l0(i50;0;6):6d;0;e>=zj=2;6=4<:183!24j3>;7E:65:J723=#<0n1?k5+1dg91=g7>5$5;g>7>f32wi8:m50;094?6|,=9i6<>k;I6:1>N3>?1/84j5879'5`c==1k0ekk50;&7=a<68h10c?6=:18'0t$51a>16<@=3>7E:96:&7=a<4n2.:ih4:8`9jbc<72->2h7??a:9j556=83.?5i4>0`98k7>5290/84j529c8?xd30k0;6>4?:1y'06d=<91C84;4H545?!2>l39m7)?je;7;e>oan3:1(97k:02b?>o6890;6):6d;33e>=h:181<7*;9e813h7>53;294~"3;k0?<6F;949K030<,=3o6>h4$0gf>0>f3`lm6=4+48f955g<3`;;<7>5$5;g>46f32e94?4?:%6:`?4?i21vn9;9:181>5<7s->8n7??d:J7=0=O296F;679'00`98m467290/84j511c8?j4?:3:1(97k:3:b?>{e<<81<7<50;2x 15e28:o7E:65:J723=#<0n14;5+1dg91=g=:6*;9e80b>"6ml0>4l5ffg83>!2>l3;;m65f11294?"31m0:5<#<0n1>5o4;|`70`<72;0;6=u+42`955b<@=3>7E:96:&7=a2.:ih4:8`9jb`<72->2h7??a:9l6=4=83.?5i4=8`9K05}#<:h18=5G4878L1013->2h7=i;%3fa?3?i2cmj7>5$5;g>46f32c:<=4?:%6:`?77i21d>5<50;&7=a<50h10qo:;9;296?6=8r.??o4>0e9K0<3<@=<=7):6d;:5?!7bm3?3m6gie;29 1?c28:j76a=8383>!2>l383m6F;9b98yg23i3:1>7>50z&77g<68m1C84;4H545?!2>l32=7)?je;7;e>oam3:1(97k:02b?>i50;0;6):6d;0;e>N31j10qo:;b;297?6=8r.??o4;0:J7=0=O!2>l383m65rb57`>5<4290;w):=2B?:;5+48f97c=#9lo195o4igd94?"31m0:5<#<0n1==o4;n0;6?6=,=3o6?6n;:a00c=8391<7>t$51a>16<@=3>7E:96:&7=a<4n2.:ih4:8`9jbc<72->2h7??a:9j556=83.?5i4>0`98k7>5290/84j529c8?xd6mh0;6?4?:1y'06d=99n0D97:;I652>"31m03:6*>ed86=h:181<7*;9e81ec83>6<729q/8>l5419K0<3<@=<=7):6d;1e?!7bm3?3m6gif;29 1?c28:j76g>0183>!2>l3;;m65`29094?"31m094l54}c3g`?6=<3:1N31<1C8;84$5;g>6`<,8on686n;hde>5<#<0n1==o4;h334?6=,=3o6<>n;:k244<72->2h7??a:9l6=4=83.?5i4=8`98yg23:3:1?7>50z&77g<382B?585G4748 1?c2:l0(i50;0;6):6d;0;e>=zj=>86=4=:183!24j3;;h6F;949K030<,=3o6584$0gf>0>f3`ln6=4+48f955g<3f83>7>5$5;g>7>f3A>2o65rb567>5<5290;w):N31<1C8;84$5;g>=0<,8on686n;hdf>5<#<0n1==o4;n0;6?6=,=3o6?6n;I6:g>=zj=>>6=4<:183!24j3>;7E:65:J723=#<0n1?k5+1dg91=g7>5$5;g>7>f32wi89850;194?6|,=9i69>4H5;6?M21>2.?5i450;&7=a<68h10c?6=:18'0<<729q/8>l5449K0<3<@=<=7):6d;1e?!7bm3?3m6gif;29 1?c28:j76g>0183>!2>l3;;m65f11394?"31m0:5<#<0n1==o4;h337?6=,=3o6<>n;:k241<72->2h7??a:9j553=83.?5i4>0`98m461290/84j511c8?j4?:3:1(97k:3:b?>{e:981<7=50;2x 15e2=:0D97:;I652>"31m08j6*>ed86=n99:1<7*;9e824d=4?:383>5}#<:h1==j4H5;6?M21>2.?5i476:&2a`<20h1bjh4?:%6:`?77i21d>5<50;&7=a<50h1C84m4;|`141<72:0;6=u+42`905=O<0?0D989;%6:`?5a3-;ni7;7a:keb?6=,=3o6<>n;:k245<72->2h7??a:9l6=4=83.?5i4=8`98yg47=3:1?7>50z&77g<382B?585G4748 1?c2:l0(i50;0;6):6d;0;e>=zj;:=6=4<:183!24j3>;7E:65:J723=#<0n1?k5+1dg91=g7>5$5;g>7>f32wi>k;I6:1>N3>?1/84j5879'5`c==1k0ekk50;&7=a<68h10c?6=:18'0t$51a>46c3A>296F;679'00`98k7>5290/84j529c8L1?d32wi>?:50;094?6|,=9i6<>k;I6:1>N3>?1/84j5879'5`c==1k0ekk50;&7=a<68h10c?6=:18'0t$51a>46c3A>296F;679'00`98k7>5290/84j529c8L1?d32wi>?850;094?6|,=9i6<>k;I6:1>N3>?1/84j5879'5`c==1k0ekk50;&7=a<68h10c?6=:18'0t$51a>46c3A>296F;679'00`98k7>5290/84j529c8L1?d32wi>?650;094?6|,=9i6<>k;I6:1>N3>?1/84j5879'5`c==1k0ekk50;&7=a<68h10c?6=:18'0t$51a>46c3A>296F;679'00`98k7>5290/84j529c8L1?d32wi>?o50;094?6|,=9i6<>k;I6:1>N3>?1/84j5879'5`c==1k0ekk50;&7=a<68h10c?6=:18'0t$51a>46c3A>296F;679'00`98k7>5290/84j529c8L1?d32wi>k;I6:1>N3>?1/84j5879'5`c==1k0ekk50;&7=a<68h10c?6=:18'0t$51a>46c3A>296F;679'00`98k7>5290/84j529c8L1?d32wi>?>50;;94?6|,=9i69;4H5;6?M21>2.?5i450;&7=a<68h10e<>>:18'00283>!2>l3;;m65f11694?"31m0:5<#<0n1==o4;h332?6=,=3o6<>n;:m1<7<72->2h7<7a:9~f746290>6=4?{%60f?253A>296F;679'00`98m467290/84j511c8?l7793:1(97k:02b?>o68;0;6):6d;33e>=h:181<7*;9e817>53;294~"3;k0?<6F;949K030<,=3o6>h4$0gf>0>f3`lm6=4+48f955g<3`;;<7>5$5;g>46f32e94?4?:%6:`?4?i21vn>k>:180>5<7s->8n7:?;I6:1>N3>?1/84j53g9'5`c==1k0ekh50;&7=a<68h10e<>?:18'0=2B?:;5+48f9<3=#9lo195o4igg94?"31m0:5<#<0n1>5o4H5;`?>{e;l91<7<50;2x 15e28:o7E:65:J723=#<0n14;5+1dg91=g=:6*;9e80b>"6ml0>4l5ffg83>!2>l3;;m65f11294?"31m0:5<#<0n1>5o4;|`0a0<72:0;6=u+42`905=O<0?0D989;%6:`?5a3-;ni7;7a:keb?6=,=3o6<>n;:k245<72->2h7??a:9l6=4=83.?5i4=8`98yg7b<3:1>7>50z&77g<68m1C84;4H545?!2>l32=7)?je;7;e>oam3:1(97k:02b?>i50;0;6):6d;0;e>N31j10qo?j5;296?6=8r.??o4>0e9K0<3<@=<=7):6d;:5?!7bm3?3m6gie;29 1?c28:j76a=8383>!2>l383m6F;9b98yg7b>3:1?7>50z&77g<382B?585G4748 1?c2:l0(i50;0;6):6d;0;e>=zj8o<6=4<:183!24j3>;7E:65:J723=#<0n1?k5+1dg91=g7>5$5;g>7>f32wi=h650;194?6|,=9i6?68;I6:1>N3>?1/84j52948 4cb2<2j7dhi:18'0!2>l383m65rb0g3>5<3290;w):=2B?:;5+48f97c=#9lo195o4igd94?"31m0:5<#<0n1==o4;h335?6=,=3o6<>n;:m1<7<72->2h7<7a:9~f4c629086=4?{%60f?4??2B?585G4748 1?c2;2=7)?je;7;e>oan3:1(97k:02b?>o6890;6):6d;33e>=h:181<7*;9e8152;294~"3;k0:2h769;%3fa?3?i2cmi7>5$5;g>46f32e94?4?:%6:`?4?i2B?5n54}c1fa?6=:3:113-;ni7;7a:kea?6=,=3o6<>n;:m1<7<72->2h7<7a:J7=f=54;294~"3;k0?=6F;949K030<,=3o6>h4$0gf>0>f3`lm6=4+48f955g<3`;;<7>5$5;g>46f32c:<<4?:%6:`?77i21d>5<50;&7=a<50h10qo<=c;296?6=8r.??o4>0e9K0<3<@=<=7):6d;:5?!7bm3?3m6gie;29 1?c28:j76a=8383>!2>l383m6F;9b98yg45l3:1>7>50z&77g<68m1C84;4H545?!2>l32=7)?je;7;e>oam3:1(97k:02b?>i50;0;6):6d;0;e>N31j10qo<=e;296?6=8r.??o4>0e9K0<3<@=<=7):6d;:5?!7bm3?3m6gie;29 1?c28:j76a=8383>!2>l383m6F;9b98yg45n3:1>7>50z&77g<68m1C84;4H545?!2>l32=7)?je;7;e>oam3:1(97k:02b?>i50;0;6):6d;0;e>N31j10qo<<0;297?6=8r.??o4=869K0<3<@=<=7):6d;0;2>"6ml0>4l5ffg83>!2>l3;;m65f11294?"31m0:5<#<0n1>5o4;|`174<72:0;6=u+42`905=O<0?0D989;%6:`?5a3-;ni7;7a:keb?6=,=3o6<>n;:k245<72->2h7??a:9l6=4=83.?5i4=8`98yg44:3:1?7>50z&77g<50>1C84;4H545?!2>l383:6*>ed86=n99:1<7*;9e824d=4?:283>5}#<:h18=5G4878L1013->2h7=i;%3fa?3?i2cmj7>5$5;g>46f32c:<=4?:%6:`?77i21d>5<50;&7=a<50h10qo0e9K0<3<@=<=7):6d;:5?!7bm3?3m6gie;29 1?c28:j76a=8383>!2>l383m6F;9b98yg47i3:1>7>50z&77g<68m1C84;4H545?!2>l32=7)?je;7;e>oam3:1(97k:02b?>i50;0;6):6d;0;e>N31j10qo0e9K0<3<@=<=7):6d;:5?!7bm3?3m6gie;29 1?c28:j76a=8383>!2>l383m6F;9b98yg47k3:187>50z&77g<392B?585G4748 1?c2:l0(o6880;6):6d;33e>=h:181<7*;9e8153;294~"3;k094:5G4878L1013->2h7<76:&2a`<20h1bjk4?:%6:`?77i21b==>50;&7=a<68h10c?6=:18'06<729q/8>l5419K0<3<@=<=7):6d;1e?!7bm3?3m6gif;29 1?c28:j76g>0183>!2>l3;;m65`29094?"31m094l54}c03b?6=;3:12.:ih4:8`9jbc<72->2h7??a:9j556=83.?5i4>0`98k7>5290/84j529c8?xd0k3:1>7>50z&77g<68m1C84;4H545?!2>l32=7)?je;7;e>oam3:1(97k:02b?>i50;0;6):6d;0;e>N31j10qo9k:181>5<7s->8n7??d:J7=0=Ot$51a>16<@=3>7E:96:&7=a<4n2.:ih4:8`9jbc<72->2h7??a:9j556=83.?5i4>0`98k7>5290/84j529c8?xd0n3:1?7>50z&77g<382B?585G4748 1?c2:l0(i50;0;6):6d;0;e>=zj1:1<7=50;2x 15e2;2<7E:65:J723=#<0n1>584$0gf>0>f3`lm6=4+48f955g<3`;;<7>5$5;g>46f32e94?4?:%6:`?4?i21vn5?50;194?6|,=9i69>4H5;6?M21>2.?5i450;&7=a<68h10c?6=:18'06<729q/8>l5419K0<3<@=<=7):6d;1e?!7bm3?3m6gif;29 1?c28:j76g>0183>!2>l3;;m65`29094?"31m094l54}c6f1?6=:3:113-;ni7;7a:kea?6=,=3o6<>n;:m1<7<72->2h7<7a:J7=f=n:7>52;294~"3;k0:2h769;%3fa?3?i2cmi7>5$5;g>46f32e94?4?:%6:`?4?i2B?5n54}c6f3?6=;3:1N31<1C8;84$5;g>6`<,8on686n;hde>5<#<0n1==o4;h334?6=,=3o6<>n;:m1<7<72->2h7<7a:9~f1c?29086=4?{%60f?4??2B?585G4748 1?c2;2=7)?je;7;e>oan3:1(97k:02b?>o6890;6):6d;33e>=h:181<7*;9e81n57>53;294~"3;k0?<6F;949K030<,=3o6>h4$0gf>0>f3`lm6=4+48f955g<3`;;<7>5$5;g>46f32e94?4?:%6:`?4?i21vn9kn:180>5<7s->8n7<77:J7=0=O0183>!2>l3;;m65`29094?"31m094l54}c0f4?6==3:1N31<1C8;84$5;g>6`<,8on686n;hde>5<#<0n1==o4;h334?6=,=3o6<>n;:k244<72->2h7??a:9j554=83.?5i4>0`98k7>5290/84j529c8?xd5m<0;6?4?:1y'06d=99n0D97:;I652>"31m03:6*>ed86=h:181<7*;9e817<729q/8>l511f8L1?23A>=:6*;9e8;2>"6ml0>4l5ffd83>!2>l3;;m65`29094?"31m094l5G48a8?xd5m>0;6?4?:1y'06d=99n0D97:;I652>"31m03:6*>ed86=h:181<7*;9e817<729q/8>l511f8L1?23A>=:6*;9e8;2>"6ml0>4l5ffd83>!2>l3;;m65`29094?"31m094l5G48a8?xd5m00;6?4?:1y'06d=99n0D97:;I652>"31m03:6*>ed86=h:181<7*;9e816<729q/8>l52958L1?23A>=:6*;9e81<3=#9lo195o4igd94?"31m0:5<#<0n1==o4;n0;6?6=,=3o6?6n;:a6`d=8391<7>t$51a>16<@=3>7E:96:&7=a<4n2.:ih4:8`9jbc<72->2h7??a:9j556=83.?5i4>0`98k7>5290/84j529c8?xd5mj0;6>4?:1y'06d=<91C84;4H545?!2>l39m7)?je;7;e>oan3:1(97k:02b?>o6890;6):6d;33e>=h:181<7*;9e8153;294~"3;k094:5G4878L1013->2h7<76:&2a`<20h1bjk4?:%6:`?77i21b==>50;&7=a<68h10c?6=:18'06<729q/8>l52958L1?23A>=:6*;9e81<3=#9lo195o4igd94?"31m0:5<#<0n1==o4;n0;6?6=,=3o6?6n;:a6`5=8391<7>t$51a>16<@=3>7E:96:&7=a<4n2.:ih4:8`9jbc<72->2h7??a:9j556=83.?5i4>0`98k7>5290/84j529c8?xd5m=0;6>4?:1y'06d=:1=0D97:;I652>"31m094;5+1dg91=g7>5$5;g>7>f32wi>>;50;194?6|,=9i69>4H5;6?M21>2.?5i450;&7=a<68h10c?6=:18'06<729q/8>l5419K0<3<@=<=7):6d;1e?!7bm3?3m6gif;29 1?c28:j76g>0183>!2>l3;;m65`29094?"31m094l54}c003?6=:3:113-;ni7;7a:kea?6=,=3o6<>n;:m1<7<72->2h7<7a:J7=f=53;294~"3;k094:5G4878L1013->2h7<76:&2a`<20h1bjk4?:%6:`?77i21b==>50;&7=a<68h10c?6=:18'01<729q/8>l5409K0<3<@=<=7):6d;1e?!7bm3?3m6gif;29 1?c28:j76g>0183>!2>l3;;m65f11394?"31m0:5<#<0n1>5o4;|`17d<72:0;6=u+42`96=1<@=3>7E:96:&7=a<50?1/=hk559c8mc`=83.?5i4>0`98m467290/84j511c8?j4?:3:1(97k:3:b?>{t?h0;6>uQ7`9>3d<5lm16;h4if:p3g<72;q6;l4n7:?;5?4?:2wx;n4?:3y>3f<50;16;h4>019~w2b=838p1:j5290892`=99:0q~9j:18181b2;29706?:gd8yv1a2909w09i:3:1?8>728:;7p}70;296~;?8383>6371;334>{t0;0;64uQ839>0=0=no1685=5fg9>0=6=no168:j5fg9>67e=nl16>=m5fg9>6`5=no16>>85fg9~w>34>3=766;<64a?>>34>>348:=766;<063?>>348>?766;<07b?>>348?n766;<073?>>348??766;<00b?>>3488n766;<660?>>34>><766;<67g?>>34>?;766;=?<5ll144524d39<<=:==<144527`8;=>;5ll03563>fg8;=>;29903563=068;=>;59903563;418;=>;4lj03563;6ll03563>e38;=>{tj:0;6?uQb29>ac016=1m16?im59e9>7`>=1m1689959e9>002=1m1688>59e9>01e=1m16n;4=839>035=99:01n87hi;<6f1?`b348857??0:p5a`=838p1e183>7}:9l:1>5<4=0g2>4673ty:i?4?:9y>5`4=:mn010`?=no16>>95fd9~w4c42909w0?j2;c4?87b0383>6s|1d694?4|58o?6?6=;<3f2?7782wx=h;50;0x94c22;2970?j7;334>{t9l<1<77>534;n47hi;|q2a2<72;q6=h95290894c?28:;7p}>e883>7}:9lk1>5<4=0ga>4673ty:in4?:02x90212h=01?=k:gg897262oo01?:::gg8972>2oo01?:k:gg897362oo01?;::gg8973>2oo01??9:023?846;3ln70<>9;df?87bj383>63=2g8ea>;5800mi63=0b8245=z{8lm6=4={<3eb?4cl27:hi4>009~w7672909w0?if;c4?847>383>6s|21394?4|5;::6?6=;<032?`a3ty9654=:1801?>::gd8yv47;3:1>v3=0281<7=::9>1==>4}r030?6=:r79<94=839>653=99:0q~57z?142<5lm16>8j5a89>63?=99:01?><:gg897442oo01?>m:gg897c?2oo0q~0j;63=0g81<7=z{;:26=4={<03=?4?:279n:3:1?847l3;;<6s|21`94?4|5;:i6?6=;<03a?7782wx>=m50;0x976d2;2970{t:9n1<77>5348;i7hi;|q14`<72;q6>=k52908976a2ol0q~?i6;292~;59909hi5224f9ed=::?31jk522139bc=::8i1jh522d;9b`=z{8l?6=4:{<025?4cl2799h4na:?13g<<50;0x97762h=01??;:3:1?xu59:0;6?u220196=4<5;;?6<>?;|q150<72kq6>601=1m16>8=59e9>61`=1m16>9l59e9>611=1m16>9=59e9>66`=1m16>>l59e9>641=1m16><852908yv7a=3:18v3=1681`a=::5<5s48:;7o8;<02e?4?:2wx><750;0x977>2;2970<>a;334>{t:8h1<7:t=301>7>53489i7hj;<03e?`b348847hi;|q15f<72;q6>d;296~;59m094?52233955652z?15`<50;16>??51138yv46n3:1>v3=1g81<7=::;;1==<4}r014?6=:r79>=4=839>674=no1v?<>:1818459383>63=238245=z{;886=4={<017?4?:279>=4>019~w7432909w0<=4;0;6>;5:90:<<5rs306>5<5s48997<72:?165<68;1v?<9:181845>383>63=218246=z{;8<6=4={<013?4?:279>=4>059~w74?2909w0<=8;0;6>;5:90:<85rs30:>5<5s48957<72:?165<68?1v?63=208eb>{t:;h1<7d1<5;986?6=;|q16f<72;q6>?m5290897572ol0q~<=d;296~;5:m094?52222955652z?16`<50;16>>?51128yv45n3:1>v3=2g81<7=:::81==>4}r004?6=:r79?=4=839>667=no1v?=>:1818449383>63=338eb>{t::81<77>53488?7??0:p662=839p1?>8:8f8977720n01?=n:3:1?xu5;<0;6?u222796=4<5;9j6kh4}r002?6=:r79?;4=839>66>=99:0q~<<7;296~;5;>094?5222;955752z?17=<50;16>>75fg9~w75>2909w0<<9;0;6>;5;h0:<=5rs0d4>5<2s488n7?:5fd9~w75d2909w0<6s|22f94?4|5;9o6?6=;<00a?7782wx=k650;7x975a2;no70<91;cb?841l3lm70;5:?0mi6s|25294?4|5;9m6l94=361>7>53ty98<4?:3y>617=:1801?:=:023?xu6n00;68u225196ab<5;<96lo4=34e>c`<5;::6<>>;<011?`b3ty9894?:3y>615=i>16>9852908yv43=3:1>v3=4481<7=::=<1==>4}r3ee?6==r798:4=de9>635=ih16>:?5fg9>657=99901?<8:gg8yv4303:1>v3=468b3>;55<5s48?57<72:?10d<6891v;5?:0mj63=008240=::;31jh5rs36`>5<5s48?n7o8;<07a?4?:2wx>9j50;0x972c2;2970<;e;334>{t9oi1<7;t=36e>7bc348=97on;<041?`a348;=7??4:?16=8>50;0x972a2h=01?;=:3:1?xu5=80;6?u224396=4<5;?96<>?;|q2ba<728=52ef897012hk01?98:gd8976628:=70<=a;df?xu5==0;6?u22419e2=::<<1>5<4}r061?6=:r79984=839>600=99:0q~?ie;291~;5=>09hi522759ed=::>31jk522109556<5;;n6kk4}r0663=5`8245=z{;<36=4<{<054?g>348=n7??0:?12<<50;1v?8n:18084193k270<9d;334>;5>k094?5rs34`>5<4s48=>7o6;<05b?778279:i4=839~w70b2908w0<93;c:?84093;;<63=6g81<7=z{;=;6=4<{<050?g>348;5?:094?5rs357>5<4s48=:7o6;<043?778279;84=839~w7112908w0<97;c:?84013;;<63=7681<7=z{;=36=4<{<06a?g>348{t:>o1<7?;{<6:2?`d348:;77i;<025??a348>;77i;<067??a348?j77i;<07f??a348?;77i;<077??a3488j77i;<00f??a34>>877i;<664??a34>?o77i;<673??a348;;77i;<024??a34>?<77i;<1gg??a349n477i;|q1``<72:qU>ik4=3ff>7bc348nm7hi;|q1`c<72;q6>ik5a69>6`2=:180q~52z?1a4<50;16>h<51128yv4b:3:1>v3=e381<7=::l91==>4}r0f7?6=:r79i>4=839>6`2=no1v?k::18184b=383>63=ec8eb>{t:l<1<77>5348nn7??0:p6`1=838p1?k8:3:1?84b93;;<6s|2d:94?4|5;o36?6=;<0fg?`a3ty9i44?:3y>6`?=:1801?kl:023?xu5mh0;6?u22dc96=4<5;o96kh4}r0ff?6=:r79io4=839>6`7=no1v?kl:18184bk383>63=e58245=z{;lm6=4={_0eb>;5m<0mi6s|3ea94?4|V:nh70=kc;0g`>{t;l:1<7cc<5:o>6?6=;|q0a4<72;q6?h?5290896c22ol0q~=j2;296~;4m;094?523d69bc=z{:o86=4={<1f7?4?:278i94>019~w6c32909w0=j4;0;6>;4m<0:<=5rs2g5>5<5s49oo7o8;<1f3?4?:2wx?h650;0xZ6c?349n47k7:`5896ca2;297p}7}:;ln1>5<4=2ge>c`52z?0a`<50;16?hh51138yv27;3:18vP;029>67b=nl16>=m51138975128:;7p};1583>7}Y<8>01?k9:gg8yv2383:18v3;4181`a=:6<>?;|q704<72;q689>5a69>010=:180q~:;2;296~;3<;094?524549bc=z{=>86=4={<677?4?:27?884if:p012=838p19:;:3:1?823=3;;<6s|45794?4|5=>>6?6=;<672?7782wx8>m50;4x91202;no70::8;c:?823i3ln70::c;334>;3<;0mj63{t<=21<7d1<5=>i6?6=;|q70<<72;q689752908912e2ol0q~:;a;296~;38h7>56z?70f<5lm168865a`9>00e=no1689=5fd9>7`7=no16?hk5fd9~w12c2909w0:;c;c4?823n383>6s|45g94?4|5=>n6?6=;<67b?7782wx8>k50;4x91372;no70::9;cb?822m3lm70:;4;df?85b;3ln70=jd;df?xu3=80;6?u24429e2=:<<91>5<4}r666?6=:r7?9?4=839>005=99:0q~:4=2g2>467349nj7??0:p003=838p19;;:`5891302;297p};5783>7}:<<<1>5<4=574>4673ty?9o4?:2y>00?=i01688m52908913b28:;7p};5e83>7}:<>j7>52z?71=<4n91689h5fg9~w1072909w0::9;1e4>;3=:0mj6s|47394?4|5=?j6>h?;<663?`a3ty?:?4?:7y>035=:1801??9:gd891312oo019;=:gg8912b2oo019:6:gg8yv20>3:19v3;7`81`a=:<1=1m45246a9b`=:<1h1==>4=3g3>c`52z?73d;3?m0:<=5rs554>5<3s4>{t<>21<7:t=5:2>7bc34>347on;<6;`?`a348n<7??1:p0=4=838p196>:`5891>42;297p};7883>6}:<1>1>ij4=5::>dg<5;o;6<>=;|q7<0<72;q685:5a69>0=0=:180q~:7a;297~;3010j563;8c81<7=:<1n1==>4}r6;g?6=:r7?444n9:?74>019~w1?72909w0:79;1e4>;30?0:<=5rs5c7>5<5s48>h7=i0:?1764;de?xu3i?0;6?u224d97c6<5;;j6kh4}r6b3?6=:r79:=466c=no1v9o7:181841939m<63=438eb>{t6`7348?:7hi;|q7ed<72;q6>;=53g28972f2ol0q~:nb;296~;5>=08j=5225g9bc=z{=kh6=4={<051?5a82799?4if:p0db=838p1?89:2d3?842>3lm7p};ad83>7}::?=1?k>4=37b>c`n=7>55z\7a4=:ij4=6f9b`=:1==>4=5g;>c`n?7>52z?7a4;3mh0mj6s|4d794?4|5=o>6?6=;<6f3?`a3ty?i;4?:3y>0`0=:18019k8:023?xu3m>0;6?u24d596=4<5=o36<>?;|q7a=<72;q68h65290891c>28:;7p};e883>7}:5<4=5gb>4673ty>==4=de9>5`6=99:019k9:gg8yv33>3:1>vP:479>110=:mn0q~;73;296~X20:16>h95fd9~yg2ej3:1>7>50z&77g<68m1C84;4H545?!2>l32=7)?je;7;e>oam3:1(97k:02b?>i50;0;6):6d;0;e>N31j10qo:k2;296?6=8r.??o4>0e9K0<3<@=<=7):6d;:5?!7bm3?3m6gie;29 1?c28:j76a=8383>!2>l383m6F;9b98yg2e?3:1>7>50z&77g<68m1C84;4H545?!2>l32=7)?je;7;e>oam3:1(97k:02b?>i50;0;6):6d;0;e>N31j10qo:m8;296?6=8r.??o4>0e9K0<3<@=<=7):6d;:5?!7bm3?3m6gie;29 1?c28:j76a=8383>!2>l383m6F;9b98yg2e13:1?7>50z&77g<382B?585G4748 1?c2:l0(i50;0;6):6d;0;e>=zj<>>6=4=:183!24j3;;h6F;949K030<,=3o6584$0gf>0>f3`ln6=4+48f955g<3f83>7>5$5;g>7>f3A>2o65rb5`e>5<5290;w):N31<1C8;84$5;g>=0<@88j7)?m4;33e>"6ml0>4l5ffd83>!2>l3;;m65`29094?"31m094l54}c77b?6=:3:113A;9m6*>b5824d=#9lo195o4igg94?"31m0:5<#<0n1>5o4;|`7``<72;0;6=u+42`955?<@=3>7E:96:&7=a2B:>l5+1c6955g<,8on686n;hdf>5<#<0n1==o4;n0;6?6=,=3o6?6n;:aag<72;0;6=u+42`955?<@=3>7E:96:&7=a2B:>l5+1c6955g<,8on686n;hdf>5<#<0n1==o4;n0;6?6=,=3o6?6n;:ab7<72;0;6=u+42`955?<@=3>7E:96:&7=a2B:>l5+1c6955g<,8on686n;hdf>5<#<0n1==o4;n0;6?6=,=3o6?6n;:a0c5=8381<7>t$51a>46>3A>296F;679'046f3-;ni7;7a:kea?6=,=3o6<>n;:m1<7<72->2h7<7a:9~f06a29096=4?{%60f?7712B?585G4748 1?c21<0D<2h7??a:9l6=4=83.?5i4=8`98yg30m3:1>7>50z&77g<6801C84;4H545?!2>l32=7E?=a:&2f1<68h1/=hk559c8mcc=83.?5i4>0`98k7>5290/84j529c8?xd>93:1>7>50z&77g<6801C84;4H545?!2>l32=7E?=a:&2f1<68h1/=hk559c8mcc=83.?5i4>0`98k7>5290/84j529c8?xd?j3:1>7>50z&77g<6801C84;4H545?!2>l32=7E?=a:&2f1<68h1/=hk559c8mcc=83.?5i4>0`98k7>5290/84j529c8?xd29:0;6?4?:1y'06d=9930D97:;I652>"31m03:6F>2`9'5g2=99k0({e=1?1<7<50;2x 15e28:27E:65:J723=#<0n14;5G13c8 4d328:j7)?je;7;e>oam3:1(97k:02b?>i50;0;6):6d;0;e>=zj>;1<7<50;2x 15e28:27E:65:J723=#<0n14;5G13c8 4d328:j7)?je;7;e>oam3:1(97k:02b?>i50;0;6):6d;0;e>=zj>:1<7<50;2x 15e28:27E:65:J723=#<0n14;5G13c8 4d328:j7)?je;7;e>oam3:1(97k:02b?>i50;0;6):6d;0;e>=zj?l1<7<50;2x 15e28:27E:65:J723=#<0n14;5G13c8 4d328:j7)?je;7;e>oam3:1(97k:02b?>i50;0;6):6d;0;e>=zj?o1<7<50;2x 15e28:27E:65:J723=#<0n14;5G13c8 4d328:j7)?je;7;e>oam3:1(97k:02b?>i50;0;6):6d;0;e>=zj?i1<7<50;2x 15e28:27E:65:J723=#<0n14;5G13c8 4d328:j7)?je;7;e>oam3:1(97k:02b?>i50;0;6):6d;0;e>=zj?h1<7<50;2x 15e28:27E:65:J723=#<0n14;5G13c8 4d328:j7)?je;7;e>oam3:1(97k:02b?>i50;0;6):6d;0;e>=zj?k1<7<50;2x 15e28:27E:65:J723=#<0n14;5G13c8 4d328:j7)?je;7;e>oam3:1(97k:02b?>i50;0;6):6d;0;e>=zj?31<7<50;2x 15e28:27E:65:J723=#<0n14;5G13c8 4d328:j7)?je;7;e>oam3:1(97k:02b?>i50;0;6):6d;0;e>=zj?21<7<50;2x 15e28:27E:65:J723=#<0n14;5G13c8 4d328:j7)?je;7;e>oam3:1(97k:02b?>i50;0;6):6d;0;e>=zj?=1<7<50;2x 15e28:27E:65:J723=#<0n14;5G13c8 4d328:j7)?je;7;e>oam3:1(97k:02b?>i50;0;6):6d;0;e>=zj?<1<7<50;2x 15e28:27E:65:J723=#<0n14;5G13c8 4d328:j7)?je;7;e>oam3:1(97k:02b?>i50;0;6):6d;0;e>=zj??1<7<50;2x 15e28:27E:65:J723=#<0n14;5G13c8 4d328:j7)?je;7;e>oam3:1(97k:02b?>i50;0;6):6d;0;e>=zj?>1<7<50;2x 15e28:27E:65:J723=#<0n14;5G13c8 4d328:j7)?je;7;e>oam3:1(97k:02b?>i50;0;6):6d;0;e>=zj?91<7<50;2x 15e28:27E:65:J723=#<0n14;5G13c8 4d328:j7)?je;7;e>oam3:1(97k:02b?>i50;0;6):6d;0;e>=zj>31<7<50;2x 15e28:27E:65:J723=#<0n14;5G13c8 4d328:j7)?je;7;e>oam3:1(97k:02b?>i50;0;6):6d;0;e>=zj>21<7<50;2x 15e28:27E:65:J723=#<0n14;5G13c8 4d328:j7)?je;7;e>oam3:1(97k:02b?>i50;0;6):6d;0;e>=zj>=1<7<50;2x 15e28:27E:65:J723=#<0n14;5G13c8 4d328:j7)?je;7;e>oam3:1(97k:02b?>i50;0;6):6d;0;e>=zj><1<7<50;2x 15e28:27E:65:J723=#<0n14;5G13c8 4d328:j7)?je;7;e>oam3:1(97k:02b?>i50;0;6):6d;0;e>=zj>?1<7<50;2x 15e28:27E:65:J723=#<0n14;5G13c8 4d328:j7)?je;7;e>oam3:1(97k:02b?>i50;0;6):6d;0;e>=zj>>1<7<50;2x 15e28:27E:65:J723=#<0n14;5G13c8 4d328:j7)?je;7;e>oam3:1(97k:02b?>i50;0;6):6d;0;e>=zj>91<7<50;2x 15e28:27E:65:J723=#<0n14;5G13c8 4d328:j7)?je;7;e>oam3:1(97k:02b?>i50;0;6):6d;0;e>=zj>81<7<50;2x 15e28:27E:65:J723=#<0n14;5G13c8 4d328:j7)?je;7;e>oam3:1(97k:02b?>i50;0;6):6d;0;e>=zj?n1<7<50;2x 15e28:27E:65:J723=#<0n14;5G13c8 4d328:j7)?je;7;e>oam3:1(97k:02b?>i50;0;6):6d;0;e>=zj<>86=4;:387!24j3;956F;949K030<,8on686n;hdf>5<l32=7E?=a:&2f1<68h1/=hk559c8mcc=83.?5i4>0`98k7>5290/84j529c8?xd50=0;6>4>:2y'06d=:1>0D<5;n0;6?6=3k>257>53;294~"31m0?>85ffd83>!2>l3;;m65f43694?"31m0j865`29094?"31m094l5G4348?xuam3:1>vPie:?7=<4?:3y]f6=:<0318?:4}r0;6?6=:rT94?5248;96=4cc<5;2?6?6=;|qea?6=:rTmi63=858ea>{tj:0;6?uQb29>6=2=j:1vqo:i1;296?6=8r.??o4=829K0<3<@=<=7):6d;:5?M75i2.:n94=8`9'5`c==1k0ekk50;&7=a<68h10c?6=:18'07<729q/8>l52918L1?23A>=:6*;9e8;2>N6:h1/=o:529c8 4cb2<2j7dhj:18'0=2B?:;5+48f9<3=O9;k0(!2>l383m65rb9d94?4=83:p(9=m:3:0?M2>=2B?:;5+48f9<3=O9;k0(!2>l383m65rb462>5<5290;w):N31<1C8;84$5;g>=0<@88j7)?m4;0;e>"6ml0>4l5ffd83>!2>l3;;m65`29094?"31m094l54}c703?6=:3:113A;9m6*>b5815<#<0n1>5o4;|`7af<72;0;6=u+42`96=5<@=3>7E:96:&7=a2B:>l5+1c696=g<,8on686n;hdf>5<#<0n1==o4;n0;6?6=,=3o6?6n;:a0`4=8381<7>t$51a>7>43A>296F;679'07>f3-;ni7;7a:kea?6=,=3o6<>n;:m1<7<72->2h7<7a:9~f1e629086<4<{%60f?4?<2B?585G4748L44f3-;i87<7a:&2a`<20h1bjh4?::ka7?6=3f83>7>5;c6:=?6=;3:1=n<;>1<7*;9e8b0>=h:181<7*;9e815248;90727>52z\1<7=:<031>5<4}|`7`6<72;0;6=u+42`96=5<@=3>7E:96:&7=a2B:>l5+1c696=g<,8on686n;hdf>5<#<0n1==o4;n0;6?6=,=3o6?6n;:a16?=8381<7>t$51a>7>43A>296F;679'07>f3-;ni7;7a:kea?6=,=3o6<>n;:m1<7<72->2h7<7a:9~f03629086<4<{%60f?4?<2B?585G4748L44f3-;i87<7a:&2a`<20h1bjh4?::ka7?6=3f83>7>5;c6:=?6=;3:1=n<;>1<7*;9e8b0>=h:181<7*;9e815248;90727>52z\1<7=:<031>5<4}|`66f<72;0;6=u+42`96=5<@=3>7E:96:&7=a2B:>l5+1c696=g<,8on686n;hdf>5<#<0n1==o4;n0;6?6=,=3o6?6n;:a0g3=8381<7>t$51a>7>43A>296F;679'07>f3-;ni7;7a:kea?6=,=3o6<>n;:m1<7<72->2h7<7a:9~f1dd29096=4?{%60f?4?;2B?585G4748 1?c21<0D<2h7??a:9l6=4=83.?5i4=8`98yg3313:1>7>50z&77g<50:1C84;4H545?!2>l32=7E?=a:&2f1<50h1/=hk559c8mcc=83.?5i4>0`98k7>5290/84j529c8?xd3nk0;6?4?:1y'06d=:190D97:;I652>"31m03:6F>2`9'5g2=:1k0({e=8?1<7=51;1x 15e2;2?7E:65:J723=O9;k0(29086=4?{%6:`?25=2cmi7>5$5;g>46f32c?>94?:%6:`?g332e94?4?:%6:`?4?i2B?>;54}rdf>5<5sWln70:69;df?xue;3:1>vPm3:?7=<<3:=1v?6=:181[4?:27?544=839~yg31?3:1?7?53z&77g<50=1C84;4H545?M75i2.:n94=8`9'5`c==1k0ekk50;9jf6<722e94?4?::`7=<<72:0;6=u+48f90737}Ynl168475fd9~wg5=838pRo=4=5;:>1433ty94?4?:3y]6=4<5=326?6=;|a156=8381<7>t$51a>7>43A>296F;679'07>f3-;ni7;7a:kea?6=,=3o6<>n;:m1<7<72->2h7<7a:9~f06>29096=4?{%60f?4?;2B?585G4748 1?c21<0D<2h7??a:9l6=4=83.?5i4=8`98yg2c>3:1>7>50z&77g<50:1C84;4H545?!2>l32=7E?=a:&2f1<50h1/=hk559c8mcc=83.?5i4>0`98k7>5290/84j529c8?xd4=80;6?4?:1y'06d=:190D97:;I652>"31m03:6F>2`9'5g2=:1k0({e;=h1<7<50;2x 15e2;287E:65:J723=#<0n14;5G13c8 4d32;2j7)?je;7;e>oam3:1(97k:02b?>i50;0;6):6d;0;e>=zj:=o6=4=:183!24j383?6F;949K030<,=3o6584H00b?!7e<383m6*>ed86=h:181<7*;9e8152;294~"3;k094>5G4878L1013->2h769;I31e>"6j=094l5+1dg91=g5}#<:h1>5=4H5;6?M21>2.?5i476:J26d=#9k>1>5o4$0gf>0>f3`ln6=4+48f955g<3f83>7>5$5;g>7>f32wi?;l50;094?6|,=9i6?6<;I6:1>N3>?1/84j5879K57g<,8h?6?6n;%3fa?3?i2cmi7>5$5;g>46f32e94?4?:%6:`?4?i21vn>8::181>5<7s->8n7<73:J7=0=O5<50;&7=a<50h10qo=:f;296?6=8r.??o4=829K0<3<@=<=7):6d;:5?M75i2.:n94=8`9'5`c==1k0ekk50;&7=a<68h10c?6=:18'07<729q/8>l52918L1?23A>=:6*;9e8;2>N6:h1/=o:529c8 4cb2<2j7dhj:18'0=2B?:;5+48f9<3=O9;k0(!2>l383m65rb271>5<5290;w):N31<1C8;84$5;g>=0<@88j7)?m4;0;e>"6ml0>4l5ffd83>!2>l3;;m65`29094?"31m094l54}c171?6=:3:113A;9m6*>b5815<#<0n1>5o4;|`7f?6=93:1m;%3a0?77i2.:j=4=c69j6a>=83.?5i4=d998yg2d290:6=4?{%6:`?4c02B?:;5G11`8 4d328:j7)?i0;0`b>o5l10;6):6d;0g<>=zj=n1<7?50;2x 1?c2;n37E:96:J24g=#9k>1==o4$0d3>7>c3`8o47>5$5;g>7b?32wi8h4?:083>5}#<0n1>i64H545?M77j2.:n94>0`9'5c6=:1o0e?j7:18'0"6n9094k5f2e:94?"31m09h554}c73>5<6290;w):6d;0g<>N3>?1C==l4$0`7>46f3-;m<7<60:k1`=<72->2h7t$5;g>7b?3A>=:6F>0c9'5g2=99k0({e=;0;6<4?:1y'0"6j=0:<3th>?7>51;294~"31m09h55G4748L46e3-;i87??a:&2b5<51:1b>i650;&7=a<5l110qo;;:182>5<7s->2h7!2>l38o465rb4794?7=83:p(97k:3f;?M21>2B:<@=<=7E??b:&2f1<68h1/=k>52878m7b?290/84j52e:8?xd2?3:1=7>50z&7=a<5l11C8;84H02a?!7e<3;;m6*>f181=3=n:m21<7*;9e81`==:183!2>l38o46F;679K55d<,8h?6<>n;%3e4?4>02c9h54?:%6:`?4c021vn8o50;394?6|,=3o6?j7;I652>N68k1/=o:511c8 4`72;3i7d4<729q/84j52e:8L1013A;;n6*>b5824d=#9o:1>i;4i3f;>5<#<0n1>i64;|`6g?6=93:1m;%3a0?77i2.:j=4=d79j6a>=83.?5i4=d998yg3c290:6=4?{%6:`?4c02B?:;5G11`8 4d328:j7)?i0;0g3>o5l10;6):6d;0g<>=zj1==o4$0d3>7?03`8o47>5$5;g>7b?32wi9k4?:083>5}#<0n1>i64H545?M77j2.:n94>0`9'5c6=:h:0e?j7:18'0"6n909m55f2e:94?"31m09h554}c42>5<6290;w):6d;0g<>N3>?1C==l4$0`7>46f3-;m<72h7t$5;g>7b?3A>=:6F>0c9'5g2=99k0({e0h0;6<4?:1y'0"6j=0:<3th3i7>51;294~"31m09h55G4748L46e3-;i87<7a:&2b5<5j=1d>i650;&7=a<5l110qo7?:182>5<7s->2h7!2>l38o465rbc194?7=83:p(97k:3f;?M21>2B:<@=<=7E??b:&2f1<68h1/=k>528f8m7b?290/84j52e:8?xd5mm0;6<4?:1y'0"6j=094l5+1g296g6<3th9ih4?:083>5}#<0n1>i64H545?M77j2.:n94=8`9'5c6=:k80c?j7:18'04<729q/84j52e:8L1013A;;n6*>b581o74o3f;>5<#<0n1>i64;|`1b4<7280;6=u+48f96a><@=<=7E??b:&2f1<50h1/=k>52`g8k7b?290/84j52e:8?xd5n;0;6<4?:1y'0"6j=094l5+1g296de<3th9j>4?:083>5}#<0n1>i64H545?M77j2.:n94=8`9'5c6=:hk0c?j7:18'04<729q/84j52e:8L1013A;;n6*>b581l74o3f;>5<#<0n1>i64;|`1b<<7280;6=u+48f96a><@=<=7E??b:&2f1<50h1/=k>52``8k7b?290/84j52e:8?xd5nh0;6<4?:1y'0"6j=094l5+1g296db<3th9jo4?:083>5}#<0n1>i64H545?M77j2.:n94=8`9'5c6=:hl0c?j7:18'04<729q/84j52e:8L1013A;;n6*>b581o?4o3f;>5<#<0n1>i64;|`1ba<7280;6=u+48f96a><@=<=7E??b:&2f1<50h1/=k>52c18k7b?290/84j52e:8?xd3j=0;6<4?:1y'0"6j=094l5+1g296fd<3th?nl4?:083>5}#<0n1>i64H545?M77j2.:n94=8`9'5c6=:jk0c?j7:18'04<729q/84j52e:8L1013A;;n6*>b5824d=#9o:1>l<4i3f;>5<#<0n1>i64;|`7g5<7280;6=u+48f96a><@=<=7E??b:&2f1<50h1/=k>52b;8k7b?290/84j52e:8L14132wi8i?50;394?6|,=3o6?j7;I652>N68k1/=o:529c8 4`72;io7b2B:t$5;g>7b?3A>=:6F>0c9'5g2=99k0({e1>5o4$0d3>7e73f8o47>5$5;g>7b?32wi8hl50;394?6|,=3o6?j7;I652>N68k1/=o:529c8 4`72;k=7b2B:t$5;g>7b?3A>=:6F>0c9'5g2=99k0({e1>5o4$0d3>7ed3f8o47>5$5;g>7b?32wi8kk50;394?6|,=3o6?j7;I652>N68k1/=o:529c8 4`72;n;7b2B:t$5;g>7b?3A>=:6F>0c9'5g2=99k0({e=881<7?50;2x 1?c2;n37E:96:J24g=#9k>1==o4$0d3>7g63`8o47>5$5;g>7b?32wi9<:50;394?6|,=3o6?j7;I652>N68k1/=o:529c8 4`72;i=7b290:6=4?{%6:`?4c02B?:;5G11`8 4d32;2j7)?i0;0b3>i5l10;6):6d;0g<>=zj<9=6=4>:183!2>l38o46F;679K55d<,8h?6?6n;%3e4?4ej2e9h54?:%6:`?4c021vn8=7:182>5<7s->2h7!2>l38o465rb41b>5<6290;w):6d;0g<>N3>?1C==l4$0`7>7>f3-;m<72h72B:t$5;g>7b?3A>=:6F>0c9'5g2=:1k0({e==o1<7?50;2x 1?c2;n37E:96:J24g=#9k>1==o4$0d3>7g43`8o47>5$5;g>7b?32wi98>50;394?6|,=3o6?j7;I652>N68k1/=o:529c8 4`72;i37bi5l10;6):6d;0g<>N3:?10qo;8b;295?6=8r.?5i4=d99K030<@8:i7)?m4;0;e>"6n909h>5`2e:94?"31m09h554}c74`?6=93:1m;%3a0?77i2.:j=4=c59j6a>=83.?5i4=d998yg3?<3:1=7>50z&7=a<5l11C8;84H02a?!7e<3;;m6*>f181=c=n:m21<7*;9e81`==:183!2>l38o46F;679K55d<,==83.?5i4=d998yg>c29096=4?{%6:`?>13->8n7??9:&2f1<68h1/8;:54358 6>42=8<7E:96:J26d=#9lo195o4igg94?"31m0:5<#<0n1>5o4;|`7`c<7280;6=u+48f96a><@=<=7E??b:&721<3:>1/=o:511c8 4`72;i:7d"3>=0?>:5+3919071<@=<=7E?=a:&2a`<20h1bjh4?:%6:`?77i21d>5<50;&7=a<50h10qo=i7;295?6=8r.?5i4=d99K030<@8:i7):94;613>"6j=0:<3th8j54?:383>5}#<0n14;5+42`955?<,8h?6<>n;%650?25?2.84>4;269K030<@88j7)?je;7;e>oam3:1(97k:02b?>i50;0;6):6d;0;e>=zj:l?6=4>:183!2>l38o46F;679K55d<,==83.?5i4=d998yg5a=3:1>7>50z&7=a2.??o4>089'5g2=99k0(98;:504?!5?;3>9;6F;679K57g<,8on686n;hdf>5<#<0n1==o4;n0;6?6=,=3o6?6n;:a7c4=83;1<7>t$5;g>7b?3A>=:6F>0c9'032=<;=0(!2>l38o465rb2d0>5<5290;w):6d;:5?!24j3;;56*>b5824d=#18?94$2:0>1403A>=:6F>2`9'5`c==1k0ekk50;&7=a<68h10c?6=:18'0{t;90;6?u24c196`=:m4=5`a>cci6=4<{_6a?8042oo019l52e:8yv2d2908wS:l;<47>cc<5=i1>i64}r6g>5<4sW>o708::gg891b=:m20q~:j:180[2b34<=6kk4=5g96a>m6=4<{_6e?8002oo019h52e:8yv372908wS;?;<4;>cc<5<:1>i64}r72>5<4sW?:7086:gg8907=:m20q~;=:180[3534cc<5<>1>i64}r76>5<4sW?>708k:gg8903=:m20q~;9:180[3134cc<5<21>i64}r7b>5<4sW?j709>:gg890g=:m20q~;m:180[3e34=96kk4=4`96a>cc<5i64}r7f>5<4sW?n709::gg890c=:m20q~;i:180[3a34==6kk4=4d96a>52e:8yv062908wS8>;<5;>cc<5?;1>i64}r41>5<4sW<97096:gg8934=:m20q~8<:18082e83;>70;77;36?8042;297p}94;297~;3j90::63:86822>;1<383>6s|6483>6}:<094?5rs7494?5|5=h;6<64=4:4>4><5?<1>5<4}r44>5<4s4>i<7?6;<7;3?7>34<<6?6=;|q5a:?6<2<6i27=47<72:p2<<72:q68o>51c9>1=1=9k16:44=839~w3g=839p19l?:0a890>028i01;o52908yv0e2908w0:m0;3g?83??3;o708m:3:1?xu1k3:1?v3;b182a>;20>0:i639c;0;6>{t>m0;6?u259595c=:>m094?5rs7g94?5|5=h;6?>4=4:4>76<5?o1>5<4}r4e>5<4s4>i<7<=;<7;3?45340g6=:<16;<4=839~w24=838p1868:348924=:180q~9<:18183??38<709<:3:1?xu0<3:1>v3:8681<>;0<383>6s|7483>7}:=1=1>4527481<7=z{><1<77g<5><1>5<4}r54>5<5s4?3;77>53ty<47>53z?7f5<5k27>4:4=c:?40g6=:m1695952e9>3<<50;1v:o50;5x91d52>k019oi:6c891b52oo019m>:c1890362k9018?::c1890002k90q~6=:18682e832970;77;:1?82e;32970:m1;:1?82fn3297p}7a;297~X?i273n7hj;<:b>7b?3ty3n7>52z?7f7cc52e:8yv?62909w0:m2;;3?8?62;297p}m3;297~Xe;27nn7hj;<`0>7b?3tynn7>53z?7f7{tn;0;6;u24c29=`=:=1=15h524c19=`=:5<5s4>i<7??7:?7f4<68>1v259~w44e2909w0:m0;31f>;3j:0:>o5rs01:>5<5s4>i?7?;3:?7f7<6;01v<:::18182e;3;?963;b3821<=z{8?j6=4={<6a7?72i27?n<4>5`9~w4062908w0:m0;355>;3j:0::<524c395377>52z?7f6<6>;168o?51708yv71<3:1>v3;b28221=:4>b49>0g4=9j30q~?la;296~;3j:0:ol524c095fg52z?7=38>4ie:p62`=839p18:<:3:1?82e83??>63;b38607=z{;nn6=4={<6a5?4cm27?mk4=dd9~w7cc2908wShj52e:8yv4bm3:1?vP=ed9>71d=:1801?kj:3f;?xu5n90;6>uQ2g2896362;2970{t:o;1<7=t^3d2?852:383>63=f081`==z{;l96=4<{_0e6>;4=1094?522g096a>53z\1b6=:;5<4=3d0>7b?3ty9j54?:2y]6c><5:?m6?6=;<0ek750;1xZ7`>349=97<72:?1b<<5l11v?hn:180[4ai278:o4=839>6cg=:m20q~6}Y:oi01>98:3:1?84ak38o46s|2gf94?5|V;lo70=8d;0;6>;5nm09h55rs3de>5<5s4>i<7>?:18782e839;<63:868045=:kh4=5ce>7`a3ty8<84?:3y>1=1=;9?019l>:226?xu4<<0;6?u259596`b<5:>>6kk4}r17f?6=:r7>4:4=ed9>71d=nl1v>;>:18183??38m<63<508ea>{t;<81<77`6349>>7hj;|q01=<72;q695952g08963?2oo0q~=:e;296~;20>09j>5234g9b`=z{:?m6=4={<7;3?4a02789k4ie:p733=838p1868:3d:?851=3ln7p}<6c83>7}:=1=1>ko4=24a>cc52z?6<2<5nk16?:?5fd9~w6102909w0;77;0eg>;4?>0mi6s|36f94?4|5<2<6?hk;<14`?`b3ty8494?:2y>0g6=;1>01868:2:7?82e;39386s|39;94?4|5=h;6>66;<6a5?5?<2wx?im50;0x90>02:nm70:nf;1gg>{t;l21<76ce34>jj7=j8:p055=838p19l?:520?82fn3>;?6s|40694?4|5=h;69?;;<6bb?26<2wx8o:50;1xZ1d334>i97<72:?7f1<5l11v9l::18182e1383>63;b48ea>{t7>534>i57hi;|q7f2<72;q68o65290891d>28:;7p};b`83>6}Y7b?3ty?nk4?:5y>1=1=ih63;bg81<7=z{=i;6=4<{_6`4>;3k8094?524b296a>h>7>52z?7f7<3k:168n?5fd9~w1b62908wS:k1:?7`6<50;168i?52e:8yv2c;3:1>v3;d381<7=:5<4sW>o963;d781<7=:i64}r6g2?6=:r7?n>4;d49>0a0=nl1v9jk:180[2cl27?hh4ie:?7`a<5l11v9jj:18182e:3>o863;dd81<7=z{=o:6=4<{_6f5>;3m;094?524d396a>n>7>52z?7ec<3m8168h<5fd9~w1ce2908wS:jb:?7af<50;168hl52e:8yv2bk3:1>v3:8687ag=:5<4sW>m<63;f081<7=:i64}r6e5?6=:r7?n<4;eg9>0c7=nl1v9h=:180[2a:27?j>4ie:?7b7<5l11v9h<:18182e:3>nj63;f281<7=z{=lj6=4<{_6ee>;3nk094?524gc96a>mn7>52z?7f7<3nh168kl5fd9~w1`b2908wS:ie:?645<50;168kk52e:8yv3783:1>v3;b287b`=:=9:1jh5rs424>5<4sW?;;63:0881<7=:=9=1>i64}r73=?6=:r7?n?4:069>15?=nl1v8>j:180[37m27>i:18182fn3?;i63:0g81<7=z{<;96=4<{_726>;29:0mi63:1381`==z{<;86=4<{<7;3?36927?n>4:109>145=:180q~;>4;297~X29=169<;5290890732;n37p}:1783>7}:cc53z\66<=:=;i1>5<4=40:>7b?3ty>>n4?:3y>1=1==;30183:1?vP:379>161=:18018=9:3f;?xu2;>0;6?u25959175<5<9<6kk4}r70?55252;96=4<5<936?j7;|q67<<72;q6959554d8905>2oo0q~;7}:=1=19>o4=462>cc7>53z\607=:==91==h4=461>7b?3ty>894?:3y>113=:18018:<:c18yv33>3:1>v3;ag8603=:==?1jh5rs464>5<4sW??;63:4881<7=:===1>i64}r77=?6=:r7>4:4:469>11?=nl1v8:n:180[33i27>8n4=839>11g=:m20q~;;c;296~;20>0>8l5255a9b`=z{<>n6=4<{_77a>;2m6=4<{<7;3?33l27?n>4:4e9>11`=:180q~;:0;297~X2=91698?5290890372;n37p}:5383>7}:cc53z\623=:=?=1>5<4=445>7b?3ty>:54?:3y>0g4==??01888:gg8yv30j3:1?vP:7c9>12e=:180189m:3f;?xu2?j0;6?u24c3912g<5<=h6kk4}r74`?6=;rT>;i5256g9b`=:=>n1>i64}r74a?6=:r7?n?4:7`9>12c=:180q~;74;297~X20=1695;5fd9>1=2=:m20q~;75;291~;3j90>4>5259591=5<5=h8686<;<6bb?3?;27>484=839~w=e=839pR5m4=9a96a><51n1jh5rs5fe>5<4sW>oj63;dg81`==:5<4sW9m;635<4sW9m8635<4sW9m>635<4}r6f4?6=9r7?i=4=839~w6`?290:w0=i8;0;6>{t;o?1<7?t=2d6>7>53ty8j>4?:0y>7c5=:180qp`>c0494?2|@=<=7p`>c0594?2|@=<=7p`>c0:94?5|@=<=7p`>c0;94?7|@=<=7D51zJ723=N:m21=v?5}[db>4}6n90vqc?l1b83>4}Ox\ai3;p=k>5}|l2g4b=83;pD989;H0g{0d3>x{i9j;n6=4>{I652>O5l10:w<4rZgc95~7a83wvbf;295~N3>?1B>i651z39y_`f28q:j=4r}o3`65<728qC8;84I3f;>4}62tPmm7?t1g29yxh6k;;1<7?tH545?L4c03;p=7sUf`824`72twe=n<=:182M21>2C9h54>{08~^cg=9r;m<7srn0a17?6=9rB?:;5F2e:95~7=uSlj6f18~yk7d:=0;65<6sA>=:6G=d9824=9r;1qWhn:0y2b554?:0yK030:|Xee?7|9o:1qp`>c3;94?7|@=<=7D51zJ723=N:m21=v?5}[db>4}6n90vqc?l2b83>4}Ox\ai3;p=k>5}|l2g7b=83;pD989;H0g{0d3>x{i9j8n6=4>{I652>O5l10:w<4rZgc95~7a83wvb?1B>i651z39y_`f28q:j=4r}o3`75<72:qC8;84}o3`74<72:qC8;84}o3`77<72:qC8;84}o3`76<72:qC8;84}o3`71<72:qC8;84}o3`70<72:qC8;84}o3`73<72:qC8;84}o3`72<72:qC8;84}o3`7=<72:qC8;84}o3`7<<72:qC8;84}o3`7d<72;qC8;84}o3`7g<72:qC8;84}o3`7f<72:qC8;84}o3`7a<72;qC8;84}o3`7`<72;qC8;84}o3`7c<72;qC8;84}o3`05<72;qC8;84}o3`04<72;qC8;84}o3`07<72;qC8;84}o3`06<72;qC8;84}o3`01<72;qC8;84}o3`00<72:qC8;84}o3`03<72:qC8;84}o3`02<720qC8;84}o3`0=<72hqC8;84}o3`0<<728qC8;84I3f;>4}62tPmm7?t1g29yxh6k=k1<7?j{I652>{i9j>i6=4>{I652>O5l10:w?4rZgc95~7a83wvb?1vb?1B>i651z39y_`f28q:j=4r}o3`0`<724}62tPmm7?t1g29yxh6k<:1<79tH545?xh6k<;1<7?tH545?L4c03;p=7sUf`824`72twe=n;=:1842~N3>?1vb?1vb?1vb?1vb?1vb?1vb?1vb?1vb?1vb?1vb?1vb?1vb?1vb?1vb?1vb?1vb?1B>i651z09y_`f28q:j=4r}o3`26<728qC8;84I3f;>4}52tPmm7?t1g29yxh6k?>1<7?tH545?L4c03;p>7sUf`824`72twe=n8::182M21>2C9h54>{38~^cg=9r;m<7srn0a52?6=9rB?:;5F2e:95~4=uSlj6f18~yk7d>>0;65<6sA>=:6G=d9827=9r81qWhn:0y2b5c7a94?7|@=<=7D53zJ723=zf8i=j7>55zJ723=zf8i<<7>55zJ723=zf8i<=7>52zJ723=zf8i<>7>52zJ723=zf8i53zJ723=zf8i<87>52zJ723=zf8i<97>52zJ723=zf8i<;7>52zJ723=zf8i<47>52zJ723=zf8i<57>52zJ723=zf8i52zJ723=zf8i52zJ723=zf8i52zJ723=zf8i54zJ723=zf8i53zJ723=zf8i54zJ723=zf8i3<7>54zJ723=zf8i3=7>53zJ723=zf8i3>7>53zJ723=zf8i3?7>51zJ723=N:m21=v<5}[db>4}6n90vqc?l8583>4}O4}O4}O4}Ox\ai3;p=k>5}|l2g=>=83;pD989;|l2g=?=83;pD989;H0g{0d3>x{i9j2j6=49{I652>{i9j2i6=4={I652>O5l10:w>4rZgc95~7a83wvb?1vb?1B>i651z09y_`f28q:j=4r}o3`<`<728qC8;84}o3`4}52tPmm7?t1g29yxh6k0:1<7=tH545?xh6k0;1<7?tH545?L4c03;p=7sUf`824`72twe=n7=:181M21>2we=n7<:182M21>2C9h54>{38~^cg=9r;m<7srn0a:0?6=f18~yk7d1?0;69uG4748yk7d1>0;65<5sA>=:6sa1b;a>5<6sA>=:6G=d9827k3:18vF;679~j4e>l3:1=vF;679J6a>=9r81qWhn:0y2b5c`294?4|@=<=7p`>c`394?7|@=<=7D53zJ723=zf8ij97>52zJ723=N:m21=v=5}[db>4}6n90vqc?la783>0}O4}Ox\ai3;p=k>5}|l2gd>=838pD989;|l2gd?=83;pD989;H0g{0d3>x{i9jkj6=4={I652>{i9jki6=4>{I652>O5l10:w?4rZgc95~7a83wvb?1vb?1B>i651z09y_`f28q:j=4r}o3`e`<72;qC8;84}o3`ec<72:qC8;84I3f;>6}428086pTia;3x5c6=utd:oo>50;1xL1013td:oo?50;6xL1013td:oo<50;3xL1013@8o47?t2;Ybd<6s8l;6psa1b`0>5<5sA>=:6sa1b`7>5<6sA>=:6G=d9827vF;679~j4ee>3:1=vF;679J6a>=9r;1qWhn:0y2b5cc;94?3|@=<=7p`>ccc94?4|@=<=7D54zJ723=zf8iii7>51zJ723=N:m21=v?5}[db>4}6n90vqc?lbg83>7}O4}Ox\ai3;p=k>5}|l2gf7=835<6sA>=:6sa1e:94?7|@=<=7p`>d883>4}O?1vb2we=im50;3xL1013td:hi4?:0yK03051zJ723=zf8nm6=4>{I652>{i9l:1<7?tH545?xh6m;0;6?uG4748yk7b=3:1=vF;679~j4c1290:wE:96:m5`>=83;pD989;|l2a<<728qC8;84}o3fe?6=9rB?:;5rn0ga>5<6sA>=:6sa1df94?7|@=<=7p`>ed83>4}O?1vb2we=k?50;3xL1013td:j>4?:0yK03051zJ723=zf8l>6=4>{I652>{i9o<1<7?tH545?xh6n>0;6290:wE:96:m5cg=83;pD989;|l2bg<728qC8;84}o3eg?6=9rB?:;5rn0dg>5<6sA>=:6sa1gg94?7|@=<=7p`>fg83>4}O?1vb?>>:182M21>2we>==50;3xL1013td9<94?:0yK03051zJ723=zf;:=6=4>{I652>{i:9=1<7?tH545?xh5810;65<6sA>=:6sa21d94?7|@=<=7p`=1183>4}O1;295~N3>?1vb??=:182M21>2we><=50;3xL1013td9=94?:0yK03051zJ723=zf;;=6=4>{I652>{i:8=1<7?tH545?xh5910;65<6sA>=:6sa20d94?7|@=<=7p`=2183>4}O?1vb:<6:180M21>2we;?o50;1xL1013td<>o4?:0yK03051zJ723=zf>8o6=4>{I652>{i?;o1<7?tH545?xh0:o0;65<6sA>=:6sa75794?5|@=<=7p`84783>4}O?1vb::7:182M21>2we;9750;3xL1013td<8l4?:0yK03051zJ723=zf>>h6=4>{I652>{i?=n1<7=tH545?xh05<6sA>=:6sa74794?7|@=<=7p`85783>4}O?1vb:;7:182M21>2we;8750;3xL1013td<9l4?:0yK030n7>51zJ723=zf>?h6=4>{I652>{i?5<6sA>=:6sa77794?7|@=<=7p`86783>4}O?1vb:87:182M21>2we;;750;3xL1013td<:l4?:0yK03053zJ723=zf>{i??n1<7?tH545?xh0>l0;65<4sA>=:6sa76794?3|@=<=7p`87783>6}O?1vb:97:182M21>2we;:750;3xL1013td<;l4?:0yK03051zJ723=zf>=h6=4>{I652>{i?>n1<7?tH545?xh0?l0;67290:wE:96:m3=7=83;pD989;|l4<7<728qC8;84}o5;7?6=9rB?:;5rn6:7>5<6sA>=:6sa79794?7|@=<=7p`88783>4}O?1vb:67:182M21>2we;5750;3xL1013td<4l4?:0yK03051zJ723=zf>2h6=4>{I652>{i?1n1<7?tH545?xh00l0;65<6sA>=:6sa78794?7|@=<=7p`89783>4}O?1vb:77:182M21>2we;4750;3xL1013td<5o4?:2yK03055zJ723=zf>3o6=4:{I652>{i?0o1<7;tH545?xh01o0;6>uG4748yk1f83:1?vF;679~j2g6290:wE:96:m3d4=839pD989;|l4e6<72>qC8;84}o5b0?6=;rB?:;5rn6c6>5<6sA>=:6sa7`494?7|@=<=7p`8a683>4}O?1vb:o6:182M21>2we;lo50;3xL1013td53zJ723=zf>ko6=4>{I652>{i?ho1<7?tH545?xh0io0;65<6sA>=:6sa7c494?7|@=<=7p`8b683>4}O?1vb:l6:182M21>2we;oo50;3xL1013td51zJ723=zf>ho6=4>{I652>{i?ko1<7=tH545?xh0jo0;6>uG4748yk1d83:1=vF;679~j2e6290:wE:96:m3f4=83;pD989;|l4g6<728qC8;84}o5`0?6=9rB?:;5rn6a6>5<6sA>=:6sa7b494?7|@=<=7p`8c683>4}O?1vb:m6:182M21>2we;no50;3xL1013td51zJ723=zf>io6=4>{I652>{i?jo1<7?tH545?xh0ko0;6?uG4748yk1c83:1>vF;679~j2b62909wE:96:m3a4=83;pD989;|l4`6<728qC8;84}o5g0?6=9rB?:;5rn6f6>5<6sA>=:6sa7e494?7|@=<=7p`8d683>4}O?1vb:jn:182M21>2we;il50;3xL1013td7>51zJ723=zf>o86=4>{I652>{i?l>1<7?tH545?xh0m?0;6290:wE:96:m3`g=83;pD989;|l4ag<728qC8;84}o5fg?6=9rB?:;5rn6d2>5<6sA>=:6sa7g094?7|@=<=7p`8f283>4}O?1vbo7>:180M21>2wen4<50;1xL1013tdi594?:2yK03053zJ723=zfk3j6=4<{I652>{ij0h1<7?tH545?xhe1j0;6l3:1=vF;679~jg?b290:wE:96:mf<`=83;pD989;|lae5<728qC8;84}o`b5?6=9rB?:;5rncc1>5<6sA>=:6sab`194?7|@=<=7p`ma583>4}O?1vboo9:182M21>2wenl950;3xL1013tdim54?:0yK03052zJ723=zfkkj6=4={I652>{ijhi1<75<6sA>=:6sabc594?5|@=<=7p`mb983>4}O?1vboln:182M21>2wenol50;3xL1013tdinn4?:0yK03051zJ723=zfkhn6=4>{I652>{ijkl1<7?tH545?xhek90;65<6sA>=:6sabb594?7|@=<=7p`mc983>4}O?1vbomn:182M21>2wennl50;3xL1013tdioi4?:2yK03051zJ723=zfkim6=4>{I652>{ijm:1<7?tH545?xhel80;65<6sA>=:6sabe:94?7|@=<=7p`md883>4}O?1vbojm:182M21>2wenim50;0xL1013tdihi4?:3yK03053zJ723=zfko;6=4>{I652>{ijl;1<7?tH545?xhem;0;65<6sA>=:6sabd;94?7|@=<=7p`me`83>4}O?1vbokl:182M21>2wenhj50;3xL1013tdiih4?:7yK03054zJ723=zfkl;6=47{I652>{ijo81<75<6sA>=:6sabgc94?7|@=<=7p`mfc83>6}O?1vbohk:182M21>2wenkk50;7xL1013tdijk4?:2yK03051zJ723=zfj::6=4>{I652>{ik981<7?tH545?xhd8:0;65<6sA>=:6sac1a94?4|@=<=7p`l0e83>7}O?1vbn>i:182M21>2weo51zJ723=zfj;?6=4>{I652>{ik8?1<7?tH545?xhdk=0;6>uG4748yked=3:1?vF;679~jfe12908wE:96:mgf>=83;pD989;|l``7<728qC8;84}oag7?6=9rB?:;5rnbf7>5<6sA>=:6sace794?7|@=<=7p`ld783>4}O?1vbnj7:182M21>2weoil50;3xL1013tdhhn4?:0yK03051zJ723=zfjnn6=4<{I652>{ikl:1<7?tH545?xhdm80;6>uG4748yk75i90;6>uG4748yk75i80;60;6uG4748yk75il0;6>uG4748yk75io0;6>uG4748yk75j90;60;61<7:tH545?xh6:j?1<7=tH545?xh6:j<1<7?tH545?xh6:j=1<71<71<7?tH545?xh6:l?1<7?tH545?xh6:l<1<7?tH545?xh6:l=1<7?tH545?xh6:l21<7?tH545?xh6:l31<7?tH545?xh6:lh1<7=tH545?xh6:ln1<7=tH545?xh6:lo1<7?tH545?xh6:ll1<7?tH545?xh6:o:1<7?tH545?xh6:o;1<7?tH545?xh6:o81<7?tH545?xh6:o91<7?tH545?xh6:o>1<7?tH545?xh6:o=1<7=tH545?xh6:o21<7?tH545?xh6:o31<7?tH545?xh6:ok1<7?tH545?xh6:oh1<7=tH545?xh6:oi1<7?tH545?xh6:on1<7?tH545?xh6:oo1<7?tH545?xh6:ol1<7=tH545?xh6;9:1<7?tH545?xh6;9;1<7?tH545?xh6;981<7?tH545?xh6;991<7?tH545?xh6;9>1<7?tH545?xh6;9?1<7?tH545?xh6;9<1<7?tH545?xh6;9=1<7?tH545?xh6;921<7?tH545?xh6;931<7=tH545?xh6;9k1<7;tH545?xh6;9h1<7=tH545?xh6;9i1<7?tH545?xh6;9n1<7?tH545?xh6;9o1<7=tH545?xh6;9l1<7=tH545?xh6;8:1<7?tH545?xh6;8;1<7?tH545?xh6;881<7?tH545?xh6;891<7?tH545?xh6;8>1<7?tH545?xh6;8?1<7=tH545?xh6;8<1<7;tH545?xh6;8=1<7;tH545?xh6;821<7=tH545?xh6;831<7?tH545?xh6;8k1<7?tH545?xh6;8h1<7?tH545?xh6;8i1<7?tH545?xh6;8n1<7=tH545?xh6;8o1<7=tH545?xh6;8l1<7?tH545?xh6;;:1<7?tH545?xh6;;;1<7?tH545?xh6;;81<7?tH545?xh6;;?1<7=tH545?xh6;;<1<71<7?tH545?xh6;:21<7=tH545?xh6;:k1<7?tH545?xh6;:h1<7?tH545?xh6;:i1<7?tH545?xh6;:o1<7?tH545?xh6;:l1<7?tH545?xh6;=:1<7?tH545?xh6;=;1<7?tH545?xh6;=81<7?tH545?xh6;=91<7?tH545?xh6;=>1<7?tH545?xh6;=?1<7?tH545?xh6;=<1<7?tH545?xh6;==1<7=tH545?xh6;=k1<7?tH545?xh6;=h1<7?tH545?xh6;=i1<7?tH545?xh6;=n1<7?tH545?xh6;=o1<7?tH545?xh6;=l1<7?tH545?xh6;<:1<7?tH545?xh6;<;1<7?tH545?xh6;<81<7?tH545?xh6;<91<7=tH545?xh6;1<7?tH545?xh61h?1<7?tH545?xh61h<1<7=tH545?xh61h=1<7=tH545?xh61h21<7=tH545?xh61h31<7?tH545?xh61hk1<7?tH545?xh61hh1<7?tH545?xh61hi1<7?tH545?xh61hn1<7?tH545?xh61ho1<7=tH545?xh61hl1<71<7?tH545?xh61k?1<7?tH545?xh61k<1<7=tH545?xh61k=1<7=tH545?xh61k21<71<7?tH545?xh61j?1<7?tH545?xh61j<1<7?tH545?xh61j=1<7?tH545?xh61j21<7?tH545?xh61j31<7?tH545?xh61jk1<7?tH545?xh61jh1<7?tH545?xh61ji1<7?tH545?xh61jn1<71<7?tH545?xh61m?1<7=tH545?xh61m<1<7?tH545?xh61m=1<7?tH545?xh61m21<7?tH545?xh61m31<7?tH545?xh61mk1<7?tH545?xh61mh1<7=tH545?xh61mi1<71<7=tH545?xh61l?1<71<7{i90lm6=4<{I652>{i9h:;6=4>{I652>{i9h::6=4>{I652>{i9h:96=4>{I652>{i9h:86=4>{I652>{i9h:?6=4>{I652>{i9h:>6=4>{I652>{i9h:=6=4>{I652>{i9h:<6=4>{I652>{i9h:36=4>{I652>{i9h:26=4>{I652>{i9h:j6=4>{I652>{i9h:i6=4>{I652>{i9h:n6=4>{I652>{i9h:m6=4>{I652>{i9h;;6=4>{I652>{i9h;:6=4>{I652>{i9h;96=4>{I652>{i9h;86=4={I652>{i9h;>6=4={I652>{i9h;=6=4>{I652>{i9h;<6=4>{I652>{i9h;j6=4={I652>{i9h;i6=4={I652>{i9h;h6=4>{I652>{i9h;o6=4>{I652>{i9h;n6=4>{I652>{i9h;m6=4>{I652>{i9h8;6=4<{I652>{i9h8:6=4={I652>{i9h896=4>{I652>{i9h886=4>{I652>{i9h8?6=4<{I652>{i9h8>6=4={I652>{i9h8=6=4>{I652>{i9h8<6=4<{I652>{i9h836=4={I652>{i9h826=4>{I652>{i9h8j6=4<{I652>{i9h8i6=4={I652>{i9h8h6=4>{I652>{i9h8o6=4={I652>{i9h8n6=4={I652>{i9h8m6=4={I652>{i9h9;6=4={I652>{i9h9:6=4={I652>{i9h996=4>{I652>{i9h986=4;{I652>{i9h9?6=4<{I652>{i9h9>6=4>{I652>{i9h9=6=4;{I652>{i9h9<6=4<{I652>{i9h936=4;{I652>{i9h926=4<{I652>{i9h9j6=4;{I652>{i9h9i6=4<{I652>{i9h9h6=4={I652>{i9h9o6=4={I652>{i9h9n6=4={I652>{i9h9m6=4={I652>{i9h>;6=4={I652>{i9h>:6=4={I652>{i9h>96=4={I652>{i9h>86=4={I652>{i9h>?6=4={I652>{i9h>>6=4={I652>{i9h>=6=4={I652>{i9h><6=4={I652>{i9h>36=4={I652>{i9h>26=4={I652>{i9h>j6=4={I652>{i9h>i6=4={I652>{i9h>o6=4<{I652>{i9h>n6=4>{I652>{i9h>m6=4>{I652>{i9h?;6=4>{I652>{i9h?:6=4>{I652>{i9h?96=4>{I652>{i9h?86=4>{I652>{i9h?>6=4<{I652>{i0l0;65<6std94i4?:2y~j7>0290:wp`=ab83>6}zf;k=6=4>{|l1gg<72:qvb?m::182xh5mh0;6>urn3g7>5<6std8<44?:2y~j664290:wp`<2983>6}zf:896=4>{|l002<72:qvb>:>:182xh4>?0;6>urn243>5<6std8484?:2y~j61a290:wp`6}zf:3n6=4>{|l0g6<72:qvb>lk:182xh4m;0;6>urn2f`>5<6std?<<4?:2y~j6`e290:wp`;2183>6}zf=;j6=4>{|l77c<72:qvb9=6:182xh3=l0;6>urn57;>5<6std?;i4?:2y~j110290:wp`72383>4}zf19<6=4>{|l;0f<728qvb58m:180xh?><0;65<4std3494?:0y~j=?a290:wp`7bd83>6}zf1h36=4>{|l;`a<72:qvb5j8:182xh?nj0;6>urn9d5>5<6std2=o4?:2y~j<72290:wp`63`83>6}zf09?6=4>{|l:1<<72:qvb4;<:182xh>?10;6>urn851>5<6std24i4?:0y~j4}zf0n=6=4<{|l:`5<728qvb4h::180xh>mo0;65<4stdh?k4?:0y~jf032908wp`l5d83>4}zfj2>6=4={|l`3`<728qvbi>i:182xhc:l0;6>urne0;>5<6stdo8i4?:2y~ja20290:wp`k6b83>6}zfm<=6=4>{|lgurnec7>5<6stdoo44?:2y~jae4290:wp`ke983>6}zfmo96=4>{|lf42<72:qvbh>>:182xhb:?0;6>urnd03>5<6stdn884?:2y~j`5a290:wp`j6583>6}zfl?n6=4>{|lf<6<72:qvbh9k:182xhbi;0;6>urnd;`>5<6stdno<4?:2y~j`de290:wp`je183>6}zflnj6=4>{|lfbc<72:qvbhh6:182xha:90;6?urng3:>5<6stdm8<4?:3y~jc5f290:wp`i6183>6}zfo?j6=4>{|le3c<72:qvbk96:182xha1l0;6>urng;;>5<6stdmni4?:2y~jcd0290:wp`idb83>6}zfon=6=4>{|lebg<72:qvbkh::182xh688k1<7=t}o3351<728qvb<><9;297~{i99986=4>{|l27<5=839pqc?<8e83>4}zf89i>7>53zm56gd290:wp`>3e394?5|ug;8oo4?:0y~j45a83:1?vsa12gb>5<6std:8=;50;3xyk739h0;6{|l20db=83;pqc?;c383>4}zf8>o;7>51zm51cd290:wp`>51394?7|ug;>=;4?:0y~j434=3:1?vsa140e>5<6std:98:50;1xyk72{|l21a6=839pqc?:c`83>4}zf8?nj7>53zm50c>290:wp`>61g94?5|ug;=<54?:0y~j405;3:1=vsa171;>5<6std::9j50;3xyk71>;0;60:1<7?t}o35f0<72:qvb<8nf;295~{i9ji96=4>{[db>4}6n90vD?6>;|l2gf5=83;pVko51z3e4?{O:1;0qc?lc583>4}]nh0:w51zXee?7|9o:1qE<71:m5fe1290:wWhn:0y2b5cb594?7|ug;ho54?:0y~j4ed13:1=vsa1bab>5<6std:onl50;3xyx{zHIIp>r@ARxyEF \ No newline at end of file +$4455=23-;B8<;4$0K770=#9@?>96*>N506?!7I<=?0(<@;8008 Wcqazcdb7.>.37:$!><.V;B8 X9G>9S964&^3M01Y302,T=C:7_53844=69;1:>7AZTQWW>WG;980;2<>4178JJUSS2H69?76111915?OIX\^1M1<>:0<20>442@D[YY4N_GQA875=97l0?7GAPTV9WR:4284>7L2>0?78E9766<1J0<<15:C?56823H6:83;4A=36:0=F48<596O316<6?D:607?0M1?6>59B84823H69<394A=02>4823H69=3;4A=01:2=F4;9143;4A=00:1=F4;4?7L2<>59B81833H6>295N<7<7?D:06=1J050;;@>::1=FDL:>7LBJ0L78EIC7[j1J@H>Pmtz3456c3HFNGKM9Ufyu>?016g?DJB8Vg~t=>?04f8EIC7Wds<=>?6e9BH@6Xe|r;<=>8d:COA5Yj}q:;<=6k;@NF4Zkrp9:;<4:4AMG2g>GKM8UTc>?00f8EIC6WVey<=>>129BJA2GIL1>0M^|id:CPvcYDdbUBB[?8;@QqbZH7k2KX~kQaou2344b19:C\BVD;98427LQISC>26;?89B[CUE48>556OPFR@?508>3HUM_O2>6?;8EZ@TJ5;<245N_GQA84>912KTJ^L318<;?DYA[K6:245N_GQA876912KTJ^L320<:?DYA[K69>3l4A^DPF94428427LQISC>17;>GXNZH79364A^DPF90902KTJ^L37?:8EZ@TJ52546OPFR@?=;bGXNZHT=?QFBTDg?DYA[KU:?RGMUGf8EZ@TJV;?SDLZFe9B[CUEW8?TEO[Id:C\BVDX9?UBNXHk;@]EWGY6?VCIYKj4A^DPFZ7?W@H^Ji5N_GQA[4?XAK_Mo6OPFR@\5ZOE]On0MRH\B^03[LDRNm1JSK]M_33\MGSAl2KTJ^LP23]JFP@c3HUM_OQ=3^KAQCeGXNZHT?RGMUGa8EZ@TJV>TEO[Ic:C\BVDX=VCIYKm4A^DPFZ0XAK_Mo6OPFR@\3ZOE]Oi0MRH\B^:\MGSAk2KTJ^LP9^KAQC0=f:ClqqIBWds<=>?3g9BkprHMVg~t=>?05d8EjssGLUfyu>?017e?Dir|FOTaxv?01250>DFK]?0NLM[149AEFR5=2HJOY|<;CWE55=E]@FOSYO]GDVBVFN?3K_XSD@IO09@0>E6>D30O<8B_H@VB3=D:9GNBo5L21OFJZOE]O<0O?;BEO`8G73JMGUDNXH<;B:N<>E?EVCIYK:4C9Oq6>EB;2IGG>5LLS18GKR>3JEFADZ[EE58GWCF\LN97N]<;BVO2>Ekmhx:j6Mce`p2[Zgil9:;<<5Ke:FQGZURKJOX_K[C6:FWEFMum2N_MNE}_omw4566n2N_MNE}_omw45669>1Omyo30?58@drf484=7IaztNGg?Air|FOTc>?01g8@jssGLUd~=>?00g8@jssGLUd~=>?03g8@jssGLUd~=>?02g8@jssGLUd~=>?05g8@jssGLUd~=>?04g8@jssGLUd~=>?0738A0=BNhfg:6KIamn22>CAief996K\<1<6?@U;97?0I^2=>49FW959<2OXS=k4ER]3[5Yig}:;<=h4ER]3[5Yig}:;<=?k;DQ\4ZYffm:;<=k4ER]3[Zgil9:;<k4ER]3[Zgil9:;<9k4ER]3[Zgil9:;<8k4ER]3[Zgil9:;<;:4ER]2`>CTW8UTmcj?012f?@UX9VUjbi>?013f?@UX9VUjbi>?0107?@UX:m1N_Rj4ER]0[Zgil9:;?_LzlvZTb{|f0<0>f:GP[HgclVUjhi>?01]N|jtXZly~`y2=>0d8AVYJimnTSljk0123[H~hzVXnxb{<2<2=>CTWDkohRQnde2345YXign;<=>>a:GP[HgclVUjhi>?01]\ekb789::=45JS^Ob`aYXimn;<=>P_`lg45669h1N_RCnde]\eab789:TSl`k01225a=B[V[T=Ra}0123a>CTWXU:Sb|?0122b>CTWXU:Sb|?01224c=B[V[T=Ra}012354c7H|30?78Aw:66=1N~R>;;Dp\51=AKEA27KMCK^KAQC4@WOHCEEY64FSMN[PEA;2LXN<5H3:EM@4=N:2C;>6G>2:K16>O4:2C?>6G:2:K56>O0<2CJO^;4I@AP51=NJ\L97D@7;HLEAWTBL01BBDZ\T@VF7>OI^l1BBR@HD^CM@ZDDL;1BC85FO@AW`>OHIJ^Taxv?012f?LIFK]Ufyu>?013e?LIFK]Ufyu>?0133b>OHIJ^Taxv?01225c=NGHI_S`{w012357`n7DANCU]nq}6789?n7DANCU]nq}67892CDNXHJ6:KLGJKW<2CDO^;4INAP53=NGJY:<;5FOBQ253=NGJY:>;5FOBQ270=NGJY996G@CR16?LID[=?0EBM\549JKFU1=2CDO^9:;HM@W=3OHLFDNSb|?0122a>OHLFDNSb|?0121a>OHLFDNSb|?0120a>OHLFDNSb|?0127a>OHLFDNSb|?0126a>OHLFDNSb|?0125a>OHLFDNSb|?0124a>OHLFDNSb|?012;<>OHLFDNec94INFLJ@t33@EGPmtz34564l2CD@=Qbuy23452c3@EGOHD9Ufyu>?01:g?LIK8Vg~t=>?0868MJJ6k2CD@6G@NQPfeaXWfx;<=>>139JKKVUmhnrSRa}01236442:KLJUTbimsTSb|?01262>OHX^IX56G@PVPfeaf3@E[[_kndx34?LIUL[OZj6G@REPFUZgil9:;<<>4INPGV@WXign;<=>>119JKWBUMXUjbi>?01024>OHZMXN]Road12346`;HMQ@WCVWds<=>?1032?LIUL[OZS`{w012357763@EYH_K^_lw{45679:;:7DA]DSGR[hs89:;=9??;HMQ@WCVWds<=>?2028MJTCZL[Taxv?012055=NG[NYI\Qbuy23452682CD^I\JQ^ov|5678<;;7DA]DSGR[hs89:;:<>4INPGV@WXe|r;<=>8119JKWBUMXUfyu>?01:24>OHZMXN]Rczx1234<>_`lg45679l1BC_Y>_`lg4567:l1BC_Y>_`lg4567;l1BC_Y>_`lg4567_`lg4567=l1BC_Y>_`lg4567>l1BC_Y>_`lg4567?=1BC^>l;HMP4Zgil9:;OH[9Ufyu>?017g?LIT8Vg~t=>?07f8MJU7Wds<=>?7e9JKV6Xe|r;<=>7d:KLW5Yj}q:;<=7l;HMP[CUJWOLo=n5FOR]EWHYANm8m7DA\_GQN[C@c:$Ce==5FOR]EWHYANm8&Ec?>0:KLWZ@TEVLMh?#Fn333?LITWOYFSKHk2,Km7f=NGZUM_@QIFe1e?LITWOYFSKHk3,Km55=NGZUM_@QIFe1.Mk7682CD_RH\M^DE`6+Nf;;;7DA\_GQN[C@c;$Ce?<>4INQ\BVKXNOn8!D`;119JKVYA[DUMJi="Io724>OH[VLXARHId2/Jj3eOH[VLXARHId4a8MJUXNZGTJKj9f:KLWZ@TEVLMh;#Fn028MJUXNZGTJKj9-Hl255=NGZUM_@QIFe4.Mk4682CD_RH\M^DE`3+Nf:;;7DA\_GQN[C@c>$Ce8<>4INQ\BVKXNOn=!D`:c:KLWZ@TEVLMh:h4INQ\BVKXNOn0:KLWZ@TEVLMh:#Fn033?LITWOYFSKHk7,Km646:<6G@S^DPIZ@Al>'Bb884INVZT@2Pmtz345668l1BCX>Pmtz345669l1BCX>Pmtz34566:l1BCX>Pmtz34566;l1BCX>Pmtz34566Pmtz34566=m1BCX>Pmtz34565l2CDY=Qbuy23455c3@E^OH]9Ufyu>?015g?LIR8Vg~t=>?09f8MJS7Wds<=>?959JKP7d3@E^=R``t1235a=NG\;Tbbz?01321>OH]MY<7DAXRSGRb>OH_[XN]Rczx123446109JKRTUMXUfyu>?013154=NG^XYI\Qbuy234574981BCZ\]EP]nq}6789;?=<5FOVPQATYj}q:;<=?:119JKRTUMXUfyu>?01024>OH_[XN]Rczx12346773@E\^_K^_lw{4567<8:0EBY]RDS\ip~789:>==5FOVPQATYj}q:;<=8>0:KLSWTBYVg~t=>?0633?LIPZ[OZS`{w0123<4602CD[_\JQs68MVRD=2CXXN?m;HQ\BVKXNOn:i6G\_GQN[C@c9$Cej6G\_GQN[C@c9$Ce=k5FS^DPIZ@Al8'Bb?l4IR]EWHYANm8n7D]PFRO\BCb5%@dm7D]PFRO\BCb5%@d:j6G\_GQN[C@c:$Ce>k5FS^DPIZ@Al;'Bb>h4IR]EWHYANm8&Ec:i;HQ\BVKXNOn9!D`:b:KP[CUJWF25;?>89OPlkbz58556B[ilgq868>3E^bah|34?;8HQojm{6>245CThofv90912F_e`k}<6<:?IRnelx74374LUknaw:>611GXdcjrUA27>JSadoyXNQlmq]fu56788>0@YgbesV@[fkwWl{;<=>>159OPlkbz]ITo`~Pep234546<2F_e`k}TB]`iuYby9:;<>?;;MVji`tSKVif|Rk~0123042=95CThofvQEXkdzTi|>?014;?IRnelxT<<>4LUknawY7Wds<=>?109OPlkbzV:Taxv?012254=K\`gn~R>Pmtz34565981GXdcjr^2\ip~789:8=<5CThofvZ6Xe|r;<=>;109OPlkbzV:Taxv?012654=K\`gn~R>Pmtz34561981GXdcjr^2\ip~789:<46B[ilgq[4?0008HQojm{U:5CThofvZ77WVkeh=>?0332?IRnelxT==QPos2345753E^bah|P11]\kw6789;27AZfmdp\54753E^bah|P10]\ekb789::?6B[ilgq[47XWhdo<=>?1018HQojm{U:=RQnne234546;2F_e`k}_0]`iuYby9:;<<:4LUknawY6Wjg{Sh?012250=K\`gn~R?Pclr\at6789;;=85CThofvZ7XkdzTi|>?013250=K\`gn~R?Pclr\at6789;9=85CThofvZ7XkdzTi|>?013050=K\`gn~R?Pclr\at6789;?=85CThofvZ7XkdzTi|>?013651=K\`gn~R?Pclr\at67898:86B[ilgq[4YdeyUn}=>?0237?IRnelxT=Rmbp^gr4567<8>0@Ygbes]2[fkwWl{;<=>:159OPlkbzV;To`~Pep234506<2F_e`k}_0]`iuYby9:;<:?;;MVji`tX9Vif|Rk~0123<42_bos[`w789:2==5CThofvZ7Xe|r;<=>>1:NWmhcuW8Ufyu>?01325>JSadoyS1:NWmhcuW8Ufyu>?01725>JSadoyS0:NWmhcuW8Uecy>?0032?IRnelxT=R``t12354763E^bah|P1^llp5679;;:7AZfmdp\5Zhh|9:;=>?>;MVji`tX9Vddx=>?1532?IRnelxT=R``t12350763E^bah|P1^llp5679?20@Ygbes]154=K\`gn~R?03:8HQojm{U8=<5CThofvZ5XWhdo<=>?139OPlkbzV9TSl`k0123544?03:8HQojm{U>=<5CThofvZ3XWhdo<=>?139OPlkbzV?TSl`k0123544?03:8HQojm{U<=<5CThofvZ1XWhdo<=>?139OPlkbzV=TSl`k0123544?03:8HQojm{U2=<5CThofvZ?XWhdo<=>?139OPlkbzV3TSl`k0123544?00a8IdbcWVkoh=>?0^O{kwYUmzgx1?11e9NeabXWhno<=>>_LzlvZTb{|f0<>11e9NeabXWhno<=>>_LzlvZTb{|f0>_LzlvZTb{|f0?0>c:Ob`aYXimn;<=?PMymq[Wct}e~7?3?l;Lcg`ZYflm:;<?0058IdbcWVkoh=>?1^]bja6789;::6Cnde]\eab789;TSl`k012252=JimnTSljk0122[Zgil9:;==1048IdbcWVkoh=>?1^]bja678:;<7@okd^]b`a6788UTmcj?011253=JimnTSljk0122[Zgil9:;8<94M`fg[Zgcl9:;=RQnne234176>2GjhiQPaef3457XWhdo<=>:169NeabXWhno<=>>_^cm`567=8;=7@okd^]b`a6788UTmcj?01423>KflmUTmij?013\[dhc89:==<84M`fg[Zgcl9:;=RQnne2342703DkohRQnde2344YXign;<=9>179NeabXWhno<=>>_^cm`56708=0Aljk_^cg`5679VUjbi>?09322>KflmUTmij?013\[dhc89:2=:5Baef\[dbc89::SRoad123=4eKnffx]i}foo08J55HHKEC[=6A;;N@VB0=HJ\LN?6AAE39LV6=HZ;90C_=<;NP77>IR\81[o6^!21305574WE90\<><;Q327>V6::1[=>=4P060?U72;2Z::>5_1618T4>43Y;2>6^=3:R146=W:;90\?=<;Q077>V5=:1[>:=4P3:0?U4>:2Z8?6^<029S7759=;Q60?U27;2Z?=>5_4318T1543Y>=?6^:029S175V1;2Z=<>5_6018T3543YV0::1[;>=4P660?U11;2Z<;>5_7918T2?53Y287]6?3:R;56=W0;90\5;<;Q:57>V??:1[44<4P818T<643Y3:?6^6229S=6587]7:3:R:26=W1>90\LJ;;QCQPd=WAGUIY^GKXc9SMKYE]ZDJAH=4PSG2?T>?0028UjUUmhnrSb|?012254=VgZXnmiwPos234577981Zc^\jae{\kw6789;:=<5^oRPfeaXg{:;<=?=109RkVTbimsTc>?013055=VgZXnmiwPos23454682[d__kndx]lv5678:;;7\a\Rdcg}Ziu89:;8<>4QnQQadb~Wfx;<=>:119RkVTbimsTc>?01424>Wh[[ojhtQ`r12342773XeX^hoky^mq456708:0]b]]e`fz[jt789:296\N<1<5?WG;99437_O31083:3=UI5;:285]A=3=1>TF4;4>7_O33?48VD:468<0^L2<>348VD:46:<0^L2<>578VD:36<1YM1;15:PB83823[K7;3;4R@>;:0=UI535?6\NM49QEHET?2XJAN]?X99QEHET8Q;37_OBCR2[6==UIDIX<109QEHTbimsTaxv?012754=UIDXnmiwPmtz34562981YM@\jae{\ip~789:==<5]ALPfeaXe|r;<=>85:PBW@Jc3[KXIAQnne2345cn7_O\EO]nq}6789?>7_O\rg:8VDUunVD;56\NSsd\J5723[KX~j4R@QqvZkrp9:;h5]ARpq[hs89:;?h5]ARpq[hs89:;8h5]ARpq[hs89:;945]A^2\KGSAk2XJS=Qbuy2345bTFW9Ufyu>?011g?WGX8Vg~t=>?05c8VDY68VEIYKj4R@]24Zkrp9:;h5]A^33[hs89:;?h5]A^33[hs89:;8l5]A^32[JDRN01YMR?POCWEg>TFW8Ufyu>?01f8VDY6Wds<=>?1e9QEZ7Xe|r;<=>=d:PB[4Yj}q:;<==k;SC\5Zkrp9:;<974R@]1[JDRNj1YMRRczx12344bTFW;Ufyu>?016:?WGX;VEIYK74R@]7[JDRNj1YMR:Pmtz3456c3[KT8Rczx12344bTaxv?0120`>TFW=Ufyu>?016:?WGX=VEIYKm4R@]6[hs89:;h6\N_4]nq}6789;o7_OP5^ov|5678;n0^LQ:_lw{4567;m1YMR;Pmtz3456312XJS;Q@BTD`?WGX>Vg~t=>?0e9QEZ0Xe|r;<=>>d:PB[3Yj}q:;<=j4R@]5[hs89:;845]A^5\KGSAk2XJS:Qbuy2345bTFW>Ufyu>?011g?WGX?Vg~t=>?05;8VDY?WFH^Jn5]A^:\ip~789:o7_OP8^ov|56788n0^LQ7_lw{4567:m1YMR6Pmtz34564l2XJS5Qbuy23452>3[KT5RAMUGa8VDY>Wds<=>?d:PB[THEJY?T?64RNO@W1^402XDAN];X548VJKD[<<0^BCLS748VJKD[>20^^>{oSge=>TT8}eYik?n;SQ3pjTbn8:j7_]?tnPfb47f3[Y;xb\jf00b?WU7|fXnj<=6;SQ3pjTbn;30^^>{oSge7<=U[9~d^hh;9:PP4qiUmo?27_]?tnPfb3?!D`=f:PP[CUJWOLo9 Ga3c9QWZ@TEVLMh;k4RR]EWHYANm<&Ech4RR]EWHYANm<&Ec?i;SQ\BVKXNOn=!D`=f:PP[CUJWOLo: Ga3c9QWZ@TEVLMh:k4RR]EWHYANm=&Ech4RR]EWHYANm=&Ec?i;SQ\BVKXNOn0:PP[CUJWOLo4 Ga11d8VVYA[DUMJi6"Io0e?WUXNZGTJKj7-Hl0b>TTWOYFSKHk8,Km0c=U[VLXARHId9/Jj0`1YikJ`nd33?WcaLfdnSRoad1234474RddGkkcXWfx;<=>=119QacBhflUTc>?01124>TbnMeeiRQ`r12341773[omHb`j_^mq4567=?1Yik\jq99QacTby@dn7_kiRds\ekb789:m7_kiRds\ekb789::j6\jfSgr[dhc89:;>k5]egPfuZgil9:;<>h4RddQatYffm:;<=:i;SgeV`wXign;<=>:e:PfbWcvWge<=>?f:PfbWcvWge<=>?179QacSuo>1Yik[}g058V``Rzn837_kiUseJja=Umo_ykRa}0123a>Tbn\xlSb|?0122a>Tbn\xlSb|?0121a>Tbn\xlSb|?01202>Tb{|f?6]JT49PS979i2Y\0<0PICWE1>UP4;4j7^Y32?]JFP@03Z]7?7?15:QT868f3Z]7?3QFBTD7?Vci|j1XiczP_np3456c3ZoexRQ`r12344b<[ldSRa}01236a=Tmg~TSb|?0120`>Ubf}UTc>?016g?Vci|VUd~=>?04f8W`hsWVey<=>?6e9PakrXWfx;<=>8d:QfjqYXg{:;<=6n;RlgVDKD[^Xi7^`kR@O@WRT6k2Yeh_OBCRUQ55e<[gnYM@M\WS32g>Uil[KFO^Y]13a8WkbUIDIX[_?o6]adSCNGVQU9?h0_cj]ALAPSW4e3Zdo^LCLSVP0f>Uil[KFO^Y]4c9PjaTFEJY\^8l4SofQEHET_[i;UQ\BVKXNOn9!D`=f:VP[CUJWOLo> Ga3g9WWZ@TEVLMh?#Fn5d8PVYA[DUMJi<"Io7e?QUXNZGTJKj=-Hl5b>RTWOYFSKHk2,Km3c=S[VLXARHId3/Jj=`<\ZUM_@QIFe0.Mk?e3]YTJ^CPOtv3g>RTWOYFSB{{00a8PVYA[DUDyy>=4:VZT@><\`gn~1>18:Vji`t;9720Xdcjr=0=<>Rnelx7?394ThofvQE6:2^bah|[C^antZcv89:;=>5[ilgqPFYdeyUn}=>?0030?Qojm{^HSnc_ds345659:1_e`k}TB]`iuYby9:;<>?<;UknawRDWjg{Sh?012756=SadoyXNQlmq]fu5678<=0Xdcjr^224>RnelxT;UknawY7WVkeh=>?0258PlkbzV;:<6Zfmdp\5ZYffm:;<=?>;UknawY6WVkeh=>?0032?Qojm{U:SRoad123471<\`gn~R<>0:Vji`tX:VUjbi>?0132?Qojm{U9SRoad12344763]cfiQ=_^cm`5678;=0Xdcjr^124>RnelxT?RQnne2345763]cfiQ<_^cm`56788;:7Ygbes]0[Zgil9:;RnelxTAljk_^cg`5678VGscQ]erwop949:;1_e`k}_Lcg`ZYflm:;<=QBxnp\V`urd}682?01]\ekb789::=n5[ilgq[HgclVUjhi>?01]\ekb789;:h6Zfmdp\IdbcWVkoh=>?0^]bja6788;:=6Zfmdp\[dbc89:;0<0>1:Vji`tXWhno<=>?<3<25>RnelxTSljk0123868c3]cfiQnne2345c<\`gn~Road123440<]G_XIIo4URGQ[DJNIZi0Y^K]_WKPMGJB;2\HO45YIDU\P\VB<2\[Mm4VQCq[kis89::h6X_As]mkq6788;i7[gkR@O@WRT6k2\bh_OBCRUQ55d<^`nYM@M\WS0a?SocZHGH_Z\Pnl[KFO^Y]8c9UmaTFEJY\^4;4WR>3:0=P[5;596Y\<3<6?RU;;7>0[^Q?d:UP[5YXign;<=>j;VQ\4ZYffm:;<=?j;VQ\4ZYffm:;<=<;;VQ\5a=P[V;TSl`k0123a>QTW8UTmcj?01220>QTW;n0[^Q=_^cm`5678l1\_R?0^O{kwYUmzgx1?11g9TWZKflmUTmij?012\I}iuW[oxyaz32?3e?RUXEhnoSRokd1234ZKg{UYi~{ct=1=5<=P[VGjhiQPaef3456XWhdo<=>?1`9TWZKflmUTmij?012\[dhc89:;=<74WR]NeabXWhno<=>?_^cm`56798k0[^QBaef\[dbc89:;SRoad12354c<_ZUTmij?012?5;c<_ZUTmij?012?6;c<_ZUTmij?012?7;0<_hx{_H?k;YKOMK^*PMH+<#?/SUWA$5(6(HYHED=4XNP7?]IU:?1S_YBFB69[WQY@FM=0T^ZPVBA6?]YHFL?0TRZ]I79[[_CUG?1ShoQFdc9[`mYWz`gBb{m4Xej\Twoj\pznj6Vkh^RqmhPbzzcdb<>4Xeo\Idlhz_oydaa119[`hYJageyZh||inl5?]i;87;87U}{4:XFVJd?<0<`?Zgcl9:;=1??>b9\eab789;7=<0m;^cg`5679585n6Qnde2344:46k1Tmij?013?0;d><46m|3:dpf1=ngkg?7dams89mEAir|EO;i6`NDnwwH@6XGK_M==5aAEmvpIC7Wfx;<=>>1:lB@jssDL:Tc>?01325>hFLf@H>Pos23454692dJHb{{LD2\kw6789927cOKotvOA4g?1g9mEVir|Vddx=>?1033?kGTg|~Tbbz?01324c=iIZe~xR``t12357`c:lBkprHMVEIYK;4nCGQV<=iJLXYSK]Me:lAAWTXNZHTCO[I109mF@TUWOYISRa}012357=iJLXYSK]M_^mq456798;0bOK]R^DPFZYhz9:;=<<4nCGQVZ@TJVUd~=>?1031?kDBZ[UM_OQPos234446:2dII_\PFR@\[jt789;856`MESP\MJDb3gHN^_QFOC]JFP@03gHLSDAMc:lACZOHJVCIYK94nCP\MJDd3gHYSDAM_N@VBc=iJ[UBCOQbuy2345773gHYSDAM_lw{456798:0bO\PIN@\ip~789:9==5aBS]JKGYj}q:;<==>0:lAVZOHJVg~t=>?0533?kDUW@EIS`{w0123146hDIZUfyu>?015g?kEF[Vg~t=>?09f8jFGTWds<=>?979m@QGDCh1eHYOLK^DPFc=iL]KHGRH\B^MAQCghC\HI@Sl`k01236c=iL]KHGRoad12346c>119m@jssGLUjbi>?00324>hCg|~DIRoad12357773gNdyyAJ_`lg4566;<1eE]B=a:lJTI4XAK_M:6`FPM0q0>hKLZ20bAJ\_GQA`>hKLZUM_OQFBTD;?kJC[VCDNh5aLEQ\MJDXGK_MIo5aLEQ\MJDh}}i0bAJ\_`lg4565l2dGH^Qnne23477c3gFO_Road12367bhKLZUjbi>?037g?kJC[Vkeh=>?27f8jIBTWhdo<=>=7e9mHAUXign;<=<7d:lO@VYffm:;hHM11eCHQ@BTDa?kIBWge<=>>c:lLAZhh|9:;=;oMuawjfqVUd~=>?0032?kIqm{fjuRQ`r12347763gE}ibny^]lv5678:;:7cAyesnb}ZYhz9:;<9?>;oMuawjfqVUd~=>?0458jWGJD^Oh7c\NMMUF[JDRN>1e^LCZWDa8jWGJ]^OTCO[I4:lQEV3hUIZ9>7c\NS578jWGT=<1e^L]99:lQEVYHJ\L?7c\JSc9mV@UXAFH^JHm4nSGP[kis89::h6`]ER]mkq6788;<7c\JSnww2>hUGDIX;6`]OLAP5g=iZFGH_RAMUG48jWIJ_L=0b_ABWD3a?kTHE^OTCO[I4:lV@V>hRLZUjbi>?003g?kSC[Vkeh=>?13f8jPBTWhdo<=>>3e9mQAUXign;<=?;d:lV@VYffm:;<<;k;oWGWZgil9:;=;j4nTFP[dhc89::;i5aUEQ\ekb789;3h6`ZDR]bja67883h7c[KS^llp5679m1eYI]Pnnv34576<2d^[H;4nTUF5f=i]^OTmcj?012g?kSPMVkeh=>?00f8jPQBWhdo<=>?2e9mQRCXign;<=><4:lUID>hQEHUTc>?015g?kPJIVUd~=>?09a8jSKFWhdo<=>?d:lUIDYffm:;<=?k;oTNEZgil9:;hQXHUBCOQFBTD`?kPWIVkeh=>?0e9mRUGXign;<=>>c:lUTDYig}:;<5aWD58jRCXNZHh7cYJ_GQA[LDRN11ekilzimf0?wgj02rh58>?xm32?}e>=8>?taz~112.55>90M694>{R;;>1162;2>6<=;b02e>400m>qe8;k51:l72c<13->=m7:91:P=2<3?809484>35`24c<6>>oi7^?j8;754?1=9:>i==h5175fb>U>?3?=<795126a55`=9?=m=6j;6183>4<6sZ33699>:3:6>453j8:m6<88e69'<2<2>;1C5h5yTd294?7=93>9?v]68;645?4?=3;88o??f;353`1<,=9<66<5z26494>{#:m819;=4$d194>"b93?=96*j2;333>d3>90;69l5a58a2~N3;<1/8=o54728^=>=;r;;6<=5158~ 4c12:l=7&;>c;29?l>d2900c9m8:188m1>c2900c8;::188m04?2900c8<;:188k=c=831d9<:50;9j0de=831d9<>50;9l0gg=831d8o>50;9jef<722cnm7>5;h6`=?6=3f>3j7>5;n6b4?6=3`>n97>5;n726?6=3`?>;7>5;n71e?6=3f?;<7>5;h;3>5<1<75`2d;94?"5l=09i55a2e194>=h:l=1<7*=d581a==i:m91=65`2g494?"5l=09i55a2e196>=h:o?1<7*=d581a==i:m91?65`2g694?"5l=09i55a2e190>=h:o91<7*=d581a==i:m91965`2g094?"5l=09i55a2e192>=h:o;1<7*=d581a==i:m91;65`2da94?"5l=09i55a2e19<>=h:lh1<7*=d581a==i:m91565`2dc94?"5l=09i55a2e19e>=h:l<1<7*=d581a==i:m91n65f5`83>!4c<3?27ci:5589m6a5=921b9:4?:%0g0?3>3g8o?7<4;h75>5<#:m>1945a2e197>=n==0;6)07d;<:18'6a2==01e>i=55:9j17<72-8o87;6;o0g7?0<3`?:6=4+2e691<=i:m91;65f5183>!4c<3?27ci:5589m6a5=121b8h4?:%0g0?3>3g8o?7o4;h6g>5<#:m>1945a2e19f>=ni=5d:9j27<72-8o87;6;o0g7?c<3`<:6=4+2e691<=i:m91j65f6183>!4c<3?27co2n3:1(?j;:4;8j7b428;07d;j:18'6a2==01e>i=51398m0b=83.9h94:9:l1`6<6;21b9n4?:%0g0?3>3g8o?7?;;:k6f?6=,;n?6874n3f0>43<3`?>6=4+2e691<=i:m91=;54i2a5>5<#:m>1?n;4n3f0>5=5<#:m>1?n;4n3f0>7=6=4>:183!27i3l=7E:94:J770=#0033fl>6=4+47a96=5<3th?:;4?:083>5}#<9k18>84H547?M24=2.?:n4=7d9'5`1==?>0c?6;:18'03e=:1907pl;7g83>4b=vF;349'05g=101Q454>{039y!7b>39m:6g64;29?j51<3:17b?:a;29?j4a13:17b=k2;29?l>52900e8?l:188k6c42900c<<;:188m03c2900c?h7:188k63a2900c<>?:188m73=83.9h94=4:l1`6<732c9?7>5$3f7>72o583:1(?j;:368j7b42:10e4;;:k2`?6=,;n?6?:4n3f0>0=h5l:0=76g>b;29 7b32;>0b?j<:698m4g=83.9h94=4:l1`65$3f7>72o6?3:1(?j;:368j7b42k10e<850;&1`1<5<2d9h>4l;:k21?6=,;n?6?:4n3f0>a=h5l:0n76g=c;29 7b32;>0b?j<:g98f102290:6=4?{%63e?`13A>=86F;349'03e=<>80(0;684=:7y'05g=ml1C8;:4H516?!77=3o0(5;n0g2?6=3k8o:7>56;294~"3>j0m=6g>0283>!21k3;;?65f9283>!21k33876g64;29 10d20>07d=l0;29 10d2:i;76g<7`83>!21k39j094>54}c65=?6=93:152z\1`3=::m<1>5=4}r;g>5<5sW3o70{t1<0;6?uQ949>6a0=;>k0q~7<:181[?4348o:77<;|q;=?6=:rT3563=d78:0>{tn?0;6?u22e497f6<5=<26?6<;|a6cg=8381<7>t$52b>4613A>=86F;349'03e=0?1/=h955768mc1=83.?:n4>0298k71e290/8;m52918L10e32wi>kl50;094?6|,=:j6<>9;I650>N3;<1/8;m5879'5`1==?>0ek950;&72f<68:10c?9m:18'03e=:190D98m;:a6ce=8391<7>t$52b>16<@==o7??3:9jb<<72->=o7??3:9l62d=83.?:n4=8298yg4al3:1?7>50z&74d<382B?:95G4278 10d2:l0(290/8;m51118?j40j3:1(98l:3:0?>{e=931<7=50;2x 16f2;2;7E:94:J770=#:h4$0g4>0033`l36=4+47a9555<3`l26=4+47a9555<3f85$54`>7>432wi?;;50;094?6|,=:j6<>9;I650>N3;<1/8;m5879'5`1==?>0ek950;&72f<68:10c?9m:18'03e=:190D98m;:a730=8381<7>t$52b>4613A>=86F;349'03e=0?1/=h955768mc1=83.?:n4>0298k71e290/8;m52918L10e32wi?;950;094?6|,=:j6<>9;I650>N3;<1/8;m5879'5`1==?>0ek950;&72f<68:10c?9m:18'03e=:190D98m;:a73>=83?1<7>t$52b>14<@==o7??3:9jb<<72->=o7??3:9jbd<72->=o7??3:9jbg<72->=o7??3:9l62d=83.?:n4=8298yg7793:157>50z&74d<3=2B?:95G4278 10d2:l0(290/8;m51118?l`f290/8;m51118?l`e290/8;m51118?l`d290/8;m51118?l`c290/8;m51118?l`b290/8;m51118?l`a290/8;m51118?j40j3:1(98l:3:0?>{e<:h1<7;50;2x 16f2=80D98;;I601>"3>j08j6*>e68621=nn10;6):9c;337>=nn00;6):9c;337>=nnh0;6):9c;337>=nnk0;6):9c;337>=h:>h1<7*;6b81<6=8h7>53;294~"38h0?<6F;659K063<,=
    h4$0g4>0033`l36=4+47a9555<3`l26=4+47a9555<3f85$54`>7>432wi8>k50;194?6|,=:j6?6?;I650>N3;<1/8;m526d8 4c02<n:025?M21<2B??85+47a9<3=#9l=19;:4ig594?"3>j0:<>54o35a>5<#5=4H54a?>{e<=:1<7<50;2x 16f28:=7E:94:J770=#n:025?M21<2B??85+47a9<3=#9l=19;:4ig594?"3>j0:<>54o35a>5<#5=4H54a?>{e<=81<7=50;2x 16f2=:0D98;;I601>"3>j08j6*>e68621=nn10;6):9c;337>=nn00;6):9c;337>=h:>h1<7*;6b81<6=??7>53;294~"38h0?<6F;659K063<,=
    h4$0g4>0033`l36=4+47a9555<3`l26=4+47a9555<3f85$54`>7>432wi89:50;194?6|,=:j69>4H547?M24=2.?:n4=1bj54?:%65g?77;21bj44?:%65g?77;21d>:l50;&72f<50:10qo:n:025?M21<2B??85+47a9<3=#9l=19;:4ig594?"3>j0:<>54o35a>5<#5=4H54a?>{e;?;1<7<50;2x 16f28:=7E:94:J770=#n:025?M21<2B??85+47a9<3=#9l=19;:4ig594?"3>j0:<>54o35a>5<#5=4H54a?>{e;?91<7<50;2x 16f28:=7E:94:J770=#n:3:3?M21<2B??85+47a962`<,8o<688;;hd;>5<#5<#t$52b>16<@==o7??3:9jb<<72->=o7??3:9l62d=83.?:n4=8298yg51j3:187>50z&74d<392B?:95G4278 10d2:l0(290/8;m51118?l`f290/8;m51118?j40j3:1(98l:3:0?>{e;l>1<7;50;2x 16f2=80D98;;I601>"3>j08j6*>e68621=nn10;6):9c;337>=nn00;6):9c;337>=nnh0;6):9c;337>=nnk0;6):9c;337>=h:>h1<7*;6b81<6=53;294~"38h0?<6F;659K063<,=
    h4$0g4>0033`l36=4+47a9555<3`l26=4+47a9555<3f85$54`>7>432wi?hm50;094?6|,=:j6<>9;I650>N3;<1/8;m5879'5`1==?>0ek950;&72f<68:10c?9m:18'03e=:190D98m;:a7`b=8381<7>t$52b>4613A>=86F;349'03e=0?1/=h955768mc1=83.?:n4>0298k71e290/8;m52918L10e32wi?hk50;094?6|,=:j6<>9;I650>N3;<1/8;m5879'5`1==?>0ek950;&72f<68:10c?9m:18'03e=:190D98m;:a7``=8381<7>t$52b>4613A>=86F;349'03e=0?1/=h955768mc1=83.?:n4>0298k71e290/8;m52918L10e32wi?k>50;094?6|,=:j6<>9;I650>N3;<1/8;m5879'5`1==?>0ek950;&72f<68:10c?9m:18'03e=:190D98m;:a7c7=8381<7>t$52b>4613A>=86F;349'03e=0?1/=h955768mc1=83.?:n4>0298k71e290/8;m52918L10e32wi?k<50;094?6|,=:j6<>9;I650>N3;<1/8;m5879'5`1==?>0ek950;&72f<68:10c?9m:18'03e=:190D98m;:a7`3=8381<7>t$52b>4613A>=86F;349'03e=0?1/=h955768mc1=83.?:n4>0298k71e290/8;m52918L10e32wi?h850;194?6|,=:j6?6?;I650>N3;<1/8;m526d8 4c02<=83:p(9>n:568L1033A>896*;6b80b>"6m>0>:95ff983>!21k3;;?65ff883>!21k3;;?65ff`83>!21k3;;?65ffc83>!21k3;;?65ffb83>!21k3;;?65ffe83>!21k3;;?65ffd83>!21k3;;?65`26`94?"3>j094>54}c1fN3>=1C8>;4$54`>6`<,8o<688;;hd;>5<#5<#t$52b>16<@==o7??3:9jb<<72->=o7??3:9l62d=83.?:n4=8298yg5bi3:187>50z&74d<392B?:95G4278 10d2:l0(290/8;m51118?l`f290/8;m51118?j40j3:1(98l:3:0?>{e=9h1<7=50;2x 16f2=:0D98;;I601>"3>j08j6*>e68621=nn10;6):9c;337>=nn00;6):9c;337>=h:>h1<7*;6b81<6=52;294~"38h0:<;5G4768L1523->=o769;%3f3?31<2cm;7>5$54`>46432e9;o4?:%65g?4?;2B?:o54}c73`?6=:3:10D9=:;%65g?>13-;n;7;94:ke3?6=,=<;:m13g<72->=o7<73:J72g=53;294~"38h0?<6F;659K063<,=
    h4$0g4>0033`l36=4+47a9555<3`l26=4+47a9555<3f85$54`>7>432wi9=h50;194?6|,=:j6?6?;I650>N3;<1/8;m526d8 4c02<n:578L1033A>896*;6b80b>"6m>0>:95ff983>!21k3;;?65ff883>!21k3;;?65ff`83>!21k3;;?65ffc83>!21k3;;?65ffb83>!21k3;;?65ffe83>!21k3;;?65ffd83>!21k3;;?65ffg83>!21k3;;?65`26`94?"3>j094>54}c1gf?6=;3:10D9=:;%65g?40n2.:i:4:659jb=<72->=o7??3:9jb<<72->=o7??3:9l62d=83.?:n4=8298yg5ck3:197>50z&74d<3:2B?:95G4278 10d2:l0(290/8;m51118?l`f290/8;m51118?l`e290/8;m51118?j40j3:1(98l:3:0?>{e;mn1<7<50;2x 16f28:=7E:94:J770=#n:025?M21<2B??85+47a9<3=#9l=19;:4ig594?"3>j0:<>54o35a>5<#5=4H54a?>{e;ml1<7<50;2x 16f28:=7E:94:J770=#n:025?M21<2B??85+47a9<3=#9l=19;:4ig594?"3>j0:<>54o35a>5<#5=4H54a?>{e;l;1<7<50;2x 16f28:=7E:94:J770=#n:025?M21<2B??85+47a9<3=#9l=19;:4ig594?"3>j0:<>54o35a>5<#5=4H54a?>{e;m>1<7<50;2x 16f28:=7E:94:J770=#n:508L1033A>896*;6b80b>"6m>0>:95ff983>!21k3;;?65ff883>!21k3;;?65ff`83>!21k3;;?65ffc83>!21k3;;?65`26`94?"3>j094>54}c1g2?6=;3:1N3>=1C8>;4$54`>6`<,8o<688;;hd;>5<#5<#t$52b>7>73A>=86F;349'03e=:>l0(290/8;m51118?j40j3:1(98l:3:0?>{e;m21<7:50;2x 16f2=;0D98;;I601>"3>j08j6*>e68621=nn10;6):9c;337>=nn00;6):9c;337>=nnh0;6):9c;337>=h:>h1<7*;6b81<6=53;294~"38h0?<6F;659K063<,=
    h4$0g4>0033`l36=4+47a9555<3`l26=4+47a9555<3f85$54`>7>432wi?io50;194?6|,=:j69>4H547?M24=2.?:n4=1bj54?:%65g?77;21bj44?:%65g?77;21d>:l50;&72f<50:10qo?=5;297?6=8r.?7):9c;04b>"6m>0>:95ff983>!21k3;;?65ff883>!21k3;;?65`26`94?"3>j094>54}c31e?6=:3:10D9=:;%65g?>13-;n;7;94:ke3?6=,=<;:m13g<72->=o7<73:J72g=55;294~"38h0?>6F;659K063<,=
    h4$0g4>0033`l36=4+47a9555<3`l26=4+47a9555<3`lj6=4+47a9555<3`li6=4+47a9555<3f85$54`>7>432wi=?m50;194?6|,=:j69>4H547?M24=2.?:n4=1bj54?:%65g?77;21bj44?:%65g?77;21d>:l50;&72f<50:10qo?=d;297?6=8r.?7):9c;04b>"6m>0>:95ff983>!21k3;;?65ff883>!21k3;;?65`26`94?"3>j094>54}c31a?6=:3:10D9=:;%65g?>13-;n;7;94:ke3?6=,=<;:m13g<72->=o7<73:J72g=53;294~"38h094=5G4768L1523->=o7<8f:&2a2<2>=1bj54?:%65g?77;21bj44?:%65g?77;21d>:l50;&72f<50:10qo?<0;297?6=8r.?n:528L1033A>896*;6b80b>"6m>0>:95ff983>!21k3;;?65ff883>!21k3;;?65`26`94?"3>j094>54}c312?6=;3:1N3>=1C8>;4$54`>6`<,8o<688;;hd;>5<#5<#t$52b>7>73A>=86F;349'03e=:>l0(290/8;m51118?j40j3:1(98l:3:0?>{e9;21<7=50;2x 16f2=:0D98;;I601>"3>j08j6*>e68621=nn10;6):9c;337>=nn00;6):9c;337>=h:>h1<7*;6b81<6=53;294~"38h094=5G4768L1523->=o7<8f:&2a2<2>=1bj54?:%65g?77;21bj44?:%65g?77;21d>:l50;&72f<50:10q~?::187[72349n87h7;<1gg?`?349oh7h8;|q22?6=;4lj0m563{t9>0;69uQ169>7`b=n>16?im5f`9>7a`=n>1v<650;7xZ4><5=9n6k64=2g7>cg<5:n86k64=00g>c>a;297~X6i278ih4i7:?0`6>:g;8915e2o201>km:g;896b42oh01<8n7hn;<1fb?`0349o?7hk;<31f?`f3ty:i7>56z\2a>;6880mn63;3c8ef>;4n90m;63;6:k0mn6s|2183><}Y:916?;;5f69>557=nj168>j5f99>737=n>16?k?5f69>7a5=nl16=?;5f89>57e=n11v?<50;;xZ74<5:<=6k94=022>cb<5=9o6k74=241>c1<5:l96k94=2f0>c`<588>6k64=00`>c?:4i8:p6f<72:qU>n523d49b==:;mh1j55rs3f94?5|V;n01>kl:g5896be2o30q~6=:187[>534?;57h6;<73f?`?34?;o7h8;|q:0?6=:rT2863:068;=>{t99:1<7{t9;?1<771e34;9j7h7;|q263<72;q6=?8526`8944?2o30q~?=7;296~;6:>09;o5213;9b==z{8836=4={<3144i9:p57g=838p1<2c83>7}:9;h1>:l4=00;>c>52z?26f<5?k16=?85f99~w44c2909w0?=d;04f>;6;90m46s|13g94?4|588n6?9m;<304?`>3ty:>k4?:3y>57`=:>h01<=>:g;8yv7483:1>v3>31813g=:9;<1j45rs012>5<5s4;8=7<8b:?2628o7<8b:p621=838p198::g78906020?0q~kj526`8yv4a13:1?vP=f89>6ce=:>h01?hk:g:8yv4ai3:1>v3=f`813g=::oi1j55rs3da>5<5s48mn7<8b:?1bf8?:35a?85113l27p}<6083>7}:;?;1>:l4=24a>c>7>52z?027<5?k16?;o5f99~w6042909w0=93;04f>;4>h0m56s|37694?5|V:;28k0m56s|37794?4|5:<>6?9m;<153ty8:;4?:3y>730=:>h01>87:gc8yv51?3:1>v3<66813g=:;?21jo5rs24:>5<5s49=57<8b:?02g7}:;m91>:l4=2fb>c>52z?0`1<5?k16?i75f89~w6b22909w0=k5;04f>;4l>0m56s|3e494?4|5:n=6?9m;<1g7a1=:>h01>j7:g:8yv5c03:1>v35<5s49o57<8b:?0`d7a3=n11v>jj:18185cm38{t;ml1<771e349o97hn;|q0a5<72;q6?h>526`896b22oh0q~=j1;296~;4m809;o523e49b==z{:o96=4={<1f6?40j278h;4i9:p7`5=838pR>k<;<1fe?40j2wx?h:50;0x96c32;=i70=ja;d:?xu4m<0;6?u23d7962d<5:o<6kk4}r1f2?6=:r78i;4=7c9>7`>=n01v>k8:18185b?38{t;l21<771e349n57h6;|q0a<<72;q6?h7526`896cf2o20q~=jb;296~;4mk09;o523d;9b==z{:oh6=4={<1fg?40j278i;4i9:p7`b=838p1>kk:35a?85b?3l37p}7}:;lo1>:l4=2g4>c?52z?0ac<5?k16?h95f`9~w6`72909w0=i0;04f>;4m>0mn6s|3g394?4|5:l:6?9m;<1f3?`c3ty8j?4?:3y>7c4=:>h01>k8:ga8yv24j3:1>v3;3c813g=:<:i1j45rs51g>5<5s4>8h7<8b:?701k50;0x915b2;=i70:;2;d;?xu3;o0;6?u242d962d<5=>96k74}r674?6=:r7?8=4=7c9>015=n11v9:>:181823938{t<=81<771e34>?87h6;|q706<72;q689=526`8915d2ok0q~:;4;296~;3<=09;o5242a9b==z{<:<6=4;{<733?4c>279ji4i9:?025l4i7:p15>=838p18>8:8f8906>2;=i7p}:0`83>7}:=9=15>5251d962d52z?64g<5?k169=h5f99~w06d2909w0;?c;04f>;28l0m46s|51f94?4|5<:o6?9m;<73a?`>3ty>15c=:>h018>i:g;8yv36k3:1?vP:1b9>15?=n1169=j5f69~w03c290?wS;:d:?704:1801??c2kopD9=:;%63e?4a?2P3471<75f2g;94?=h>o4jh0;66a:0183>>o3m10;66g>o2=m0;66g:2683>>o5n10;66a:2083>>o4=o0;66a=fd83>>i3nh0;66a=e883>!4c<38n46`=d283?>i5m>0;6)h5l:0:76a=f783>!4c<38n46`=d281?>i5n<0;6)h5l:0876a=f583>!4c<38n46`=d287?>i5n:0;6)h5l:0>76a=f383>!4c<38n46`=d285?>i5n80;6)h5l:0<76a=eb83>!4c<38n46`=d28;?>i5mk0;6)h5l:0276a=e`83>!4c<38n46`=d28b?>i5m?0;6)h5l:0i76g=2;29 7b32;;0b?j<:198m76=83.9h94=1:l1`6<632c:i7>5$3f7>77o6k3:1(?j;:338j7b42=10e4:;:k2e?6=,;n?6??4n3f0>3=h5l:0<76g>8;29 7b32;;0b?j<:998m41=83.9h94=1:l1`6<>32c::7>5$3f7>77o5l3:1(?j;:338j7b42j10e?m50;&1`1<592d9h>4k;:k1f?6=,;n?6??4n3f0>`=h5l:0m76g=9;29 7b32;;0b?j<:028?l4?290/>i:5209m6a5=9810e?950;&1`1<592d9h>4>2:9j63<72-8o87<>;o0g7?7432c:j7>5$3f7>77N3>=1C8>;4$54`>1153-;n;7;94:me1?6=,=78t$52b>`c<@==1b5>4?::k:1?6=3`226=44i8f94?=h:m<1<75m2e494?0=83:p(98l:g38m464290/8;m51118?l?4290/8;m59298m<2=83.?:n464:9j7f6=83.?:n4{e{t1m0;6?uQ9e9>6a0=9990q~7::181[?2348o:7=8a:p=6<72;qU5>522e49=6=z{131<70q~h9:18184c>39h<63;6881<6=zuk8mi7>53;090~"38h0no6F;659K063<,8o<688;;h::>5<3:17d56;294~"3>j0m=6g>0283>!21k3;;?65f9283>!21k33876g64;29 10d20>07d=l0;29 10d2:i;76g<7`83>!21k39j094>54}c653?6=93:11<7*;6b81<6={t:m?1<77}Y:m<01hh52918yv24>3:1>v3jf;;0?821?38386srb383>4<729q/8>:59:&74d2.?:n4;739'5`1==?>0ck;50;&72f<50:10q~i852e48yv?c2909wS7k;<0g2??c3ty357>52z\;=>;5l?0356s|5;297~;5l?09h8522e49=0=::3l>7):<4;a8yxd2890;6>4=:5y'05g=mj1C8;:4H516?!7b?3?=86g79;29?l?c2900c?j9:188f7b1290>6?49{%63e?ca3-;n;7;94:m1`3<722c9h84?::k:`?6=3`3>6=44i9;94?=emo0;6;4?:1y'03e=n81b===50;&72f<68:10e4=50;&72f<>;21b594?:%65g??332c8o=4?:%65g?5d821b?:o50;&72f<4?h10c?6<:18'03e=:1907pl;6683>4<729q/8;m526g8k7>3290/8;m52918?xu>l3:1>vP6d:?fb?77;2wx444?:3y]<<=:mo0286s|9483>7}Y1<16ik4<7`9~w7b22909wSi850;0xZ7b134om6?6<;|q773<72;q6ik463:?722<50=1vqo<50;394?6|,=9?645+41c9b3=#0033fl>6=4+47a96=5<3ty9h;4?:3y]6a0<5;n=6?j9;|q:`?6=:rT2h63=d78:`>{t000;6?uQ889>6a0=001v84?:2y>6a0=:m?01?j9:878970D9=:;%3f3?31<2c357>5;h;g>5<5<22;0=w):?a;ge?!7b?3?=86a=d783>>o5l<0;66g6d;29?l?22900e5750;9aac<72?0;6=u+47a9b4=n9991<7*;6b8246==n1=0;6):9c;;7?>o4k90;6):9c;1`4>=n;>k1<7*;6b803d=5}#:k4o3:7>5<#5=4;|q:`?6=:rT2h63jf;337>{t000;6?uQ889>ac<><2wx584?:3y]=0=:mo08;l5rs3f6>5<5sW8o963jf;1`4>{t:m<1<77}:mo02?63;6681<1=zuk81<7?50;2x 153201/8=o5f79'03e=<>80(l279h;46d:p<<<72;qU44522e49<<=z{<0;6>u22e496a3<5;n=64;4=38e1>"3;=0h7psm8483>6<52=q/8=o5eb9K032<@=9>7)?j7;750>o?13:17d7k:188k7b12900n?j9:186>7<1s->;m7ki;%3f3?31<2e9h;4?::k1`0<722c2h7>5;h;6>5<3<729q/8;m5f09j555=83.?:n4>0298m<5=83.?:n463:9j=1<72->=o77;;:k0g5<72->=o7=l0:9j72g=83.?:n4<7`98k7>4290/8;m52918?xd3>>0;6<4?:1y'03e=:>o0c?6;:18'03e=:1907p}6d;296~X>l27nj7??3:p<<<72;qU4452eg8:0>{t1<0;6?uQ949>ac<4?h1v?j::181[4c=27nj7=l0:p6a0=838pR?j9;7>43ty??;4?:3y>ac<>;27?::4=859~yg4=83;1<7>t$517><=#<9k1j;5+47a9024<,8o<688;;nd6>5<#5=4;|q1`3<72;qU>i84=3f5>7b13ty2h7>52z\:`>;5l?02h6s|8883>7}Y0016>i85889~w0<72:q6>i852e7897b120?01?4i5:&7715;h;g>5<5<22;0=w):?a;ge?!7b?3?=86a=d783>>o5l<0;66g6d;29?l?22900e5750;9aac<72?0;6=u+47a9b4=n9991<7*;6b8246==n1=0;6):9c;;7?>o4k90;6):9c;1`4>=n;>k1<7*;6b803d=5}#:k4o3:7>5<#5=4;|q:`?6=:rT2h63jf;337>{t000;6?uQ889>ac<><2wx584?:3y]=0=:mo08;l5rs3f6>5<5sW8o963jf;1`4>{t:m<1<77}:mo02?63;6681<1=zuk81<7?50;2x 153201/8=o5f79'03e=<>80(l279h;46d:p<<<72;qU44522e49<<=z{<0;6>u22e496a3<5;n=64;4=38e1>"3;=0h7psm30;94?5=:3>p(9>n:da8L1033A>896*>048f?!7b?3?=86g79;29?l?c2900c?j9:188f7b1290>6?49{%63e?ca3-;n;7;94:m1`3<722c9h84?::k:`?6=3`3>6=44i9;94?=emo0;6;4?:1y'03e=n81b===50;&72f<68:10e4=50;&72f<>;21b594?:%65g??332c8o=4?:%65g?5d821b?:o50;&72f<4?h10c?6<:18'03e=:1907pl;6683>4<729q/8;m526g8k7>3290/8;m52918?xu>l3:1>vP6d:?fb?77;2wx444?:3y]<<=:mo0286s|9483>7}Y1<16ik4<7`9~w7b22909wSi850;0xZ7b134om6?6<;|q773<72;q6ik463:?722<50=1vqo<50;394?6|,=9?645+41c9b3=#0033fl>6=4+47a96=5<3ty9h;4?:3y]6a0<5;n=6?j9;|q:`?6=:rT2h63=d78:`>{t000;6?uQ889>6a0=001v84?:2y>6a0=:m?01?j9:878977:t$52b>`e<@=5<i5l?0;66g=d483>>o>l3:17d7::188m=?=831iik4?:783>5}#j0:<>54i8194?"3>j02?65f9583>!21k33?76g!21k39h<65f36c94?"3>j08;l54o3:0>5<#5=4;|`722<7280;6=u+47a962c52z\:`>;bn3;;?6s|8883>7}Y0016ik464:p=0<72;qU5852eg803d=z{;n>6=4={_0g1>;bn39h<6s|2e494?4|V;n=70ki:3:0?xu3;?0;6?u2eg8:7>;3>>09495r}c094?7=83:p(9=;:89'05g=n?1/8;m54608 4c02<7}Y:m<01?j9:3f5?xu>l3:1>vP6d:?1`3<>l2wx444?:3y]<<=::m<1445rs483>6}::m<1>i;4=3f5><3<5;0m96*;358`?x{e;8<1<7=52;6x 16f2li0D98;;I601>"68<0n7)?j7;750>o?13:17d7k:188k7b12900n?j9:186>7<1s->;m7ki;%3f3?31<2e9h;4?::k1`0<722c2h7>5;h;6>5<3<729q/8;m5f09j555=83.?:n4>0298m<5=83.?:n463:9j=1<72->=o77;;:k0g5<72->=o7=l0:9j72g=83.?:n4<7`98k7>4290/8;m52918?xd3>>0;6<4?:1y'03e=:>o0c?6;:18'03e=:1907p}6d;296~X>l27nj7??3:p<<<72;qU4452eg8:0>{t1<0;6?uQ949>ac<4?h1v?j::181[4c=27nj7=l0:p6a0=838pR?j9;7>43ty??;4?:3y>ac<>;27?::4=859~yg4=83;1<7>t$517><=#<9k1j;5+47a9024<,8o<688;;nd6>5<#5=4;|q1`3<72;qU>i84=3f5>7b13ty2h7>52z\:`>;5l?02h6s|8883>7}Y0016>i85889~w0<72:q6>i852e7897b120?01?4i5:&7715;n0g2?6=3k8o:7>55;092~"38h0nj6*>e68621=h:m<1<75f2e794?=n1m0;66g65;29?l>>2900nhh50;494?6|,=5<#5<#54i8694?"3>j02865f3b294?"3>j08o=54i25b>5<#t$54`>71b3f8387>5$54`>7>432wx5i4?:3y]=a=:mo0:<>5rs9;94?4|V1301hh5959~w<3=838pR4;4=dd972g52z\1`0=:mo08o=5rs3f5>5<5sW8o:63jf;0;7>{t<:<1<75:4}|`1>5<6290;w):<4;;8 16f2o<0(98l:551?!7b?3?=86ai5;29 10d2;2876s|2e494?4|V;n=70{t1m0;6?uQ9e9>6a0=1m1v5750;0xZ=?<5;n=6574}r794?5|5;n=6?j:;<0g2??23481j85+4269g>{zj:=i6=4<:387!27i3oh7E:94:J770=#99?1i6*>e68621=n000;66g6d;29?j4c>3:17or.?=1d>i850;9j6a3=831b5i4?::k:1?6=3`226=44bdd94?0=83:p(98l:g38m464290/8;m51118?l?4290/8;m59298m<2=83.?:n464:9j7f6=83.?:n4{e7}Y1m16ik4>029~w=?=838pR574=dd9=1=z{0?1<7k0q~850;0x9``=1:168;952968yxd5290:6=4?{%600??<,=:j6k84$54`>1153-;n;7;94:me1?6=,=2wx5i4?:3y]=a=::m<15i5rs9;94?4|V1301?j9:9;8yv3=839p1?j9:3f6?84c>33>70<5f49'062=k2wvn>9l:180>7<3s->;m7kl;I650>N3;<1/==;5e:&2a2<2>=1b444?::k:`?6=3f8o:7>5;c0g2?6==381:v*;0`8fb>"6m>0>:95`2e494?=n:m?1<75f9e83>>o>=3:17d66:188f``=83<1<7>t$54`>c71<7*;6b8:0>=n;j:1<7*;6b80g5=5$54`>7>432wi8;950;394?6|,=;bn383?6s|42494?4|5ll15>5247596=2:183!24<330(9>n:g48 10d2==97)?j7;750>ia=3:1(98l:3:0?>{t:m<1<738o:6s|9e83>7}Y1m16>i859e9~w=?=838pR574=3f5>=?7b2348o:77:;<09b0=#<:>1o6srb25g>5<42;0?w):?a;g`?M21<2B??85+1179a>"6m>0>:95f8883>>o>l3:17b3:197<56z&74di;50;9j=a<722c297>5;h::>5<<:18'03e=99907d7<:18'03e=1:10e4:50;&72f<><21b?n>50;&72f<4k910e>9n:18'03e=;>k07b<73;29 10d2;2876sm47594?7=83:p(98l:35f?j4?<3:1(98l:3:0?>{t1m0;6?uQ9e9>ac<68:1v5750;0xZ=?<5ll1595rs8794?4|V0?01hh536c8yv4c=3:1>vP=d49>ac<4k91v?j9:181[4c>27nj7<73:p060=838p1hh5929>031=:1>0qpl=:182>5<7s->88774$52b>c0<,=5$54`>7>432wx>i850;0xZ7b1348o:770:5c:~f61b29086?4;{%63e?cd3A>=86F;349'553=m2.:i:4:659j<<<722c2h7>5;n0g2?6=3k8o:7>55;092~"38h0nj6*>e68621=h:m<1<75f2e794?=n1m0;66g65;29?l>>2900nhh50;494?6|,=5<#5<#54i8694?"3>j02865f3b294?"3>j08o=54i25b>5<#t$54`>71b3f8387>5$54`>7>432wx5i4?:3y]=a=:mo0:<>5rs9;94?4|V1301hh5959~w<3=838pR4;4=dd972g52z\1`0=:mo08o=5rs3f5>5<5sW8o:63jf;0;7>{t<:<1<75:4}|`1>5<6290;w):<4;;8 16f2o<0(98l:551?!7b?3?=86ai5;29 10d2;2876s|2e494?4|V;n=70{t1m0;6?uQ9e9>6a0=1m1v5750;0xZ=?<5;n=6574}r794?5|5;n=6?j:;<0g2??23481j85+4269g>{zj:2:6=4<:387!27i3oh7E:94:J770=#99?1i6*>e68621=n000;66g6d;29?j4c>3:17or.?=1d>i850;9j6a3=831b5i4?::k:1?6=3`226=44bdd94?0=83:p(98l:g38m464290/8;m51118?l?4290/8;m59298m<2=83.?:n464:9j7f6=83.?:n4{e7}Y1m16ik4>029~w=?=838pR574=dd9=1=z{0?1<7k0q~850;0x9``=1:168;952968yxd5290:6=4?{%600??<,=:j6k84$54`>1153-;n;7;94:me1?6=,=2wx5i4?:3y]=a=::m<15i5rs9;94?4|V1301?j9:9;8yv3=839p1?j9:3f6?84c>33>70<5f49'062=k2wvn>69:180>7<3s->;m7kl;I650>N3;<1/==;5e:&2a2<2>=1b444?::k:`?6=3f8o:7>5;c0g2?6==381:v*;0`8fb>"6m>0>:95`2e494?=n:m?1<75f9e83>>o>=3:17d66:188f``=83<1<7>t$54`>c71<7*;6b8:0>=n;j:1<7*;6b80g5=5$54`>7>432wi8;950;394?6|,=;bn383?6s|42494?4|5ll15>5247596=2:183!24<330(9>n:g48 10d2==97)?j7;750>ia=3:1(98l:3:0?>{t:m<1<738o:6s|9e83>7}Y1m16>i859e9~w=?=838pR574=3f5>=?7b2348o:77:;<09b0=#<:>1o6srb2:a>5<42;0?w):?a;g`?M21<2B??85+1179a>"6m>0>:95f8883>>o>l3:17b3:197<56z&74di;50;9j=a<722c297>5;h::>5<<:18'03e=99907d7<:18'03e=1:10e4:50;&72f<><21b?n>50;&72f<4k910e>9n:18'03e=;>k07b<73;29 10d2;2876sm47594?7=83:p(98l:35f?j4?<3:1(98l:3:0?>{t1m0;6?uQ9e9>ac<68:1v5750;0xZ=?<5ll1595rs8794?4|V0?01hh536c8yv4c=3:1>vP=d49>ac<4k91v?j9:181[4c>27nj7<73:p060=838p1hh5929>031=:1>0qpl=:182>5<7s->88774$52b>c0<,=5$54`>7>432wx>i850;0xZ7b1348o:770:5c:~f6?729086?4;{%63e?cd3A>=86F;349'553=n2.:i:4:659j<<<722c2h7>5;n0g2?6=3k8o:7>55;092~"38h0nj6*>e68621=h:m<1<75f2e794?=n1m0;66g65;29?l>>2900nhh50;494?6|,=5<#5<#54i8694?"3>j02865f3b294?"3>j08o=54i25b>5<#t$54`>71b3f8387>5$54`>7>432wx5i4?:3y]=a=:mo0:<>5rs9;94?4|V1301hh5959~w<3=838pR4;4=dd972g52z\1`0=:mo08o=5rs3f5>5<5sW8o:63jf;0;7>{t<:<1<75:4}|`1>5<6290;w):<4;;8 16f2o<0(98l:551?!7b?3?=86ai5;29 10d2;2876s|2e494?4|V;n=70{t1m0;6?uQ9e9>6a0=1m1v5750;0xZ=?<5;n=6574}r794?5|5;n=6?j:;<0g2??23481j85+4269g>{zj<896=4=:183!27i3;;:6F;659K063<,=0033`l<6=4+47a9555<3f85$54`>7>43A>=n65rb41b>5<5290;w):?a;332>N3>=1C8>;4$54`>=0<,8o<688;;hd4>5<#=zj=li6=4=:183!27i3;;:6F;659K063<,=0033`l<6=4+47a9555<3f85$54`>7>43A>=n65rb2`;>5<5290;w):?a;332>N3>=1C8>;4$54`>=0<,8o<688;;hd4>5<#=zj:h26=4<:183!27i3>;7E:94:J770=#52;294~"38h0:<;5G4768L1523->=o769;%3f3?31<2cm;7>5$54`>46432e9;o4?:%65g?4?;2B?:o54}c1;4?6=;3:1N3>=1C8>;4$54`>6`<,8o<688;;hd;>5<#5<#=8381<7>t$52b>4613A>=86F;349'03e=0?1/=h955768mc1=83.?:n4>0298k71e290/8;m52918L10e32wi9?;50;194?6|,=:j6?6?;I650>N3;<1/8;m526d8 4c02<n:3:3?M21<2B??85+47a962`<,8o<688;;hd;>5<#5<#t$52b>16<@==o7??3:9jb<<72->=o7??3:9l62d=83.?:n4=8298yg57k3:1>7>50z&74d<68?1C8;:4H516?!21k32=7)?j7;750>oa?3:1(98l:020?>i5?k0;6):9c;0;7>N3>k10qo=?d;297?6=8r.?n:3:3?M21<2B??85+47a962`<,8o<688;;hd;>5<#5<#t$52b>16<@==o7??3:9jb<<72->=o7??3:9l62d=83.?:n4=8298yg56k3:1?7>50z&74d<5091C8;:4H516?!21k38e68621=nn10;6):9c;337>=nn00;6):9c;337>=h:>h1<7*;6b81<6=53;294~"38h094=5G4768L1523->=o7<8f:&2a2<2>=1bj54?:%65g?77;21bj44?:%65g?77;21d>:l50;&72f<50:10qo=>e;297?6=8r.?n:3:3?M21<2B??85+47a962`<,8o<688;;hd;>5<#5<#t$52b>4613A>=86F;349'03e=0?1/=h955768mc1=83.?:n4>0298k71e290/8;m52918L10e32wi?<<50;094?6|,=:j6<>9;I650>N3;<1/8;m5879'5`1==?>0ek950;&72f<68:10c?9m:18'03e=:190D98m;:a745=8391<7>t$52b>16<@==o7??3:9jb<<72->=o7??3:9l62d=83.?:n4=8298yg56<3:1?7>50z&74d<382B?:95G4278 10d2:l0(290/8;m51118?j40j3:1(98l:3:0?>{e;8?1<7:50;2x 16f2;2:7E:94:J770=#:h4$0g4>0033`l36=4+47a9555<3`l26=4+47a9555<3`lj6=4+47a9555<3f85$54`>7>432wi?=>50;194?6|,=:j6?6?;I650>N3;<1/8;m526d8 4c02<n:025?M21<2B??85+47a9<3=#9l=19;:4ig594?"3>j0:<>54o35a>5<#5=4H54a?>{e;981<7<50;2x 16f28:=7E:94:J770=#n:528L1033A>896*;6b80b>"6m>0>:95ff983>!21k3;;?65ff883>!21k3;;?65`26`94?"3>j094>54}c130?6=;3:1N3>=1C8>;4$54`>6`<,8o<688;;hd;>5<#5<#t$52b>7>73A>=86F;349'03e=:>l0(290/8;m51118?j40j3:1(98l:3:0?>{e;9<1<7:50;2x 16f2;2:7E:94:J770=#:h4$0g4>0033`l36=4+47a9555<3`l26=4+47a9555<3`lj6=4+47a9555<3f85$54`>7>432wi8oj50;194?6|,=:j6?6?;I650>N3;<1/8;m526d8 4c02<n:528L1033A>896*;6b80b>"6m>0>:95ff983>!21k3;;?65ff883>!21k3;;?65`26`94?"3>j094>54}c6ab?6=:3:10D9=:;%65g?>13-;n;7;94:ke3?6=,=<;:m13g<72->=o7<73:J72g=h<7>53;294~"38h094=5G4768L1523->=o7<8f:&2a2<2>=1bj54?:%65g?77;21bj44?:%65g?77;21d>:l50;&72f<50:10qo:l1;296?6=8r.?079K032<@=9>7):9c;:5?!7b?3?=86gi7;29 10d28:876a=7c83>!21k383?6F;6c98yg2d:3:1?7>50z&74d<5091C8;:4H516?!21k38e68621=nn10;6):9c;337>=nn00;6):9c;337>=h:>h1<7*;6b81<6=h?7>53;294~"38h0?<6F;659K063<,=
    h4$0g4>0033`l36=4+47a9555<3`l26=4+47a9555<3f85$54`>7>432wi8n:50;194?6|,=:j69>4H547?M24=2.?:n4=1bj54?:%65g?77;21bj44?:%65g?77;21d>:l50;&72f<50:10qo:l5;291?6=8r.?7):9c;04b>"6m>0>:95ff983>!21k3;;?65ff883>!21k3;;?65ff`83>!21k3;;?65ffc83>!21k3;;?65`26`94?"3>j094>54}c70g?6=:3:10D9=:;%65g?>13-;n;7;94:ke3?6=,=<;:m13g<72->=o7<73:J72g=52;294~"38h0:<;5G4768L1523->=o769;%3f3?31<2cm;7>5$54`>46432e9;o4?:%65g?4?;2B?:o54}c70a?6=<3:1N3>=1C8>;4$54`>6`<,8o<688;;hd;>5<#5<#5<#t$52b>4613A>=86F;349'03e=0?1/=h955768mc1=83.?:n4>0298k71e290/8;m52918L10e32wi8kk50;094?6|,=:j6<>9;I650>N3;<1/8;m5879'5`1==?>0ek950;&72f<68:10c?9m:18'03e=:190D98m;:a0c`=83>1<7>t$52b>17<@==o7??3:9jb<<72->=o7??3:9jbd<72->=o7??3:9l62d=83.?:n4=8298yg5713:187>50z&74d<392B?:95G4278 10d2:l0(290/8;m51118?l`f290/8;m51118?j40j3:1(98l:3:0?>{e;;81<7=50;2x 16f2=:0D98;;I601>"3>j08j6*>e68621=nn10;6):9c;337>=nn00;6):9c;337>=h:>h1<7*;6b81<6=52;294~"38h0:<;5G4768L1523->=o769;%3f3?31<2cm;7>5$54`>46432e9;o4?:%65g?4?;2B?:o54}c110?6=;3:1N3>=1C8>;4$54`>6`<,8o<688;;hd;>5<#5<#t$52b>7>73A>=86F;349'03e=:>l0(290/8;m51118?j40j3:1(98l:3:0?>{e;;l1<7=50;2x 16f2=:0D98;;I601>"3>j08j6*>e68621=nn10;6):9c;337>=nn00;6):9c;337>=h:>h1<7*;6b81<6=52;294~"38h0:<;5G4768L1523->=o769;%3f3?31<2cm;7>5$54`>46432e9;o4?:%65g?4?;2B?:o54}c105?6=;3:1N3>=1C8>;4$54`>6`<,8o<688;;hd;>5<#5<#t$52b>7>73A>=86F;349'03e=:>l0(290/8;m51118?j40j3:1(98l:3:0?>{e;:i1<7=50;2x 16f2=:0D98;;I601>"3>j08j6*>e68621=nn10;6):9c;337>=nn00;6):9c;337>=h:>h1<7*;6b81<6=52;294~"38h0:<;5G4768L1523->=o769;%3f3?31<2cm;7>5$54`>46432e9;o4?:%65g?4?;2B?:o54}c10a?6=;3:1N3>=1C8>;4$54`>6`<,8o<688;;hd;>5<#5<#t$52b>7>73A>=86F;349'03e=:>l0(290/8;m51118?j40j3:1(98l:3:0?>{e;=81<7=50;2x 16f2=:0D98;;I601>"3>j08j6*>e68621=nn10;6):9c;337>=nn00;6):9c;337>=h:>h1<7*;6b81<6=52;294~"38h0:<;5G4768L1523->=o769;%3f3?31<2cm;7>5$54`>46432e9;o4?:%65g?4?;2B?:o54}c170?6=;3:1N3>=1C8>;4$54`>6`<,8o<688;;hd;>5<#5<#t$52b>7>73A>=86F;349'03e=:>l0(290/8;m51118?j40j3:1(98l:3:0?>{e;=21<7=50;2x 16f2=:0D98;;I601>"3>j08j6*>e68621=nn10;6):9c;337>=nn00;6):9c;337>=h:>h1<7*;6b81<6=52;294~"38h0:<;5G4768L1523->=o769;%3f3?31<2cm;7>5$54`>46432e9;o4?:%65g?4?;2B?:o54}c17e?6=;3:1N3>=1C8>;4$54`>6`<,8o<688;;hd;>5<#5<#t$52b>7>73A>=86F;349'03e=:>l0(290/8;m51118?j40j3:1(98l:3:0?>{e;=o1<7=50;2x 16f2=:0D98;;I601>"3>j08j6*>e68621=nn10;6):9c;337>=nn00;6):9c;337>=h:>h1<7*;6b81<6=52;294~"38h0:<;5G4768L1523->=o769;%3f3?31<2cm;7>5$54`>46432e9;o4?:%65g?4?;2B?:o54}c164?6=;3:1N3>=1C8>;4$54`>6`<,8o<688;;hd;>5<#5<#t$52b>7>73A>=86F;349'03e=:>l0(290/8;m51118?j40j3:1(98l:3:0?>{e;<>1<7=50;2x 16f2=:0D98;;I601>"3>j08j6*>e68621=nn10;6):9c;337>=nn00;6):9c;337>=h:>h1<7*;6b81<6=97>52;294~"38h0:<;5G4768L1523->=o769;%3f3?31<2cm;7>5$54`>46432e9;o4?:%65g?4?;2B?:o54}c162?6=;3:1N3>=1C8>;4$54`>6`<,8o<688;;hd;>5<#5<#t$52b>7>73A>=86F;349'03e=:>l0(290/8;m51118?j40j3:1(98l:3:0?>{e;"3>j08j6*>e68621=nn10;6):9c;337>=nn00;6):9c;337>=h:>h1<7*;6b81<6=n7>52;294~"38h0:<;5G4768L1523->=o769;%3f3?31<2cm;7>5$54`>46432e9;o4?:%65g?4?;2B?:o54}c16g?6=;3:1N3>=1C8>;4$54`>6`<,8o<688;;hd;>5<#5<#t$52b>7>73A>=86F;349'03e=:>l0(290/8;m51118?j40j3:1(98l:3:0?>{e;:?1<7=50;2x 16f2=:0D98;;I601>"3>j08j6*>e68621=nn10;6):9c;337>=nn00;6):9c;337>=h:>h1<7*;6b81<6=52;294~"38h0:<;5G4768L1523->=o769;%3f3?31<2cm;7>5$54`>46432e9;o4?:%65g?4?;2B?:o54}c103?6=;3:1N3>=1C8>;4$54`>6`<,8o<688;;hd;>5<#5<#=8391<7>t$52b>7>73A>=86F;349'03e=:>l0(290/8;m51118?j40j3:1(98l:3:0?>{e;;21<7=50;2x 16f2=:0D98;;I601>"3>j08j6*>e68621=nn10;6):9c;337>=nn00;6):9c;337>=h:>h1<7*;6b81<6=52;294~"38h0:<;5G4768L1523->=o769;%3f3?31<2cm;7>5$54`>46432e9;o4?:%65g?4?;2B?:o54}c11e?6=;3:1N3>=1C8>;4$54`>6`<,8o<688;;hd;>5<#5<#t$52b>7>73A>=86F;349'03e=:>l0(290/8;m51118?j40j3:1(98l:3:0?>{e:ln1<7=50;2x 16f2=:0D98;;I601>"3>j08j6*>e68621=nn10;6):9c;337>=nn00;6):9c;337>=h:>h1<7*;6b81<6=52;294~"38h0:<;5G4768L1523->=o769;%3f3?31<2cm;7>5$54`>46432e9;o4?:%65g?4?;2B?:o54}c0fb?6=;3:1N3>=1C8>;4$54`>6`<,8o<688;;hd;>5<#5<#t$52b>7>73A>=86F;349'03e=:>l0(290/8;m51118?j40j3:1(98l:3:0?>{e;081<7<50;2x 16f28:=7E:94:J770=#n:025?M21<2B??85+47a9<3=#9l=19;:4ig594?"3>j0:<>54o35a>5<#5=4H54a?>{e;0?1<7<50;2x 16f28:=7E:94:J770=#n:025?M21<2B??85+47a9<3=#9l=19;:4ig594?"3>j0:<>54o35a>5<#5=4H54a?>{e;0=1<7<50;2x 16f28:=7E:94:J770=#n:528L1033A>896*;6b80b>"6m>0>:95ff983>!21k3;;?65ff883>!21k3;;?65`26`94?"3>j094>54}c1:=?6=<3:10D9=:;%65g?40n2.:i:4:659jb=<72->=o7??3:9jb<<72->=o7??3:9jbd<72->=o7??3:9l62d=83.?:n4=8298yg5>i3:1?7>50z&74d<5091C8;:4H516?!21k38e68621=nn10;6):9c;337>=nn00;6):9c;337>=h:>h1<7*;6b81<6=54;294~"38h0?=6F;659K063<,=
    h4$0g4>0033`l36=4+47a9555<3`l26=4+47a9555<3`lj6=4+47a9555<3f85$54`>7>432wi?4=50;194?6|,=:j6?6?;I650>N3;<1/8;m526d8 4c02<n:528L1033A>896*;6b80b>"6m>0>:95ff983>!21k3;;?65ff883>!21k3;;?65`26`94?"3>j094>54}c1;a?6=;3:1N3>=1C8>;4$54`>6`<,8o<688;;hd;>5<#5<#t$52b>7>73A>=86F;349'03e=:>l0(290/8;m51118?j40j3:1(98l:3:0?>{e;121<7;50;2x 16f2=80D98;;I601>"3>j08j6*>e68621=nn10;6):9c;337>=nn00;6):9c;337>=nnh0;6):9c;337>=nnk0;6):9c;337>=h:>h1<7*;6b81<6=52;294~"38h0:<;5G4768L1523->=o769;%3f3?31<2cm;7>5$54`>46432e9;o4?:%65g?4?;2B?:o54}c1;e?6=;3:1N3>=1C8>;4$54`>6`<,8o<688;;hd;>5<#5<#t$52b>4613A>=86F;349'03e=0?1/=h955768mc1=83.?:n4>0298k71e290/8;m52918L10e32wi?5:50;194?6|,=:j69>4H547?M24=2.?:n4=1bj54?:%65g?77;21bj44?:%65g?77;21d>:l50;&72f<50:10qo=75;297?6=8r.?7):9c;04b>"6m>0>:95ff983>!21k3;;?65ff883>!21k3;;?65`26`94?"3>j094>54}c1b0D9=:;%65g?40n2.:i:4:659jb=<72->=o7??3:9jb<<72->=o7??3:9jbd<72->=o7??3:9jbg<72->=o7??3:9l62d=83.?:n4=8298yg5f13:1>7>50z&74d<68?1C8;:4H516?!21k32=7)?j7;750>oa?3:1(98l:020?>i5?k0;6):9c;0;7>N3>k10qo=na;296?6=8r.?079K032<@=9>7):9c;:5?!7b?3?=86gi7;29 10d28:876a=7c83>!21k383?6F;6c98yg5fj3:1?7>50z&74d<382B?:95G4278 10d2:l0(290/8;m51118?j40j3:1(98l:3:0?>{e;hi1<7=50;2x 16f2;2;7E:94:J770=#:h4$0g4>0033`l36=4+47a9555<3`l26=4+47a9555<3f85$54`>7>432wi9==50;194?6|,=:j69>4H547?M24=2.?:n4=1bj54?:%65g?77;21bj44?:%65g?77;21d>:l50;&72f<50:10qo;?4;296?6=8r.?079K032<@=9>7):9c;:5?!7b?3?=86gi7;29 10d28:876a=7c83>!21k383?6F;6c98yg37=3:1>7>50z&74d<68?1C8;:4H516?!21k32=7)?j7;750>oa?3:1(98l:020?>i5?k0;6):9c;0;7>N3>k10qo;?6;290?6=8r.?7):9c;04b>"6m>0>:95ff983>!21k3;;?65ff883>!21k3;;?65ff`83>!21k3;;?65`26`94?"3>j094>54}c721?6=:3:10D9=:;%65g?>13-;n;7;94:ke3?6=,=<;:m13g<72->=o7<73:J72g=52;294~"38h0:<;5G4768L1523->=o769;%3f3?31<2cm;7>5$54`>46432e9;o4?:%65g?4?;2B?:o54}c723?6=:3:10D9=:;%65g?>13-;n;7;94:ke3?6=,=<;:m13g<72->=o7<73:J72g=52;294~"38h0:<;5G4768L1523->=o769;%3f3?31<2cm;7>5$54`>46432e9;o4?:%65g?4?;2B?:o54}c72=?6=<3:10D9=:;%65g?40n2.:i:4:659jb=<72->=o7??3:9jb<<72->=o7??3:9jbd<72->=o7??3:9l62d=83.?:n4=8298yg36i3:187>50z&74d<392B?:95G4278 10d2:l0(290/8;m51118?l`f290/8;m51118?j40j3:1(98l:3:0?>{e;?n1<7<50;2x 16f28:=7E:94:J770=#n:025?M21<2B??85+47a9<3=#9l=19;:4ig594?"3>j0:<>54o35a>5<#5=4H54a?>{e;>91<7<50;2x 16f28:=7E:94:J770=#n:528L1033A>896*;6b80b>"6m>0>:95ff983>!21k3;;?65ff883>!21k3;;?65`26`94?"3>j094>54}c141?6=:3:10D9=:;%65g?>13-;n;7;94:ke3?6=,=<;:m13g<72->=o7<73:J72g=52;294~"38h0:<;5G4768L1523->=o769;%3f3?31<2cm;7>5$54`>46432e9;o4?:%65g?4?;2B?:o54}c143?6=:3:10D9=:;%65g?>13-;n;7;94:ke3?6=,=<;:m13g<72->=o7<73:J72g=54;294~"38h094<5G4768L1523->=o7<8f:&2a2<2>=1bj54?:%65g?77;21bj44?:%65g?77;21bjl4?:%65g?77;21d>:l50;&72f<50:10qo=89;297?6=8r.?n:528L1033A>896*;6b80b>"6m>0>:95ff983>!21k3;;?65ff883>!21k3;;?65`26`94?"3>j094>54}c15b?6=;3:1N3>=1C8>;4$54`>6`<,8o<688;;hd;>5<#5<#t$52b>7>73A>=86F;349'03e=:>l0(290/8;m51118?j40j3:1(98l:3:0?>{e;>;1<7=50;2x 16f2=:0D98;;I601>"3>j08j6*>e68621=nn10;6):9c;337>=nn00;6):9c;337>=h:>h1<7*;6b81<6=6=4={_36?85493l37p}>6;296~X6>278?h4i8:p52<72;qU=:523569b==z{821<7349><7h7;|q2e?6=:rT:m63<5b8e<>{t9k0;6?uQ1c9>761=n11v5<5sW;o70=:6;d;?xu6m3:1>vP>e:?1ac3ty9:7>52z\12>;4:o0m56s|2683>7}Y:>16?>m5f89~w7>=838pR?64=261>c?o523279b<=:;;21j45rs3a94?4|V;i01>;n:g;8yv4c2909wS7>58z\;6>;?=33o70=?9;d;?85>=3l<70=78;d;?837=3l<70=82;d4?850<3l37p}75;297~;?=38o:63:028e=>;4?<0m;6s|9583>44|V0>01>o;:9;897`b213018>?:9;89<`=001648479:?0427=6=n1168ok5f99>7=5=n>169==5f99~wd6=838p14h59e9>e4<5?k1v?98:181821=3l>70=n4;;6?xu5m?0;6?uQ2d4896422;=i7p}=e683>7}Y:l=01>7}Y:lh01>=7:35a?xu5mj0;6>uQ2da8Z7c>348m<7<8b:p6`b=838p1?kk:35a?84a83l37p}=ed83>7}::lo1>:l4=3ge>c?52z?1ac<5?k16>k>5f89~w7`62909wS2789i4=7c9~w7`?290>wS=84i7:p6c?=839pR?h6;<15`?`0349<:7h8;|q1b`<72;qU>kk4=3df>7b13ty9jk4?:3y>6cc=1m16?=8526`8yv5783:1>v3<01813g=:;9<1j45rs222>5<5s49;=7<8b:?0466k74}r130?6=:r78<94=7c9>750=nh1v>>::181857=38{t;9=1<7?6{<133?4c>27>?h4i9:?7bc?4i8:?066k4i8:?07554i8:?06<?l:g:8966>2;=i7p}<0`83>7}:;9=15i5231g962d52z?04g<5?k16?=j5f99~w66d2909w0=?c;04f>;48m0m56s|31f94?4|5::o6?9m;<13a?`>3ty875`=:m<01>>6:gc896?12o=01>67:g`890712o=01>9<:g58yv5683:1>v3<0g8:`>;49<09;o5rs232>5<5s49:=7<8b:?0564;d:?xu49:0;6?u2301962d<5:;>6k64}r120?6=:r78=94=7c9>743=nh1v>?9:181856>38o:63:198e3>{t;8=1<7=t=235>=?<5=hj6574=23;>71e3ty8=44?:3y>74?=:m<018?8:g58yv56i3:1>v3<188:`>;49o09;o5rs23a>5<5s49:n7<8b:?05ae;d:?xu49m0;6?u230f962d<5:;m6k64}r12a?6=:r78=h4=7c9>74`=n01v><>:181855:38{t;;81<771e349987h6;|q066<72;q6??:526`896422o30q~==7;296~;4:109;o5233`9b==z{:836=4={<11=?40j278>l4i9:p77?=838p1>7}:;;l1>:l4=211>c>52z?075<5?k16?>?5f89~w6572909w0=<1;04f>;4;;0m56s|32694?4|5:9>6?9m;<10760=:>h01>=8:g;8yv54>3:1>v3<36813g=:;:21j45rs21a>5<5s498o7<8b:?07cm50;0x965c2;=i70=713=n11v>:=:181853;38{t;=91<771e349?97h6;|q002<72;q6?96526`8962e2o20q~=;8;296~;4<009;o5235c9b<=z{:>26=4={<17e?40j2788o4i9:p71b=838p1>:j:35a?85293l37p}<4d83>7}:;=l1>:l4=273>c?52z?015<5?k16?8?5f89~w6342909w0=:4;04f>;4=>0m46s|34694?4|5:?>6?9m;<162?`>3ty8984?:3y>700=:>h01>;8:g;8yv5213:1>v3<5`813g=:;5<5s49>n7<8b:?01f;49;0m;63<038e3>;3jo0m;63<968e3>;40m0m463<888e3>;4?809;o5rs24g>5<5s49=h7<8b:?03=o0;6?u237d962d<5:=;6k74}r144?6=:r78;=4=7c9>727=n11v>9=:181850:38{t;>91<771e349<47hn;|q031<72;q6?::526`8960a2o20q~=85;296~;4?<09;o5236;9b==z{:==6=4={<142?40j278;44i9:p721=838p1>98:35a?85093l27p}<7983>7}:;>21>:l4=24f>c?52z?03<<5?k16?;h5f89~w61e290=w0=8b;0g2>;49<0m563<048e<>;3k;0m463<9`8e<>;4i10mm6s|36a94?2|5:=i64j4=25`>7b134>ih7h7;<1b72e=1m16?:j52e4891dc2o301>o7:g;8yv50m3:1;v3<7d81`3=:;8h1j4523019b==:;991j5524b29b<=:;021j55239g9b<=z{:=m6=4={<14a??c3493<7<8b:p7=7=83?p1>6>:3f5?85?83l270=?0;d;?82em3l270=74;d;?xu40;0;6?u23939=a=:;1?1>:l4}r1;7?6=:r784>4=7c9>7=2=n01v>6;:18185?<38{t;1<1<7;t=235>7=0=1m16?5o526`8yv5?03:1>v3<89813g=:;1k1j55rs2::>5<5s49357<8b:?06m:3f5?856l3l370:l0;d;?85f03li7p}<8b83>7}:;1h15i5239d962d52z?0b2909w0=7e;04f>;40o0m56s|38294??|5:3;6?j9;<13f?`>349:i7h7;<120?`?349;87h7;<6`7?`?3492n7h6;<1;`?`>349347h6;|q0=4<72;q6?4>59e9>7<5=:>h0q~=62;296~;41;09;o5238:9b<=z{:3?6=4={<1:0?40j278544i9:p7<3=838p1>7::35a?85>13l37p}<9783>7}:;0<1>:l4=2;:>cg52z?0=2<5?k16?4l5f`9~w6??2909w0=68;04f>;41h0m56s|38;94?4|5:326?9m;<1:f?`?3ty85l4?:3y>7h01>7<:g:8yv5>j3:1>v3<9c813g=:;091j45rs2c7>5<4s49j87o;:8f896g12;=i7p}7}:;h>15>523`a962d52z?0e=<5?k16?lm5f99~w6g>2909w0=n9;04f>;4ik0m46s|3`c94?4|5:kj6?9m;<1bf?`>3ty8mo4?:3y>7dd=:>h01>ol:g;8yv5fm3:18vP7d0=n016?l75f69>722=n01v>l8:18485e138;4980m;63<008e3>;3k80m;63<938e3>;40l0m46s|3c:94?4|5:h36?9m;<1a=?`>3ty8nl4?:4y]7gg<5:h26k64=2c5>c><5:kj6k94=24f>c>3o7>53z\7;3jh09h;5rs5``>5<5s4>im77k;<6`1?40j2wx8oj50;0x91dc2;=i70:l5;d;?xu3jl0;6?u24cg962d<5=i96k74}r6ab?6=:r7?nk4=7c9>0f5=n01v9m?:18182d838{t71e34>h87h6;|q7g7<72;q68n<526`891e22o30q~:l3;296~;3k:09;o524b79bd=z{=i?6=4={<6`0?40j27?o84ib:p0f0=838pR9m9;c1n47>52z\7a==:5<5sW>mm63;fc813g=z{=lh6=4={<6ef?`034>mj7<8b:p0cb=838p19hk:35a?82an3l37p};fd83>7}::l4=5de>cg52z\645=:=9:1>i84}r736?6=:r7><=46d:?643<5?k1v8><:181837;38{t=9>1<771e34?;:7h7;|q640<72;q69=;526`890612ok0q~;>4;296~X29=169v3:14813g=:=831j55rs435>5<5s4?::7<8b:?65<a;d;?xu2910;6?u250:962d<5<;j6ko4}r72=?6=:r7>=44=7c9>14g=n01v8<>:181[35927>>?4=7c9~w0432909wS;=4:?660<5?k1v8<8:181[35?27>?i4i7:p16?=838pR8=6;<70e?40j2wx9>l50;0x905f2o=018=j:35a?xu2;j0;6?u252a962d<5<9n6k64}r70`?6=:r7>?i4=7c9>16c=nh1v8;k:186[32l27>>84i8:?67f621npD9=:;%63e?7592.:i;47>5;n36`?6=3`>n47>5;h310?6=3`?>h7>5;h713?6=3f;8i7>5;n3`7?6=3`9>j7>5;n6g1?6=3f;>?7>5;n3ba?6=3f8n6=44o2a94?=h1<75m47794?7=83:p(9>n:g48L1033A>896*;6b8737=#9l=19;:4og794?"3>j094>54}c6b0?6==381:v*;0`8fa>N3>=1C8>;4$0g4>0033`386=44i8794?=n000;66g6d;29?j4c>3:17o=o7??3:9j=6<72->=o77<;:k:0?6=,=m?;:k03d<72->=o7=8a:9l6=5=83.?:n4=8298yg2113:1=7>50z&72f<3?;1d>5=50;&72f<50:10q~i852918yv?c2909wS7k;<0g2?77;2wx584?:3y]=0=::m<1?:o4}r;0>5<5sW3870vP79:?1`3<><2wxj;4?:3y>6a0=;j:01986:3:0?x{e;j21<7;52;4x 16f2lo0D98;;I601>"68<0n7)?j7;750>o>;3:17d7::188m=?=831b5i4?::m1`3<722h9h;4?:783>5}#j0:<>54i8194?"3>j02?65f9583>!21k33?76g!21k39h<65f36c94?"3>j08;l54o3:0>5<#5=4;|`72<<7280;6=u+47a9024;279h;463:p<<<72;qU44522e49=1=z{o<1<76e734>=57<73:~f6e=83?1>78t$52b>`c<@==1b5>4?::k:1?6=3`226=44i8f94?=h:m<1<75m2e494?0=83:p(98l:g38m464290/8;m51118?l?4290/8;m59298m<2=83.?:n464:9j7f6=83.?:n4{e{t1m0;6?uQ9e9>6a0=9990q~7::181[?2348o:7=8a:p=6<72;qU5>522e49=6=z{131<70q~h9:18184c>39h<63;6881<6=zuk3i6=4<:387!27i3oh7E:94:J770=#9l=19;:4i9;94?=n1m0;66a=d783>>d5l?0;684=:7y'05g=mo1/=h955768k7b12900e?j::188mm?:18'03e=;j:07d=8a;29 10d2:=j76a=8283>!21k383?65rb544>5<6290;w):9c;04a>i50=0;6):9c;0;7>=z{0n1<7>34om64:4}r;6>5<5sW3>70ki:25b?xu5l<0;6?uQ2e789``=;j:0q~=o7:82:&2a2<2>=1dj84?:%65g?4?;21v?j9:181[4c>279h;4=d79~w3227p}::18084c>38o963=d78:1>;52o?0(9=;:b9~yg42<3:1?7<54z&74di850;9a6a0=83?1>78t$52b>``<,8o<688;;n0g2?6=3`8o97>5;h;g>5<>dbn3:1:7>50z&72f4?:%65g?77;21b5>4?:%65g??432c287>5$54`><2<3`9h<7>5$54`>6e732c8;l4?:%65g?50i21d>5=50;&72f<50:10qo:97;295?6=8r.?:n4=7d9l6=2=83.?:n4=8298yv?c2909wS7k;4643ty357>52z\;=>;bn33?7p}65;296~X>=27nj7=8a:p6a3=838pR?j:;6e73ty9h;4?:3y]6a0<5ll1>5=4}r602?6=:r7nj77<;<653?4?<2wvn?4?:083>5}#<:>156*;0`8e2>"3>j0?;?5+1d5913252z\1`3=::m<1>i84}r;g>5<5sW3o70vP79:?1`353z?1`3<5l<16>i85949>6?`23->887m4}|`216<72<096;u+41c9a`=O0D9=:;%3f3?31<2c2?7>5;h;6>5<>i5l?0;66l=d783>3<729q/8;m5f09j555=83.?:n4>0298m<5=83.?:n463:9j=1<72->=o77;;:k0g5<72->=o7=l0:9j72g=83.?:n4<7`98k7>4290/8;m52918?xd3>00;6<4?:1y'03e=<>80c?6<:18'03e=:1907p}=d783>7}Y:m<01?j9:3:0?xu>l3:1>vP6d:?1`3<68:1v4;50;0xZ<3<5;n=6>9n;|q:7?6=:rT2?63=d78:7>{t000;6?uQ889>6a0=1=1vk850;0x97b12:i;70:99;0;7>{zj;o1<7=52;6x 16f2li0D98;;I601>"6m>0>:95f8883>>o>l3:17b3:197<56z&74di;50;9j=a<722c297>5;h::>5<<:18'03e=99907d7<:18'03e=1:10e4:50;&72f<><21b?n>50;&72f<4k910e>9n:18'03e=;>k07b<73;29 10d2;2876sm47594?7=83:p(98l:35f?j4?<3:1(98l:3:0?>{t1m0;6?uQ9e9>ac<68:1v5750;0xZ=?<5ll1595rs8794?4|V0?01hh536c8yv4c=3:1>vP=d49>ac<4k91v?j9:181[4c>27nj7<73:p060=838p1hh5929>031=:1>0qpl=:182>5<7s->88774$52b>c0<,=5$54`>7>432wx>i850;0xZ7b1348o:770:5c:~f4gb29086?4;{%63e?cd3A>=86F;349'5`1==?>0e5750;9j=a<722e9h;4?::`1`3<72<096;u+41c9ac=#9l=19;:4o3f5>5<6=44i8f94?=n1<0;66g79;29?gca290=6=4?{%65g?`63`;;?7>5$54`>46432c2?7>5$54`><5<3`3?6=4+47a9=1=5$54`>61f32e94>4?:%65g?4?;21vn988:182>5<7s->=o7<8e:m1<1<72->=o7<73:9~w0q~7::181[?234om6>9n;|q1`0<72;qU>i;4=dd97f652z\1`3=:mo094>5rs515>5<5s4om64=4=544>7>33twi>7>51;294~"3;=027):?a;d5?!21k3><>6*>e68621=hn<0;6):9c;0;7>=z{;n=6=4={_0g2>;5l?09h;5rs8f94?4|V0n01?j9:8f8yv>>2909wS66;<0g2?>>3ty>6=4<{<0g2?4c=279h;465:?1>c3<,=9?6n5r}c30a?6=;3818v*;0`8fg>N3>=1C8>;4$0g4>0033`226=44i8f94?=h:m<1<75m2e494?3=:3n:dd8 4c02<3:1o68:0;6):9c;337>=n1:0;6):9c;;0?>o><3:1(98l:868?l5d83:1(98l:2a3?>o4?h0;6):9c;14e>=h:191<7*;6b81<6==;7>51;294~"3>j09;h5`29694?"3>j094>54}r;g>5<5sW3o70ki:020?xu?13:1>vP79:?fb??33ty297>52z\:1>;bn3970ki:2a3?xu5l?0;6?uQ2e489``=:190q~:<6;296~;bn33870:97;0;0>{zj;0;6<4?:1y'062=12.?3:1>vP=d79>6a0=:m<0q~7k:181[?c348o:77k;|q;=?6=:rT3563=d78;=>{t=3:1?v3=d781`0=::m<158522;d6?!24<3i0qpl>c283>6<52=q/8=o5eb9K032<@=9>7)?j7;750>o?13:17d7k:188k7b12900n?j9:186>7<1s->;m7ki;%3f3?31<2e9h;4?::k1`0<722c2h7>5;h;6>5<3<729q/8;m5f09j555=83.?:n4>0298m<5=83.?:n463:9j=1<72->=o77;;:k0g5<72->=o7=l0:9j72g=83.?:n4<7`98k7>4290/8;m52918?xd3>>0;6<4?:1y'03e=:>o0c?6;:18'03e=:1907p}6d;296~X>l27nj7??3:p<<<72;qU4452eg8:0>{t1<0;6?uQ949>ac<4?h1v?j::181[4c=27nj7=l0:p6a0=838pR?j9;7>43ty??;4?:3y>ac<>;27?::4=859~yg4=83;1<7>t$517><=#<9k1j;5+47a9024<,8o<688;;nd6>5<#5=4;|q1`3<72;qU>i84=3f5>7b13ty2h7>52z\:`>;5l?02h6s|8883>7}Y0016>i85889~w0<72:q6>i852e7897b120?01?4i5:&7715;n0g2?6=3k8o:7>55;092~"38h0nj6*>e68621=h:m<1<75f2e794?=n1m0;66g65;29?l>>2900nhh50;494?6|,=5<#5<#54i8694?"3>j02865f3b294?"3>j08o=54i25b>5<#t$54`>71b3f8387>5$54`>7>432wx5i4?:3y]=a=:mo0:<>5rs9;94?4|V1301hh5959~w<3=838pR4;4=dd972g52z\1`0=:mo08o=5rs3f5>5<5sW8o:63jf;0;7>{t<:<1<75:4}|`1>5<6290;w):<4;;8 16f2o<0(98l:551?!7b?3?=86ai5;29 10d2;2876s|2e494?4|V;n=70{t1m0;6?uQ9e9>6a0=1m1v5750;0xZ=?<5;n=6574}r794?5|5;n=6?j:;<0g2??23481j85+4269g>{zj89o6=4<:387!27i3oh7E:94:J770=#9l=19;:4i9;94?=n1m0;66a=d783>>d5l?0;684=:7y'05g=mo1/=h955768k7b12900e?j::188mm?:18'03e=;j:07d=8a;29 10d2:=j76a=8283>!21k383?65rb544>5<6290;w):9c;04a>i50=0;6):9c;0;7>=z{0n1<7>34om64:4}r;6>5<5sW3>70ki:25b?xu5l<0;6?uQ2e789``=;j:0q~=o7:82:&2a2<2>=1dj84?:%65g?4?;21v?j9:181[4c>279h;4=d79~w3227p}::18084c>38o963=d78:1>;52o?0(9=;:b9~yg76k3:1?7<54z&74d3}#<9k1ik5+1d591325<>o?13:17oki:185>5<7s->=o7h>;h337?6=,=<;:k:7?6=,=5<#5<#4;h14e?6=,=
    9n;:m1<6<72->=o7<73:9~f100290:6=4?{%65g?40m2e9494?:%65g?4?;21v4j50;0xZ5<5sW2270ki:868yv?22909wS7:;61f3ty9h84?:3y]6a3<5ll1?n>4}r0g2?6=:rT9h;52eg81<6=z{=9=6=4={<5<5=<<6?6;;|a6?6=93:1"6m>0>:95`f483>!21k383?65rs3f5>5<5sW8o:63=d781`3=z{0n1<7>348o:766;|q6>5<4s48o:7=2796k;4$517>f=zuk;ij7>55;092~"38h0ni6F;659K063<,8o<688;;h;0>5<>o>l3:17b3:1:7>50z&72f4?:%65g?77;21b5>4?:%65g??432c287>5$54`><2<3`9h<7>5$54`>6e732c8;l4?:%65g?50i21d>5=50;&72f<50:10qo:99;295?6=8r.?:n4;739l6=5=83.?:n4=8298yv4c>3:1>vP=d79>6a0=:190q~7k:181[?c348o:7??3:p=0<72;qU58522e4972g3387p}79;296~X?1279h;464:pb3<72;q6>i853b28910>2;287psm15d94?3=:3n:dg8L1033A>896*>e68621=n1:0;66g65;29?l>>2900e4j50;9l6a0=831i>i850;494?6|,=5<#5<#54i8694?"3>j02865f3b294?"3>j08o=54i25b>5<#t$54`>1153f83?7>5$54`>7>432wx>i850;0xZ7b1348o:7<73:p=a<72;qU5i522e495556=4={_;6?84c>397}Y1:16>i85929~w=?=838pR574=3f5><23:197<56z&74d5;c0g2?6=>3:1o68:0;6):9c;337>=n1:0;6):9c;;0?>o><3:1(98l:868?l5d83:1(98l:2a3?>o4?h0;6):9c;14e>=h:191<7*;6b81<6==57>51;294~"3>j0?;?5`29194?"3>j094>54}r0g2?6=:rT9h;522e496=53;;?6s|9483>7}Y1<16>i8536c8yv?42909wS7<;<0g2??43ty357>52z\;=>;5l?0286s|f783>7}::m<1?n>4=54:>7>43twi?k950;196?2|,=:j6hm4H547?M24=2.:<84j;%3f3?31<2c357>5;h;g>5<5<22;0=w):?a;ge?!7b?3?=86a=d783>>o5l<0;66g6d;29?l?22900e5750;9aac<72?0;6=u+47a9b4=n9991<7*;6b8246==n1=0;6):9c;;7?>o4k90;6):9c;1`4>=n;>k1<7*;6b803d=5}#:k4o3:7>5<#5=4;|q:`?6=:rT2h63jf;337>{t000;6?uQ889>ac<><2wx584?:3y]=0=:mo08;l5rs3f6>5<5sW8o963jf;1`4>{t:m<1<77}:mo02?63;6681<1=zuk81<7?50;2x 153201/8=o5f79'03e=<>80(l279h;46d:p<<<72;qU44522e49<<=z{<0;6>u22e496a3<5;n=64;4=38e1>"3;=0h7psm3g`94?5=:3>p(9>n:da8L1033A>896*>048f?!7b?3?=86g79;29?l?c2900c?j9:188f7b1290>6?49{%63e?ca3-;n;7;94:m1`3<722c9h84?::k:`?6=3`3>6=44i9;94?=emo0;6;4?:1y'03e=n81b===50;&72f<68:10e4=50;&72f<>;21b594?:%65g??332c8o=4?:%65g?5d821b?:o50;&72f<4?h10c?6<:18'03e=:1907pl;6683>4<729q/8;m526g8k7>3290/8;m52918?xu>l3:1>vP6d:?fb?77;2wx444?:3y]<<=:mo0286s|9483>7}Y1<16ik4<7`9~w7b22909wSi850;0xZ7b134om6?6<;|q773<72;q6ik463:?722<50=1vqo<50;394?6|,=9?645+41c9b3=#0033fl>6=4+47a96=5<3ty9h;4?:3y]6a0<5;n=6?j9;|q:`?6=:rT2h63=d78:`>{t000;6?uQ889>6a0=001v84?:2y>6a0=:m?01?j9:87897t$52b>4613A>=86F;349'03e=0?1/=h955768mc1=83.?:n4>0298k71e290/8;m52918L10e32wi8i850;094?6|,=:j6<>9;I650>N3;<1/8;m5879'5`1==?>0ek950;&72f<68:10c?9m:18'03e=:190D98m;:a507=8381<7>t$52b>4613A>=86F;349'03e=0?1/=h955768mc1=83.?:n4>0298k71e290/8;m52918L10e32wi=n?50;094?6|,=:j6<>9;I650>N3;<1/8;m5879'5`1==?>0ek950;&72f<68:10c?9m:18'03e=:190D98m;:a0d1=8381<7>t$52b>4613A>=86F;349'03e=0?1/=h955768mc1=83.?:n4>0298k71e290/8;m52918L10e32wi?h4?:283>5}#<9k1>5>4H547?M24=2.?:n4=7g9'5`1==?>0ek650;&72f<68:10ek750;&72f<68:10c?9m:18'03e=:1907pl;0983>7<729q/8=o51148L1033A>896*;6b8;2>"6m>0>:95ff683>!21k3;;?65`26`94?"3>j094>5G47`8?xd3800;6>4?:1y'05g=<91C8;:4H516?!21k39m7)?j7;750>oa03:1(98l:020?>oa13:1(98l:020?>i5?k0;6):9c;0;7>=zj=n26=4<:183!27i3>;7E:94:J770=#5}#<9k1==84H547?M24=2.?:n476:&2a2<2>=1bj:4?:%65g?77;21d>:l50;&72f<50:1C8;l4;|`7`g<72;0;6=u+41c9550<@=2.:i:4:659jb2<72->=o7??3:9l62d=83.?:n4=829K03d<3th?hn4?:283>5}#<9k18=5G4768L1523->=o7=i;%3f3?31<2cm47>5$54`>46432cm57>5$54`>46432e9;o4?:%65g?4?;21vn9jk:180>5<7s->;m7:?;I650>N3;<1/8;m53g9'5`1==?>0ek650;&72f<68:10ek750;&72f<68:10c?9m:18'03e=:1907pl>5g83>6<729q/8=o5419K032<@=9>7):9c;1e?!7b?3?=86gi8;29 10d28:876gi9;29 10d28:876a=7c83>!21k383?65rb043>5<5290;w):?a;332>N3>=1C8>;4$54`>=0<,8o<688;;hd4>5<#=zj8<:6=4<:183!27i3>;7E:94:J770=#5}#<9k1==84H547?M24=2.?:n476:&2a2<2>=1bj:4?:%65g?77;21d>:l50;&72f<50:1C8;l4;|`0bc<72:0;6=u+41c96=6<@==83.?:n4>0298mc?=83.?:n4>0298k71e290/8;m52918?xd3890;6>4?:1y'05g=<91C8;:4H516?!21k39m7)?j7;750>oa03:1(98l:020?>oa13:1(98l:020?>i5?k0;6):9c;0;7>=zj=::6=4=:183!27i3;;:6F;659K063<,=0033`l<6=4+47a9555<3f85$54`>7>43A>=n65rb521>5<5290;w):?a;332>N3>=1C8>;4$54`>=0<,8o<688;;hd4>5<#=zj=:86=4<:183!27i3>;7E:94:J770=#5}#<9k18=5G4768L1523->=o7=i;%3f3?31<2cm47>5$54`>46432cm57>5$54`>46432e9;o4?:%65g?4?;21vn9>::180>5<7s->;m7<70:J721=O<:?0(98l:35e?!7b?3?=86gi8;29 10d28:876gi9;29 10d28:876a=7c83>!21k383?65rb525>5<4290;w):?a;63?M21<2B??85+47a97c=#9l=19;:4ig:94?"3>j0:<>54ig;94?"3>j0:<>54o35a>5<#5=4;|`0b`<72:0;6=u+41c96=6<@==83.?:n4>0298mc?=83.?:n4>0298k71e290/8;m52918?xd4n00;6>4?:1y'05g=<91C8;:4H516?!21k39m7)?j7;750>oa03:1(98l:020?>oa13:1(98l:020?>i5?k0;6):9c;0;7>=zj:lj6=4<:183!27i383<6F;659K063<,=5$54`>46432cm57>5$54`>46432e9;o4?:%65g?4?;21vn9o6:180>5<7s->;m7:?;I650>N3;<1/8;m53g9'5`1==?>0ek650;&72f<68:10ek750;&72f<68:10c?9m:18'03e=:1907pl;a`83>7<729q/8=o51148L1033A>896*;6b8;2>"6m>0>:95ff683>!21k3;;?65`26`94?"3>j094>5G47`8?xd3ik0;6>4?:1y'05g=:1:0D98;;I601>"3>j09;k5+1d591325}#<9k18=5G4768L1523->=o7=i;%3f3?31<2cm47>5$54`>46432cm57>5$54`>46432e9;o4?:%65g?4?;21vn<;9:181>5<7s->;m7??6:J721=O<:?0(98l:948 4c02<2B?:95G4278 10d21<0(5<7s->;m7:?;I650>N3;<1/8;m53g9'5`1==?>0ek650;&72f<68:10ek750;&72f<68:10c?9m:18'03e=:1907pl>5883>6<729q/8=o52928L1033A>896*;6b813c=#9l=19;:4ig:94?"3>j0:<>54ig;94?"3>j0:<>54o35a>5<#5=4;|`226<72:0;6=u+41c905=O0D9=:;%65g?5a3-;n;7;94:ke<;:ke=?6=,=<;:m13g<72->=o7<73:9~f40>29096=4?{%63e?77>2B?:95G4278 10d21<0(5<7s->;m7??6:J721=O<:?0(98l:948 4c02<=86F;349'03e=;o1/=h955768mc>=83.?:n4>0298mc?=83.?:n4>0298k71e290/8;m52918?xd6>j0;6>4?:1y'05g=<91C8;:4H516?!21k39m7)?j7;750>oa03:1(98l:020?>oa13:1(98l:020?>i5?k0;6):9c;0;7>=zj80033`l<6=4+47a9555<3f85$54`>7>43A>=n65rb04f>5<5290;w):?a;332>N3>=1C8>;4$54`>=0<,8o<688;;hd4>5<#=zj80033`l<6=4+47a9555<3f85$54`>7>43A>=n65rb053>5<4290;w):?a;0;4>N3>=1C8>;4$54`>71a3-;n;7;94:ke<;:ke=?6=,=<;:m13g<72->=o7<73:9~f40329086=4?{%63e?273A>=86F;349'03e=;o1/=h955768mc>=83.?:n4>0298mc?=83.?:n4>0298k71e290/8;m52918?xd6><0;6>4?:1y'05g=<91C8;:4H516?!21k39m7)?j7;750>oa03:1(98l:020?>oa13:1(98l:020?>i5?k0;6):9c;0;7>=zj8<=6=4<:183!27i383<6F;659K063<,=5$54`>46432cm57>5$54`>46432e9;o4?:%65g?4?;21vn<88:187>5<7s->;m7:>;I650>N3;<1/8;m53g9'5`1==?>0ek650;&72f<68:10ek750;&72f<68:10eko50;&72f<68:10c?9m:18'03e=:1907pl>6983>6<729q/8=o52928L1033A>896*;6b813c=#9l=19;:4ig:94?"3>j0:<>54ig;94?"3>j0:<>54o35a>5<#5=4;|`2g0<72:0;6=u+41c905=O0D9=:;%65g?5a3-;n;7;94:ke<;:ke=?6=,=<;:m13g<72->=o7<73:9~f4ed29096=4?{%63e?77>2B?:95G4278 10d21<0(5<7s->;m7??6:J721=O<:?0(98l:948 4c02<2B?:95G4278 10d21<0(5<7s->;m7??6:J721=O<:?0(98l:948 4c02<2B?:95G4278 10d21<0(:181>5<7s->;m7??6:J721=O<:?0(98l:948 4c02<2B?:95G4278 10d21<0(5<7s->;m7<70:J721=O<:?0(98l:35e?!7b?3?=86gi8;29 10d28:876gi9;29 10d28:876a=7c83>!21k383?65rb0a5>5<4290;w):?a;63?M21<2B??85+47a97c=#9l=19;:4ig:94?"3>j0:<>54ig;94?"3>j0:<>54o35a>5<#5=4;|`2g2<72=0;6=u+41c904=O0D9=:;%65g?5a3-;n;7;94:ke<;:ke=?6=,=<;:kee?6=,=<;:m13g<72->=o7<73:9~f4e?29086=4?{%63e?273A>=86F;349'03e=;o1/=h955768mc>=83.?:n4>0298mc?=83.?:n4>0298k71e290/8;m52918?xd6k00;6>4?:1y'05g=:1:0D98;;I601>"3>j09;k5+1d591325}#<9k18<5G4768L1523->=o7=i;%3f3?31<2cm47>5$54`>46432cm57>5$54`>46432cmm7>5$54`>46432e9;o4?:%65g?4?;21vn5<7s->;m7<70:J721=O<:?0(98l:35e?!7b?3?=86gi8;29 10d28:876gi9;29 10d28:876a=7c83>!21k383?65rb05;>5<4290;w):?a;63?M21<2B??85+47a97c=#9l=19;:4ig:94?"3>j0:<>54ig;94?"3>j0:<>54o35a>5<#5=4;|`23<<72:0;6=u+41c96=6<@==83.?:n4>0298mc?=83.?:n4>0298k71e290/8;m52918?xd6?h0;6?4?:1y'05g=99<0D98;;I601>"3>j03:6*>e68621=nn>0;6):9c;337>=h:>h1<7*;6b81<6=O7c83>7<729q/8=o51148L1033A>896*;6b8;2>"6m>0>:95ff683>!21k3;;?65`26`94?"3>j094>5G47`8?xd6?j0;6>4?:1y'05g=<91C8;:4H516?!21k39m7)?j7;750>oa03:1(98l:020?>oa13:1(98l:020?>i5?k0;6):9c;0;7>=zj8=o6=4<:183!27i3>;7E:94:J770=#5}#<9k18<5G4768L1523->=o7=i;%3f3?31<2cm47>5$54`>46432cm57>5$54`>46432cmm7>5$54`>46432e9;o4?:%65g?4?;21vn5<7s->;m7:?;I650>N3;<1/8;m53g9'5`1==?>0ek650;&72f<68:10ek750;&72f<68:10c?9m:18'03e=:1907pl>b683>7<729q/8=o51148L1033A>896*;6b8;2>"6m>0>:95ff683>!21k3;;?65`26`94?"3>j094>5G47`8?xd6j10;6?4?:1y'05g=99<0D98;;I601>"3>j03:6*>e68621=nn>0;6):9c;337>=h:>h1<7*;6b81<6=Ob883>6<729q/8=o52928L1033A>896*;6b813c=#9l=19;:4ig:94?"3>j0:<>54ig;94?"3>j0:<>54o35a>5<#5=4;|`2fd<72;0;6=u+41c9550<@=2.:i:4:659jb2<72->=o7??3:9l62d=83.?:n4=829K03d<3th:no4?:383>5}#<9k1==84H547?M24=2.?:n476:&2a2<2>=1bj:4?:%65g?77;21d>:l50;&72f<50:1C8;l4;|`2ff<72;0;6=u+41c9550<@=2.:i:4:659jb2<72->=o7??3:9l62d=83.?:n4=829K03d<3th:ni4?:283>5}#<9k18=5G4768L1523->=o7=i;%3f3?31<2cm47>5$54`>46432cm57>5$54`>46432e9;o4?:%65g?4?;21vn5<7s->;m7??6:J721=O<:?0(98l:948 4c02<=86F;349'03e=;o1/=h955768mc>=83.?:n4>0298mc?=83.?:n4>0298mcg=83.?:n4>0298k71e290/8;m52918?xd6j;0;694?:1y'05g=<81C8;:4H516?!21k39m7)?j7;750>oa03:1(98l:020?>oa13:1(98l:020?>oai3:1(98l:020?>i5?k0;6):9c;0;7>=zj8h86=4<:183!27i3>;7E:94:J770=#5}#<9k1>5>4H547?M24=2.?:n4=7g9'5`1==?>0ek650;&72f<68:10ek750;&72f<68:10c?9m:18'03e=:1907pl>b483>6<729q/8=o5419K032<@=9>7):9c;1e?!7b?3?=86gi8;29 10d28:876gi9;29 10d28:876a=7c83>!21k383?65rb0`5>5<3290;w):?a;0;5>N3>=1C8>;4$54`>71a3-;n;7;94:ke<;:ke=?6=,=<;:kee?6=,=<;:m13g<72->=o7<73:9~f42729086=4?{%63e?273A>=86F;349'03e=;o1/=h955768mc>=83.?:n4>0298mc?=83.?:n4>0298k71e290/8;m52918?xd6<>0;6?4?:1y'05g=99<0D98;;I601>"3>j03:6*>e68621=nn>0;6):9c;337>=h:>h1<7*;6b81<6=O4983>7<729q/8=o51148L1033A>896*;6b8;2>"6m>0>:95ff683>!21k3;;?65`26`94?"3>j094>5G47`8?xd6<00;6>4?:1y'05g=:1:0D98;;I601>"3>j09;k5+1d591325}#<9k1==84H547?M24=2.?:n476:&2a2<2>=1bj:4?:%65g?77;21d>:l50;&72f<50:1C8;l4;|`20g<72;0;6=u+41c9550<@=2.:i:4:659jb2<72->=o7??3:9l62d=83.?:n4=829K03d<3th:8n4?:383>5}#<9k1==84H547?M24=2.?:n476:&2a2<2>=1bj:4?:%65g?77;21d>:l50;&72f<50:1C8;l4;|`20a<72:0;6=u+41c905=O0D9=:;%65g?5a3-;n;7;94:ke<;:ke=?6=,=<;:m13g<72->=o7<73:9~f42b29096=4?{%63e?77>2B?:95G4278 10d21<0(:187>5<7s->;m7:>;I650>N3;<1/8;m53g9'5`1==?>0ek650;&72f<68:10ek750;&72f<68:10eko50;&72f<68:10c?9m:18'03e=:1907pl>4383>1<729q/8=o5409K032<@=9>7):9c;1e?!7b?3?=86gi8;29 10d28:876gi9;29 10d28:876gia;29 10d28:876a=7c83>!21k383?65rb060>5<4290;w):?a;63?M21<2B??85+47a97c=#9l=19;:4ig:94?"3>j0:<>54ig;94?"3>j0:<>54o35a>5<#5=4;|`201<72:0;6=u+41c96=6<@==83.?:n4>0298mc?=83.?:n4>0298k71e290/8;m52918?xd6<<0;6>4?:1y'05g=<91C8;:4H516?!21k39m7)?j7;750>oa03:1(98l:020?>oa13:1(98l:020?>i5?k0;6):9c;0;7>=zj8>=6=4;:183!27i383=6F;659K063<,=5$54`>46432cm57>5$54`>46432cmm7>5$54`>46432e9;o4?:%65g?4?;21vn>mn:181>5<7s->;m7??6:J721=O<:?0(98l:948 4c02<2B?:95G4278 10d21<0(ml:181>5<7s->;m7??6:J721=O<:?0(98l:948 4c02<2B?:95G4278 10d21<0(mj:180>5<7s->;m7:?;I650>N3;<1/8;m53g9'5`1==?>0ek650;&72f<68:10ek750;&72f<68:10c?9m:18'03e=:1907pl6<729q/8=o52928L1033A>896*;6b813c=#9l=19;:4ig:94?"3>j0:<>54ig;94?"3>j0:<>54o35a>5<#5=4;|`0`5<72=0;6=u+41c904=O0D9=:;%65g?5a3-;n;7;94:ke<;:ke=?6=,=<;:kee?6=,=<;:m13g<72->=o7<73:9~f6b629086=4?{%63e?4?82B?:95G4278 10d2;=m7)?j7;750>oa03:1(98l:020?>oa13:1(98l:020?>i5?k0;6):9c;0;7>=z{;o1<734;?o7h8;<37`?`>349ho7h8;|q0`?6=:r78o77<;<1f>71e3ty3>7>5az\;6>;4k102h63>528:`>;3i>0m;63>5g8e=>;3890m563;a`8e3>;6=>0m;63>798e<>;4km0m;6s|9583>45|V0>019o;:9;896e?21301>m5889>=g>34;8i766;<3`7?>>34;>h766;<30`?>>34;:o766;<3ab?>>34;?j766;<342?>>349m;766;<1ef?>>3ty2n7>52z?:f?4c>278i7h7;|q:g?6=:r72n77k;<63=?40j2wx==750;0xZ46>34;:o77k;|q25f<72h6:g;8940>2o=01vP>1e9>0d?=n01v<<;:185[75<27?h44i8:?21c56b=:m<01<;::g:8yv74m3:18vP>3d9>56c=:m<01<:?:g:894262o30q~?47813g=z{8>;6=4={<374?40j27:8;4i9:p517=838p1<:>:35a?873<3l37p}>4383>7}:9=81>:l4=067>c?52z?206<5?k16=985f`9~w4232909w0?;4;04f>;6<<0m46s|15794?4|58>>6?9m;<372?`?3ty:8:4?:3y>511=:>h01<:>:g:8yv7303:1>v3>49813g=:9=;1jl5rs06:>5<5s4;?57<8b:?20796ko4}r37g?6=:r7:8n4=7c9>513=n01v<:k:181873l38428e<>{t9=o1<771e34;??7h6;|q20c<72;q6=9h52e48942c2o20q~?:0;296~;650813g=z{8?86=4={_367>;6=:09h;5rs077>5<5s4;>?77<;<36=?40j2wx=8;50;0x94322;=i70?:8;d;?xu6=?0;6?u2144962d<58?36k74}r363?6=:r7:9:4=7c9>50?=n01v<;7:181872038588e<>{t93l<70?8c;d;?85dn3l37p}>5c83>7}Y9uQ14f8943c2;n=70?93;d;?xu6=l0;6:u2173962d<58c?<58h<6k94=0`:>c?<58>36k94=06:>c?j7>52z?21c<5?k16=;?5f99~w4072909w0?90;04f>;6>80m56s|17094?4|58?o64j4=04;>71e3ty::>4?:3y>535=:>h01<8::g:8yv71<3:1>v3>65813g=:9?<1j45rs046>5<5s4;=97<8b:?22=>0;6?u2175962d<58<36k74}r35=?6=:r7::44=7c9>526=n11v<8n:181871i38718e=>{t9?h1<771e34;=:7h7;|q22f<72;q6=;m526`894032o20q~?9d;296~;6>m09;o521769b<=z{87183>7}:9>:1>:l4=046>c?53z?233<5l?16=;l5f89>5fc=n>1v<98:1818700387d8e=>{t9>21<771e34;ad83>1}Y9ho01:l4}r3a4?6=:r7:n=4=7c9>5g0=n01v:18187e938b58e<>{t9k81<771e34;i87h6;|q2f6<72;q6=o=526`894d12ok0q~?m4;296~;6j=09;o521c79b==z{8h>6=4={<3a1?40j27:n;4i8:p5g1=838p1b983>7}:9k21>:l4=0`2>cg52z?2f<<5?k16=o<5f89~w4df2909w0?ma;04f>;6j;0m46s|1c`94?4|58hi6?9m;<3a6?`f3ty:nn4?:3y>5ge=:>h01v3>be813g=:9k91j55rs0`f>5<5s4;ii7<8b:?2f6:l4}r3`7?6=;rT:o>521b196a0<58i>6k64}r3`0?6=:r7:o>46d:?2gg<5?k1vc98e<>{t9j<1<771e34;h57h7;|q2g2<72;q6=n9526`894e>2o30q~?l8;296~;6k109;o521b`9b==z{8i26=4={<3`=?40j27:ol4i9:p5fg=838p1cb83>7}:9ji1>:l4=0f0>c>52z?2ga<5?k16=i=5f89~w4eb2909w0?le;04f>;6k?0m56s|1bd94?4|58im6?9m;<3`3?`?3ty:h=4?:3y>5a6=:>h01v3>d0813g=:9jk1j55rs0f1>5<5s4;o>7<8b:?2gd;5==09h;521cd9=6=:9=l15>523d8e=>{t:71e3ty9;:4?:9y>033=n<168l:5949>7f>=1<16?n465:?216<>=27:nk465:?20c<>=27:;;465:p70`=838pR>;i;<6gf?`03ty8o54?:7y>7f>=:m<01<8?:g5891662o=019o6:g:894322o301<9m:g58yv5d13:1>v3;4l809;o5rs2ab>5<5s49hm7<8b:?0g`7a7=n01v>mj:18185dm38{t;jl1<771e349o<7h7;|q0`5<72;q6?i>526`896b62o20q~=i7;2955}:;o=1>i84=52;>c1<5=:96k94=2d:>c><58c1<58io6k94=0f2>c1<58=26k74=0`3>c?<58hi6k94=0`f>c1<58>;6k74=06a>c1<58>n6k94=2aa>c152z?0b2<>l278jl4=7c9~w6`>2909w0=i9;04f>;4nh0m56s|3g`94?77s48n64j4=2da>7b134>;57h7;<1eb?`>34>;?7h7;<1ee?`?34;=?7h6;<35b?`034;h97h6;<3g6?`034;<57h7;<3a7cd=1m16?kk526`8yv5al3:1>v35<5s49mj7<8b:?74050;0x91672;=i70:?4;d;?xu3880;6?u2413962d<5=:?6k74}r636?6=:r7?050=n01v9><:181827;38{t<9>1<771e34>;97h6;|q740<72;q68=;526`891612o20q~:?6;296~;38?09;o523gg9b<=z{=:<6=4={<630d1=:>h0q~:n8;296~;3i=02?63;ac813g=z{=k26=4={<6b=?40j27?mo4i8:p0dg=838p19on:35a?82fj3l27p};d483>7}Y:l4}r6g=?6=:r7?h44=7c9>0ab=n11v9jn:18182ci38{t71e34>oo7h6;|q7`f<72;q68im526`891bc2o30q~:j8;297~X3m116=8?5f69>51g=n>1v8<8:180[35?27:o<4i7:?2fd34;=o7h6;<3g4?`03twi85>50;f951<6mrB??85+41c9b1=#9l<1?k84o477>5<5<5<>o5l>0;66g=f983>>o4=o0;66g>0183>>o5nl0;66g>5283>>d3k?0;6>4=:5y'05g=mj1C8;:4H516?!7b?3?=86g79;29?l?c2900c?j9:188f7b1290>6?49{%63e?ca3-;n;7;94:m1`3<722c9h84?::k:`?6=3`3>6=44i9;94?=emo0;6;4?:1y'03e=n81b===50;&72f<68:10e4=50;&72f<>;21b594?:%65g??332c8o=4?:%65g?5d821b?:o50;&72f<4?h10c?6<:18'03e=:1907pl;6683>4<729q/8;m526g8k7>3290/8;m52918?xu>l3:1>vP6d:?fb?77;2wx444?:3y]<<=:mo0286s|9483>7}Y1<16ik4<7`9~w7b22909wSi850;0xZ7b134om6?6<;|q773<72;q6ik463:?722<50=1vqo<50;394?6|,=9?645+41c9b3=#0033fl>6=4+47a96=5<3ty9h;4?:3y]6a0<5;n=6?j9;|q:`?6=:rT2h63=d78:`>{t000;6?uQ889>6a0=001v84?:2y>6a0=:m?01?j9:878970D9=:;%331?c<,8o<688;;h::>5<3:17d56;294~"3>j0m=6g>0283>!21k3;;?65f9283>!21k33876g64;29 10d20>07d=l0;29 10d2:i;76g<7`83>!21k39j094>54}c653?6=93:11<7*;6b81<6={t:m?1<77}Y:m<01hh52918yv24>3:1>v3jf;;0?821?38386srb383>4<729q/8>:59:&74d2.?:n4;739'5`1==?>0ck;50;&72f<50:10q~i852e48yv?c2909wS7k;<0g2??c3ty357>52z\;=>;5l?0356s|5;297~;5l?09h8522e49=0=::3l>7):<4;a8yxd2==0;694=:4y'05g=n91C8;:4H516?k`5281/=h955768m=?=831b5i4?::k1`0<722e9h;4?::`1`3<72<096;u+41c9ac=#9l=19;:4o3f5>5<6=44i8f94?=n1<0;66g79;29?gca290=6=4?{%65g?`63`;;?7>5$54`>46432c2?7>5$54`><5<3`3?6=4+47a9=1=5$54`>61f32e94>4?:%65g?4?;21vn988:182>5<7s->=o7<8e:m1<1<72->=o7<73:9~w0q~7::181[?234om6>9n;|q1`0<72;qU>i;4=dd97f652z\1`3=:mo094>5rs515>5<5s4om64=4=544>7>33twi=7>51;294~"3;=037):?a;d5?!21k3><>6*>e68621=hn<0;6):9c;0;7>=z{;n=6=4={_0g2>;5l?09h;5rs3f6>5<5sW8o963=d781`0=z{0n1<7>348o:766;|q7>5<5s48o:77:;<39b0=#<:>1n6srb9194?4=83:p(9>n:025?M21<2B??85+47a9<3=#9l=19;:4ig594?"3>j0:<>54o35a>5<#5=4H54a?>{e0=0;6>4?:1y'05g=:1:0D98;;I601>"3>j09;k5+1d5913252;294~"38h0:<;5G4768L1523->=o769;%3f3?31<2cm;7>5$54`>46432e9;o4?:%65g?4?;2B?:o54}c6g4?6=:3:10D9=:;%65g?>13-;n;7;94:ke3?6=,=<;:m13g<72->=o7<73:J72g=o7>52;294~"38h0:<;5G4768L1523->=o769;%3f3?31<2cm;7>5$54`>46432e9;o4?:%65g?4?;2B?:o54}c64>5<5290;w):?a;332>N3>=1C8>;4$54`>=0<,8o<688;;hd4>5<#=zj=ih6=4<:183!27i3>;7E:94:J770=#5}#<9k1==84H547?M24=2.?:n476:&2a2<2>=1bj:4?:%65g?77;21d>:l50;&72f<50:1C8;l4;|`7g`<72:0;6=u+41c905=O0D9=:;%65g?5a3-;n;7;94:ke<;:ke=?6=,=<;:m13g<72->=o7<73:9~f03f290?6=4?{%63e?263A>=86F;349'03e=;o1/=h955768mc>=83.?:n4>0298mc?=83.?:n4>0298mcg=83.?:n4>0298k71e290/8;m52918?xd41m0;6>4?:1y'05g=<91C8;:4H516?!21k39m7)?j7;750>oa03:1(98l:020?>oa13:1(98l:020?>i5?k0;6):9c;0;7>=zj:3n6=4=:183!27i3;;:6F;659K063<,=0033`l<6=4+47a9555<3f85$54`>7>43A>=n65rb2;e>5<4290;w):?a;0;4>N3>=1C8>;4$54`>71a3-;n;7;94:ke<;:ke=?6=,=<;:m13g<72->=o7<73:9~f6g729086=4?{%63e?273A>=86F;349'03e=;o1/=h955768mc>=83.?:n4>0298mc?=83.?:n4>0298k71e290/8;m52918?xd4i80;6>4?:1y'05g=:1:0D98;;I601>"3>j09;k5+1d591325}#<9k18=5G4768L1523->=o7=i;%3f3?31<2cm47>5$54`>46432cm57>5$54`>46432e9;o4?:%65g?4?;21vn>o<:180>5<7s->;m7<70:J721=O<:?0(98l:35e?!7b?3?=86gi8;29 10d28:876gi9;29 10d28:876a=7c83>!21k383?65rs5494?4|5=<1>i84=969b==z{=21<709;o5rs5;94?4|5=<15i524`813g=z{181<7:t^9089=2=:>h019ml:g:8903f2o30q~6<:1818>42;=i706;:g;8yvcf290?wSkn;<6`2?>>34?>8766;<64>c153z\245=:;4i:0m46s|14c94?4|V8?j70=6e;d4?xu6=k0;6?uQ14`896?a2o20q~16?l<5f99~w7`?2909wSkk50;0xZ7`b3492h7h6;|q01c<72;qU?8h4=2c2>c>53z?7gf9l4i8:?0e6<5?k1v>7k:18185>l38{t;0o1<771e3492j7h6;|q0=c<72;q6?4h526`896g72o30q~=n0;296~;4i909;o523`39b<=z{:k:6=4={<1b5?40j278m?4i9:p7d4=838p1>o=:35a?85f;3l27p};8b83>1}Y<1i018;;:3f6?8>42o=019o5f69~w1e12909wS:l6:?7g3<5l?1v9mm:18182c83l<70:le;04f>{t71e34>hi7h7;|q7ga<72;q68nj526`891eb2o30q~:lf;296~;3k?02h63;d1813g=z{;2==09h;5rs47:>5<5s4?>o7h8;<76e?40j2wx98l50;0x903320n018;l:35a?x{e<1;1<7?9:c;9g0}O<:?0(9>n:003?!7b>39m:6a>1e83>>o2==0;66a;9383>>o3k?0;66a>0883>>ofk3:17d;>c;29?j74:3:17d7?:188m43c2900e5o50;9l0`>=831b=8<50;9j3d<722e>>:4?::k2g7<722c:o>4?::k7e6<722e?h<4?::m7``<722e9i7>5;n70b?6=3k>=97>51;294~"38h0m:6F;659K063<,=5$54`>7>432wi9>h50;796?0|,=:j6hk4H547?M24=2.:i:4:659j=6<722c297>5;h::>5<<:18'03e=99907d7<:18'03e=1:10e4:50;&72f<><21b?n>50;&72f<4k910e>9n:18'03e=;>k07b<73;29 10d2;2876sm47;94?7=83:p(98l:551?j4?;3:1(98l:3:0?>{t:m<1<7383?6s|9e83>7}Y1m16>i851118yv?22909wS7:;<0g2?50i2wx5>4?:3y]=6=::m<15>5rs9;94?4|V1301?j9:868yv`12909w0;3>0094>5r}ca5>5<42;0?w):?a;g`?M21<2B??85+1d59132>i5l?0;66l=d783>0<52?q/8=o5eg9'5`1==?>0c?j9:188m7b22900e4j50;9j=0<722c357>5;cge>5<1290;w):9c;d2?l77;3:1(98l:020?>o>;3:1(98l:818?l?3290/8;m59598m6e7290/8;m53b28?l50i3:1(98l:25b?>i50:0;6):9c;0;7>=zj=<<6=4>:183!21k38!21k383?65rs8f94?4|V0n01hh51118yv>>2909wS66;<26=4={_;6?8ca2:=j7p}=d483>7}Y:m?01hh53b28yv4c>3:1>vP=d79>ac<50:1v9=9:1818ca20901988:3:7?x{e:3:1=7>50z&771<>3->;m7h9;%65g?20:2.:i:4:659lb0<72->=o7<73:9~w7b12909wS5<5sW2270;5l?02963=:g78 1532j1vqom=:180>7<3s->;m7kl;I650>N3;<1/=h955768m=?=831b5i4?::m1`3<722h9h;4?:481>3}#<9k1ik5+1d591325<>o?13:17oki:185>5<7s->=o7h>;h337?6=,=<;:k:7?6=,=5<#5<#4;h14e?6=,=
    9n;:m1<6<72->=o7<73:9~f100290:6=4?{%65g?40m2e9494?:%65g?4?;21v4j50;0xZ5<5sW2270ki:868yv?22909wS7:;61f3ty9h84?:3y]6a3<5ll1?n>4}r0g2?6=:rT9h;52eg81<6=z{=9=6=4={<5<5=<<6?6;;|a6?6=93:1"6m>0>:95`f483>!21k383?65rs3f5>5<5sW8o:63=d781`3=z{0n1<7>348o:766;|q6>5<4s48o:7=2796k;4$517>f=zukhn6=4<:387!27i3oh7E:94:J770=#9l=19;:4i9;94?=n1m0;66a=d783>>d5l?0;684=:7y'05g=mo1/=h955768k7b12900e?j::188mm?:18'03e=;j:07d=8a;29 10d2:=j76a=8283>!21k383?65rb544>5<6290;w):9c;04a>i50=0;6):9c;0;7>=z{0n1<7>34om64:4}r;6>5<5sW3>70ki:25b?xu5l<0;6?uQ2e789``=;j:0q~=o7:82:&2a2<2>=1dj84?:%65g?4?;21v?j9:181[4c>279h;4=d79~w3227p}::18084c>38o963=d78:1>;52o?0(9=;:b9~ygd329086?4;{%63e?cd3A>=86F;349'5`1==?>0e5750;9j=a<722e9h;4?::`1`3<72<096;u+41c9ac=#9l=19;:4o3f5>5<6=44i8f94?=n1<0;66g79;29?gca290=6=4?{%65g?`63`;;?7>5$54`>46432c2?7>5$54`><5<3`3?6=4+47a9=1=5$54`>61f32e94>4?:%65g?4?;21vn988:182>5<7s->=o7<8e:m1<1<72->=o7<73:9~w0q~7::181[?234om6>9n;|q1`0<72;qU>i;4=dd97f652z\1`3=:mo094>5rs515>5<5s4om64=4=544>7>33twi>7>51;294~"3;=027):?a;d5?!21k3><>6*>e68621=hn<0;6):9c;0;7>=z{;n=6=4={_0g2>;5l?09h;5rs8f94?4|V0n01?j9:8f8yv>>2909wS66;<0g2?>>3ty>6=4<{<0g2?4c=279h;465:?1>c3<,=9?6n5r}c0f>5<42;0?w):?a;g`?M21<2B??85+1d59132>i5l?0;66l=d783>0<52?q/8=o5eg9'5`1==?>0c?j9:188m7b22900e4j50;9j=0<722c357>5;cge>5<1290;w):9c;d2?l77;3:1(98l:020?>o>;3:1(98l:818?l?3290/8;m59598m6e7290/8;m53b28?l50i3:1(98l:25b?>i50:0;6):9c;0;7>=zj=<<6=4>:183!21k38!21k383?65rs8f94?4|V0n01hh51118yv>>2909wS66;<26=4={_;6?8ca2:=j7p}=d483>7}Y:m?01hh53b28yv4c>3:1>vP=d79>ac<50:1v9=9:1818ca20901988:3:7?x{e:3:1=7>50z&771<>3->;m7h9;%65g?20:2.:i:4:659lb0<72->=o7<73:9~w7b12909wS5<5sW2270;5l?02963=:g78 1532j1vqo??9;297?4=>2900e4j50;9l6a0=831i>i850;796?0|,=:j6hh4$0g4>0033f8o:7>5;h0g1?6=3`3o6=44i8794?=n000;66ljf;292?6=8r.?:n4i1:k246<72->=o7??3:9j=6<72->=o77<;:k:0?6=,=m?;:k03d<72->=o7=8a:9l6=5=83.?:n4=8298yg21?3:1=7>50z&72f<5?l1d>5:50;&72f<50:10q~7k:181[?c34om6<><;|q;=?6=:rT3563jf;;7?xu>=3:1>vP65:?fb?50i2wx>i;50;0xZ7b234om6>m?;|q1`3<72;qU>i84=dd96=58:7>52z?fb??434>=;7<74:~f7<7280;6=u+4269=>"38h0m:6*;6b8737=#9l=19;:4og794?"3>j094>54}r0g2?6=:rT9h;522e496a033o7p}79;296~X?1279h;479:p1?6=;r79h;4=d49>6a0=1<16>7h:;%600?e1}#<9k1in5G4768L1523-;n;7;94:k;=?6=3`3o6=44o3f5>5<i5l?0;66g=d483>>o>l3:17d7::188m=?=831iik4?:783>5}#j0:<>54i8194?"3>j02?65f9583>!21k33?76g!21k39h<65f36c94?"3>j08;l54o3:0>5<#5=4;|`722<7280;6=u+47a962c52z\:`>;bn3;;?6s|8883>7}Y0016ik464:p=0<72;qU5852eg803d=z{;n>6=4={_0g1>;bn39h<6s|2e494?4|V;n=70ki:3:0?xu3;?0;6?u2eg8:7>;3>>09495r}c094?7=83:p(9=;:89'05g=n?1/8;m54608 4c02<7}Y:m<01?j9:3f5?xu>l3:1>vP6d:?1`3<>l2wx444?:3y]<<=::m<1445rs483>6}::m<1>i;4=3f5><3<5;0m96*;358`?x{e9m>1<7=52;6x 16f2li0D98;;I601>"6m>0>:95f8883>>o>l3:17b3:197<56z&74di;50;9j=a<722c297>5;h::>5<<:18'03e=99907d7<:18'03e=1:10e4:50;&72f<><21b?n>50;&72f<4k910e>9n:18'03e=;>k07b<73;29 10d2;2876sm47594?7=83:p(98l:35f?j4?<3:1(98l:3:0?>{t1m0;6?uQ9e9>ac<68:1v5750;0xZ=?<5ll1595rs8794?4|V0?01hh536c8yv4c=3:1>vP=d49>ac<4k91v?j9:181[4c>27nj7<73:p060=838p1hh5929>031=:1>0qpl=:182>5<7s->88774$52b>c0<,=5$54`>7>432wx>i850;0xZ7b1348o:770:5c:~f41629086?4;{%63e?cd3A>=86F;349'5`1==?>0e5750;9j=a<722e9h;4?::`1`3<72<096;u+41c9ac=#9l=19;:4o3f5>5<6=44i8f94?=n1<0;66g79;29?gca290=6=4?{%65g?`63`;;?7>5$54`>46432c2?7>5$54`><5<3`3?6=4+47a9=1=5$54`>61f32e94>4?:%65g?4?;21vn988:182>5<7s->=o7<8e:m1<1<72->=o7<73:9~w0q~7::181[?234om6>9n;|q1`0<72;qU>i;4=dd97f652z\1`3=:mo094>5rs515>5<5s4om64=4=544>7>33twi>7>51;294~"3;=027):?a;d5?!21k3><>6*>e68621=hn<0;6):9c;0;7>=z{;n=6=4={_0g2>;5l?09h;5rs8f94?4|V0n01?j9:8f8yv>>2909wS66;<0g2?>>3ty>6=4<{<0g2?4c=279h;465:?1>c3<,=9?6n5r}c;1>5<42;0?w):?a;g`?M21<2B??85+1d59132>i5l?0;66l=d783>0<52?q/8=o5eg9'5`1==?>0c?j9:188m7b22900e4j50;9j=0<722c357>5;cge>5<1290;w):9c;d2?l77;3:1(98l:020?>o>;3:1(98l:818?l?3290/8;m59598m6e7290/8;m53b28?l50i3:1(98l:25b?>i50:0;6):9c;0;7>=zj=<<6=4>:183!21k38!21k383?65rs8f94?4|V0n01hh51118yv>>2909wS66;<26=4={_;6?8ca2:=j7p}=d483>7}Y:m?01hh53b28yv4c>3:1>vP=d79>ac<50:1v9=9:1818ca20901988:3:7?x{e:3:1=7>50z&771<>3->;m7h9;%65g?20:2.:i:4:659lb0<72->=o7<73:9~w7b12909wS5<5sW2270;5l?02963=:g78 1532j1vqo;=7;297?4=>2900e4j50;9l6a0=831i>i850;796?0|,=:j6hh4$0g4>0033f8o:7>5;h0g1?6=3`3o6=44i8794?=n000;66ljf;292?6=8r.?:n4i1:k246<72->=o7??3:9j=6<72->=o77<;:k:0?6=,=m?;:k03d<72->=o7=8a:9l6=5=83.?:n4=8298yg21?3:1=7>50z&72f<5?l1d>5:50;&72f<50:10q~7k:181[?c34om6<><;|q;=?6=:rT3563jf;;7?xu>=3:1>vP65:?fb?50i2wx>i;50;0xZ7b234om6>m?;|q1`3<72;qU>i84=dd96=58:7>52z?fb??434>=;7<74:~f7<7280;6=u+4269=>"38h0m:6*;6b8737=#9l=19;:4og794?"3>j094>54}r0g2?6=:rT9h;522e496a033o7p}79;296~X?1279h;479:p1?6=;r79h;4=d49>6a0=1<16>7h:;%600?e1}#<9k1in5G4768L1523-;n;7;94:k;=?6=3`3o6=44o3f5>5<i5l?0;66g=d483>>o>l3:17d7::188m=?=831iik4?:783>5}#j0:<>54i8194?"3>j02?65f9583>!21k33?76g!21k39h<65f36c94?"3>j08;l54o3:0>5<#5=4;|`722<7280;6=u+47a962c52z\:`>;bn3;;?6s|8883>7}Y0016ik464:p=0<72;qU5852eg803d=z{;n>6=4={_0g1>;bn39h<6s|2e494?4|V;n=70ki:3:0?xu3;?0;6?u2eg8:7>;3>>09495r}c094?7=83:p(9=;:89'05g=n?1/8;m54608 4c02<7}Y:m<01?j9:3f5?xu>l3:1>vP6d:?1`3<>l2wx444?:3y]<<=::m<1445rs483>6}::m<1>i;4=3f5><3<5;0m96*;358`?x{e<081<7=52;6x 16f2li0D98;;I601>"6m>0>:95f8883>>o>l3:17b3:197<56z&74di;50;9j=a<722c297>5;h::>5<<:18'03e=99907d7<:18'03e=1:10e4:50;&72f<><21b?n>50;&72f<4k910e>9n:18'03e=;>k07b<73;29 10d2;2876sm47594?7=83:p(98l:35f?j4?<3:1(98l:3:0?>{t1m0;6?uQ9e9>ac<68:1v5750;0xZ=?<5ll1595rs8794?4|V0?01hh536c8yv4c=3:1>vP=d49>ac<4k91v?j9:181[4c>27nj7<73:p060=838p1hh5929>031=:1>0qpl=:182>5<7s->88774$52b>c0<,=5$54`>7>432wx>i850;0xZ7b1348o:770:5c:~f1b629086?4;{%63e?cd3A>=86F;349'5`1==?>0e5750;9j=a<722e9h;4?::`1`3<72<096;u+41c9ac=#9l=19;:4o3f5>5<6=44i8f94?=n1<0;66g79;29?gca290=6=4?{%65g?`63`;;?7>5$54`>46432c2?7>5$54`><5<3`3?6=4+47a9=1=5$54`>61f32e94>4?:%65g?4?;21vn988:182>5<7s->=o7<8e:m1<1<72->=o7<73:9~w0q~7::181[?234om6>9n;|q1`0<72;qU>i;4=dd97f652z\1`3=:mo094>5rs515>5<5s4om64=4=544>7>33twi>7>51;294~"3;=027):?a;d5?!21k3><>6*>e68621=hn<0;6):9c;0;7>=z{;n=6=4={_0g2>;5l?09h;5rs8f94?4|V0n01?j9:8f8yv>>2909wS66;<0g2?>>3ty>6=4<{<0g2?4c=279h;465:?1>c3<,=9?6n5r}cg6>5<42;0?w):?a;g`?M21<2B??85+1d59132>i5l?0;66l=d783>0<52?q/8=o5eg9'5`1==?>0c?j9:188m7b22900e4j50;9j=0<722c357>5;cge>5<1290;w):9c;d2?l77;3:1(98l:020?>o>;3:1(98l:818?l?3290/8;m59598m6e7290/8;m53b28?l50i3:1(98l:25b?>i50:0;6):9c;0;7>=zj=<<6=4>:183!21k38!21k383?65rs8f94?4|V0n01hh51118yv>>2909wS66;<26=4={_;6?8ca2:=j7p}=d483>7}Y:m?01hh53b28yv4c>3:1>vP=d79>ac<50:1v9=9:1818ca20901988:3:7?x{e:3:1=7>50z&771<>3->;m7h9;%65g?20:2.:i:4:659lb0<72->=o7<73:9~w7b12909wS5<5sW2270;5l?02963=:g78 1532j1vqo:<8;297?4=>2900e4j50;9l6a0=831i>i850;796?0|,=:j6hh4$0g4>0033f8o:7>5;h0g1?6=3`3o6=44i8794?=n000;66ljf;292?6=8r.?:n4i1:k246<72->=o7??3:9j=6<72->=o77<;:k:0?6=,=m?;:k03d<72->=o7=8a:9l6=5=83.?:n4=8298yg21?3:1=7>50z&72f<5?l1d>5:50;&72f<50:10q~7k:181[?c34om6<><;|q;=?6=:rT3563jf;;7?xu>=3:1>vP65:?fb?50i2wx>i;50;0xZ7b234om6>m?;|q1`3<72;qU>i84=dd96=58:7>52z?fb??434>=;7<74:~f7<7280;6=u+4269=>"38h0m:6*;6b8737=#9l=19;:4og794?"3>j094>54}r0g2?6=:rT9h;522e496a033o7p}79;296~X?1279h;479:p1?6=;r79h;4=d49>6a0=1<16>7h:;%600?e0}#<9k1ii5G4768L1523-;n;7;94:k:1?6=3`226=44i8f94?=h:m<1<75m2e494?3=:3n:dd8 4c02<3:1o68:0;6):9c;337>=n1:0;6):9c;;0?>o><3:1(98l:868?l5d83:1(98l:2a3?>o4?h0;6):9c;14e>=h:191<7*;6b81<6==;7>51;294~"3>j09;h5`29694?"3>j094>54}r;g>5<5sW3o70ki:020?xu?13:1>vP79:?fb??33ty297>52z\:1>;bn3970ki:2a3?xu5l?0;6?uQ2e489``=:190q~:<6;296~;bn33870:97;0;0>{zj:0;6<4?:1y'062=i2.?3:1>vP=d79>6a0=:m<0q~7k:181[?c348o:77k;|q:1?6=:rT2963=d78:1>{t000;6?uQ889>6a0=001v;4?:3y>6a0=:m?01>4i5:&771<50;696?3|,=:j6hj4H547?M24=2.:i:4:659j=0<722c357>5;h;g>5<5<22;0=w):?a;ge?!7b?3?=86a=d783>>o5l<0;66g6d;29?l?22900e5750;9aac<72?0;6=u+47a9b4=n9991<7*;6b8246==n1=0;6):9c;;7?>o4k90;6):9c;1`4>=n;>k1<7*;6b803d=5}#:k4o3:7>5<#5=4;|q:`?6=:rT2h63jf;337>{t000;6?uQ889>ac<><2wx584?:3y]=0=:mo08;l5rs3f6>5<5sW8o963jf;1`4>{t:m<1<77}:mo02?63;6681<1=zuk91<7?50;2x 1532h1/8=o5f79'03e=<>80(l279h;46d:p=0<72;qU58522e49=0=z{131<770=5f49'062=l2wvnl:50;196?2|,=:j6hm4H547?M24=2.:<84j;%3f3?31<2c357>5;h;g>5<5<22;0=w):?a;ge?!7b?3?=86a=d783>>o5l<0;66g6d;29?l?22900e5750;9aac<72?0;6=u+47a9b4=n9991<7*;6b8246==n1=0;6):9c;;7?>o4k90;6):9c;1`4>=n;>k1<7*;6b803d=5}#:k4o3:7>5<#5=4;|q:`?6=:rT2h63jf;337>{t000;6?uQ889>ac<><2wx584?:3y]=0=:mo08;l5rs3f6>5<5sW8o963jf;1`4>{t:m<1<77}:mo02?63;6681<1=zuk81<7?50;2x 153201/8=o5f79'03e=<>80(l279h;46d:p<<<72;qU44522e49<<=z{<0;6>u22e496a3<5;n=64;4=38e1>"3;=0h7psm19d94?5=:3>p(9>n:da8L1033A>896*>048f?!7b?3?=86g79;29?l?c2900c?j9:188f7b1290>6?49{%63e?ca3-;n;7;94:m1`3<722c9h84?::k:`?6=3`3>6=44i9;94?=emo0;6;4?:1y'03e=n81b===50;&72f<68:10e4=50;&72f<>;21b594?:%65g??332c8o=4?:%65g?5d821b?:o50;&72f<4?h10c?6<:18'03e=:1907pl;6683>4<729q/8;m526g8k7>3290/8;m52918?xu>l3:1>vP6d:?fb?77;2wx444?:3y]<<=:mo0286s|9483>7}Y1<16ik4<7`9~w7b22909wSi850;0xZ7b134om6?6<;|q773<72;q6ik463:?722<50=1vqo<50;394?6|,=9?645+41c9b3=#0033fl>6=4+47a96=5<3ty9h;4?:3y]6a0<5;n=6?j9;|q:`?6=:rT2h63=d78:`>{t000;6?uQ889>6a0=001v84?:2y>6a0=:m?01?j9:878977:t$52b>`e<@=5<i5l?0;66g=d483>>o>l3:17d7::188m=?=831iik4?:783>5}#j0:<>54i8194?"3>j02?65f9583>!21k33?76g!21k39h<65f36c94?"3>j08;l54o3:0>5<#5=4;|`722<7280;6=u+47a962c52z\:`>;bn3;;?6s|8883>7}Y0016ik464:p=0<72;qU5852eg803d=z{;n>6=4={_0g1>;bn39h<6s|2e494?4|V;n=70ki:3:0?xu3;?0;6?u2eg8:7>;3>>09495r}c094?7=83:p(9=;:89'05g=n?1/8;m54608 4c02<7}Y:m<01?j9:3f5?xu>l3:1>vP6d:?1`3<>l2wx444?:3y]<<=::m<1445rs483>6}::m<1>i;4=3f5><3<5;0m96*;358`?x{e90?1<7=52;6x 16f2li0D98;;I601>"68<0n7)?j7;750>o?13:17d7k:188k7b12900n?j9:186>7<1s->;m7ki;%3f3?31<2e9h;4?::k1`0<722c2h7>5;h;6>5<3<729q/8;m5f09j555=83.?:n4>0298m<5=83.?:n463:9j=1<72->=o77;;:k0g5<72->=o7=l0:9j72g=83.?:n4<7`98k7>4290/8;m52918?xd3>>0;6<4?:1y'03e=:>o0c?6;:18'03e=:1907p}6d;296~X>l27nj7??3:p<<<72;qU4452eg8:0>{t1<0;6?uQ949>ac<4?h1v?j::181[4c=27nj7=l0:p6a0=838pR?j9;7>43ty??;4?:3y>ac<>;27?::4=859~yg4=83;1<7>t$517><=#<9k1j;5+47a9024<,8o<688;;nd6>5<#5=4;|q1`3<72;qU>i84=3f5>7b13ty2h7>52z\:`>;5l?02h6s|8883>7}Y0016>i85889~w0<72:q6>i852e7897b120?01?4i5:&7715;h;g>5<5<22;0=w):?a;ge?!7b?3?=86a=d783>>o5l<0;66g6d;29?l?22900e5750;9aac<72?0;6=u+47a9b4=n9991<7*;6b8246==n1=0;6):9c;;7?>o4k90;6):9c;1`4>=n;>k1<7*;6b803d=5}#:k4o3:7>5<#5=4;|q:`?6=:rT2h63jf;337>{t000;6?uQ889>ac<><2wx584?:3y]=0=:mo08;l5rs3f6>5<5sW8o963jf;1`4>{t:m<1<77}:mo02?63;6681<1=zuk81<7?50;2x 153201/8=o5f79'03e=<>80(l279h;46d:p<<<72;qU44522e49<<=z{<0;6>u22e496a3<5;n=64;4=38e1>"3;=0h7psm18g94?5=:3>p(9>n:da8L1033A>896*>048f?!7b?3?=86g79;29?l?c2900c?j9:188f7b1290>6?49{%63e?ca3-;n;7;94:m1`3<722c9h84?::k:`?6=3`3>6=44i9;94?=emo0;6;4?:1y'03e=n81b===50;&72f<68:10e4=50;&72f<>;21b594?:%65g??332c8o=4?:%65g?5d821b?:o50;&72f<4?h10c?6<:18'03e=:1907pl;6683>4<729q/8;m526g8k7>3290/8;m52918?xu>l3:1>vP6d:?fb?77;2wx444?:3y]<<=:mo0286s|9483>7}Y1<16ik4<7`9~w7b22909wSi850;0xZ7b134om6?6<;|q773<72;q6ik463:?722<50=1vqo<50;394?6|,=9?645+41c9b3=#0033fl>6=4+47a96=5<3ty9h;4?:3y]6a0<5;n=6?j9;|q:`?6=:rT2h63=d78:`>{t000;6?uQ889>6a0=001v84?:2y>6a0=:m?01?j9:878977:t$52b>`e<@=5<i5l?0;66g=d483>>o>l3:17d7::188m=?=831iik4?:783>5}#j0:<>54i8194?"3>j02?65f9583>!21k33?76g!21k39h<65f36c94?"3>j08;l54o3:0>5<#5=4;|`722<7280;6=u+47a962c52z\:`>;bn3;;?6s|8883>7}Y0016ik464:p=0<72;qU5852eg803d=z{;n>6=4={_0g1>;bn39h<6s|2e494?4|V;n=70ki:3:0?xu3;?0;6?u2eg8:7>;3>>09495r}c094?7=83:p(9=;:89'05g=n?1/8;m54608 4c02<7}Y:m<01?j9:3f5?xu>l3:1>vP6d:?1`3<>l2wx444?:3y]<<=::m<1445rs483>6}::m<1>i;4=3f5><3<5;0m96*;358`?x{e9h<1<7=52;6x 16f2li0D98;;I601>"68<0m7)?j7;750>o?13:17d7k:188k7b12900n?j9:186>7<1s->;m7ki;%3f3?31<2e9h;4?::k1`0<722c2h7>5;h;6>5<3<729q/8;m5f09j555=83.?:n4>0298m<5=83.?:n463:9j=1<72->=o77;;:k0g5<72->=o7=l0:9j72g=83.?:n4<7`98k7>4290/8;m52918?xd3>>0;6<4?:1y'03e=:>o0c?6;:18'03e=:1907p}6d;296~X>l27nj7??3:p<<<72;qU4452eg8:0>{t1<0;6?uQ949>ac<4?h1v?j::181[4c=27nj7=l0:p6a0=838pR?j9;7>43ty??;4?:3y>ac<>;27?::4=859~yg4=83;1<7>t$517><=#<9k1j;5+47a9024<,8o<688;;nd6>5<#5=4;|q1`3<72;qU>i84=3f5>7b13ty2h7>52z\:`>;5l?02h6s|8883>7}Y0016>i85889~w0<72:q6>i852e7897b120?01?4i5:&7716}O<:?0(9>n:0ga?lg52900el=50;9l7g`=831i8:>50;194?6|,=:j698<;I650>N3;<1/8;m54708 4c02<7}Yi;168:>5f89~wd5=838pRl=4=553>c>52z\0fc=:<>:1>:l4}|``f?6=;3;1?vF;349'05g=9lh0el<50;9je6<722e8nk4?::`735<72:0;6=u+41c9035<@=;1/=h955768mc>=83.?:n4>0298mc?=83.?:n4>0298k71e290/8;m52918?xuf:3:1>vPn2:?7354?:3y]e6=:<>:1j55rs2`e>5<5sW9ij63;71813g=zukij6=4<:080M24=2.?ec9je7<722cj?7>5;n1ab?6=3k><<7>53;294~"38h0?:>5G4768L1523->=o7:92:&2a2<2>=1bj54?:%65g?77;21bj44?:%65g?77;21d>:l50;&72f<50:10q~o=:181[g534><<7h6;|qb7?6=:rTj?63;718e<>{t;kl1<75<5290;w):?a;332>N3>=1C8>;4$54`>=0<,8o<688;;hd4>5<#=zj8=86=4=:183!27i3;;:6F;659K063<,=0033`l<6=4+47a9555<3f85$54`>7>43A>=n65rb057>5<5290;w):?a;332>N3>=1C8>;4$54`>=0<,8o<688;;hd4>5<#=zj8=>6=4<:183!27i3>;7E:94:J770=#5}#<9k1>5>4H547?M24=2.?:n4=7g9'5`1==?>0ek650;&72f<68:10ek750;&72f<68:10c?9m:18'03e=:1907pl;3`83>7<729q/8=o51148L1033A>896*;6b8;2>"6m>0>:95ff683>!21k3;;?65`26`94?"3>j094>5G47`8?xd69o0;6?4?:1y'05g=99<0D98;;I601>"3>j03:6*>e68621=nn>0;6):9c;337>=h:>h1<7*;6b81<6=O7<729q/8=o51148L1033A>896*;6b8;2>"6m>0>:95ff683>!21k3;;?65`26`94?"3>j094>5G47`8?xd2;10;6?4?:1y'05g=99<0D98;;I601>"3>j03:6*>e68621=nn>0;6):9c;337>=h:>h1<7*;6b81<6=O7<729q/8=o51148L1033A>896*;6b8;2>"6m>0>:95ff683>!21k3;;?65`26`94?"3>j094>5G47`8?xdd03:1>7>50z&74d<68?1C8;:4H516?!21k32=7)?j7;750>oa?3:1(98l:020?>i5?k0;6):9c;0;7>N3>k10qom6:180>5<7s->;m7:?;I650>N3;<1/8;m53g9'5`1==?>0ek650;&72f<68:10ek750;&72f<68:10c?9m:18'03e=:1907pll4;296?6=8r.?079K032<@=9>7):9c;:5?!7b?3?=86gi7;29 10d28:876a=7c83>!21k383?6F;6c98yge229086=4?{%63e?273A>=86F;349'03e=;o1/=h955768mc>=83.?:n4>0298mc?=83.?:n4>0298k71e290/8;m52918?xdd83:1>7>50z&74d<68?1C8;:4H516?!21k32=7)?j7;750>oa?3:1(98l:020?>i5?k0;6):9c;0;7>N3>k10qom>:180>5<7s->;m7:?;I650>N3;<1/8;m53g9'5`1==?>0ek650;&72f<68:10ek750;&72f<68:10c?9m:18'03e=:1907plm6;296?6=8r.?079K032<@=9>7):9c;:5?!7b?3?=86gi7;29 10d28:876a=7c83>!21k383?6F;6c98ygeb29086=4?{%63e?273A>=86F;349'03e=;o1/=h955768mc>=83.?:n4>0298mc?=83.?:n4>0298k71e290/8;m52918?xdc83:1?7>50z&74d<382B?:95G4278 10d2:l0(290/8;m51118?j40j3:1(98l:3:0?>{e<0>1<7<50;2x 16f28:=7E:94:J770=#n:025?M21<2B??85+47a9<3=#9l=19;:4ig594?"3>j0:<>54o35a>5<#5=4H54a?>{e9181<7<50;2x 16f28:=7E:94:J770=#n:538L1033A>896*;6b80b>"6m>0>:95ff983>!21k3;;?65ff883>!21k3;;?65ff`83>!21k3;;?65`26`94?"3>j094>54}c3b0D9=:;%65g?40n2.:i:4:659jb=<72->=o7??3:9jb<<72->=o7??3:9l62d=83.?:n4=8298yg7f13:1>7>50z&74d<68?1C8;:4H516?!21k32=7)?j7;750>oa?3:1(98l:020?>i5?k0;6):9c;0;7>N3>k10qo?na;297?6=8r.?7):9c;04b>"6m>0>:95ff983>!21k3;;?65ff883>!21k3;;?65`26`94?"3>j094>54}c3bf?6=;3:1N3>=1C8>;4$54`>6`<,8o<688;;hd;>5<#5<#t$52b>7>73A>=86F;349'03e=:>l0(290/8;m51118?j40j3:1(98l:3:0?>{e9h;1<7=50;2x 16f2=:0D98;;I601>"3>j08j6*>e68621=nn10;6):9c;337>=nn00;6):9c;337>=h:>h1<7*;6b81<6=7>52;294~"38h0:<;5G4768L1523->=o769;%3f3?31<2cm;7>5$54`>46432e9;o4?:%65g?4?;2B?:o54}c3b7?6=:3:10D9=:;%65g?>13-;n;7;94:ke3?6=,=<;:m13g<72->=o7<73:J72g=53;294~"38h0?<6F;659K063<,=
    h4$0g4>0033`l36=4+47a9555<3`l26=4+47a9555<3f85$54`>7>432wi=l;50;194?6|,=:j69>4H547?M24=2.?:n4=1bj54?:%65g?77;21bj44?:%65g?77;21d>:l50;&72f<50:10qo?67;296?6=8r.?079K032<@=9>7):9c;:5?!7b?3?=86gi7;29 10d28:876a=7c83>!21k383?6F;6c98yg7>03:1>7>50z&74d<68?1C8;:4H516?!21k32=7)?j7;750>oa?3:1(98l:020?>i5?k0;6):9c;0;7>N3>k10qo?69;297?6=8r.?n:3:3?M21<2B??85+47a962`<,8o<688;;hd;>5<#5<#t$52b>16<@==o7??3:9jb<<72->=o7??3:9l62d=83.?:n4=8298yg7>k3:1?7>50z&74d<5091C8;:4H516?!21k38e68621=nn10;6):9c;337>=nn00;6):9c;337>=h:>h1<7*;6b81<6=7>53;294~"38h094=5G4768L1523->=o7<8f:&2a2<2>=1bj54?:%65g?77;21bj44?:%65g?77;21d>:l50;&72f<50:10qo?63;296?6=8r.?079K032<@=9>7):9c;:5?!7b?3?=86gi7;29 10d28:876a=7c83>!21k383?6F;6c98yg7><3:187>50z&74d<392B?:95G4278 10d2:l0(290/8;m51118?l`f290/8;m51118?j40j3:1(98l:3:0?>{e==l1<7;50;2x 16f2=80D98;;I601>"3>j08j6*>e68621=nn10;6):9c;337>=nn00;6):9c;337>=nnh0;6):9c;337>=nnk0;6):9c;337>=h:>h1<7*;6b81<6=<7>52;294~"38h0:<;5G4768L1523->=o769;%3f3?31<2cm;7>5$54`>46432e9;o4?:%65g?4?;2B?:o54}c765?6=:3:10D9=:;%65g?>13-;n;7;94:ke3?6=,=<;:m13g<72->=o7<73:J72g=>7>53;294~"38h0?<6F;659K063<,=
    h4$0g4>0033`l36=4+47a9555<3`l26=4+47a9555<3f85$54`>7>432wi98=50;194?6|,=:j69>4H547?M24=2.?:n4=1bj54?:%65g?77;21bj44?:%65g?77;21d>:l50;&72f<50:10qoo9:186>5<7s->;m7<72:J721=O<:?0(98l:35e?!7b?3?=86gi8;29 10d28:876gi9;29 10d28:876gia;29 10d28:876gib;29 10d28:876a=7c83>!21k383?65rb`594?5=83:p(9>n:528L1033A>896*;6b80b>"6m>0>:95ff983>!21k3;;?65ff883>!21k3;;?65`26`94?"3>j094>54}cc;>5<5290;w):?a;332>N3>=1C8>;4$54`>=0<,8o<688;;hd4>5<#=zjh31<7=50;2x 16f2=:0D98;;I601>"3>j08j6*>e68621=nn10;6):9c;337>=nn00;6):9c;337>=h:>h1<7*;6b81<6=;7E:94:J770=#53;294~"38h094=5G4768L1523->=o7<8f:&2a2<2>=1bj54?:%65g?77;21bj44?:%65g?77;21d>:l50;&72f<50:10qo:jf;297?6=8r.?7):9c;04b>"6m>0>:95ff983>!21k3;;?65ff883>!21k3;;?65`26`94?"3>j094>54}c6e4?6=:3:10D9=:;%65g?>13-;n;7;94:ke3?6=,=<;:m13g<72->=o7<73:J72g=m=7>53;294~"38h0?<6F;659K063<,=
    h4$0g4>0033`l36=4+47a9555<3`l26=4+47a9555<3f85$54`>7>432wi8k<50;094?6|,=:j6<>9;I650>N3;<1/8;m5879'5`1==?>0ek950;&72f<68:10c?9m:18'03e=:190D98m;:a0c5=8391<7>t$52b>16<@==o7??3:9jb<<72->=o7??3:9l62d=83.?:n4=8298yg2a<3:1?7>50z&74d<382B?:95G4278 10d2:l0(290/8;m51118?j40j3:1(98l:3:0?>{e:h4$0g4>0033`l36=4+47a9555<3`l26=4+47a9555<3f85$54`>7>432wi8k850;694?6|,=:j6?6>;I650>N3;<1/8;m526d8 4c02<n:528L1033A>896*;6b80b>"6m>0>:95ff983>!21k3;;?65ff883>!21k3;;?65`26`94?"3>j094>54}c71a?6=;3:10D9=:;%65g?40n2.:i:4:659jb=<72->=o7??3:9jb<<72->=o7??3:9l62d=83.?:n4=8298yg35n3:1>7>50z&74d<68?1C8;:4H516?!21k32=7)?j7;750>oa?3:1(98l:020?>i5?k0;6):9c;0;7>N3>k10qo;<0;297?6=8r.?n:025?M21<2B??85+47a9<3=#9l=19;:4ig594?"3>j0:<>54o35a>5<#5=4H54a?>{e=:81<7=50;2x 16f2=:0D98;;I601>"3>j08j6*>e68621=nn10;6):9c;337>=nn00;6):9c;337>=h:>h1<7*;6b81<6=53;294~"38h0?<6F;659K063<,=
    h4$0g4>0033`l36=4+47a9555<3`l26=4+47a9555<3f85$54`>7>432wi9>:50;194?6|,=:j6?6?;I650>N3;<1/8;m526d8 4c02<n:3:2?M21<2B??85+47a962`<,8o<688;;hd;>5<#5<#5<#t$52b>16<@==o7??3:9jb<<72->=o7??3:9l62d=83.?:n4=8298yg33>3:197>50z&74d<3:2B?:95G4278 10d2:l0(290/8;m51118?l`f290/8;m51118?l`e290/8;m51118?j40j3:1(98l:3:0?>{e===1<7<50;2x 16f28:=7E:94:J770=#n:025?M21<2B??85+47a9<3=#9l=19;:4ig594?"3>j0:<>54o35a>5<#5=4H54a?>{e==31<7<50;2x 16f28:=7E:94:J770=#n:025?M21<2B??85+47a9<3=#9l=19;:4ig594?"3>j0:<>54o35a>5<#5=4H54a?>{e==h1<7=50;2x 16f2=:0D98;;I601>"3>j08j6*>e68621=nn10;6):9c;337>=nn00;6):9c;337>=h:>h1<7*;6b81<6=53;294~"38h094=5G4768L1523->=o7<8f:&2a2<2>=1bj54?:%65g?77;21bj44?:%65g?77;21d>:l50;&72f<50:10qo;;d;290?6=8r.?n:025?M21<2B??85+47a9<3=#9l=19;:4ig594?"3>j0:<>54o35a>5<#5=4H54a?>{e9:?1<7<50;2x 16f28:=7E:94:J770=#n:025?M21<2B??85+47a9<3=#9l=19;:4ig594?"3>j0:<>54o35a>5<#5=4H54a?>{e9:=1<7<50;2x 16f28:=7E:94:J770=#n:025?M21<2B??85+47a9<3=#9l=19;:4ig594?"3>j0:<>54o35a>5<#5=4H54a?>{e9:31<7<50;2x 16f28:=7E:94:J770=#n:518L1033A>896*;6b80b>"6m>0>:95ff983>!21k3;;?65ff883>!21k3;;?65ff`83>!21k3;;?65ffc83>!21k3;;?65ffb83>!21k3;;?65`26`94?"3>j094>54}c30f?6=<3:10D9=:;%65g?40n2.:i:4:659jb=<72->=o7??3:9jb<<72->=o7??3:9jbd<72->=o7??3:9l62d=83.?:n4=8298yg7?=3:1>7>50z&74d<68?1C8;:4H516?!21k32=7)?j7;750>oa?3:1(98l:020?>i5?k0;6):9c;0;7>N3>k10qo?77;296?6=8r.?079K032<@=9>7):9c;:5?!7b?3?=86gi7;29 10d28:876a=7c83>!21k383?6F;6c98yg7?03:1>7>50z&74d<68?1C8;:4H516?!21k32=7)?j7;750>oa?3:1(98l:020?>i5?k0;6):9c;0;7>N3>k10qo?79;296?6=8r.?079K032<@=9>7):9c;:5?!7b?3?=86gi7;29 10d28:876a=7c83>!21k383?6F;6c98yg7?i3:1>7>50z&74d<68?1C8;:4H516?!21k32=7)?j7;750>oa?3:1(98l:020?>i5?k0;6):9c;0;7>N3>k10qo?7b;296?6=8r.?079K032<@=9>7):9c;:5?!7b?3?=86gi7;29 10d28:876a=7c83>!21k383?6F;6c98yg7?k3:1>7>50z&74d<68?1C8;:4H516?!21k32=7)?j7;750>oa?3:1(98l:020?>i5?k0;6):9c;0;7>N3>k10qo?7d;297?6=8r.?=83:p(9>n:568L1033A>896*;6b80b>"6m>0>:95ff983>!21k3;;?65ff883>!21k3;;?65ff`83>!21k3;;?65ffc83>!21k3;;?65ffb83>!21k3;;?65ffe83>!21k3;;?65ffd83>!21k3;;?65`26`94?"3>j094>54}c3;2?6=<3:10D9=:;%65g?40n2.:i:4:659jb=<72->=o7??3:9jb<<72->=o7??3:9jbd<72->=o7??3:9l62d=83.?:n4=8298yg55290>6=4?{%63e?4?:2B?:95G4278 10d2;=m7)?j7;750>oa03:1(98l:020?>oa13:1(98l:020?>oai3:1(98l:020?>oaj3:1(98l:020?>i5?k0;6):9c;0;7>=zj:>1<7=50;2x 16f2=:0D98;;I601>"3>j08j6*>e68621=nn10;6):9c;337>=nn00;6):9c;337>=h:>h1<7*;6b81<6=6=4=:183!27i3;;:6F;659K063<,=0033`l<6=4+47a9555<3f85$54`>7>43A>=n65rb2494?4=83:p(9>n:025?M21<2B??85+47a9<3=#9l=19;:4ig594?"3>j0:<>54o35a>5<#5=4H54a?>{e;>0;6?4?:1y'05g=99<0D98;;I601>"3>j03:6*>e68621=nn>0;6):9c;337>=h:>h1<7*;6b81<6=O079K032<@=9>7):9c;:5?!7b?3?=86gi7;29 10d28:876a=7c83>!21k383?6F;6c98yg5>29086=4?{%63e?273A>=86F;349'03e=;o1/=h955768mc>=83.?:n4>0298mc?=83.?:n4>0298k71e290/8;m52918?xd4i3:197>50z&74d<3:2B?:95G4278 10d2:l0(290/8;m51118?l`f290/8;m51118?l`e290/8;m51118?j40j3:1(98l:3:0?>{e;k0;6>4?:1y'05g=:1:0D98;;I601>"3>j09;k5+1d5913253;294~"38h094=5G4768L1523->=o7<8f:&2a2<2>=1bj54?:%65g?77;21bj44?:%65g?77;21d>:l50;&72f<50:10qo??b;297?6=8r.?7):9c;04b>"6m>0>:95ff983>!21k3;;?65ff883>!21k3;;?65`26`94?"3>j094>54}c320?6=:3:10D9=:;%65g?>13-;n;7;94:ke3?6=,=<;:m13g<72->=o7<73:J72g=52;294~"38h0:<;5G4768L1523->=o769;%3f3?31<2cm;7>5$54`>46432e9;o4?:%65g?4?;2B?:o54}c322?6=;3:1N3>=1C8>;4$54`>6`<,8o<688;;hd;>5<#5<#t$52b>4613A>=86F;349'03e=0?1/=h955768mc1=83.?:n4>0298k71e290/8;m52918L10e32wi=<650;094?6|,=:j6<>9;I650>N3;<1/8;m5879'5`1==?>0ek950;&72f<68:10c?9m:18'03e=:190D98m;:a54?=8381<7>t$52b>4613A>=86F;349'03e=0?1/=h955768mc1=83.?:n4>0298k71e290/8;m52918L10e32wi=9;I650>N3;<1/8;m5879'5`1==?>0ek950;&72f<68:10c?9m:18'03e=:190D98m;:a54d=8381<7>t$52b>4613A>=86F;349'03e=0?1/=h955768mc1=83.?:n4>0298k71e290/8;m52918L10e32wi==m50;194?6|,=:j69>4H547?M24=2.?:n4=1bj54?:%65g?77;21bj44?:%65g?77;21d>:l50;&72f<50:10qo??d;297?6=8r.?n:518L1033A>896*;6b80b>"6m>0>:95ff983>!21k3;;?65ff883>!21k3;;?65ff`83>!21k3;;?65ffc83>!21k3;;?65ffb83>!21k3;;?65`26`94?"3>j094>54}c33b?6=;3:10D9=:;%65g?40n2.:i:4:659jb=<72->=o7??3:9jb<<72->=o7??3:9l62d=83.?:n4=8298yg7683:1?7>50z&74d<5091C8;:4H516?!21k38e68621=nn10;6):9c;337>=nn00;6):9c;337>=h:>h1<7*;6b81<6=53;294~"38h0?<6F;659K063<,=
    h4$0g4>0033`l36=4+47a9555<3`l26=4+47a9555<3f85$54`>7>432wi=<<50;194?6|,=:j6?6?;I650>N3;<1/8;m526d8 4c02<n:3:2?M21<2B??85+47a962`<,8o<688;;hd;>5<#5<#5<#t$52b>7>73A>=86F;349'03e=:>l0(290/8;m51118?j40j3:1(98l:3:0?>{e<021<7<50;2x 16f28:=7E:94:J770=#n:025?M21<2B??85+47a9<3=#9l=19;:4ig594?"3>j0:<>54o35a>5<#5=4H54a?>{e<0k1<7<50;2x 16f28:=7E:94:J770=#n:025?M21<2B??85+47a9<3=#9l=19;:4ig594?"3>j0:<>54o35a>5<#5=4H54a?>{e<0i1<7<50;2x 16f28:=7E:94:J770=#n:3:3?M21<2B??85+47a962`<,8o<688;;hd;>5<#5<#t$52b>16<@==o7??3:9jb<<72->=o7??3:9l62d=83.?:n4=8298yg2>n3:187>50z&74d<392B?:95G4278 10d2:l0(290/8;m51118?l`f290/8;m51118?j40j3:1(98l:3:0?>{e<0=1<7=50;2x 16f2=:0D98;;I601>"3>j08j6*>e68621=nn10;6):9c;337>=nn00;6):9c;337>=h:>h1<7*;6b81<6=n>7>53;294~"38h0?<6F;659K063<,=
    h4$0g4>0033`l36=4+47a9555<3`l26=4+47a9555<3f85$54`>7>432wi8h=50;194?6|,=:j6?6?;I650>N3;<1/8;m526d8 4c02<n:528L1033A>896*;6b80b>"6m>0>:95ff983>!21k3;;?65ff883>!21k3;;?65`26`94?"3>j094>54}cf1>5<5290;w):?a;332>N3>=1C8>;4$54`>=0<,8o<688;;hd4>5<#=zjm?1<7=50;2x 16f2=:0D98;;I601>"3>j08j6*>e68621=nn10;6):9c;337>=nn00;6):9c;337>=h:>h1<7*;6b81<6=0033`l<6=4+47a9555<3f85$54`>7>43A>=n65rbe594?4=83:p(9>n:025?M21<2B??85+47a9<3=#9l=19;:4ig594?"3>j0:<>54o35a>5<#5=4H54a?>{el10;6?4?:1y'05g=99<0D98;;I601>"3>j03:6*>e68621=nn>0;6):9c;337>=h:>h1<7*;6b81<6=O079K032<@=9>7):9c;:5?!7b?3?=86gi7;29 10d28:876a=7c83>!21k383?6F;6c98ygbf29086=4?{%63e?273A>=86F;349'03e=;o1/=h955768mc>=83.?:n4>0298mc?=83.?:n4>0298k71e290/8;m52918?xdcj3:1?7>50z&74d<382B?:95G4278 10d2:l0(290/8;m51118?j40j3:1(98l:3:0?>{elj0;6>4?:1y'05g=:1:0D98;;I601>"3>j09;k5+1d5913254;294~"38h0?=6F;659K063<,=
    h4$0g4>0033`l36=4+47a9555<3`l26=4+47a9555<3`lj6=4+47a9555<3f85$54`>7>432wih94?:283>5}#<9k1>5>4H547?M24=2.?:n4=7g9'5`1==?>0ek650;&72f<68:10ek750;&72f<68:10c?9m:18'03e=:1907plm8;296?6=8r.?079K032<@=9>7):9c;:5?!7b?3?=86gi7;29 10d28:876a=7c83>!21k383?6F;6c98ygd>290?6=4?{%63e?4?92B?:95G4278 10d2;=m7)?j7;750>oa03:1(98l:020?>oa13:1(98l:020?>oai3:1(98l:020?>i5?k0;6):9c;0;7>=zjkk1<7<50;2x 16f28:=7E:94:J770=#6<729q/8=o5419K032<@=9>7):9c;1e?!7b?3?=86gi8;29 10d28:876gi9;29 10d28:876a=7c83>!21k383?65rbca94?5=83:p(9>n:528L1033A>896*;6b80b>"6m>0>:95ff983>!21k3;;?65ff883>!21k3;;?65`26`94?"3>j094>54}c`g>5<4290;w):?a;0;4>N3>=1C8>;4$54`>71a3-;n;7;94:ke<;:ke=?6=,=<;:m13g<72->=o7<73:9~w7c=839pR?k4=3g96a0<5:k1j55rs2394?4|5;o15i5232813g=z{:81<71>:l4=2;9b==z{:?1<7:l4=2c9b<=z{:=1<7:l4=2c9bg=z{:31<7:l4=2`9b<=z{:h1<7k01<6<:g;894g>2o=01:g;8962=n116=<85f89>0`2=n11v5o50;33[>f348n6574=02:>=?<58=m6574=0f7>=?<58=:6574=809<<=:i=03563>8g8;=>;61903563>948;=>;61m03563>9d8;=>;61o03563>a78;=>;31=0m;6s|9183>1}Y19169>h5889>=7<>l27i:7h8;|q:6?6=jr72>72:7h6;|qb0?6=;r7j87n?7h7;|qb1?6=:r7j877k;71e3tyj:7>52z?b2?40j27j57h6;|qb3?6=:r7j;7<8b:?be?`?3tyj47>52z?b52z?be?40j27jn7h6;|qbg?6=8;4i8:?602;d>32270m=:9;89gc=0016n;4=7c9~wg1=838p1o:59e9>fa<5?k1vo650;0x9g>=:>h01ol5f89~wg?=838p1o7526`89ge=n11voo50;0x9gg=:>h01om5f89~wgd=838p1ol526`89gb=n11vom50;0x9ge=:>h01oj5f89~wg7=832p1ok52e489fg=i:16oh4i8:?6158l4i7:?273c>:l4}ra3>5<5s4i;6?9m;c?7b134ii6l=4=e29b==:==l1jo525549b<=:==21j:521259b2=:l10m;63m9;d:?xud;3:1>v3l2;;g?8e22;=i7p}l4;296~;d<38;dk3k870;:1;d4?83313l<70?f<g3<>l27h57<8b:pg=<72;q6o54=7c9>g<gg3tyhj7>52z?`g?g534n;6?9m;|qg5?6=c1<5j:1j:52d5813g=z{m81<7:l4=e69b<=z{m?1<7:l4=e`9b==z{m=1<7:l4=e19b==z{m31<7:l4=e69b==z{mh1<7:l4=e19b<=z{mn1<7i84=ec9b==:jk0m46s|11;94?3|V8:270??9;0g2>;2;68l0m56s|11c94?4|58:264j4=030>71e3ty:55d=:>h01v3>0b813g=:99l1j45rs02g>5<5s4;;h7<8b:?2550;d:?xu68o0;6?u211d962d<58;:6k74}r324?6=:r7:==4=7c9>545=nh1v:181876938138e=>{t9881<771e34;:?7h7;|q251<72;q6=<:526`8946d2o20q~?>5;296~;69<09;o5211a9b<=z{8;=6=4={<322?40j27:1983>7}:9821>:l4=02f>c>52z?25<<5?k16==k5f`9~w47f2909w0?>a;04f>;68l0mn6s|10`94?4|58;i6?9m;<33a?`d3ty:=i4?:4y]54b<58;o6?j9;<3:3?`034;2>7h7;<320?`03ty:=h4?:3y>54b=1m16=339>564=:m<01<77:g5894?52o301v3>338:`>;6;k09;o5rs017>5<5s4;887<8b:?27d;50;0x94522;=i70?56g=nj1v<=7:1818740383c8e<>{t9:31<771e34;8n7hn;|q27d<72;q6=>o526`8945e2o30q~?:2;296~X6=;168k95f99~w43c2909wS?:d:?234<>l2wx=:?50;6x94162;n=70?84;d4?82a93l370;<0;d;?xu6?;0;65u2167962d<58296k94=0c;>c><58k96k94=279b2=:98=1j:524849b==:5<5s4;u216d96a0<582n6k64=5g1>c?52z?2<6<5?k16=5;5f69~w4>62909w0?71;04f>;60:0m46s|19094?4|58296?9m;<3;7?`f3ty:494?:3y>52`=1m16=58526`8yv7?=3:1>v3>84813g=:91n1j45rs0:4>5<5s4;3;7<8b:?2<`?2;=i70?7e;db?xu6000;6?u219;962d<582n6kl4}r3;e?6=:r7:4l4=7c9>5=c=nm1v<6m:18187?j388d8eg>{t91i1<771e34;3i7hj;|q212o20q~?7e;296~;60l09;o521949bd=z{82m6=4:{<3;b?4c>27:mn4i8:?2<35=`=1m16=4>52e4894>f2o=01>85f69>54g=n>1v<7>:18187>833o70?64;04f>{t9081<771e34;287h7;|q2=6<72;q6=4=526`894?32ok0q~?65;29g~;61<09h;524e69b==:90h1j5521869b<=:i?0mn63;f48e<>;2;=0m463>8c8e3>;4:3l370?>9;d4?87693l370:6c;d4?xu61?0;6?u21879=a=:90i1>:l4}r3:3?6=:r7:5:4=7c9>5038988e=>{t9031<771e34;2m7h6;|q2=d<72;q6=4o526`894?e2o30q~?6b;296~;61k09;o5218a9b<=z{83o6=4n{<3:`?4c>27?h94i9:?2=fc><5=3i6k94}r3:a?6=1r7:5i46d:?2=`<5l?16m;4i9:?7ac>h4i9:?2<=7hm;<33f?`?34>257h8;|q2=c<720q6=4k59e9>5<`=:m<01l85f99>0c5=n1169><5f99>5=1=n>16??4ia:?24ga083>7}:9h;1>:l4=0c6>c>7>52z?2e7<5?k16=l:5f99~w4g42909w0?n3;04f>;6i=0m56s|1`694?4|58k?6?9m;<3b1?`>3ty:m;4?:ey>5d0=:m<010c7=n0169>>5f89>5=e=n>16=5j5f99>715d0=1m16=lm526`8yv7f03:1>v3>a9813g=:9hk1j55rs0c:>5<5s4;j57<8b:?2ed;6l=02h6s|1e694?5|58n?6?j9;<347?`034k<6k74}r043?6=:r7?:84i5:?67c<>=2wx8>650;1x915?2;n=70;;f;d;?833>3li7p};3883>7}:<:215i5242c962d2>7>54z\7=7=:<081>i84=03g><3<589964;4}r6:7?6==r7?5?479:?662?380<>=:>h0197k:g;8yv2>13:1>v3;98813g=:<0l1j55rs5;b>5<5s4>2m7<8b:?7=`0<`=n01v97j:18182>m38{t<0l1<771e34>2;7h7;|q7e6<72;qU8l=4=03e>c1h:7>52z\7g3=:9:21j:5rs5f2>5<5sW>o=63;d081`3=z{=n86=4={<6g5??c34>o87<8b:p0ac=838pR9jj;<6gb?40j2wx8h?50;0x91ba2o=019k;:35a?xu3m;0;6?u24d0962d<5=o86k74}r6f7?6=:r7?i>4=7c9>0`2=n01v9k7:180[2b027?i54=d79>0c6=n>1v9kj:18182a13l<70:i7;04f>{t71e34>m:7h6;|q7b5<72;q68k>526`891`42o30q~:i1;296~;3n809;o524g69b==z{=l96=4={<6e6?40j27?j94i9:p0c5=838p19h<:35a?82a=3l27p};f583>7}:1>:l4=5d5>cgm97>52z?7b0<5?k168k85f99~w1`12909w0:i6;04f>;3n>0m56s|4g:94?4|5=o364j4=5d:>71e3ty>=n4?:3y]14e<58926k94}r713?6=;rT>>:5253596a0<5<8m6k94}r71`?6=:r7>?54i7:?673<5?k1v8{t=;l1<771e34?8>7h6;|q675<72;q69>>526`890542o20q~;<1;296~;2;809;o525219b<=z{<996=4={<706?40j27>?94i9:p165=838p18=<:35a?834=3lj7p}:3583>7}:=:>1>:l4=416>c>52z?670<5?k169>85f89~w0502909w0;=7;;g?834038;6;<0m;6s|55194?4|5<9m64j4=467>71e3ty>884?:3y>16`=1:1699j526`8yv33>3:1>v3:47813g=:==i1j55rs464>5<5s4??;7<8b:?60go6k64}r77e?6=:r7>8l4=7c9>11b=nh1v8:m:181833j38{t==i1<771e34??h7h6;|q60`<72;q699:5f69>105=:>h0q~;;f;296~;29?4i8:p107=838p18;>:35a?832:3l27p}:5383>7}:=<81>:l4=470>c?87>52z\611=:<:k1j:5r}c64a?6=m3i86nltH516?!27i33=7)?j6;1e2>i4j>0;66g64;29?l5c:3:17b=nd;29?l>52900c9l?:188mde=831b?h=50;9j0`3=831d9?>50;9l3d<722c>9i4?::m1`2<722c9j54?::`720<7280;6=u+41c9b3=O0D9=:;%65g?20:2.:i:4:659lb0<72->=o7<73:9~f13429086?4;{%63e?cd3A>=86F;349'553=m2.:i:4:659j<<<722c2h7>5;n0g2?6=3k8o:7>55;092~"38h0nj6*>e68621=h:m<1<75f2e794?=n1m0;66g65;29?l>>2900nhh50;494?6|,=5<#5<#54i8694?"3>j02865f3b294?"3>j08o=54i25b>5<#t$54`>71b3f8387>5$54`>7>432wx5i4?:3y]=a=:mo0:<>5rs9;94?4|V1301hh5959~w<3=838pR4;4=dd972g52z\1`0=:mo08o=5rs3f5>5<5sW8o:63jf;0;7>{t<:<1<75:4}|`1>5<6290;w):<4;;8 16f2o<0(98l:551?!7b?3?=86ai5;29 10d2;2876s|2e494?4|V;n=70{t1m0;6?uQ9e9>6a0=1m1v5750;0xZ=?<5;n=6574}r794?5|5;n=6?j:;<0g2??23481j85+4269g>{zj=?;6=4<:387!27i3oh7E:94:J770=#99?1i6*>e68621=n000;66g6d;29?j4c>3:17or.?=1d>i850;9j6a3=831b5i4?::k:1?6=3`226=44bdd94?0=83:p(98l:g38m464290/8;m51118?l?4290/8;m59298m<2=83.?:n464:9j7f6=83.?:n4{e7}Y1m16ik4>029~w=?=838pR574=dd9=1=z{0?1<7k0q~850;0x9``=1:168;952968yxd5290:6=4?{%600??<,=:j6k84$54`>1153-;n;7;94:me1?6=,=2wx5i4?:3y]=a=::m<15i5rs9;94?4|V1301?j9:9;8yv3=839p1?j9:3f6?84c>33>70<5f49'062=k2wvn9:k:180>7<3s->;m7kl;I650>N3;<1/==;5e:&2a2<2>=1b444?::k:`?6=3f8o:7>5;c0g2?6==381:v*;0`8fb>"6m>0>:95`2e494?=n:m?1<75f9e83>>o>=3:17d66:188f``=83<1<7>t$54`>c71<7*;6b8:0>=n;j:1<7*;6b80g5=5$54`>7>432wi8;950;394?6|,=;bn383?6s|42494?4|5ll15>5247596=2:183!24<330(9>n:g48 10d2==97)?j7;750>ia=3:1(98l:3:0?>{t:m<1<738o:6s|9e83>7}Y1m16>i859e9~w=?=838pR574=3f5>=?7b2348o:77:;<09b0=#<:>1o6srb56:>5<42;0?w):?a;g`?M21<2B??85+1179a>"6m>0>:95f8883>>o>l3:17b3:197<56z&74di;50;9j=a<722c297>5;h::>5<<:18'03e=99907d7<:18'03e=1:10e4:50;&72f<><21b?n>50;&72f<4k910e>9n:18'03e=;>k07b<73;29 10d2;2876sm47594?7=83:p(98l:35f?j4?<3:1(98l:3:0?>{t1m0;6?uQ9e9>ac<68:1v5750;0xZ=?<5ll1595rs8794?4|V0?01hh536c8yv4c=3:1>vP=d49>ac<4k91v?j9:181[4c>27nj7<73:p060=838p1hh5929>031=:1>0qpl=:182>5<7s->88774$52b>c0<,=5$54`>7>432wx>i850;0xZ7b1348o:770:5c:~f777290>6?49{%63e?cb3A>=86F;349'5`1==?>0e4=50;9j=0<722c357>5;h;g>5<5<1290;w):9c;d2?l77;3:1(98l:020?>o>;3:1(98l:818?l?3290/8;m59598m6e7290/8;m53b28?l50i3:1(98l:25b?>i50:0;6):9c;0;7>=zj=<26=4>:183!21k3><>6a=8283>!21k383?65rs3f5>5<5sW8o:63=d781<6=z{0n1<7=279h;4<7`9~w<5=838pR4=4=3f5><533?7p}i6;296~;5l?08o=5247;96=53}#<9k1ih5G4768L1523-;n;7;94:k:7?6=3`3>6=44i9;94?=n1m0;66a=d783>>d5l?0;6;4?:1y'03e=n81b===50;&72f<68:10e4=50;&72f<>;21b594?:%65g??332c8o=4?:%65g?5d821b?:o50;&72f<4?h10c?6<:18'03e=:1907pl;6883>4<729q/8;m54608k7>4290/8;m52918?xu5l?0;6?uQ2e4897b12;287p}6d;296~X>l279h;4>029~w<3=838pR4;4=3f5>61f3ty2?7>52z\:7>;5l?02?6s|8883>7}Y0016>i85959~wc0=838p1?j9:2a3?8211383?6srb373>5<22;0=w):?a;gf?M21<2B??85+1d59132>o?13:17d7k:188k7b12900n?j9:185>5<7s->=o7h>;h337?6=,=<;:k:7?6=,=5<#5<#4;h14e?6=,=
    9n;:m1<6<72->=o7<73:9~f10>290:6=4?{%65g?20:2e94>4?:%65g?4?;21v?j9:181[4c>279h;4=829~w4643ty297>52z\:1>;5l?08;l5rs8194?4|V0901?j9:818yv>>2909wS66;<0g2??33tym:7>52z?1`3<4k9168;752918yxd5=n1=0;6):9c;;7?>o4k90;6):9c;1`4>=n;>k1<7*;6b803d=5}#5<#5=4;|q1`3<72;qU>i84=3f5>7>43ty2h7>52z\:`>;5l?0:<>5rs8794?4|V0?01?j9:25b?xu>;3:1>vP63:?1`3<>;2wx444?:3y]<<=::m<1595rsg494?4|5;n=6>m?;<65=?4?;2wvn?:7:186>7<1s->;m7kj;I650>N3;<1/=h955768m<5=831b584?::k;=?6=3`3o6=44o3f5>5<m?:18'03e=;j:07d=8a;29 10d2:=j76a=8283>!21k383?65rb54:>5<6290;w):9c;646>i50:0;6):9c;0;7>=z{;n=6=4={_0g2>;5l?094>5rs8f94?4|V0n01?j9:020?xu>=3:1>vP65:?1`3<4?h1v4=50;0xZ<5<5;n=64=4}r::>5<5sW22703:1>v3=d780g5=:5=4}|`101<72<096;u+41c9a`=O0D9=:;%3f3?31<2c2?7>5;h;6>5<>i5l?0;66l=d783>3<729q/8;m5f09j555=83.?:n4>0298m<5=83.?:n463:9j=1<72->=o77;;:k0g5<72->=o7=l0:9j72g=83.?:n4<7`98k7>4290/8;m52918?xd3>00;6<4?:1y'03e=<>80c?6<:18'03e=:1907p}=d783>7}Y:m<01?j9:3:0?xu>l3:1>vP6d:?1`3<68:1v4;50;0xZ<3<5;n=6>9n;|q:7?6=:rT2?63=d78:7>{t000;6?uQ889>6a0=1=1vk850;0x97b12:i;70:99;0;7>{zj;>;6=4::385!27i3on7E:94:J770=#9l=19;:4i8194?=n1<0;66g79;29?l?c2900c?j9:188f7b1290=6=4?{%65g?`63`;;?7>5$54`>46432c2?7>5$54`><5<3`3?6=4+47a9=1=5$54`>61f32e94>4?:%65g?4?;21vn986:182>5<7s->=o7:82:m1<6<72->=o7<73:9~w7b12909wS<;|q:1?6=:rT2963=d7803d=z{091<7>348o:77;;|qe2?6=:r79h;403?=:190qpl=3b83>0<52?q/8=o5ed9K032<@=9>7)?j7;750>o>;3:17d7::188m=?=831b5i4?::m1`3<722h9h;4?:783>5}#j0:<>54i8194?"3>j02?65f9583>!21k33?76g!21k39h<65f36c94?"3>j08;l54o3:0>5<#5=4;|`72<<7280;6=u+47a9024;279h;463:p<<<72;qU44522e49=1=z{o<1<76e734>=57<73:~f75?290>6?49{%63e?cb3A>=86F;349'5`1==?>0e4=50;9j=0<722c357>5;h;g>5<5<1290;w):9c;d2?l77;3:1(98l:020?>o>;3:1(98l:818?l?3290/8;m59598m6e7290/8;m53b28?l50i3:1(98l:25b?>i50:0;6):9c;0;7>=zj=<26=4>:183!21k3><>6a=8283>!21k383?65rs3f5>5<5sW8o:63=d781<6=z{0n1<7=279h;4<7`9~w<5=838pR4=4=3f5><533?7p}i6;296~;5l?08o=5247;96=53}#<9k1ih5G4768L1523-;n;7;94:k:7?6=3`3>6=44i9;94?=n1m0;66a=d783>>d5l?0;6;4?:1y'03e=n81b===50;&72f<68:10e4=50;&72f<>;21b594?:%65g??332c8o=4?:%65g?5d821b?:o50;&72f<4?h10c?6<:18'03e=:1907pl;6883>4<729q/8;m54608k7>4290/8;m52918?xu5l?0;6?uQ2e4897b12;287p}6d;296~X>l279h;4>029~w<3=838pR4;4=3f5>61f3ty2?7>52z\:7>;5l?02?6s|8883>7}Y0016>i85959~wc0=838p1?j9:2a3?8211383?6srb500>5<22;0=w):?a;gf?M21<2B??85+1179a>"6m>0>:95f9283>>o>=3:17d66:188mi850;9a6a0=83<1<7>t$54`>c71<7*;6b8:0>=n;j:1<7*;6b80g5=5$54`>7>432wi8;750;394?6|,=5<5sW3>70{t1:0;6?uQ929>6a0=1:1v5750;0xZ=?<5;n=64:4}rd5>5<5s48o:7=l0:?72<<50:1vqo:>f;291?4=>r.?::d9'5`1==?>0e4=50;9j=0<722c357>5;h;g>5<5<1290;w):9c;d2?l77;3:1(98l:020?>o>;3:1(98l:818?l?3290/8;m59598m6e7290/8;m53b28?l50i3:1(98l:25b?>i50:0;6):9c;0;7>=zj=<26=4>:183!21k3><>6a=8283>!21k383?65rs3f5>5<5sW8o:63=d781<6=z{0n1<7=279h;4<7`9~w<5=838pR4=4=3f5><533?7p}i6;296~;5l?08o=5247;96=53}#<9k1ih5G4768L1523-;;97k4$0g4>0033`386=44i8794?=n000;66g6d;29?j4c>3:17o=o7??3:9j=6<72->=o77<;:k:0?6=,=m?;:k03d<72->=o7=8a:9l6=5=83.?:n4=8298yg2113:1=7>50z&72f<3?;1d>5=50;&72f<50:10q~i852918yv?c2909wS7k;<0g2?77;2wx584?:3y]=0=::m<1?:o4}r;0>5<5sW3870vP79:?1`3<><2wxj;4?:3y>6a0=;j:01986:3:0?x{e<8<1<7;52;4x 16f2lo0D98;;I601>"68<0n7)?j7;750>o>;3:17d7::188m=?=831b5i4?::m1`3<722h9h;4?:783>5}#j0:<>54i8194?"3>j02?65f9583>!21k33?76g!21k39h<65f36c94?"3>j08;l54o3:0>5<#5=4;|`72<<7280;6=u+47a9024;279h;463:p<<<72;qU44522e49=1=z{o<1<76e734>=57<73:~f`?=8391>7:t$52b>`e<@==1b444?::k:`?6=3f8o:7>5;c0g2?6==381:v*;0`8fb>"6m>0>:95`2e494?=n:m?1<75f9e83>>o>=3:17d66:188f``=83<1<7>t$54`>c71<7*;6b8:0>=n;j:1<7*;6b80g5=5$54`>7>432wi8;950;394?6|,=;bn383?6s|42494?4|5ll15>5247596=2:183!24<330(9>n:g48 10d2==97)?j7;750>ia=3:1(98l:3:0?>{t:m<1<738o:6s|9e83>7}Y1m16>i859e9~w=?=838pR574=3f5>=?7b2348o:77:;<09b0=#<:>1o6srbd:94?5=:3>p(9>n:da8L1033A>896*>e68621=n000;66g6d;29?j4c>3:17or.?=1d>i850;9j6a3=831b5i4?::k:1?6=3`226=44bdd94?0=83:p(98l:g38m464290/8;m51118?l?4290/8;m59298m<2=83.?:n464:9j7f6=83.?:n4{e7}Y1m16ik4>029~w=?=838pR574=dd9=1=z{0?1<7k0q~850;0x9``=1:168;952968yxd5290:6=4?{%600??<,=:j6k84$54`>1153-;n;7;94:me1?6=,=2wx5i4?:3y]=a=::m<15i5rs9;94?4|V1301?j9:9;8yv3=839p1?j9:3f6?84c>33>70<5f49'062=k2wvn9l?:180>7<3s->;m7kl;I650>N3;<1/=h955768m=?=831b5i4?::m1`3<722h9h;4?:481>3}#<9k1ik5+1d591325<>o?13:17oki:185>5<7s->=o7h>;h337?6=,=<;:k:7?6=,=5<#5<#4;h14e?6=,=
    9n;:m1<6<72->=o7<73:9~f100290:6=4?{%65g?40m2e9494?:%65g?4?;21v4j50;0xZ5<5sW2270ki:868yv?22909wS7:;61f3ty9h84?:3y]6a3<5ll1?n>4}r0g2?6=:rT9h;52eg81<6=z{=9=6=4={<5<5=<<6?6;;|a6?6=93:1"6m>0>:95`f483>!21k383?65rs3f5>5<5sW8o:63=d781`3=z{0n1<7>348o:766;|q6>5<4s48o:7=2796k;4$517>f=zuk?9<7>53;090~"38h0no6F;659K063<,8o<688;;h::>5<3:17d56;294~"3>j0m=6g>0283>!21k3;;?65f9283>!21k33876g64;29 10d20>07d=l0;29 10d2:i;76g<7`83>!21k39j094>54}c653?6=93:11<7*;6b81<6={t:m?1<77}Y:m<01hh52918yv24>3:1>v3jf;;0?821?38386srb383>4<729q/8>:59:&74d2.?:n4;739'5`1==?>0ck;50;&72f<50:10q~i852e48yv?c2909wS7k;<0g2??c3ty357>52z\;=>;5l?0356s|5;297~;5l?09h8522e49=0=::3l>7):<4;a8yxd0i3:1?7<54z&74di850;9a6a0=83?1>78t$52b>``<,8o<688;;n0g2?6=3`8o97>5;h;g>5<>dbn3:1:7>50z&72f4?:%65g?77;21b5>4?:%65g??432c287>5$54`><2<3`9h<7>5$54`>6e732c8;l4?:%65g?50i21d>5=50;&72f<50:10qo:97;295?6=8r.?:n4=7d9l6=2=83.?:n4=8298yv?c2909wS7k;4643ty357>52z\;=>;bn33?7p}65;296~X>=27nj7=8a:p6a3=838pR?j:;6e73ty9h;4?:3y]6a0<5ll1>5=4}r602?6=:r7nj77<;<653?4?<2wvn?4?:083>5}#<:>156*;0`8e2>"3>j0?;?5+1d5913252z\1`3=::m<1>i84}r;g>5<5sW3o70vP79:?1`353z?1`3<5l<16>i85949>6?`23->887m4}|`1`2<72:0969u+41c9af=O0D9=:;%3f3?31<2c357>5;h;g>5<5<22;0=w):?a;ge?!7b?3?=86a=d783>>o5l<0;66g6d;29?l?22900e5750;9aac<72?0;6=u+47a9b4=n9991<7*;6b8246==n1=0;6):9c;;7?>o4k90;6):9c;1`4>=n;>k1<7*;6b803d=5}#:k4o3:7>5<#5=4;|q:`?6=:rT2h63jf;337>{t000;6?uQ889>ac<><2wx584?:3y]=0=:mo08;l5rs3f6>5<5sW8o963jf;1`4>{t:m<1<77}:mo02?63;6681<1=zuk81<7?50;2x 153201/8=o5f79'03e=<>80(l279h;46d:p<<<72;qU44522e49<<=z{<0;6>u22e496a3<5;n=64;4=38e1>"3;=0h7psm1g:94?5=:3>p(9>n:da8L1033A>896*>e68621=n000;66g6d;29?j4c>3:17or.?=1d>i850;9j6a3=831b5i4?::k:1?6=3`226=44bdd94?0=83:p(98l:g38m464290/8;m51118?l?4290/8;m59298m<2=83.?:n464:9j7f6=83.?:n4{e7}Y1m16ik4>029~w=?=838pR574=dd9=1=z{0?1<7k0q~850;0x9``=1:168;952968yxd5290:6=4?{%600??<,=:j6k84$54`>1153-;n;7;94:me1?6=,=2wx5i4?:3y]=a=::m<15i5rs9;94?4|V1301?j9:9;8yv3=839p1?j9:3f6?84c>33>70<5f49'062=k2wvn9k8:180>7<3s->;m7kl;I650>N3;<1/=h955768m=?=831b5i4?::m1`3<722h9h;4?:481>3}#<9k1ik5+1d591325<>o?13:17oki:185>5<7s->=o7h>;h337?6=,=<;:k:7?6=,=5<#5<#4;h14e?6=,=
    9n;:m1<6<72->=o7<73:9~f100290:6=4?{%65g?40m2e9494?:%65g?4?;21v4j50;0xZ5<5sW2270ki:868yv?22909wS7:;61f3ty9h84?:3y]6a3<5ll1?n>4}r0g2?6=:rT9h;52eg81<6=z{=9=6=4={<5<5=<<6?6;;|a6?6=93:1"6m>0>:95`f483>!21k383?65rs3f5>5<5sW8o:63=d781`3=z{0n1<7>348o:766;|q6>5<4s48o:7=2796k;4$517>f=zuk8;<7>55;092~"38h0ni6F;659K063<,8o<688;;h;0>5<>o>l3:17b3:1:7>50z&72f4?:%65g?77;21b5>4?:%65g??432c287>5$54`><2<3`9h<7>5$54`>6e732c8;l4?:%65g?50i21d>5=50;&72f<50:10qo:99;295?6=8r.?:n4;739l6=5=83.?:n4=8298yv4c>3:1>vP=d79>6a0=:190q~7k:181[?c348o:7??3:p=0<72;qU58522e4972g3387p}79;296~X?1279h;464:pb3<72;q6>i853b28910>2;287psm21;94?3=:3n:dg8L1033A>896*>e68621=n1:0;66g65;29?l>>2900e4j50;9l6a0=831i>i850;494?6|,=5<#5<#54i8694?"3>j02865f3b294?"3>j08o=54i25b>5<#t$54`>1153f83?7>5$54`>7>432wx>i850;0xZ7b1348o:7<73:p=a<72;qU5i522e495556=4={_;6?84c>397}Y1:16>i85929~w=?=838pR574=3f5><25;c0g2?6=>3:1o68:0;6):9c;337>=n1:0;6):9c;;0?>o><3:1(98l:868?l5d83:1(98l:2a3?>o4?h0;6):9c;14e>=h:191<7*;6b81<6==57>51;294~"3>j0?;?5`29194?"3>j094>54}r0g2?6=:rT9h;522e496=53;;?6s|9483>7}Y1<16>i8536c8yv?42909wS7<;<0g2??43ty357>52z\;=>;5l?0286s|f783>7}::m<1?n>4=54:>7>43twi?lj50;796?0|,=:j6hk4H547?M24=2.:i:4:659j=6<722c297>5;h::>5<<:18'03e=99907d7<:18'03e=1:10e4:50;&72f<><21b?n>50;&72f<4k910e>9n:18'03e=;>k07b<73;29 10d2;2876sm47;94?7=83:p(98l:551?j4?;3:1(98l:3:0?>{t:m<1<7383?6s|9e83>7}Y1m16>i851118yv?22909wS7:;<0g2?50i2wx5>4?:3y]=6=::m<15>5rs9;94?4|V1301?j9:868yv`12909w0;3>0094>5r}c1a3?6==381:v*;0`8fa>N3>=1C8>;4$0g4>0033`386=44i8794?=n000;66g6d;29?j4c>3:17o=o7??3:9j=6<72->=o77<;:k:0?6=,=m?;:k03d<72->=o7=8a:9l6=5=83.?:n4=8298yg2113:1=7>50z&72f<3?;1d>5=50;&72f<50:10q~i852918yv?c2909wS7k;<0g2?77;2wx584?:3y]=0=::m<1?:o4}r;0>5<5sW3870vP79:?1`3<><2wxj;4?:3y>6a0=;j:01986:3:0?x{e9m=1<7=52;6x 16f2li0D98;;I601>"68<0n7)?j7;750>o?13:17d7k:188k7b12900n?j9:186>7<1s->;m7ki;%3f3?31<2e9h;4?::k1`0<722c2h7>5;h;6>5<3<729q/8;m5f09j555=83.?:n4>0298m<5=83.?:n463:9j=1<72->=o77;;:k0g5<72->=o7=l0:9j72g=83.?:n4<7`98k7>4290/8;m52918?xd3>>0;6<4?:1y'03e=:>o0c?6;:18'03e=:1907p}6d;296~X>l27nj7??3:p<<<72;qU4452eg8:0>{t1<0;6?uQ949>ac<4?h1v?j::181[4c=27nj7=l0:p6a0=838pR?j9;7>43ty??;4?:3y>ac<>;27?::4=859~yg4=83;1<7>t$517><=#<9k1j;5+47a9024<,8o<688;;nd6>5<#5=4;|q1`3<72;qU>i84=3f5>7b13ty2h7>52z\:`>;5l?02h6s|8883>7}Y0016>i85889~w0<72:q6>i852e7897b120?01?4i5:&7715;h;g>5<5<22;0=w):?a;ge?!7b?3?=86a=d783>>o5l<0;66g6d;29?l?22900e5750;9aac<72?0;6=u+47a9b4=n9991<7*;6b8246==n1=0;6):9c;;7?>o4k90;6):9c;1`4>=n;>k1<7*;6b803d=5}#:k4o3:7>5<#5=4;|q:`?6=:rT2h63jf;337>{t000;6?uQ889>ac<><2wx584?:3y]=0=:mo08;l5rs3f6>5<5sW8o963jf;1`4>{t:m<1<77}:mo02?63;6681<1=zuk81<7?50;2x 153201/8=o5f79'03e=<>80(l279h;46d:p<<<72;qU44522e49<<=z{<0;6>u22e496a3<5;n=64;4=38e1>"3;=0h7psm43;94?5=939pD9=:;%63e?7bj2cj>7>5;hc0>5<5<4290;w):?a;657>N3>=1C8>;4$54`>1053-;n;7;94:ke<;:ke=?6=,=<;:m13g<72->=o7<73:9~wd4=838pRl<4=553>c?7}Y;kl0199?:35a?x{e<;21<7=51;1xL1523->;m7?jb:kb6?6=3`k86=44o2`e>5<=?6F;659K063<,=5$54`>46432cm57>5$54`>46432e9;o4?:%65g?4?;21vl<50;0xZd4<5==;6k74}rc0>5<5sWk870:80;d;?xu4jo0;6?uQ3cd891172;=i7psm43594?5=939pD9=:;%63e?7bj2cj>7>5;hc0>5<5<4290;w):?a;657>N3>=1C8>;4$54`>1053-;n;7;94:ke<;:ke=?6=,=<;:m13g<72->=o7<73:9~wd4=838pRl<4=553>c?7}Y;kl0199?:35a?x{e<<21<7=51;1xL1523->;m7?jb:kb6?6=3`k86=44o2`e>5<=?6F;659K063<,=5$54`>46432cm57>5$54`>46432e9;o4?:%65g?4?;21vl<50;0xZd4<5==;6k74}rc0>5<5sWk870:80;d;?xu4jo0;6?uQ3cd891172;=i7psm44594?5=939pD9=:;%63e?7bj2cj>7>5;hc0>5<5<4290;w):?a;657>N3>=1C8>;4$54`>1053-;n;7;94:ke<;:ke=?6=,=<;:m13g<72->=o7<73:9~wd4=838pRl<4=553>c?7}Y;kl0199?:35a?x{e<<<1<7=51;1xL1523->;m7?jb:kb6?6=3`k86=44o2`e>5<=?6F;659K063<,=5$54`>46432cm57>5$54`>46432e9;o4?:%65g?4?;21vl<50;0xZd4<5==;6k74}rc0>5<5sWk870:80;d;?xu4jo0;6?uQ3cd891172;=i7psm24;94?5=939pD9=:;%63e?7bj2cj>7>5;hc0>5<5<4290;w):?a;657>N3>=1C8>;4$54`>1053-;n;7;94:ke<;:ke=?6=,=<;:m13g<72->=o7<73:9~wd4=838pRl<4=553>c?7}Y;kl0199?:35a?x{e:;m7?jb:kb6?6=3`k86=44o2`e>5<=?6F;659K063<,=5$54`>46432cm57>5$54`>46432e9;o4?:%65g?4?;21vl<50;0xZd4<5==;6k74}rc0>5<5sWk870:80;d;?xu4jo0;6?uQ3cd891172;=i7psm24`94?5=939pD9=:;%63e?7bj2cj>7>5;hc0>5<5<4290;w):?a;657>N3>=1C8>;4$54`>1053-;n;7;94:ke<;:ke=?6=,=<;:m13g<72->=o7<73:9~wd4=838pRl<4=553>c?7}Y;kl0199?:35a?x{e:;m7?jb:kb6?6=3`k86=44o2`e>5<=?6F;659K063<,=5$54`>46432cm57>5$54`>46432e9;o4?:%65g?4?;21vl<50;0xZd4<5==;6k74}rc0>5<5sWk870:80;d;?xu4jo0;6?uQ3cd891172;=i7psm24f94?5=939pD9=:;%63e?7bj2cj>7>5;hc0>5<5<4290;w):?a;657>N3>=1C8>;4$54`>1053-;n;7;94:ke<;:ke=?6=,=<;:m13g<72->=o7<73:9~wd4=838pRl<4=553>c?7}Y;kl0199?:35a?x{e:;m7?jb:kb6?6=3`k86=44o2`e>5<=?6F;659K063<,=5$54`>46432cm57>5$54`>46432e9;o4?:%65g?4?;21vl<50;0xZd4<5==;6k74}rc0>5<5sWk870:80;d;?xu4jo0;6?uQ3cd891172;=i7psm24d94?5=939pD9=:;%63e?7bj2cj>7>5;hc0>5<5<4290;w):?a;657>N3>=1C8>;4$54`>1053-;n;7;94:ke<;:ke=?6=,=<;:m13g<72->=o7<73:9~wd4=838pRl<4=553>c?7}Y;kl0199?:35a?x{e:?:1<7=51;1xL1523->;m7?jb:kb6?6=3`k86=44o2`e>5<=?6F;659K063<,=5$54`>46432cm57>5$54`>46432e9;o4?:%65g?4?;21vl<50;0xZd4<5==;6k74}rc0>5<5sWk870:80;d;?xu4jo0;6?uQ3cd891172;=i7psm24594?5=939pD9=:;%63e?7bj2cj>7>5;hc0>5<5<4290;w):?a;657>N3>=1C8>;4$54`>1053-;n;7;94:ke<;:ke=?6=,=<;:m13g<72->=o7<73:9~wd4=838pRl<4=553>c?7}Y;kl0199?:35a?x{e:<21<7=51;1xL1523->;m7?jb:kb6?6=3`k86=44o2`e>5<=?6F;659K063<,=5$54`>46432cm57>5$54`>46432e9;o4?:%65g?4?;21vl<50;0xZd4<5==;6k74}rc0>5<5sWk870:80;d;?xu4jo0;6?uQ3cd891172;=i7psm24494?5=939pD9=:;%63e?7bj2cj>7>5;hc0>5<5<4290;w):?a;657>N3>=1C8>;4$54`>1053-;n;7;94:ke<;:ke=?6=,=<;:m13g<72->=o7<73:9~wd4=838pRl<4=553>c?7}Y;kl0199?:35a?x{eil0;6?4?:1y'05g=99<0D98;;I601>"3>j03:6*>e68621=nn>0;6):9c;337>=h:>h1<7*;6b81<6=On:528L1033A>896*;6b80b>"6m>0>:95ff983>!21k3;;?65ff883>!21k3;;?65`26`94?"3>j094>54}c1a2?6=:3:10D9=:;%65g?>13-;n;7;94:ke3?6=,=<;:m13g<72->=o7<73:J72g=52;294~"38h0:<;5G4768L1523->=o769;%3f3?31<2cm;7>5$54`>46432e9;o4?:%65g?4?;2B?:o54}c003?6=;3:1N3>=1C8>;4$54`>6`<,8o<688;;hd;>5<#5<#t$52b>4613A>=86F;349'03e=0?1/=h955768mc1=83.?:n4>0298k71e290/8;m52918L10e32wi>>l50;194?6|,=:j69>4H547?M24=2.?:n4=1bj54?:%65g?77;21bj44?:%65g?77;21d>:l50;&72f<50:10qo<079K032<@=9>7):9c;:5?!7b?3?=86gi7;29 10d28:876a=7c83>!21k383?6F;6c98yg44n3:1?7>50z&74d<382B?:95G4278 10d2:l0(290/8;m51118?j40j3:1(98l:3:0?>{e:=81<7<50;2x 16f28:=7E:94:J770=#n:528L1033A>896*;6b80b>"6m>0>:95ff983>!21k3;;?65ff883>!21k3;;?65`26`94?"3>j094>54}c072?6=:3:10D9=:;%65g?>13-;n;7;94:ke3?6=,=<;:m13g<72->=o7<73:J72g=53;294~"38h0?<6F;659K063<,=
    h4$0g4>0033`l36=4+47a9555<3`l26=4+47a9555<3f85$54`>7>432wi>9o50;094?6|,=:j6<>9;I650>N3;<1/8;m5879'5`1==?>0ek950;&72f<68:10c?9m:18'03e=:190D98m;:a61d=8391<7>t$52b>16<@==o7??3:9jb<<72->=o7??3:9l62d=83.?:n4=8298yg43m3:1>7>50z&74d<68?1C8;:4H516?!21k32=7)?j7;750>oa?3:1(98l:020?>i5?k0;6):9c;0;7>N3>k10qo<;f;297?6=8r.?n:025?M21<2B??85+47a9<3=#9l=19;:4ig594?"3>j0:<>54o35a>5<#5=4H54a?>{e:<91<7=50;2x 16f2=:0D98;;I601>"3>j08j6*>e68621=nn10;6):9c;337>=nn00;6):9c;337>=h:>h1<7*;6b81<6=53;294~"38h094=5G4768L1523->=o7<8f:&2a2<2>=1bj54?:%65g?77;21bj44?:%65g?77;21d>:l50;&72f<50:10qo079K032<@=9>7):9c;:5?!7b?3?=86gi7;29 10d28:876a=7c83>!21k383?6F;6c98yg47l3:1?7>50z&74d<382B?:95G4278 10d2:l0(290/8;m51118?j40j3:1(98l:3:0?>{e:881<7<50;2x 16f28:=7E:94:J770=#n:528L1033A>896*;6b80b>"6m>0>:95ff983>!21k3;;?65ff883>!21k3;;?65`26`94?"3>j094>54}c040?6=;3:1N3>=1C8>;4$54`>6`<,8o<688;;hd;>5<#5<#t$52b>16<@==o7??3:9jb<<72->=o7??3:9l62d=83.?:n4=8298yg4083:1?7>50z&74d<382B?:95G4278 10d2:l0(290/8;m51118?j40j3:1(98l:3:0?>{e:?o1<7=50;2x 16f2=:0D98;;I601>"3>j08j6*>e68621=nn10;6):9c;337>=nn00;6):9c;337>=h:>h1<7*;6b81<6=53;294~"38h0?<6F;659K063<,=
    h4$0g4>0033`l36=4+47a9555<3`l26=4+47a9555<3f85$54`>7>432wi>;o50;194?6|,=:j69>4H547?M24=2.?:n4=1bj54?:%65g?77;21bj44?:%65g?77;21d>:l50;&72f<50:10qo<98;297?6=8r.?n:528L1033A>896*;6b80b>"6m>0>:95ff983>!21k3;;?65ff883>!21k3;;?65`26`94?"3>j094>54}c050?6=;3:1N3>=1C8>;4$54`>6`<,8o<688;;hd;>5<#5<#t$52b>16<@==o7??3:9jb<<72->=o7??3:9l62d=83.?:n4=8298yg22=3:1?7>50z&74d<382B?:95G4278 10d2:l0(290/8;m51118?j40j3:1(98l:3:0?>{e<<81<7=50;2x 16f2=:0D98;;I601>"3>j08j6*>e68621=nn10;6):9c;337>=nn00;6):9c;337>=h:>h1<7*;6b81<6=?j7>53;294~"38h0?<6F;659K063<,=
    h4$0g4>0033`l36=4+47a9555<3`l26=4+47a9555<3f85$54`>7>432wi89l50;094?6|,=:j6<>9;I650>N3;<1/8;m5879'5`1==?>0ek950;&72f<68:10c?9m:18'03e=:190D98m;:a01e=8391<7>t$52b>16<@==o7??3:9jb<<72->=o7??3:9l62d=83.?:n4=8298yg22i3:1?7>50z&74d<382B?:95G4278 10d2:l0(290/8;m51118?j40j3:1(98l:3:0?>{e<"3>j08j6*>e68621=nn10;6):9c;337>=nn00;6):9c;337>=h:>h1<7*;6b81<6=997>52;294~"38h0:<;5G4768L1523->=o769;%3f3?31<2cm;7>5$54`>46432e9;o4?:%65g?4?;2B?:o54}c612?6=;3:1N3>=1C8>;4$54`>6`<,8o<688;;hd;>5<#5<#t$52b>4613A>=86F;349'03e=0?1/=h955768mc1=83.?:n4>0298k71e290/8;m52918L10e32wi8?<50;194?6|,=:j69>4H547?M24=2.?:n4=1bj54?:%65g?77;21bj44?:%65g?77;21d>:l50;&72f<50:10qo:>d;296?6=8r.?079K032<@=9>7):9c;:5?!7b?3?=86gi7;29 10d28:876a=7c83>!21k383?6F;6c98yg26m3:1?7>50z&74d<382B?:95G4278 10d2:l0(290/8;m51118?j40j3:1(98l:3:0?>{e<821<7<50;2x 16f28:=7E:94:J770=#n:025?M21<2B??85+47a9<3=#9l=19;:4ig594?"3>j0:<>54o35a>5<#5=4H54a?>{e<8k1<7=50;2x 16f2=:0D98;;I601>"3>j08j6*>e68621=nn10;6):9c;337>=nn00;6):9c;337>=h:>h1<7*;6b81<6=9n7>53;294~"38h0?<6F;659K063<,=
    h4$0g4>0033`l36=4+47a9555<3`l26=4+47a9555<3f85$54`>7>432wi8?j50;194?6|,=:j69>4H547?M24=2.?:n4=1bj54?:%65g?77;21bj44?:%65g?77;21d>:l50;&72f<50:10qo?j3;296?6=8r.?079K032<@=9>7):9c;:5?!7b?3?=86gi7;29 10d28:876a=7c83>!21k383?6F;6c98yg7b<3:1?7>50z&74d<382B?:95G4278 10d2:l0(290/8;m51118?j40j3:1(98l:3:0?>{e9m<1<7:50;2x 16f2=;0D98;;I601>"3>j08j6*>e68621=nn10;6):9c;337>=nn00;6):9c;337>=nnh0;6):9c;337>=h:>h1<7*;6b81<6=:=7>53;294~"38h0?<6F;659K063<,=
    h4$0g4>0033`l36=4+47a9555<3`l26=4+47a9555<3f85$54`>7>432wi8<<50;094?6|,=:j6<>9;I650>N3;<1/8;m5879'5`1==?>0ek950;&72f<68:10c?9m:18'03e=:190D98m;:a045=8381<7>t$52b>4613A>=86F;349'03e=0?1/=h955768mc1=83.?:n4>0298k71e290/8;m52918L10e32wi8<:50;194?6|,=:j69>4H547?M24=2.?:n4=1bj54?:%65g?77;21bj44?:%65g?77;21d>:l50;&72f<50:10qo:>5;297?6=8r.?n:578L1033A>896*;6b80b>"6m>0>:95ff983>!21k3;;?65ff883>!21k3;;?65ff`83>!21k3;;?65ffc83>!21k3;;?65ffb83>!21k3;;?65ffe83>!21k3;;?65ffd83>!21k3;;?65ffg83>!21k3;;?65`26`94?"3>j094>54}c3ef?6=;3:1N3>=1C8>;4$54`>6`<,8o<688;;hd;>5<#5<#t$52b>4613A>=86F;349'03e=0?1/=h955768mc1=83.?:n4>0298k71e290/8;m52918L10e32wi=kj50;194?6|,=:j69>4H547?M24=2.?:n4=1bj54?:%65g?77;21bj44?:%65g?77;21d>:l50;&72f<50:10qo?ie;297?6=8r.?n:528L1033A>896*;6b80b>"6m>0>:95ff983>!21k3;;?65ff883>!21k3;;?65`26`94?"3>j094>54}c021?6=:3:10D9=:;%65g?>13-;n;7;94:ke3?6=,=<;:m13g<72->=o7<73:J72g=52;294~"38h0:<;5G4768L1523->=o769;%3f3?31<2cm;7>5$54`>46432e9;o4?:%65g?4?;2B?:o54}c02`?6=:3:10D9=:;%65g?>13-;n;7;94:ke3?6=,=<;:m13g<72->=o7<73:J72g=52;294~"38h0:<;5G4768L1523->=o769;%3f3?31<2cm;7>5$54`>46432e9;o4?:%65g?4?;2B?:o54}c02b?6=:3:10D9=:;%65g?>13-;n;7;94:ke3?6=,=<;:m13g<72->=o7<73:J72g=52;294~"38h0:<;5G4768L1523->=o769;%3f3?31<2cm;7>5$54`>46432e9;o4?:%65g?4?;2B?:o54}c015?6=:3:10D9=:;%65g?>13-;n;7;94:ke3?6=,=<;:m13g<72->=o7<73:J72g=7>52;294~"38h0:<;5G4768L1523->=o769;%3f3?31<2cm;7>5$54`>46432e9;o4?:%65g?4?;2B?:o54}c017?6=:3:10D9=:;%65g?>13-;n;7;94:ke3?6=,=<;:m13g<72->=o7<73:J72g=52;294~"38h0:<;5G4768L1523->=o769;%3f3?31<2cm;7>5$54`>46432e9;o4?:%65g?4?;2B?:o54}c023?6=:3:10D9=:;%65g?>13-;n;7;94:ke3?6=,=<;:m13g<72->=o7<73:J72g=52;294~"38h0:<;5G4768L1523->=o769;%3f3?31<2cm;7>5$54`>46432e9;o4?:%65g?4?;2B?:o54}c02=?6=13:1N3>=1C8>;4$54`>6`<,8o<688;;hd;>5<#5<#5<#5<#5<#5<#5<#5<#t$52b>14<@==o7??3:9jb<<72->=o7??3:9jbd<72->=o7??3:9jbg<72->=o7??3:9l62d=83.?:n4=8298yg46j3:1?7>50z&74d<382B?:95G4278 10d2:l0(290/8;m51118?j40j3:1(98l:3:0?>{e;k:1<7=50;2x 16f2=:0D98;;I601>"3>j08j6*>e68621=nn10;6):9c;337>=nn00;6):9c;337>=h:>h1<7*;6b81<6=52;294~"38h0:<;5G4768L1523->=o769;%3f3?31<2cm;7>5$54`>46432e9;o4?:%65g?4?;2B?:o54}c1a6?6=:3:10D9=:;%65g?>13-;n;7;94:ke3?6=,=<;:m13g<72->=o7<73:J72g=53;294~"38h0?<6F;659K063<,=
    h4$0g4>0033`l36=4+47a9555<3`l26=4+47a9555<3f85$54`>7>432wi?o:50;194?6|,=:j69>4H547?M24=2.?:n4=1bj54?:%65g?77;21bj44?:%65g?77;21d>:l50;&72f<50:10qo?kd;296?6=8r.?079K032<@=9>7):9c;:5?!7b?3?=86gi7;29 10d28:876a=7c83>!21k383?6F;6c98yg7cm3:1>7>50z&74d<68?1C8;:4H516?!21k32=7)?j7;750>oa?3:1(98l:020?>i5?k0;6):9c;0;7>N3>k10qo?kf;297?6=8r.?n:528L1033A>896*;6b80b>"6m>0>:95ff983>!21k3;;?65ff883>!21k3;;?65`26`94?"3>j094>54}c3f5?6=;3:10D9=:;%65g?40n2.:i:4:659jb=<72->=o7??3:9jb<<72->=o7??3:9l62d=83.?:n4=8298yg7c13:187>50z&74d<392B?:95G4278 10d2:l0(290/8;m51118?l`f290/8;m51118?j40j3:1(98l:3:0?>{e9mk1<7=50;2x 16f2;2;7E:94:J770=#:h4$0g4>0033`l36=4+47a9555<3`l26=4+47a9555<3f85$54`>7>432wi?om50;094?6|,=:j6<>9;I650>N3;<1/8;m5879'5`1==?>0ek950;&72f<68:10c?9m:18'03e=:190D98m;:a7gb=8381<7>t$52b>4613A>=86F;349'03e=0?1/=h955768mc1=83.?:n4>0298k71e290/8;m52918L10e32wi?ok50;694?6|,=:j69?4H547?M24=2.?:n4=1bj54?:%65g?77;21bj44?:%65g?77;21bjl4?:%65g?77;21d>:l50;&72f<50:10qo<=5;296?6=8r.?079K032<@=9>7):9c;:5?!7b?3?=86gi7;29 10d28:876a=7c83>!21k383?6F;6c98yg45>3:1>7>50z&74d<68?1C8;:4H516?!21k32=7)?j7;750>oa?3:1(98l:020?>i5?k0;6):9c;0;7>N3>k10qo<=7;296?6=8r.?079K032<@=9>7):9c;:5?!7b?3?=86gi7;29 10d28:876a=7c83>!21k383?6F;6c98yg4503:1>7>50z&74d<68?1C8;:4H516?!21k32=7)?j7;750>oa?3:1(98l:020?>i5?k0;6):9c;0;7>N3>k10qo<=9;297?6=8r.?7):9c;04b>"6m>0>:95ff983>!21k3;;?65ff883>!21k3;;?65`26`94?"3>j094>54}c01e?6=;3:1N3>=1C8>;4$54`>6`<,8o<688;;hd;>5<#5<#t$52b>7>73A>=86F;349'03e=:>l0(290/8;m51118?j40j3:1(98l:3:0?>{e:;i1<7=50;2x 16f2=:0D98;;I601>"3>j08j6*>e68621=nn10;6):9c;337>=nn00;6):9c;337>=h:>h1<7*;6b81<6=7>52;294~"38h0:<;5G4768L1523->=o769;%3f3?31<2cm;7>5$54`>46432e9;o4?:%65g?4?;2B?:o54}c037?6=:3:10D9=:;%65g?>13-;n;7;94:ke3?6=,=<;:m13g<72->=o7<73:J72g=52;294~"38h0:<;5G4768L1523->=o769;%3f3?31<2cm;7>5$54`>46432e9;o4?:%65g?4?;2B?:o54}c031?6=<3:1N3>=1C8>;4$54`>6`<,8o<688;;hd;>5<#5<#5<#t$52b>7>73A>=86F;349'03e=:>l0(290/8;m51118?j40j3:1(98l:3:0?>{e:9=1<7=50;2x 16f2=:0D98;;I601>"3>j08j6*>e68621=nn10;6):9c;337>=nn00;6):9c;337>=h:>h1<7*;6b81<6=53;294~"38h094=5G4768L1523->=o7<8f:&2a2<2>=1bj54?:%65g?77;21bj44?:%65g?77;21d>:l50;&72f<50:10qo9l:181>5<7s->;m7??6:J721=O<:?0(98l:948 4c02<t$52b>4613A>=86F;349'03e=0?1/=h955768mc1=83.?:n4>0298k71e290/8;m52918L10e32wi;h4?:283>5}#<9k18=5G4768L1523->=o7=i;%3f3?31<2cm47>5$54`>46432cm57>5$54`>46432e9;o4?:%65g?4?;21vn:h50;194?6|,=:j69>4H547?M24=2.?:n4=1bj54?:%65g?77;21bj44?:%65g?77;21d>:l50;&72f<50:10qo6?:180>5<7s->;m7<70:J721=O<:?0(98l:35e?!7b?3?=86gi8;29 10d28:876gi9;29 10d28:876a=7c83>!21k383?65rb9394?5=83:p(9>n:528L1033A>896*;6b80b>"6m>0>:95ff983>!21k3;;?65ff883>!21k3;;?65`26`94?"3>j094>54}c6a7?6=;3:1N3>=1C8>;4$54`>6`<,8o<688;;hd;>5<#5<#t$52b>4613A>=86F;349'03e=0?1/=h955768mc1=83.?:n4>0298k71e290/8;m52918L10e32wi8o;50;094?6|,=:j6<>9;I650>N3;<1/8;m5879'5`1==?>0ek950;&72f<68:10c?9m:18'03e=:190D98m;:a0g0=8391<7>t$52b>16<@==o7??3:9jb<<72->=o7??3:9l62d=83.?:n4=8298yg2e?3:1?7>50z&74d<5091C8;:4H516?!21k38e68621=nn10;6):9c;337>=nn00;6):9c;337>=h:>h1<7*;6b81<6=i47>53;294~"38h0?<6F;659K063<,=
    h4$0g4>0033`l36=4+47a9555<3`l26=4+47a9555<3f85$54`>7>432wi8o750;194?6|,=:j6?6?;I650>N3;<1/8;m526d8 4c02<n:508L1033A>896*;6b80b>"6m>0>:95ff983>!21k3;;?65ff883>!21k3;;?65ff`83>!21k3;;?65ffc83>!21k3;;?65`26`94?"3>j094>54}c0ga?6=:3:10D9=:;%65g?>13-;n;7;94:ke3?6=,=<;:m13g<72->=o7<73:J72g=52;294~"38h0:<;5G4768L1523->=o769;%3f3?31<2cm;7>5$54`>46432e9;o4?:%65g?4?;2B?:o54}c0f4?6=:3:10D9=:;%65g?>13-;n;7;94:ke3?6=,=<;:m13g<72->=o7<73:J72g=52;294~"38h0:<;5G4768L1523->=o769;%3f3?31<2cm;7>5$54`>46432e9;o4?:%65g?4?;2B?:o54}c0f6?6=:3:10D9=:;%65g?>13-;n;7;94:ke3?6=,=<;:m13g<72->=o7<73:J72g=53;294~"38h094=5G4768L1523->=o7<8f:&2a2<2>=1bj54?:%65g?77;21bj44?:%65g?77;21d>:l50;&72f<50:10qon:528L1033A>896*;6b80b>"6m>0>:95ff983>!21k3;;?65ff883>!21k3;;?65`26`94?"3>j094>54}c0ge?6=;3:10D9=:;%65g?40n2.:i:4:659jb=<72->=o7??3:9jb<<72->=o7??3:9l62d=83.?:n4=8298yg4cj3:1?7>50z&74d<5091C8;:4H516?!21k38e68621=nn10;6):9c;337>=nn00;6):9c;337>=h:>h1<7*;6b81<6=53;294~"38h0?<6F;659K063<,=
    h4$0g4>0033`l36=4+47a9555<3`l26=4+47a9555<3f85$54`>7>432wi>ij50;194?6|,=:j6?6?;I650>N3;<1/8;m526d8 4c02<n:528L1033A>896*;6b80b>"6m>0>:95ff983>!21k3;;?65ff883>!21k3;;?65`26`94?"3>j094>54}c01b?6=;3:1N3>=1C8>;4$54`>6`<,8o<688;;hd;>5<#5<#t$52b>4613A>=86F;349'03e=0?1/=h955768mc1=83.?:n4>0298k71e290/8;m52918L10e32wi>>?50;194?6|,=:j6?6?;I650>N3;<1/8;m526d8 4c02<n:538L1033A>896*;6b80b>"6m>0>:95ff983>!21k3;;?65ff883>!21k3;;?65ff`83>!21k3;;?65`26`94?"3>j094>54}c007?6=;3:10D9=:;%65g?40n2.:i:4:659jb=<72->=o7??3:9jb<<72->=o7??3:9l62d=83.?:n4=8298yv1f2908wS9n;<5b>7b134=n6k64}r5a>5<5s4=j64j4=93962d71e34=n6k74}r5g>5<5s4=o6?9m;<5e>c?71e342;6k64}r5e>5<5s4=m6?9m;<:3>c?71e342:6k74}r:1>5<>sW2970::5;d;?822:3l370:;f;d;?823k3l370<=5;d4?847=3l370006=001689j5889>01?=0016><>5889>65g=0016>8>5889>61e=0016>965889>612=0016>9>5889>66e=0016>>65889>662=00168?=5889>04`=00168040=0016i4479:?f>34>i<766;<714?>>34=j6574=3f4>=?<58l36574=5g4>=?<5;:;6574=32:>=?<5=:m6574=2cg>=?<5:h<6574=0f4>=?<58ni6574}rc`>5<5sWkh70k7:8f8yvgc290iw0:?f;;0?85fl33870=m7;;0?826>33870:=3;;0?826n33870:>b;;0?8ga2;=i70:<2;d:?87c>3l370<=e;d;?xufm3:1>v3ne;04f>;fn3l27p}j6;297~;b133o70k7:3f5?8gb2o=0q~k8:1818c>2;n=70oi:g:8yv7c=3:18v3>d7813g=:9mo1j:521ed9b==:9m31j55rs0f4>5i87h8;<006?`>3ty:h54?:3y>5a1=1m16=io526`8yv7c13:1>v3>d8813g=:9mk1j45rs0fa>5c><5=h36k64=313>c152z?2`g<>l27:i<4=7c9~w4bc2909w0?kd;04f>;6lo0m56s|1eg94?4|58nn6?9m;<3f4?`>3ty:hk4?:3y>5a`=:>h01:g:8yv7b83:1>v3>e1813g=:9l;1j45rs0g1>5<5s4;n?7<8b:?2a12;d4?87b<38;58;0m;63=048e=>{t9o21<77b134;o:7hn;|q2b<<72;q6=k659e9>5c`=:>h0q~?ia;296~;6nh09;o521gd9b==z{8li6=4={<3ef?40j27:jh4i8:p5ce=838p1fe83>7}:9on1>:l4=0df>c?52z?2b`<5?k16=kh5f89~w4cd290;5=?0j>63=638e=>;6nj0m;63=1b8e3>;58=0m;63=e08e3>{t:9;1<7=<526`897612o20q~::35a?84703l27p}=0783>7}::9<1>:l4=324>c>52z?142<5?k16>=65f99~w4ca290=w0;5=?0j?63=638e<>;6nh0m463=148e3>;5m;0m;6s|1df94?3|5;:j6?j9;<063?g4348<87h7;<3ef?`?348::7h8;|q14g<72;q6>=o59e9>65b=:>h0q~<77<;<07g??4348?477<;<070??4348?<77<;<00g??43488477<;<000??4348:<77<;<03b?40j2wx=hk50;6x97772;n=70<:8;c0?87al3l370<>8;d4?xu5980;6?u22029=a=::891>:l4}r026?6=:r79=?4=7c9>645=n01v??;:187846j38;58:0m;63=308e<>{t:8?1<771e348:57h7;|q153<72;q6><8526`8977f2o30q~<>7;296~;59>09;o5220c9bd=z{;;36=4={<027}::8k1>:l4=33a>c?52z?15f<5?k16><75f89~w77c2909w0<>d;04f>;5900mm6s|20g94?4|5;;n6?9m;<02=?`e3ty9=k4?:3y>64`=:>h01??6:ga8yv4583:1>v3=21813g=::831ji5rs302>5<5s489=7<8b:?15<?<50;0x97452;=i70<>9;de?xu5::0;6?u2231962d<5;;j6k64}r010?6=:r79<446d:?16f<5?k1v?<::181845=38{t:;<1<771e348957h6;|q162<72;q6>?9526`8974f2o30q~<=8;296~;5:109;o5223`9b<=z{;826=4={<01=?40j279>l4i8:p67g=838p1?7}::;h1>:l4=30`>c?53z?145<>;279<4463:?176<5?k1v?{t:;l1<771e3488=7h6;|q175<72;q6>>>526`897552ok0q~<<1;296~;5;809;o522209b==z{;996=4={<006?40j279?>4i9:p5c6=83?p1?=;:3f5?84213k870<94;d;?87ai3l270<>d;d4?xu5;<0;6?u22269=a=:::=1>:l4}r002?6=:r79?;4=7c9>661=n01v:186844038o:63=5`8b7>;5>?0m463>f`8ef>;59o0m;6s|22;94?4|5;9364j4=31a>71e3ty9?l4?:3y>66g=:>h01?=m:g;8yv7a:3:19v3=3b81`3=::5227:9b==:9ok1jl5220g9b2=z{;9o6=4={<00g??c3488j7<8b:p66c=838p1?=j:35a?844n3l27p}>f283>0}::=:1>i84=37`>d5<5;ce<5;8;6k94}r075?6=:r798=46d:?106<5?k1v?:=:181843:38{t9o>1<7;t=367>7b1348>h7o<;<05g?`?34;mm7hj;<016?`03ty9884?:3y>612=1m16>99526`8yv43>3:1>v3=47813g=::==1j45rs0d6>5<2s48?47<4i7:p61?=838p1?:7:8f8972e2;=i7p}=4`83>7}::=k1>:l4=36a>c?55z?10f<5l?16>8h5a29>626=n116=ko5fg9>675=n>1v?:k:181843k33o70<;f;04f>{t:=o1<771e348?j7h6;|q2b2<728>52e4897072h901?9=:g:894`e2o301??8:g58yv4293:1>v3=518:`>;5=:09;o5rs371>5<5s48>>7<8b:?116;?50;1x973>2h801?8;:g;897052;=i7p}=6283>6}::1>:l4}r051?6=;r799o4n2:?12={t:?31<7=t=37g>d4<5;71e3ty9:o4?:2y>60c=i;16>;k5f89>63e=:>h0q~<9d;297~;5=o0j>63=718e=>;5>l09;o5rs34e>5<4s48=<7o=;<046?`>348<<7<8b:p627=839p1?;8:`0897132o301?9=:35a?xu5?:0;6?u224:9e7=::>>1>:l4}r043?6=9=q68;;5f49>646=1<16>=o5949>606=1<16>9m5949>61>=1<16>9:5949>616=1<16>>m5949>66>=1<16>>:5949>075=1<16804d=1<168<85949>656=1<16>=75949>05`=1<16?lj5949>7g1=1<1v?j8:180[4c?279h:4=d79>6`5=n11v?j7:18184c?33o70{t:m31<771e348n?7h6;|q1`d<72;q6>io526`897be2o30q~7}::ml1>:l4=3g7>c?52z?1a5<5?k16>io5f89~w7c62909w0;5m<0m46s|2d094?4|5;o96?9m;<0f1?`>3ty9i>4?:3y>6`5=:>h01?jm:g:8yv4b<3:1>v3=e5813g=::mk1j55rs3g6>5<5s48n97<8b:?1`ak650;0xZ7`?348oi7h8;|q0ea<72;qU?lj4=2cg>7b13ty8mk4?:3y>7g0=n>16?o:526`8yv5e83:1>v31j55rs2`2>5<5s49i=7<8b:?0f6l8:181[5e?278n:4=d79~w6de2909w0=m7;;g?85em387gb=:>h01>lj:gc8yv5c:3:18vP670=n>16>=;5f`9>67`=n01v>k<:181[5b;279hk4i7:p05`=83>p19>i:3f5?824:3l370?k6;d:?845m3l27p};1183>7}:<9l15i52407962d:=7>52z?754<5?k168<;5f99~w1752909w0:>2;04f>;39=0m46s|40194?4|5=;86?9m;<620?`>3ty?=94?:3y>042=:>h019?::g;8yv27j3:1:v3;1781`3=:<;=1m?5240;9b2=:<;h1j4524039b==:;k;1j:5rs534>5<5s4>::77k;<62e?40j2wx8<650;0x917?2;=i70:>a;d;?xu3900;6?u240;962d<5=;j6k74}r63g?6=>r7?=o4=d79>071=i:168?l5f99>044=n>16?o>5f99>7gb=n>1v9?l:181826j33o70:>e;04f>{t<8n1<771e34>:i7h6;|q74a<72?q68l=:g5896dd2o=0q~:=0;296~;39o02h63;23813g=z{=8:6=4={<615?40j27?>?4i9:p05c=83?p19<<:3f5?82513k870:>1;d:?85e83l270=me;d:?xu3:=0;6?u24319=a=:<;<1>:l4}r611?6=:r7?>84=7c9>070=n01v9;3:m0m56s|43a94?4|5=826l<4=50g>71e3ty?>h4?:3y>071=;kl019?j:g:8yv25n3:1>v3;2980fc=:<;81j55rs513>5<5s4>957=mf:?763?50;4x91552;=i708;d4?xu3<<0;68u245;96a0<5=?=6l<4=56a>c1<5=?j6k74=3f:>c>?m7>52z?70<<>l27?8n4=7c9~w12e2909w0:;b;04f>;3o6?j9;<662?g434>>m7h7;<0g=?`>3ty?8h4?:3y>01b=1m1689h526`8yv23?3:18v3;5181`3=:<<=1m>5244a9b==::m31jl5rs572>5<5s4>><77k;<666?40j2wx89650;1x91342;n=70::8;c0?84c13li7p};5583>7}:<<915i52447962d>57>53z?71200e=n01v9;m:18182203k970::c;04f>{t<6da34>?j7h6;|q71`<72;q688953cd891352o30q~::f;296~;3=108nk524479b<=z{==86=4={<062?5en279>n4i8:p022=838p1?;8:2`e?847l3l37p};7483>7}::<21?oh4=330>c><:7>52z?11<<4jo16>>95f99~w1102909w0<:a;1ab>;5;k0m46s|46:94?4|5;?i6>li;<00b?`?3ty?;44?:3y>60e=;kl01?:<:g:8yv20i3:1>v3=5e80fc=::==1j55rs55a>5<5s48>i7=mf:?10gn1j:524c19b<=:5<5s4>i<77k;<6a=?40j2wx8o=50;0x91d42;=i70:m9;d;?xu3j=0;6?u24c6962d<5=h=6k64}r6a1?6=:r7?n84=7c9>0g0=n01v9l9:18182e>38{t71e34>i47h6;|q7f=<72;q68o6526`891d>2o30q~:j5;296~X3m<168h959e9~w1c02908w0:j7;0g2>;6l00m563;b48e3>{t=;:1<7n:025?M21<2B??85+47a9<3=#9l=19;:4ig594?"3>j0:<>54o35a>5<#5=4H54a?>{e<1<1<7<50;2x 16f28:=7E:94:J770=#n:025?M21<2B??85+47a9<3=#9l=19;:4ig594?"3>j0:<>54o35a>5<#5=4H54a?>{e<121<7=50;2x 16f2=:0D98;;I601>"3>j08j6*>e68621=nn10;6):9c;337>=nn00;6):9c;337>=h:>h1<7*;6b81<6=52;294~"38h0:<;5G4768L1523->=o769;%3f3?31<2cm;7>5$54`>46432e9;o4?:%65g?4?;2B?:o54}c6;a?6=:3:10D9=:;%65g?>13A;9?6*>ae8246=#9l=19;:4ig594?"3>j0:<>54o35a>5<#5=4;|`66<<72;0;6=u+41c9554<@=2B:>>5+1`f9555<,8o<688;;hd4>5<#t$52b>4653A>=86F;349'03e=0?1C=?=4$0cg>4643-;n;7;94:ke3?6=,=<;:m13g<72->=o7<73:9~f`2=8381<7>t$52b>4653A>=86F;349'03e=0?1C=?=4$0cg>4643-;n;7;94:ke3?6=,=<;:m13g<72->=o7<73:9~f`d=8381<7>t$52b>4653A>=86F;349'03e=0?1C=?=4$0cg>4643-;n;7;94:ke3?6=,=<;:m13g<72->=o7<73:9~f1ef29096=4?{%63e?77:2B?:95G4278 10d21<0D<<<;%3b`?77;2.:i:4:659jb2<72->=o7??3:9l62d=83.?:n4=8298yg2b>3:1>7>50z&74d<68;1C8;:4H516?!21k32=7E?=3:&2ea<68:1/=h955768mc1=83.?:n4>0298k71e290/8;m52918?xd2=10;6?4?:1y'05g=9980D98;;I601>"3>j03:6F>229'5db=9990({e180;6?4?:1y'05g=9980D98;;I601>"3>j03:6F>229'5db=9990({e0k0;6?4?:1y'05g=9980D98;;I601>"3>j03:6F>229'5db=9990({eoa?3:1(98l:020?>i5?k0;6):9c;0;7>=zj6F;659K063<,=e68621=nn>0;6):9c;337>=h:>h1<7*;6b81<6=6F;659K063<,=e68621=nn>0;6):9c;337>=h:>h1<7*;6b81<6=6F;659K063<,=e68621=nn>0;6):9c;337>=h:>h1<7*;6b81<6=6F;659K063<,=e68621=nn>0;6):9c;337>=h:>h1<7*;6b81<6=6F;659K063<,=e68621=nn>0;6):9c;337>=h:>h1<7*;6b81<6=6F;659K063<,=e68621=nn>0;6):9c;337>=h:>h1<7*;6b81<6=6F;659K063<,=e68621=nn>0;6):9c;337>=h:>h1<7*;6b81<6=6F;659K063<,=e68621=nn>0;6):9c;337>=h:>h1<7*;6b81<6=6F;659K063<,=e68621=nn>0;6):9c;337>=h:>h1<7*;6b81<6=6F;659K063<,=e68621=nn>0;6):9c;337>=h:>h1<7*;6b81<6=6F;659K063<,=e68621=nn>0;6):9c;337>=h:>h1<7*;6b81<6=6F;659K063<,=e68621=nn>0;6):9c;337>=h:>h1<7*;6b81<6=6=4=:183!27i3;;>6F;659K063<,=e68621=nn>0;6):9c;337>=h:>h1<7*;6b81<6=6F;659K063<,=e68621=nn>0;6):9c;337>=h:>h1<7*;6b81<6=6F;659K063<,=e68621=nn>0;6):9c;337>=h:>h1<7*;6b81<6=6F;659K063<,=e68621=nn>0;6):9c;337>=h:>h1<7*;6b81<6=6F;659K063<,=e68621=nn>0;6):9c;337>=h:>h1<7*;6b81<6=6F;659K063<,=e68621=nn>0;6):9c;337>=h:>h1<7*;6b81<6=6F;659K063<,=e68621=nn>0;6):9c;337>=h:>h1<7*;6b81<6=6=4=:183!27i3;;>6F;659K063<,=e68621=nn>0;6):9c;337>=h:>h1<7*;6b81<6=6F;659K063<,=e68621=nn>0;6):9c;337>=h:>h1<7*;6b81<6=6F;659K063<,=e68621=nn>0;6):9c;337>=h:>h1<7*;6b81<6=6F;659K063<,=e68621=nn>0;6):9c;337>=h:>h1<7*;6b81<6=6F;659K063<,=e68621=nn>0;6):9c;337>=h:>h1<7*;6b81<6=54;090~"38h0:>?5G4768L1523-;n;7;94:ke3?6=3`kh6=44o35a>5<5<5290;w):?a;336>"3>j03:6F>229'5db=9990({e:>n1<7=51;1x 16f2;=o7E?=3:&2ea<50:1/=h955768mc1=831bmn4?::m13g<722h?:54?:283>5}#j0:<>54i2d0>5<#5<#5=4H2d6?>{tn>0;6?uQf69>03>=n>1vlm50;0xZde<5=<36>h<;|q13g<72;qU>:l4=54;>71e3twx>:l50;0xZ71e34;;>7<8b:p55>=839pR<>7;<336?`03487<729q/8=o526a8L1033A>896*;6b8;2>N6::1/=lj52918 4c02<n:35`?M21<2B??85+47a9<3=O9;90(!21k383?65rb405>5<5290;w):?a;04g>N3>=1C8>;4$54`>=0<@8887)?nd;0;7>"6m>0>:95ff683>!21k3;;?65`26`94?"3>j094>54}c:e>5<5290;w):?a;04g>N3>=1C8>;4$54`>=0<@8887)?nd;0;7>"6m>0>:95ff683>!21k3;;?65`26`94?"3>j094>54}c72f?6=:3:10D9=:;%65g?>13A;9?6*>ae81<6=#9l=19;:4ig594?"3>j0:<>54o35a>5<#5=4;|`654<72;0;6=u+41c962e<@=2B:>>5+1`f96=5<,8o<688;;hd4>5<#t$52b>71d3A>=86F;349'03e=0?1C=?=4$0cg>7>43-;n;7;94:ke3?6=,=<;:m13g<72->=o7<73:9~f1d629096=4?{%63e?40k2B?:95G4278 10d21<0D<<<;%3b`?4?;2.:i:4:659jb2<72->=o7??3:9l62d=83.?:n4=8298yg2>83:1?7?53z&74d<5?m1C8;:4H516?M75;2.:mi4=829'5`1==?>0ek950;9jef<722e9;o4?::`72=<72:0;6=u+47a97c276s|f683>7}Yn>168;65f69~wde=838pRlm4=54;>6`43ty9;o4?:3y]62d<5=<36?9m;|a0d4=8381<7>t$52b>71d3A>=86F;349'03e=0?1C=?=4$0cg>7>43-;n;7;94:ke3?6=,=<;:m13g<72->=o7<73:9~f07429096=4?{%63e?40k2B?:95G4278 10d21<0D<<<;%3b`?4?;2.:i:4:659jb2<72->=o7??3:9l62d=83.?:n4=8298yg35j3:1?7?53z&74d<5?m1C8;:4H516?M75;2.:mi4=829'5`1==?>0ek950;9jef<722e9;o4?::`72=<72:0;6=u+47a97c276s|f683>7}Yn>168;65f69~wde=838pRlm4=54;>6`43ty9;o4?:3y]62d<5=<36?9m;|a157=8381<7>t$52b>71d3A>=86F;349'03e=0?1C=?=4$0cg>7>43-;n;7;94:ke3?6=,=<;:m13g<72->=o7<73:9~f1>329096=4?{%63e?40k2B?:95G4278 10d21<0D<<<;%3b`?4?;2.:i:4:659jb2<72->=o7??3:9l62d=83.?:n4=8298yg2?j3:1>7>50z&74d<5?j1C8;:4H516?!21k32=7E?=3:&2ea<50:1/=h955768mc1=83.?:n4>0298k71e290/8;m52918?xd2::0;6?4?:1y'05g=:>i0D98;;I601>"3>j03:6F>229'5db=:190({eoa?3:1(98l:020?>i5?k0;6):9c;0;7>=zj=oh6=4<:080!27i38"6m>0>:95ff683>>ofk3:17b<8b;29?g2103:1?7>50z&72f<4n=1bj:4?:%65g?77;21b?k=50;&72f<>i21d>:l50;&72f<50:1C?k;4;|qe3?6=:rTm;63;698e3>{tij0;6?uQab9>03>=;o90q~<8b;296~X5?k168;6526`8yxd2<80;6>4>:2y'05g=:>n0D98;;I601>N6::1/=lj52918 4c02<:l50;9a03>=8391<7>t$54`>6`33`l<6=4+47a9555<3`9m?7>5$54`>5$54`>7>43A9m965rsg594?4|Vo=01987:g58yvgd2909wSol;<65:l50;0xZ71e34>=47<8b:~f1b029096=4?{%63e?40k2B?:95G4278 10d21<0D<<<;%3b`?4?;2.:i:4:659jb2<72->=o7??3:9l62d=83.?:n4=8298yg2b83:1>7>50z&74d<5?j1C8;:4H516?!21k32=7E?=3:&2ea<50:1/=h955768mc1=83.?:n4>0298k71e290/8;m52918?xd3i<0;6?4?:1y'05g=:>i0D98;;I601>"3>j03:6F>229'5db=:190({e;;i1<7<50;2x 16f2;=h7E:94:J770=#oa?3:1(98l:020?>i5?k0;6):9c;0;7>=zj:8=6=4=:183!27i38e68621=nn>0;6):9c;337>=h:>h1<7*;6b81<6=47>52;294~"38h09;n5G4768L1523->=o769;I317>"6im094>5+1d591325}#<9k1>:m4H547?M24=2.?:n476:J266=#9hn1>5=4$0g4>0033`l<6=4+47a9555<3f85$54`>7>432wi?9m50;094?6|,=:j6?9l;I650>N3;<1/8;m5879K575<,8ko6?6<;%3f3?31<2cm;7>5$54`>46432e9;o4?:%65g?4?;21vn>:9:181>5<7s->;m7<8c:J721=O<:?0(98l:948L4443-;jh7<73:&2a2<2>=1bj:4?:%65g?77;21d>:l50;&72f<50:10qo=;0;296?6=8r.?7):9c;:5?M75;2.:mi4=829'5`1==?>0ek950;&72f<68:10c?9m:18'03e=:1907pl<3`83>7<729q/8=o526a8L1033A>896*;6b8;2>N6::1/=lj52918 4c02<n:35`?M21<2B??85+47a9<3=O9;90(!21k383?65rb210>5<5290;w):?a;04g>N3>=1C8>;4$54`>=0<@8887)?nd;0;7>"6m>0>:95ff683>!21k3;;?65`26`94?"3>j094>54}c11`?6=:3:10D9=:;%65g?>13A;9?6*>ae81<6=#9l=19;:4ig594?"3>j0:<>54o35a>5<#5=4;|`065<72;0;6=u+41c962e<@=2B:>>5+1`f96=5<,8o<688;;hd4>5<#7E??4:&2ea<68:1/=h752b28m7b6290/8;m52e38?xd3k3:1=7>50z&72f<5l81C8>;4H027?!7fl3;;?6*>e881g==n:m;1<7*;6b81`4=o6=4>:183!21k38o=6F;349K552<,8ko6<><;%3f=?4?>2c9h<4?:%65g?4c921vn9k50;394?6|,=;I601>N68=1/=lj51118 4c>2;2<7d4<729q/8;m52e38L1523A;;86*>ae8246=#9l31>564i3f2>5<#i?4;|`64?6=93:1;;%3b`?77;2.:i44=889j6a7=83.?:n4=d098yg36290:6=4?{%65g?4c92B??85G1168 4gc28:87)?j9;0;e>o5l80;6):9c;0g5>=zj<81<7?50;2x 10d2;n:7E:<5:J241=#9hn1===4$0g:>7>e3`8o=7>5$54`>7b632wi9>4?:083>5}#i?4H516?M77<2.:mi4>029'5`?=:1i0e?j>:18'03e=:m;07pl:4;295?6=8r.?:n4=d09K063<@8:?7)?nd;337>"6m0094i5f2e394?"3>j09h<54}c76>5<6290;w):9c;0g5>N3;<1C==:4$0cg>4643-;n57=o7t$54`>7b63A>896F>059'5db=9990({e=>0;6<4?:1y'03e=:m;0D9=:;I330>"6im0:<>5+1d;96=`47>51;294~"3>j09h<5G4278L4633-;jh7??3:&2a<<5181b>i?50;&72f<5l810qo;n:182>5<7s->=o70(!21k38o=65rb4`94?7=83:p(98l:3f2?M24=2B:<95+1`f9555<,8o26?mj;h0g5?6=,=;:a1f<7280;6=u+47a96a7<@=9>7E??4:&2ea<68:1/=h752bd8m7b6290/8;m52e38?xd2l3:1=7>50z&72f<5l81C8>;4H027?!7fl3;;?6*>e881`5=n:m;1<7*;6b81`4=:183!21k38o=6F;349K552<,8ko6<><;%3f=?4>82c9h<4?:%65g?4c921vn8h50;394?6|,=;I601>N68=1/=lj51118 4c>2;327d4<729q/8;m52e38L1523A;;86*>ae8246=#9l31>l?4i3f2>5<#i?4;|`55?6=93:1;;%3b`?77;2.:i44=ag9j6a7=83.?:n4=d098yg05290:6=4?{%65g?4c92B??85G1168 4gc28:87)?j9;0a3>o5l80;6):9c;0g5>=zj1k1<7?50;2x 10d2;n:7E:<5:J241=#9hn1===4$0g:>7?53`8o=7>5$54`>7b632wi4h4?:083>5}#i?4H516?M77<2.:mi4=829'5`?=:hn0c?j>:18'03e=:m;07pl60;295?6=8r.?:n4=d09K063<@8:?7)?nd;337>"6m0095>5f2e394?"3>j09h<54}cc`>5<6290;w):9c;0g5>N3;<1C==:4$0cg>4643-;n57<65:k1`4<72->=o7t$54`>7b63A>896F>059'5db=9990({e:l<1<7?50;2x 10d2;n:7E:<5:J241=#9hn1>5=4$0g:>7g>3f8o=7>5$54`>7b632wi>h950;394?6|,=;I601>N68=1/=lj52918 4c>2;ki7b;:a6`g=83;1<7>t$54`>7b63A>896F>059'5db=:190({e:lh1<7?50;2x 10d2;n:7E:<5:J241=#9hn1>5=4$0g:>7g23f8o=7>5$54`>7b632wi>hm50;394?6|,=;I601>N68=1/=lj52918 4c>2;k87b;:a6c4=83;1<7>t$54`>7b63A>896F>059'5db=:190({e:o91<7?50;2x 10d2;n:7E:<5:J241=#9hn1>5=4$0g:>7g13f8o=7>5$54`>7b632wi>k:50;394?6|,=;I601>N68=1/=lj52918 4c>2;k37b;:a6c0=83;1<7>t$54`>7b63A>896F>059'5db=:190({e<191<7?50;2x 10d2;n:7E:<5:J241=#9hn1>5=4$0g:>7e33f8o=7>5$54`>7b632wi85750;394?6|,=;I601>N68=1/=lj52918 4c>2;i87b;:a0=`=83;1<7>t$54`>7b63A>896F>059'5db=:190(5<7s->=o70(!21k38o=65rb5c7>5<6290;w):9c;0g5>N3;<1C==:4$0cg>7>43-;n57=o7o5l80;6):9c;0g5>=zj=h;6=4>:183!21k38o=6F;349K552<,8ko6?6<;%3f=?4e12e9h<4?:%65g?4c921vn9ln:182>5<7s->=o70(!21k38o=65rb5a4>5<6290;w):9c;0g5>N3;<1C==:4$0cg>7>43-;n57<67:m1`4<72->=o7290:6=4?{%65g?4c92B??85G1168 4gc28:87)?j9;0aa>o5l80;6):9c;0g5>=zj=n:6=4>:183!21k38o=6F;349K552<,8ko6?6<;%3f=?4d=2e9h<4?:%65g?4c921vn9j::182>5<7s->=o70(!21k38o=65rb5ff>5<6290;w):9c;0g5>N3;<1C==:4$0cg>7>43-;n57=o7o5l80;6):9c;0g5>=zj=o26=4>:183!21k38o=6F;349K552<,8ko6<><;%3f=?4>i2c9h<4?:%65g?4c921vn9km:182>5<7s->=o70(!21k38o=6F50z&72f<5l81C8>;4H027?!7fl383?6*>e881e5=h:m;1<7*;6b81`4=51;294~"3>j09h<5G4278L4633-;jh7<73:&2a<<5j=1d>i?50;&72f<5l810qo;>2;295?6=8r.?:n4=d09K063<@8:?7)?nd;0;7>"6m009n85`2e394?"3>j09h<54}c720?6=93:1;;%3b`?4?;2.:i44=b29l6a7=83.?:n4=d098yg36k3:1=7>50z&72f<5l81C8>;4H027?!7b138hm6%=d083>!21k38o=65rb402>5<6290;w):9c;0g5>N3;<1C==:4$0cg>7>43-;n57<6e:m1`4<72->=o7i5l80;6):9c;0g5>=zj<836=4>:183!21k38o=6F;349K552<,8ko6<><;%3f=?4>k2c9h<4?:%65g?4c921vn85<7s->=o70(!21k38o=6F50z&72f<5l81C8>;4H027?!7fl383?6*>e881fg=h:m;1<7*;6b81`4=O;o?07pl:5483>4<729q/8;m52e38L1523A;;86*>ae81<6=#9l31>nm4o3f2>5<#i?4;|`612<7280;6=u+47a96a7<@=9>7E??4:&2ea<68:1/=h752cf8m7b6290/8;m52e38?xd2=l0;6<4?:1y'03e=:m;0D9=:;I330>"6im0:<>5+1d;96<>51;294~"3>j09h<5G4278L4633->8?7=i6:&2ea<68:1/=h752`g8m7b6290/8;m52e38?xd?l3:1>7>50z&72f2.?039'5db=9990(9=<:2d5?!52m39m:6F;349K575<,8o<688;;hd4>5<#t$54`>7b63A>896F>059'065=;o<0(!21k38o=65rb5ce>5<5290;w):9c;:5?!27i3;;>6*>ae8246=#<:91?k84$27f>6`13A>896F>229'5`1==?>0ek950;&72f<68:10c?9m:18'03e=:1907pl4<729q/8;m52e38L1523A;;86*;3280b3=#9hn1===4$0g:>7d13`8o=7>5$54`>7b632wi?n950;094?6|,=4653-;jh7??3:&776<4n?1/?8k53g48L1523A;9?6*>e68621=nn>0;6):9c;337>=h:>h1<7*;6b81<6=51;294~"3>j09h<5G4278L4633->8?7=i6:&2ea<68:1/=h752c28m7b6290/8;m52e38?xd4k=0;6?4?:1y'03e=0?1/8=o51108 4gc28:87):<3;1e2>"4=l08j;5G4278L4443-;n;7;94:ke3?6=,=<;:m13g<72->=o7<73:9~f6e6290:6=4?{%65g?4c92B??85G1168 1542:l=7)?nd;337>"6m009n<5f2e394?"3>j09h<54}c1`6?6=:3:1"38h0:h9;%16a?5a>2B??85G1318 4c02<7}:<1;1>h524949b2=z{::1<77c<5=2<6k94}r1`>5<5s4>3>7=l;<6;e?`03ty?n7>53z\7f>;1;3l<70:m:3f2?xu3k3:1?vP;c:?50?`034>h6?j>;|q7`?6=;rT?h6395;d4?82c2;n:7p};e;297~X3m27=:7h8;<6f>7b63ty?j7>53z\7b>;1?3l<70:i:3f2?xu283:1?vP:0:?5;|q65?6=;rT>=6399;d4?8362;n:7p}:2;297~X2:27=m7h8;<71>7b63ty>?7>53z\67>;1j3l<70;<:3f2?xu2<3:1?vP:4:?5g?`034??6?j>;|q61?6=;rT>9639d;d4?8322;n:7p}:6;297~X2>27=i7h8;<75>7b63ty>;7>53z\63>;1n3l<70;8:3f2?xu203:1?vP:8:?44?`034?36?j>;|q6e?6=;rT>m6381;d4?83f2;n:7p}:b;297~X2j27<>7h8;<7a>7b63ty>o7>53z\6g>;0;3l<70;l:3f2?xu2l3:1?vP:d:?40?`034?o6?j>;|q6a?6=;rT>i6385;d4?83b2;n:7p}:f;297~X2n27<:7h8;<7e>7b63ty=<7>53z\54>;0?3l<708?:3f2?xu193:1?vP91:?4;|q56?6=;rT=>6389;d4?8052;n:7p}93;297~;3?o0:963:60821>;1;386}:<>l1=;52573953=:>=09;o5rs7794?5|5==m6<94=442>41<5??1>:l4}r45>5<4s4>9:?624<6127=;7<8b:p2=<72:q68:h51`9>137=9h16:54=7c9~w3?=839p199i:0`8900628h01;7526`8yv0f2908w0:8f;3`?83193;h708n:35a?xu1j3:1?v3;7g82`>;2>80:h639b;04f>{t>j0;6>u246d95`=:=?;1=h526b813g=z{?n1<74`<5?n1>:l4}r4f>5<4s4>35<5?k1v:?50;0x911a2;?01:?526`8yv152909w0;91;05?8152;=i7p}83;296~;2>809;6383;04f>{t?=0;6?u257396==:?=09;o5rs6794?4|5<<:6?74=67962d:<4=b:?43?40j2wx;54?:2y>02`=:j169;?52b9>3=<5?k1v:750;1x911a2;n0188>:3f892?=:>h0q~9n:18482?93=j70:8e;5b?82f93l<70:60;c`?835j3kh70:jc;c`?83393kh7p}72;291~;3?o03>63:608;6>;30;03>63;818;6>;3?l03>6s|8`83>6}Y0h164o4i7:?;e?4c92wx4o4?:3y>0=7=0h164o4=7c9~w=c=839pR5k4=9d962d<51o1>i?4}r:e>5<5s4>=:7<74:?;b?`03ty2<7>53z\:4>;>93l<707?:3f2?xu>93:1>v3;808:4>;>9386}Yij16i94i7:?bg?4c92wxi94?:2y>0=7=ij168:k5ab9>a1<5?k1vho50;1xZ`g<5lh1j:52e`81`4=z{lh1<78t=55e><2<5<<:64:4=5:1><2<5=2;6ho4=55f><2<5lh1>:l4}r334?6=:r7?;k4>019>0=6=99:0q~??9;296~;30;0:<452493955?52z?7<7<69m1685?510f8yv75<3:1>v3;7g8261=:<181=?:4}r306?6=:r7?4?4>3b9>0=7=9:80q~??7>52z?7<7<6=:1685>51418yv72i3:1?v3;7g821d=:<181=8o4=5:3>43f3ty:9o4?:3y>0=4=9628i97p}>c283>7}:<181=n=4=5:2>4e43ty9;:4?:3y>033=n<169d;04f>;3?o0>=n52493914e52z?7<5<5l>168:k52e58yv4b>3:1?vP=e79>776=:>h01?k9:3f2?xu5m>0;6>uQ2d5896412;=i70{t:l31<7=t^3g:?855k38;4:m09;o522dc96a753z\1ag=:;:91>:l4=3ga>7b63ty9in4?:2y]6`e<5:926?9m;<0fg?4c92wx>k?50;1xZ7`63498m7<8b:?1b4<5l81v?h=:180[4a:2788=4=7c9>6c4=:m;0q~6}Y:o>01>:l:35a?84a<38o=6s|2g794?5|V;l>70=:2;04f>;5n<09h<5rs3d5>5<4sW8m:63<59813g=::o<1>i?4}r0e137=:o20q~kk50;0x90062;ln70:70;0ea>{t;;:1<77c13499<7h8;|q063<72;q69;?52d5896412o=0q~==c;296~;2>809i45233a9b2=z{:8o6=4={<755?4bi278>i4i7:p765=838p188>:3ga?854;3l<7p}<3883>7}:=?;1>hm4=21:>c152z?624<5n816?>o5f69~w6272909w0;91;0e6>;4<90m;6s|35494?4|5<<:6?h<;<172?`03ty88n4?:3y>137=:o>01>:l:g58yv52:3:1>v3:6081b0=:;<81j:5rs27;>5<5s4?==7;30;089k5rs247>5<5s4>ok:181831939ji63;7d80ea=z{:h<6=4={<755?5ei27?;h4;3?l08h?5rs2g0>5<5s4>0=5=:m;0q~:74;296~;30109;o524969b2=z{=2>6=4={<6;2?40j27?454i8:p0=0=838p1968:35a?82?03l27p};8883>6}Y<130196m:35a?82?138o=6s|49`94?4|5=2j6?9m;<6;f?`03ty?4i4?:2y]0=b<5=2n6k94=5:g>7b63ty?4h4?:5y>137=<1i0196=:5:`?82?83>3o63;8d813g=z{=2m6=4<{_6;b>;31909;o5249d96a72=7>52z?7<4<31;1684>5f69~w1g72908wS:n0:?7e7<5?k168l>52e38yv2f:3:1>v3;a0813g=:5<4sW>j863;a4813g=:1>i?4}r6b1?6=:r7?4?4;a59>0d3=n>1v9ol:180[2fk27?mi4i7:?7ef<5l81v9ok:18182?93>j?63;ae813g=z{=h;6=4<{_6a4>;3j809;o524c296a7i=7>52z?73`<3j9168o?5f69~w1df2908wS:ma:?7fg<5?k168oo52e38yv2ej3:1>v3:6087fd=:5<4sW>h;63;c9813g=:i?4}r6`:<4;c79>0=6=0fg=n>168n752e38yv2di3:1>v3;8087g3=::l4}r6g5?6=;rT?h<524e0962d<5=n:6?j>;|q7`7<72;q685?54e3891b52o=0q~:k5;297~X3l<168i9526`891b22;n:7p};d683>7}:<1818i;4=5f4>c1oi7>53z\7``=::l4=5ff>7b63ty?i=4?:3y>0=7=0`0=n>168h;52e38yv2b>3:1>v3;7d87a0=::l4}r6f=?6=;rT?i4524dc9b2=:i?4}r6fe?6=;r7>:<4;e99>0=4=uQ4d`891cd2;=i70:jb;0g5>{t1c?34>no7h8;|q645<72:qU9=>4=422>71e34?;<7:423?83793l<7p}:1183>6}Y=8:018?>:35a?836838o=6s|50394?4|5<<:69hn;<725?`03ty>=?4?:2y]144<5<;86?9m;<726?4c92wx9<=50;0x90062<9270;>3;d4?xu29=0;6>uQ5068907e2;=i70;>4;0g5>{t=8h1<707334?:n7h8;|q65f<72:qU946?34?:o77}:<>o19?>4=43e>c153z\664=:=;91>:l4=402>7b63ty>>>4?:3y>137==;;018<<:g58yv35<3:1?vP:259>170=:>h018<;:3f2?xu2:?0;6?u25739172<5<8=6k94}r71>55253;9b2=:=;21>i?4}r71=?6=;r7>:<4:269>0=4==;=018<6:35a?xu2:h0;6>uQ53c8904e2;=i70;=a;0g5>{t=;i1<704034?9n7h8;|q605<72:qU99>4=462>71e34??<7:41e?83393l<7p}:5483>6}Y=9:4?:2y]101<57b63ty>954?:3y>0=7==<>018;7:35a?xu2=l0;6>uQ54g8903a2o=018;j:3f2?xu2=o0;68u246d910b<5<<:68;k;<6;6?32l27?;h4:5e9>10`=:>h0q~6l:180[>d342h6?j>;<:g>c1ji7>53z\7e`=:i?4=5ce>c153z\0g3=:;j<1>i?4=2a4>c153z\0g6=:;j91>i?4=2a7>c153z\0g4=:;j;1>i?4=2a1>c1{<:g>71e3ty?mk4?:0y>0d`=:>h0q~=l7;295~;4k>09;o5rs2a7>5<6s49h87<8b:p7f4=83;p1>m=:35a?x{i9k<=6=4;{I601>{i9k<<6=4;{I601>{i9k<36=4<{I601>{i9k<26=4>{I601>O5l80:w<4rZg195~7b13wvbi?51z39y_`428q:i44r}o3a2g<728qC8>;4I3f2>4}62tPm?7?t1d;9yxh6j?i1<7?tH516?L4c93;p=7sUf2824c>2twe=o8k:182M24=2C9h<4>{08~^c5=9r;n57srn0`5a?6=9rB??85F2e395~7=uSl86e88~yk7e>o0;650;3xL1523@8o=7?t1;Yb6<6s8o26psa1c52>5<6sA>896G=d08244?:0yK063:|Xe7?7|9l31qp`>b6694?7|@=9>7D51zJ770=N:m;1=v?5}[d0>4}6m00vqc?m7683>4}O<:?0E?j>:0y2>x\a;3;p=h75}|l2f2>=83;pD9=:;H0g5?7|93wQj>4>{0g:>x{i9k=26=4>{I601>O5l80:w<4rZg195~7b13wvbi?51z39y_`428q:i44r}o3a3g<728qC8>;4I3f2>4}62tPm?7?t1d;9yxh6j>i1<7?tH516?L4c93;p=7sUf2824c>2twe=o9k:182M24=2C9h<4>{08~^c5=9r;n57srn0`4a?6=9rB??85F2e395~7=uSl86e88~yk7e?o0;650;1xL1523td:n5?50;1xL1523td:n5<50;1xL1523td:n5=50;1xL1523td:n5:50;1xL1523td:n5;50;1xL1523td:n5850;1xL1523td:n5950;1xL1523td:n5650;1xL1523td:n5750;1xL1523td:n5o50;0xL1523td:n5l50;1xL1523td:n5m50;1xL1523td:n5j50;0xL1523td:n5k50;0xL1523td:n5h50;0xL1523td:n4>50;0xL1523td:n4?50;0xL1523td:n4<50;0xL1523td:n4=50;0xL1523td:n4:50;0xL1523td:n4;50;1xL1523td:n4850;1xL1523td:n4950;;xL1523td:n4650;cxL1523td:n4750;3xL1523@8o=7?t1;Yb6<6s8o26psa1c;b>5<6mrB??85rn0`:f?6=9rB??85F2e395~4=uSl86e88~yk7e1j0;65<0sA>896sa1cc2>5<6sA>896G=d0824uG4278yk7ei=0;6:uG4278yk7ei<0;68uG4278yk7ei?0;6>uG4278yk7ei>0;6:uG4278yk7ei10;68uG4278yk7ei00;69uG4278yk7eih0;69uG4278yk7eik0;6>uG4278yk7eij0;6:uG4278yk7eim0;68uG4278yk7eil0;6:uG4278yk7eio0;6>uG4278yk7ej90;69uG4278yk7ej80;68uG4278yk7ej;0;65<6sA>896G=d0827bc594?7|@=9>7D51zJ770=N:m;1=v<5}[d0>4}6m00vqc?mb`83>4}O<:?0E?j>:0y1>x\a;3;p=h75}|l2fgd=83;pD9=:;H0g5?7|:3wQj>4>{0g:>x{i9khh6=4>{I601>O5l80:w?4rZg195~7b13wvbi?51z09y_`428q:i44r}o3af`<72:qC8>;4}o3afc<72;4}o3ag5<72;4}o3ag4<72;qC8>;4}o3ag7<72;qC8>;4}o3ag6<72:qC8>;4}o3ag1<72;qC8>;4}o3ag0<72;qC8>;4}o3ag2<72;qC8>;4}o3ag=<72;qC8>;4}o3ag<<72;qC8>;4}o3agd<72;qC8>;4}o3agg<72;qC8>;4}o3agf<72;qC8>;4}o3aga<72=qC8>;4}o3ag`<72:qC8>;4}o3agc<72=qC8>;4}o3a`5<72=qC8>;4}o3a`4<72:qC8>;4}o3a`7<72:qC8>;4}o3a`6<728qC8>;4I3f2>4}52tPm?7?t1d;9yxh6jm>1<7?tH516?xh6jm?1<7?tH516?xh6jm<1<7?tH516?xh6jm=1<7?tH516?L4c93;p>7sUf2824c>2twe=oj7:182M24=2we=oj6:182M24=2C9h<4>{08~^c5=9r;n57srn0`ge?6=>rB??85rn0`gf?6=:rB??85F2e395~5=uSl86e88~yk7elj0;64uG4278yk7elm0;65<4sA>896sa1cg2>5<6sA>896G=d0824vF;349~j4db;3:1=vF;349J6a7=9r81qWh<:0y2a<bd494?2|@=9>7p`>bd594?7|@=9>7DwE:<5:m5gc>290:wE:<5:K1`4<6s80vVk=51z3f=?{zf8hnm7>52zJ770=zf8hnn7>51zJ770=N:m;1=v<5}[d0>4}6m00vqc?meb83>1}O<:?0qc?mee83>4}O<:?0E?j>:0y1>x\a;3;p=h75}|l2f`c=838pD9=:;|l2f``=83;pD9=:;H0g5?7|:3wQj>4>{0g:>x{i9kl;6=4={I601>{i9kl:6=4>{I601>O5l80:w<4rZg195~7b13wvbi?51z39y_`428q:i44r}o3ab1<72:qC8>;4}o3ab0<72;qC8>;4I3f2>4}42tPm?7?t1d;9yxh6jo<1<7;tH516?xh6jo=1<7?tH516?L4c93;p>7sUf2824c>2twe=oh7:187M24=2we=oh6:182M24=2C9h<4>{38~^c5=9r;n57srn0`ee?6=:rB??85rn0`ef?6=9rB??85F2e395~4=uSl86e88~yk7enj0;6?uG4278yk7enm0;652zJ770=zf8i;87>51zJ770=N:m;1=v<5}[d0>4}6m00vqc?l0483>7}O<:?0qc?l0783>4}O<:?0E?j>:0y2>x\a;3;p=h75}|l2g51=839pD9=:;|l2g5>=838pD9=:;H0g5?7|;3wQj>4>{0g:>x{i9j:26=4:{I601>{i9j:j6=4={I601>O5l80:w>4rZg195~7b13wvbi?51z09y_`428q:i44r}o3`4a<72=qC8>;4}o3`4`<728qC8>;4I3f2>4}62tPm?7?t1d;9yxh6k9l1<72twe=n?>:185M24=2we=i;50;3xL1523td:h;4?:0yK06351zJ770=zf8n36=4>{I601>{i9m31<7?tH516?xh6lh0;6;4}o3gb?6=9rB??85rn0g3>5<6sA>896sa1d094?4|@=9>7p`>e483>4}O<:?0qc?j6;295~N3;<1vb51zJ770=zf8oo6=4>{I601>{i9lo1<7?tH516?xh6mo0;6;4}o3e1?6=9rB??85rn0d5>5<6sA>896sa1g594?7|@=9>7p`>f983>4}O<:?0qc?i9;295~N3;<1vb51zJ770=zf8ln6=4>{I601>{i9ol1<7?tH516?xh5890;6;4}o032?6=9rB??85rn324>5<6sA>896sa21:94?7|@=9>7p`=0883>4}O<:?0qcm:182M24=2we>=m50;3xL1523td951zJ770=zf;:m6=4>{I601>{i:8:1<7?tH516?xh5980;6;4}o022?6=9rB??85rn334>5<6sA>896sa20:94?7|@=9>7p`=1883>4}O<:?0qc<>a;296~N3;<1vb??m:181M24=2we>51zJ770=zf;;m6=4>{I601>{i:;:1<7?tH516?xh11m0;6>uG4278yk0>n3:1?vF;349~j3g72908wE:<5:m2d7=838pD9=:;|l5e2<728qC8>;4}o4b5<6sA>896sa6c194?5|@=9>7p`9b583>4}O<:?0qc8m5;295~N3;<1vb;l9:182M24=2we:o950;3xL1523td=n54?:0yK06351zJ770=zf?hj6=4<{I601>{i>kh1<7?tH516?xh1jj0;6>uG4278yk0el3:1=vF;349~j3db290:wE:<5:m2g`=83;pD9=:;|l5g5<72:qC8>;4}o4`5?6=;rB??85rn7a1>5<6sA>896sa6b194?7|@=9>7p`9c583>4}O<:?0qc8l5;295~N3;<1vb;m9:180M24=2we:n950;7xL1523td=o54?:2yK06353zJ770=zf?ij6=4>{I601>{i>jh1<7?tH516?xh1kj0;6;4}o4g5?6=9rB??85rn7f1>5<6sA>896sa6e194?7|@=9>7p`9d583>4}O<:?0qc8k5;295~N3;<1vb;j9:182M24=2we:i950;3xL1523td=h54?:0yK06351zJ770=zf?nj6=4>{I601>{i>mh1<7?tH516?xh1lj0;6;4}o4f5?6=9rB??85rn7g1>5<6sA>896sa6d194?7|@=9>7p`9e583>4}O<:?0qc8j5;295~N3;<1vb;k9:182M24=2we:h950;3xL1523td=i54?:0yK06351zJ770=zf?oj6=4>{I601>{i>lh1<7?tH516?xh1mm0;6;4}o4e6?6=9rB??85rn7d0>5<6sA>896sa6g694?7|@=9>7p`9f483>4}O<:?0qc8i6;295~N3;<1vb;h8:182M24=2we:k650;3xL1523td=j44?:0yK06353zJ770=zf?li6=4:{I601>{i>oi1<7;tH516?xh1nm0;6>uG4278yk0am3:1?vF;349~j3`a2908wE:<5:m356=839pD9=:;|l444<728qC8>;4}o536?6=9rB??85rn620>5<2sA>896sa71694?5|@=9>7p`80483>4}O<:?0qc9?6;295~N3;<1vb:>8:186M24=2we;=650;1xL1523td<<44?:0yK06351zJ770=zf>:i6=4<{I601>{i?9i1<7=tH516?xh08m0;6;4}o526?6=9rB??85rn630>5<6sA>896sa70694?7|@=9>7p`81483>4}O<:?0qc9>6;296~N3;<1vb:?8:181M24=2we;<650;0xL1523td<=44?:0yK06351zJ770=zf>;i6=4>{I601>{i?8i1<7?tH516?xh09l0;6;4}o512?6=9rB??85rn604>5<6sA>896sa73:94?7|@=9>7p`82883>4}O<:?0qc9=a;295~N3;<1vb:51zJ770=zf>986=4<{I601>{i?:>1<7?tH516?xh0;<0;63:1=vF;349~j25?290:wE:<5:m36?=83;pD9=:;|l47d<728qC8>;4}o50f?6=9rB??85rn61`>5<6sA>896sa75394?7|@=9>7p`84383>4}O<:?0qc9;3;295~N3;<1vbljj:181M24=2wemh?50;1xL1523tdji?4?:2yK06353zJ770=zfho36=4<{I601>{iilk1<7=tH516?xhfmk0;6;4}oce4?6=9rB??85rn`d2>5<6sA>896saag094?7|@=9>7p`nf283>4}O<:?0qcoi4;295~N3;<1vblh::182M24=2wemk850;3xL1523tdjj:4?:0yK06351zJ770=zfhl26=4={I601>{iiok1<7;4}o`30?6=9rB??85rnc26>5<6sA>896sab1494?7|@=9>7p`m0683>6}O<:?0qcl?8;295~N3;<1vbo>6:182M24=2wen=o50;3xL1523tdi51zJ770=zfk:o6=4>{I601>{ij9o1<7?tH516?xhe8o0;6;4}o`20?6=9rB??85rnc36>5<5sA>896sab0494?7|@=9>7p`m1683>4}O<:?0qcl>8;295~N3;<1vbo?6:182M24=2wen53zJ770=zfk;n6=4>{I601>{ij8l1<7?tH516?xhe:90;6;4}o`11?6=9rB??85rnc05>5<6sA>896sab3594?7|@=9>7p`m2983>4}O<:?0qcl=9;295~N3;<1vbon4?:3yK06352zJ770=zfk8m6=4<{I601>{ij::1<7?tH516?xhe;80;6;4}o`02?6=9rB??85rnc14>5<6sA>896sab2:94?7|@=9>7p`m3883>4}O<:?0qclm50;3xL1523tdi?i4?:0yK06356zJ770=zfk9m6=4;{I601>{ij=:1<76tH516?xhe<;0;6?uG4278ykd3;3:1>vF;349~jg23290:wE:<5:mf13=83;pD9=:;|la03<728qC8>;4}o`73?6=9rB??85rnc6;>5<6sA>896sab5;94?7|@=9>7p`m4`83>4}O<:?0qcl;b;297~N3;<1vbo:l:180M24=2wen9j50;3xL1523tdi8h4?:4yK06353zJ770=zfk?;6=4>{I601>{ij<;1<7?tH516?xhe=;0;6;4}o`63?6=9rB??85rnc7;>5<6sA>896sab4;94?7|@=9>7p`m5b83>7}O<:?0qcl:d;296~N3;<1vbo;j:182M24=2wen8h50;3xL1523tdi:<4?:0yK0637>51zJ770=zfk<86=4>{I601>{ij?>1<7?tH516?xhe><0;6;4}oa16?6=9rB??85rnb00>5<6sA>896sac3694?7|@=9>7p`l2483>4}O<:?0qcm=6;295~N3;<1vbn<8:182M24=2weo?650;3xL1523tdh>o4?:0yK06351zJ770=zfj8o6=4>{I601>{ik;o1<7=tH516?xhd;90;63:1=vF;349~j47a?3:1=vF;349~j47a03:1=vF;349~j47a13:1=vF;349~j47al3:1?vF;349~j47am3:1?vF;349~j47an3:1?vF;349~j44783:1=vF;349~j44793:1=vF;349~j447:3:1=vF;349~j447;3:1=vF;349~j447<3:1=vF;349~j447>3:18vF;349~j447?3:1=uG4278yk75800;6uG4278yk75990;6uG4278yk759:0;6uG4278yk759?0;60;6?uG4278yk75910;6?uG4278yk75900;60;6>uG4278yk75:00;6>uG4278yk75:h0;60;6uG4278yk75;m0;6>uG4278yk75;l0;60;6>uG4278yk75<10;6uG4278yk75uG4278yk75=90;60;6uG4278yk75=h0;68uG4278yk75=k0;6>uG4278yk75=j0;6uG4278yk75=o0;6>uG4278yk75>90;680;6;0;6:0;6=0;6<0;6>uG4278yk75>?0;68uG4278yk75>>0;68uG4278yk75>10;6>uG4278yk75>00;6h0;6k0;6j0;6m0;6>uG4278yk75>l0;6>uG4278yk75>o0;6uG4278yk75??0;6?uG4278yk75?>0;6>uG4278yk75?10;6>uG4278yk75?h0;64uG4278yk75?k0;6>uG4278yk75?j0;6uG4278yk750h0;60;6>uG4278yk751h0;6uG4278yk75i<0;6?uG4278yk75i?0;6?uG4278yk75i>0;6uG4278yk7?lo0;60;65uG4278yk7?m10;6uG4278yk7?mk0;6uG4278yk7?mm0;6>uG4278yk7?ml0;6uG4278yk7?n90;6>uG4278yk7?n80;6uG4278yk7?n>0;6>uG4278yk7?n10;6>uG4278yk7?n00;6uG4278yk7?no0;6?uG4278yk7>890;6880;68;0;68:0;68=0;68<0;68?0;6>uG4278yk7>8>0;6>uG4278yk7>810;6?uG4278yk7>800;68h0;6ouG4278yk7>8k0;6>uG4278yk7>8j0;6?uG4278yk7>8m0;68l0;68o0;6990;6980;69;0;69:0;69=0;69<0;69?0;69>0;6910;6900;69h0;69k0;69j0;69m0;6?uG4278yk7>9l0;69o0;6:90;6:80;6:;0;6::0;6:=0;6:<0;6>uG4278yk7>:?0;6:>0;6:10;6:00;6:h0;6:k0;6>uG4278yk7>:j0;6?uG4278yk7>:m0;6:l0;6>uG4278yk7>:o0;6?uG4278yk7>;90;6;80;6>uG4278yk7>;;0;6?uG4278yk7>;:0;6;=0;6>uG4278yk7>;<0;6?uG4278yk7>;?0;6;>0;6>uG4278yk7>;10;6?uG4278yk7>;00;6;h0;6>uG4278yk7>;k0;6?uG4278yk7>;j0;6;m0;6>uG4278yk7>;l0;6?uG4278yk7>;o0;6<90;6>uG4278yk7><80;6?uG4278yk7><;0;6<:0;6?uG4278yk7><=0;6?uG4278yk7><<0;6?uG4278yk7><>0;6?uG4278yk7><10;6?uG4278yk7><00;6?uG4278yk7>1<7?tH516?xh61:1<7=tH516?xh61>;1<781<7?tH516?xh61>91<7?tH516?xh61>>1<7=tH516?xh61>?1<7<1<7?tH516?xh61>=1<7=tH516?xh61>21<731<7?tH516?xh61>k1<7=tH516?xh61>h1<7i1<7?tH516?xh61>n1<7o1<7l1<71<7=tH516?xh611?1<7?tH516?xh611<1<7:tH516?xh611=1<7=tH516?xh61121<7:tH516?xh61131<7=tH516?xh611k1<7:tH516?xh611h1<7=tH516?xh611i1<71<753zm6=>=83;pqcn850;3xyk4bj3:1?vsa2d794?7|ug9;m7>53zm752=83;pqc==9;297~{i;;91<7?t}o1753zm7=6=83;pqc=n5;297~{i;0l1<7?t}o1`0?6=;rwe?ok50;3xyk5b;3:1?vsa3ef94?7|ug>;>7>53zm7ce=83;pqc:=1;297~{i<8h1<7?t}o674?6=;rwe8>o50;3xyk22n3:1?vsa44;94?7|ug>53zm02>=83;pqc982;295~{i?1=1<7?t}o5:g?6=9rwe;ol50;1xyk1e=3:1=vsa7ec94?5|ug=o87>51zm3``=83;pqc6?e;297~{i0921<7?t}o:1`?6=;rwe4?950;3xyk>3k3:1?vsa85494?7|ug2=n7>53zm<33=83;pqc67a;297~{i01>1<7?t}o:b=?6=;rwe4l=50;3xyk>d03:1?vsa8b094?7|ug2oh7>51zm51zmfg2=839pqclne;295~{ijm?1<753zmg<1=83;pqcmmc;297~{ikk<1<7?t}oagf?6=;rweoi;50;3xykeai3:1?vsacg694?7|ugn:57>53zm`45=83;pqcj<8;297~{il:81<7?t}of63?6=;rweh8?50;3xykb0>3:1?vsad6294?7|ugn297>53zm`=`=83;pqcjm4;297~{ilho1<7?t}ofg7?6=;rwehnj50;3xykba:3:1?vsadda94?7|ugo:=7>53zma5d=83;pqck<0;297~{im;k1<7?t}og7b?6=;rwei9750;3xykc083:1>vsae7;94?7|ugo2=7>52zma=g=83;pqckm0;297~{imhk1<7?t}og`b?6=;rwein750;3xykcbm3:1?vsaed:94?7|ugl;h7>53zmb51=83;pqch=c;297~{in;<1<7?t}od7f?6=;rwej9;50;3xyk`1i3:1?vsaf7694?7|ugl357>53zmb=5=83;pqc?=e283>6}zf88oh7>51zm56652908wp`>2ga94?7|ug;8><4?:2y~j456j3:1=vsa1263>5<4std:?>o50;3xyk74=<0;69i:182xh6;0>1<7?t}o30e<<728qvb<=me;295~{i9:n86=4>{|l27`>=83;pqc?4}zf8>:>7>51zm5140290:wp`>42a94?7|ug;?9<4?:0y~j421>3:1=vsa15:6>5<4std:8:h50;3xyk73i=0;6>urn06:a?6=9rwe=9m<:180xh66}zf8?:m7>51zm505a2908wp`>52;94?7|ug;>9h4?:2y~j43203:1=vsa1450>5<6std:95650;3xyk721m0;6{|l2g44=83;pVk=51z3f=?{O:>k0qc?l1283>4}]n:0:w51zXe7?7|9l31qE<8a:m5f72290:wWh<:0y2a<c0494?7|Ro91=v?j9;K62g5<6std:o;1;84Zhh|9:;<<640^llp5678Vcf|R>Pnnv3456692;n7<<5D`vbE976294:86?=:HLSQQ:1<26>752F__\XZ5R@>25?699?1:>7AZTQWW>Wct}e~7=<4?>c926?TF48;1<3h4138Qavsk|5;:6=0>1:31>Zgcl9:;=1?>:10:35>LHW]]0J0?=58?386d=593K7><4>>02864442HUM_O2=3;3=51=5;3CE\XZ5A^DPF94428437?4K<083:f=52MkmL2>:1<:?7:14=Whno<=>?<083:4=4n291EC^ZT;QT86<6611?6I2<:1<`?14?>897>@U;;3:5i6:5IORVP?B;;3:5=?5;:HLSQQ4?>g97>JSSX\^1]1=50?37?119:69SV:4294m794Paef3456;;3:596O311<6?D:697?0M1?=>49B8459=2K7=90:;@>21;3G;914>7L2>9?68E979=2K7>=08;@>15?79=2K7><0:;@>16;117;2G;?7>0M1614:C?=;2?10g8EVtaWge<=>>_hos[DUunVddx=>?10;8EZ@TJ5;;245N_GQA847912KTJ^L313<:?DYA[K6:?374A^DPF973601JSK]M<07==>GXNZH7=;06;@]EWG:6?730MRH\B=3;:<=FWOYI0<718:C\BVD;9730MRH\B=03:<=FWOYI0??19:C\BVD;:;4i7LQISC>17?7912KTJ^L322<;?DYA[K69255N_GQA868?3HUM_O2;>99B[CUE4<437LQISC>5:==FWOYI0:07;@]EWG:?611JSK]M<8<7?Dekz?1Jcxz@Ed9BkprHMVg~t=>?0g9BkprHMVg~t=>?0033?Dir|FOTaxv?01225464AnwwK@Yhz9:;>4:Cpaq2E5=DOE?6M7M59@?011g?FGTWds<=>?30f8GDUXe|r;<=>;1b9@EVYj}q:;<=;i;BCP[hs89:;Sdc2:AF7>EKC:1H@_=4COV:?FIJE@^_II94CSGBP@B53JY=7Nbjas30?Fjl=2IggH`8;Bnh[CUJ9=1H`fQFNW]jiuYDdbUBB[?>;E68@969>2N7=7>14:F?5;2B;;7=0Hlzn<1<4?Agsi5;596Jnt`C;?AgsiH6;245KaucB8469j2NjxlO31083:<=Ci}kJ0Bf|hK7;364D`vbE9>902NjxlO39?48@jssGLo0Hb{{OD]bja6789897IaztNG\ekb789:Te`~PDnwwK@Yffm:;<=?j;EmvpJCXign;<=?=2:FlqqIBWhdo<=>>_hos[Air|FOTmcj?0132`>Bh}}ENSb|?01214>Bh}}ENSb|?012\mhvXLfCHQ`r12344b7HHnlm33?@@fdeUba}QJF`no57=B[<1N_1>15:GP84823LY7>394ER>0>5823LY7?3o4ER]bja6789;j7H]Paof3456XadzTI^Qnne23457f3LYTmcj?0132e>CTWhdo<=>>_hos[@UXign;<=?>d:GP[fkwWl{;<=>=0:GP[fkwWl{;<=>Pilr\AVYdeyUn}=>?00f8AVYdeyUn}=>?1328AVYdeyUn}=>?1^kntZCTWjg{Sh?0132=>CTWfx;<=>>8:GP[jt789:Te`~PER]lv56788?0IYOLK028AQGDCVif|Rk~012363=B\HI@Snc_ds3456XadzTIYOLK^antZcv89:;=<>4EUC@OZojxVO_MNE>8:Gmegjb\{80I;4Es>3:2=Bz5;1<3;4Es>2:1=AKEA97KJ<;GF@0>@CKL90JI^=;GD7?C@c9>1MJi?"Io:8BCb6%@d:56HId0/Jj47?3OLo= Ga2c9EBa7*AgUba}:4FGf13>@Al;'Bb55IFe0.Mk7>3OLo> Ga10:8BCb5%@d956HId3/Jj77?3OLo> Ga389EBa4*Ag9:56HId3/Jj17?3OLo> Ga5c9EBa4*AgUba}:4FGf03>@Al:'Bb55IFe1.Mk7>3OLo? Ga10;8BCb4%@d9=55IFe1.Mk5e3OLo? Ga_hos0>@Al=>0JKj:7:DE`0+Nf11MJi;"Io3:?C@c=$Ce=<64FGf6)Lh5j2LMh8#Fn^knt1=ANm<<7KHk6,Km51=ANm<&EcQfmq]EBa0*Ag;?7KHk769EBa1*Ag20JKj8-Hl2=>@Al>'Bb@Al>'Bb>?7;GDg3(Oi<11MJi9"Io7;?C@c?$Ce:o5IFe5.MkYney>0JKj77:DE`=+Nf11MJi6"Io3:?C@c0$Ce=<64FGf;)Lh512LMh5#Fn33;?C@c0$Ce?45IFe:.Mk5602LMh5#Fn5;8BCb?%@d?=45IFe:.Mk3602LMh5#Fn7`8BCb?%@dTe`~;;GDg=2=ANm3&Ec64FGf:)Lh612LMh4#Fn03;?C@c1$Ce>45IFe;.Mk4602LMh4#Fn2;8BCb>%@d8=55IFe;.Mk2>3OLo5 Ga40;8BCb>%@d>=55IFe;.Mk0e3OLo5 Ga_hos7>@TJ:1M_@m4FRO\4(vfzldo6H\M^3.tdtbf}i0J^CP2,rbv`hsk2LXAR="p`pfjq7?5F339J07=N=;1B:?5F759JEFUb3@KH_Rgbp^KBGV733@DBX>5FNW78MJGD\m1BCLM[_`lg4567:91BCLM[_`lg4567W`g{SDANCU]bja6789;o7DANCU]bja67888;7DANCU]bja6788Uba}QFO@AW[dhc89::=i5FO@AW[hs89:;i6G@ABV\ip~789::j6G@ABV\ip~789::=h5FO@AW[hs89:;>k5FO@AW[hs89:;>?j;HMBGQYj}q:;<=:>1:KLEFRXe|r;<=>Pilr`?LIFK]Ud~=>?00g8MJGD\Vey<=>?_hos[LIFK]Ud~=>?0048MJGD\{<0EBLJRSg8MJDBZ[Uecy>?0001?LIEM[XTbbz?013\mhvXAFHN^_Qaou2344733@EIA95FOCQ7?LID[<1BCN]>5:KLGV423@EH_>m4INAP[dhc89:;=h5FOBQ\ekb789:Te`~PINAP[dhc89:;=k5FOBQ\ghvXmx:;<=<;;HM@WZejxVoz<=>?_hos[LID[Vif|Rk~01235c=NGJYTo`~Pep2344433@EH_Rmbp^gr4566W`g{SDALS^antZcv89::=k5FOBQ\ghvXmx:;=_hos[LID[Vif|Rk~01215c=NGJYTo`~Pep2346433@EH_Rmbp^gr4564W`g{SDALS^antZcv89:8=k5FOBQ\ghvXmx:;<9<;;HM@WZejxVoz<=>;_hos[LID[Vif|Rk~01275c=NGJYTo`~Pep2340433@EH_Rmbp^gr4562W`g{SDALS^antZcv89:>=k5FOBQ\ghvXmx:;<;<;;HM@WZejxVoz<=>9_hos[LID[Vif|Rk~01255c=NGJYTo`~Pep2342433@EH_Rmbp^gr4560W`g{SDALS^antZcv89:<=k5FOBQ\ghvXmx:;<5<;;HM@WZejxVoz<=>7_hos[LID[Vif|Rk~012;5c=NGJYTo`~Pep234<433@EH_Rmbp^gr456>W`g{SDALS^antZcv89:2=k5FOBQ\ghvXmx:;==<;;HM@WZejxVoz<=??_hos[LID[Vif|Rk~01335==NGJYTe`~9;HMGKKCc3@EOCCKPos2345473@EOCCKPos2345YneyUBCIAAE^mq4567911BCIAAEhl4?LICGGOy86G@L1a8MJJ7Wds<=>?d:KLH5Yj}q:;<=?i;HMO4Zkrp9:;<<>>e:KLH5Yj}q:;<=?>f:KLH5Yj}q:;<=?>1g9JKI6Xe|r;<=>>20g8MJJ7Wds<=>?12g8MJJ7Wds<=>?15f8MJJ7Wds<=>?2d9JKI6Xe|r;<=>=1d9JKI6Xe|r;<=><1d9JKI6Xe|r;<=>;1d9JKI6Xe|r;<=>:1d9JKI6Xe|r;<=>91e9JKI6Xe|r;<=>8e:KLH5Yj}q:;<=9>d:KLH5Yj}q:;<=6j;HMO4Zkrp9:;<5?j;HMO4Zkrp9:;<4?>0:KLH5Yj}q:;<=Qfmq`8MJJ7Wfx;<=>>c:KLH5Yhz9:;OHD8Uecy>?003f?LIK9Vddx=>?1^kntZOHD8Uecy>?0036?LIKLZ30EB@_Rdcg}47OHX^IX56G@PVPfea602CD\Z\jae{\mhvXAFZ\^hoky058MJTCZL[m7DA]DSGR[dhc89:;>95FOSFQATYffm:;<=Qfmq]JKWBUMXUjbi>?013e?LIUL[OZS`{w012355=NG[NYI\Qbuy23457692CD^I\JQ^ov|56788;:=6G@REPFUZkrp9:;1:KLVATBYVg~t=>?02324>OHZMXN]Rczx12341763@EYH_K^_lw{4567<8;;7DA]DSGR[hs89:;9:1038MJTCZL[Taxv?01255462CD^H_}139JKWCVzVcf|RG@RDSq50=NG[]:h6G@RV3\ekb789:n7DA]W0]bja6789;n7DA]W0]bja67898n7DA]W0]bja67899:=6G@RV3\ekb789:Te`~l;HMQS4Yhz9:;<Paof34566m2CD_=Qnne2345YneyUBC^>Paof34566k2CD_=Qbuy2345b?01]jiuYNGZ:Tc>?013f?LITWjg{Sh?012f?LITWjg{Sh?013f?LITWjg{Sh?010f?LITWjg{Sh?011f?LITWjg{Sh?016f?LITWjg{Sh?017f?LITWjg{Sh?0147?LIR8j1BCX>Pmtz3456c3@E^Pmtz34566;l1BCX>Pmtz34566Pmtz34565m2CDY=Qbuy234546m2CDY=Qbuy234556m2CDY=Qbuy234526m2CDY=Qbuy234536m2CDY=Qbuy234506l2CDY=Qbuy23451b3@E^>0:KLSWTBYVg~t=>?0032?LIPZ[OZS`{w012354763@E\^_K^_lw{4567:8;:7DAXRSGR[hs89:;??4038MJQUZL[Taxv?0127546119JKRTUMXUfyu>?01527>OH_[XN]Rczx1234Zojx11BCZ\]EPp1?LU33@Y_Oh5FSUA\mhvXAZ^H=i5FS^antZcv89:;h6G\_bos[`w789;o7D]Pclr\at678;n0E^Qlmq]fu567;m1B_Rmbp^lf4567;2Ce<>5Fn018Mk443@d8?6Ga429Jj05JWEVKOHR]ZB^3g?IVJWHNOS^[M_3f8HUKXIMNT_XLP3c9OTHYE]Z^YEa:NSIZBANV;;m6B_M^FEBZ76i2F[ARJIF^31e>JWEVNMJR?JWEVNMJR?8a:NSIZBANV;3m6B_M^FEBZ7>12F[ARJIF^0b?IVJWMLMS?>n;MRN[A@AW;;j7A^B_EDE[74f3EZFSIHI_31b?IVJWMLMS?:n;MRN[A@AW;?j7A^B_EDE[70f3EZFSIHI_35b?IVJWMLMS?6n;MRN[A@AW;327A^B_EDE[6gJSadoy0?06;MVji`t;;730@Ygbes>7:<=K\`gn~1;19:NWmhcu4?427AZfmdp?3;?89OPlkbz53546B[ilgqPF743E^bah|[C^antZcv89:;=95CThofvQEXkdzTi|>?01320>JSadoyXNQlmq]fu5678;;?7AZfmdpWGZejxVoz<=>?3058HQojm{^HSnc_ds3456Xadz37AZfmdp\446>1008HQojm{U;S`{w012364753E^bah|P0^ov|5678:;:>6B[ilgq[5Yj}q:;<=:>109OPlkbzV:Taxv?012651=K\`gn~R>Pmtz3456Xadz37AZfmdp\5<=K\`gn~R??9:NWmhcuW8;:<6B[ilgq[4Yffm:;<=<9;MVji`tX9Vkeh=>?0^kntZJSadoyS?00324>JSadoyS3:NWmhcuW8Uha}Qjq123442_bos[`w789::=95CThofvZ7XkdzTi|>?01020>JSadoyS?_hos55=K\`gn~R?Pmtz3456692F_e`k}_0]nq}6789;:>6B[ilgq[4Yj}q:;<=?>139OPlkbzV;Taxv?0121547_lw{4567;8>0@Ygbes]2[hs89:;Sdc119OPlkbzV;Tbbz?01312>JSadoySPilr\HQojm{U:Sb|?0122b>JSadoyS_np3457XadzT@Ygbes]2[jt789;:j6B[ilgq[4Yhz9:;>?:4LUknawY6Wfx;<=JSadoyS?64LUknawY402F_e`k}_5:8HQojm{U>46B[ilgq[3>Jhim;o7Aand0]mkq67888;7Aand0]mkq6788Uba}QCo`f2[kis89::=i5Baef\[dbc89:;h6Cnde]\eab789;m7@v`r^Pfwpjs48:5j6Cwos]Qavsk|5;:2h5Bxnp\V`urd}6:2h5Bxnp\V`urd}692h5Bxnp\V`urd}682h5Bxnp\V`urd}6?2h5Bxnp\V`urd}6>2h5Bxnp\V`urd}6=2h5Bxnp\V`urd}6<2h5Bxnp\V`urd}632h5Bxnp\V`urd}622?5A039M57=I:;1E??5A439M11=IG[2:7B=4OS06?JT5J8?0C_>5@R578KW2E9:1D^8=4OS40?JT0;2EY495@uu2f?Jss8Vcf|RAzt137?Jss9l1Dyy?Pilr\Kpr69=1Dyyn7B{{4^kntZIr|=;?7B{{559Lqq033F;95@uu:2?Ue??12]O0>VFZ]k0\D@PBTQJ@]d79R84<76=1Z0<0;;P>1:3=V4:0;295^<2>a:S\5Ziu89:;Sdc_P]2[jt789::46_`SSgb`|773XeX^hoky^cm`5678;<0]b]]e`fz[dhc89:;Sdc_PmPV`gcqVkeh=>?0033?TiTZlkouRoad123570?01327>Wh[[ojhtQlmq]fu5679;i0]b]]e`fz[fkwWl{;<=?Pilr\UjUUmhnrSnc_ds34576n2[d__kndx]lv5678;>0]b]]e`fz[jt789:Te`~PQnQQadb~Wfx;<=>>f:SlWWcflpUd~=>?1368UjUUmhnrSb|?013\mhvXYfYYiljv_np34576=2XJ0=09;SC?558?3[K7=<4?>79QE9766<1YM1?15:PB87823[K7?3;4R@>7:0=UI5?596\N<7<6?WG;?7?0^L27>49QE9?9;2XJA85]ALAP3>TFEJY;T<:4R@O@W5^XadzT^LCLS1Z255=UIDIXSdc_SCNGV7?3[KF^hoky028VDKUmhnrS`{w012354=UIDXnmiwPmtz345669;1YM@\jae{\ip~789::=<<4R@OQadb~Wds<=>?2031?WGJZlkouRczx123467692XJA_kndx]nq}6789>:>6\NMSgb`|Yj}q:;<=:>139QEHTbimsTaxv?0127644?0^knt0=UIZOGh6\NSDN\ekb789:9<6\NSDN\ekb789:Te`~PR@QFHZgil9:;<4R@QFHZgil9:;=Rgbp^PBW@JXign;<=?>d:PBW@JXign;<=<=0:PBW@JXign;<=?20f8VDUBDVkeh=>?3328VDUBDVkeh=>?3^kntZTF[LFTmcj?0112`>TF[LFTaxv?012f?WGTMEUfyu>?013e?WGTMEUfyu>?0132a>TF[LFTaxv?012154=UIZOGS`{w0123[lkwk2XJ_HBPos23457b3[KXIAQ`r1234ZojxVXJ_HBPos23457d3[KXIAQ`r1235a=UIZOGSb|?0132`>TF[LFTc>?00024>TF[LFTc>?00]jiu3?>;SCPAKYj}q:;<=Qfmq78VDUun<1YM^|}d:PBWwtXe|r;<=>j;SCPvwYj}q:;<=?i;SCPvwYj}q:;<=?>e:PBWwtXe|r;<=>=109QEVtuWds<=>?_hosg>TFW9Ufyu>?01f8VDY7Wds<=>?1d9QEZ6Xe|r;<=>>1d9QEZ6Xe|r;<=>=1e9QEZ6Xe|r;<=><119QEZ6Xe|r;<=>Pilrg?WGX99Ufyu>?01g8VDY68Vg~t=>?00d8VDY68Vg~t=>?003e?WGX99Ufyu>?0102a>TFW8:Taxv?012054=UIV;;S`{w0123[lkwl2XJS_lw{4567;8;0^LQ>1^ov|5678Vcf|n5]A^3\ip~789:o7_OP1^ov|56788o0^LQ>_lw{456798o0^LQ>_lw{4567:8n0^LQ>_lw{4567;8:0^LQ>_lw{4567W`g{o6\N_3]nq}6789n0^LQ=_lw{45679l1YMRQbuy234576m2XJS>Qbuy234546l2XJS>Qbuy23455682XJS>Qbuy2345Yneyi0^LQ;_lw{4567l2XJS9Qbuy23457b3[KT8Rczx123447b3[KT8Rczx123477c3[KT8Rczx12346773[KT8Rczx1234Zojxj1YMR;Pmtz3456c3[KT9Rczx12344cS`{w012354cS`{w012364bS`{w0123746S`{w0123[lkwk2XJS;Qbuy2345bTFW>Ufyu>?0132a>TFW>Ufyu>?0102`>TFW>Ufyu>?01124>TFW>Ufyu>?01]jiueTFW1Ufyu>?013f?WGX0Vg~t=>?003f?WGX0Vg~t=>?033g?WGX0Vg~t=>?0233?WGX0Vg~t=>?0^kntf=UIV3Taxv?012g?WGX1Vg~t=>?00g8VDY>Wds<=>?10g8VDY>Wds<=>?20f8VDY>Wds<=>?3028VDY>Wds<=>?_hos1>THEJY<7_ABCR6[51=UGDIX8UQfmq]QKHET4RNO@WZejxVoz<=>?279QKHETWjg{Sh?012\mhvXZFGH_Rmbp^gr456798:0^BCLS^kntZTHEJY:>6\\d:PP[fkwWl{;<=>k;SQ\ghvXmx:;<i5]S^antZcv89:8h6\\_bos[`w789>o7_]Pclr\at678m1Y_Rmbp^gr4560l2XXSnc_ds345>43[^G96\jae{g?WcflpUjbi>?0103?WcflpUjbi>?01]jiuYUmhnrSl`k01235a=UmhnrSl`k012265=UmhnrSl`k0122[lkwW[ojhtQnne23447c3[ojhtQnne2347473[ojhtQnne2347YneyUYiljv_`lg456598:0^hoky^kntZTbims:;6\jfEmmac=UmoNdbhQnne2345433[omHb`j_`lg4567W`g{S_kiDnlf[dhc89:;=k5]egFlj`Yig}:;<<<;;Sge@jhbWge<=>>_hos[WcaLfdnSca{01225`=UmoNdbhQ`r123474?5]egPfuZgil9:;?1008V``UmxUba}Q]egPfu4c?03:8V``RznUha}Qjq1234ZojxVXnjX|h_bos[`w789::=?5]egWqcZojxVXnjX|h1e9QacSuoVey<=>?219QacSuoVey<=>?_hos[Wca]{mTc>?0135?Wct}e~27_k|umv?4;g0?a8V`urd}6:=7>1a:Pfwpjs48;5n6\jstnw84<7601Yi~{ct=3==>Tb{|f0?0m;Sgpqir;;3:556\jstnw868>3[oxyaz34?;8V`urd}6>245]erwop90912Xnxb{<6<:?Wct}e~74374Rdqvhq:>6;<0^h}zlu]3[kis89:;Sdc_SgpqirX8Vddx=>?00`8WLAE]ZUBBKAn;RKD[GSTAMRi7^GH_CWPJDKB=2Y\0<0:;RU?6;1<[^686<0:;RU?7;2<[jfy86]lsu68W`hsk2YnbyQnne23457b3ZoexRoad1234ZojxVYnbyQnne23457e3ZoexRa}01235f=Tmg~Tc>?01]jiuYTmg~Tc>?013b?VhcZHGH_Z\>2:Qm`WGJKZ]YSl`k01236d=TfmXJAN]XR^cm`5678Vcf|R]adSCNGVQUWhdo<=>?1008WkbUIDIX[_Qnne23444f3Zdo^LCLSVP\ekb789;Te`~PSofQEHET_[Ujbi>?00321>Uil[KFO^Y]_bos[`w789:8<6]adSCNGVQUWjg{Sh?012\mhvX[gnYM@M\WS]`iuYby9:;<?2228WkbUIDIX[_Qlmq]fu567:Vcf|R]adSCNGVQUWjg{Sh?010250=TfmXJAN]XR^antZcv89:8?=5\nePBIFUPZVif|Rk~0120[lkwWZdo^LCLSVP\ghvXmx:;<>?>5:Qm`WGJKZ]YSnc_ds3452482Yeh_OBCRUQ[fkwWl{;<=:Pilr\WkbUIDIX[_Qlmq]fu567<8;>7^`kR@O@WRTXkdzTi|>?0413?VhcZHGH_Z\Pclr\at678?1038WkbUIDIX[_Q`r12357><[gnYM@M\WS]lv5679Vcf|R]adSCNGVQUWfx;<=?>109PjaTFEJY\^Ra}01216==TfmXJAN]XR^mq4565W`g{S^`kR@O@WRTXg{:;>4:Qm`WIJKZUha}Qjq12344733Zdo^BCLS^antZcv89:;><:4SofQKHETWjg{Sh?012051=TfmXDAN]Pclr\at6789>:;6]adSMNGVYdeyUn}=>?0^knt45<[gnYC@M\_bos[`w789;:86]adSMNGVYdeyUn}=>?1037?VhcZFGH_Rmbp^gr4566:8>0_cj]OLAP[fkwWl{;<=?<159PjaTHEJYTo`~Pep234426?2Yeh_ABCR]`iuYby9:;=Rgbp018WkbUGDIXSnc_ds34546<2Yeh_ABCR]`iuYby9:;>?03]jiu713Zdo^BCLS^kntZUil[EFO^?i;RlgVJKD[Vey<=>?259PjaTHEJYTc>?01]jiuYTfmXDAN]Pos2345733Zynx?5[Se9WWZejxVoz<=>?d:VP[fkwWl{;<=?k;UQ\ghvXmx:;Rnelx7=364Thofv949i2^bah|33;2=<>Rnelx7?394ThofvQE6:2^bah|[C^antZcv89:;>l5[ilgqPFYdeyUn}=>?0^kntZRnelx_ORmbp^gr45679m1_e`k}_`lg4567:91_e`k}_`lg4567W`g{SYgbes]bja6789;<7Y|fD`vb7>PDK01]EHYPTXRF0>PWI{i0Z]O}_omw45669l1]\L|Pnnv3457XadzTZ]O}_omw45669h1]ei\NMBQTVa=QamXJAN]XR76h5==QamXJAN]XR76h[fkwWl{;<=>>9:Tj`WGJKZ]Y:9ePclr\at6789;:56XfdSCNGVQU>=aTo`~Pep23454612\bh_OBCRUQ21mXkdzTi|>?0112g>Pnl[KFO^Y]65i\ghvXmx:;<=Qfmq03?SocZHGH_Z\94j]jiuYQamXJAN]XR76h543<^`nYM@M\WS]`iuYby9:;<>>4VhfQEHET_[Uha}Qjq1234ZojxV\bh_OBCRUQ[fkwWl{;<=>>149UmaTFEJY\^Rmbp^gr4566;91]ei\NMBQTVZejxVoz<=>>_hos[SocZHGH_Z\Pclr\at6788;:96XfdSCNGVQUWjg{Sh?01004>Pnl[KFO^Y]_bos[`w7898Te`~PVhfQEHET_[Uha}Qjq12364723_co^LCLSVP\ghvXmx:;<>=?;WkgVDKD[^XTo`~Pep2346YneyU]ei\NMBQTVZejxVoz<=><1078RlbUIDIX[_Qlmq]fu567<::0Zdj]ALAPSWYdeyUn}=>?4^kntZPnl[KFO^Y]_bos[`w789>:=85YiePBIFUPZVif|Rk~012675=QamXJAN]XR^antZcv89:>Sdc_WkgVDKD[^XTo`~Pep234076=2\bh_OBCRUQ[fkwWl{;<=8<0:Tj`WGJKZ]YSnc_ds3450XadzTZdj]ALAPSWYdeyUn}=>?6036?SocZHGH_Z\Pclr\at678>9;7[gkR@O@WRTXkdzTi|>?06]jiuYQamXJAN]XR^antZcv89:<=<;4VhfQEHET_[Uha}Qjq123<66<^`nYM@M\WS]`iuYby9:;4Rgbp^Tj`WGJKZ]YSnc_ds345>69<1]ei\NMBQTVZejxVoz<=>6319UmaTFEJY\^Rmbp^gr456>W`g{S[gkR@O@WRTXkdzTi|>?08321>Pnl[KFO^Y]_bos[`w788:8<6XfdSCNGVQUWjg{Sh?002\mhvX^`nYM@M\WS]`iuYby9::<2:Tj`WGJKZ]YSb|?012157=QamXJAN]XR^mq4567;8?0Zdj]ALAPSWYhz9:;^T\VCF\AKBEOVBPLIIWJEE_Y\NIOVP55=_[]UYXAQ_RNRFVQ_03QY_S[ML6:ZgfZOc991Sh`QBakmqR`ttafd:<6Vkm^OjjjtQm{ybcc;4Xn>3:3=_g5:5=;5Wo=2=63=_g5:5?45Wo=2=[lkw;2Rxx45WsuENkackj2Ujhi>?01>3:a=Ximn;<=>31;2=f>Yflm:;<=2>>c9\eab789:7>3j4_`fg45674:0;2o5Paef3456;;7h0Sljk0122858d3Vkoh=>?1=33:`=Ximn;<=?31083:f=Ximn;<=?310?1=7=f>Yflm:;<<29>c9\eab789;7;3l4_`fg4566414i7Rokd12359?902Ujbi>?0135?Zgil9:;>_hos[Zgil9:;=<64_`lg45659?1Tmcj?010\mhvXWhdo<=>=199\ekb7899::6Qnne2346YneyUTmcj?0112<>Yffm:;<9?9;^cm`567?5048[dhc89:>Sdc_^cm`567=820Sl`k012553=Xign;<=8Pilr\[dhc89:==55Paof34516>2Ujbi>?06]jiuYXign;<=9>8:]bja6781;=7Road123?093;?Zgil9:;5<84_`lg456>W`g{SRoad123=4>?7:]lv56788>0Sb|?012\mhvXWfx;<=>>7:]lv56798>0Sb|?013\mhvXWfx;<=?>5:cg``tb3hdo<=>?_np34565:2keh=>?0^mq4567W`g{Sl`k0123[jt789::?6mat69`kphsm{80o~=4dgd:?aoumj~bcc=4fr`7?liee=1bco}6;oCGkprKM9;37cOKotvOA5YneyUeMIaztMG3546?189mEAir|EO:=55aAEmvpIC6W`g{ScOKotvOA4743gKX;6`NS^DPF2=iIZUBCO?=;oCP[LIEWgKXSDAMotvb?kGTW@EIcxz9;oCPkprb3gKXcxzPaof34565:2dJ_b{{_`lg4567W`g{ScO\otv\ekb789::i6`NSnww[kis89::>?5aARmvpZhh|9:;=Rgbp^lBWjssWge<=>>1e9mEVir|Vey<=>?219mEVir|Vey<=>?_hos[kGTg|~Tc>?0134?kGh}}EN=95aAnwwK@YneyUeMb{{OD36?kDBZ[30bOK]R^DPF47hEM[XTEBL8;o@D[LIE?2dI^RG@Bg9mFWYNGKUjbi>?0107?kDUW@EISl`k0123[lkwWgHYSDAM_`lg45679o1eN_QFOC]nq}6789;;7cL]_HMA[hs89:;=>1038jGTXAFHTaxv?0121546Pilr\jGTXAFHTc>?0137?kEF[j1eOL]Pnnv34576m2dHM^Qaou2344YneyUeOL]Pnnv34576>2dOXLMDa:lGPDELWOYIm6`KT@AH[LIEm2dOXLMD_`lg4567:;1eHYOLK^cm`5678Vcf|R`KT@AH[dhc89:;=h5aDUC@OZhh|9:;=?<4nEVBGNYig}:;<?1048jAoiDLn0bIgaLD]lv5678;:0bIgaLD]lv5678Vcf|R`KioNF[jt789:::6`KioMFa>hCagENSl`k012367=iL`dDIRoad1234ZojxVdOecAJ_`lg45679880bIgaOD]jiuYiL`dDI<94nEmvpJCa3gNdyyAJ_`lg4567:=1eHb{{OD]bja6789Uba}QaDnwwK@Yffm:;<=?i;oFlqqIBWhdo<=>>259m@jssGLUjbi>?00]jiuYiLfCHQnne234476<2dOcxz@E^kntZhCg|~DIPilr\jAir|FOTc>?0136?kOWD;<0bD^C2s68jIBT02dGH^QISC:8jIBTW@EI=95aLEQ\MJDXfENXSDAMotva?kJC[VCDNb{{c:lO@VYffm:;<=?j;oNGWZgil9:;5aOD`8jJCXign;<=>>c:lLAZgil9:;?0033?kIqm{fjuRoad123570?1348jJpbzekrSca{0122[lkwWgE}ibny^llp56798=0b_OBLVG4?kTFE\]N86`]ARa8jWGTWhdo<=>?1d9mVDUXign;<=>Pilr\jWGTWhdo<=>?1d9mVDUXadzTb_O\1c9mVDUXg{:;<=?l;oPBWZiu89:;Sdc_oPBWZiu89:;=95aRDQ`?kTB[Vddx=>?10g8jWCTWge<=>>_hos[kTB[Vddx=>?1058jWCTg|~=7c\@MBQ26>hUGDIXSdc_oPLIFU6>2dYC@YJe:lQKHQBWhdo<=>?239mVJKPMVkeh=>?0^kntZhUGD]NSl`k01235440bXJ\8:lV@VYA[K20bXJ\_HMA51=i]MYTEBLPnTFP[LIEg|~i7c[KS^KLFjssk2d^H^Qnne23457b3g_O_Road1234ZojxVd^H^Qnne23457d3g_O_Road12354c?013`?kSC[Vey<=>?_hos[kSC[Vey<=>?159mQRCd3g_\IRoad12344c?1b9mRHGXff~;<=?>e:lUIDYig}:;<4:lUTD>vhdVyxiy64pnpw[fjli2zd~yQlljgm=>vhz}Uomyo7;qmqpZiwi?1{czPqc9skwrXzly~`y74pnpw[vekz01{czPsrgw5>t43{kf46|{l^vzt`7<{o1xcx|le^djh`hfeln0b{}cd]omkcij;1~|45yamwf[foi12|j`xkPoq`;?}e>=9:s`h5wc8702}jwk=>&9pNOp0ce5>FGp=;m6K4=:0yPe3<39l09mn4>35`24d<6;h>;wc:>7;38j17?2?1/8<854028yVg22=;n6?ol:017f46f289j8>5k41d94?7=9rYj:7:>e;0bg?74ji:32`?!4fl3?;=6l;0g83>1d=9;0=5vB;1281M47j2.9mi4:019~H17328q/8kl56:'7a7=<9l0V4>53zg95<<5=3wQ?4>52z33>4>=u">ih7>5;h;0>5<5<5<;1<75fcg83>>obj3:17d:71;29?j22n3:17b:99;29?l2>=3:17b:m6;29?l2b<3:17b:l9;29?j2fi3:17d7::188m<4=831d88950;9l00d=831b84650;9j026=831d8n?50;9l0=0=831d84750;9l0a?=831d85750;9l0=b=831b8ho50;9l03e=831d>no50;&1ec<5k01e>lk50:9l6f>=83.9mk4=c89m6dc=921d>i=50;&1ec<5k01e>lk52:9l6a4=83.9mk4=c89m6dc=;21d>i?50;&1ec<5k01e>lk54:9l6a6=83.9mk4=c89m6dc==21d>nh50;&1ec<5k01e>lk56:9l6fc=83.9mk4=c89m6dc=?21d>nj50;&1ec<5k01e>lk58:9l6fe=83.9mk4=c89m6dc=121d>nl50;&1ec<5k01e>lk5a:9l6f1=83.9mk4=c89m6dc=j21b;54?:%0bb?103g8ji7>4;h55>5<#:hl1;:5a2`g95>=n?<0;6)1e>lk53:9j37<72-8jj798;o0ba?2<3`=:6=4+2`d932=i:ho1965f7183>!4fn3=<7clh5769m6dc=?21b:h4?:%0bb?103g8ji764;h4g>5<#:hl1;:5a2`g9=>=n>j0;6)1e>lk5b:9j2d<72-8jj798;o0ba?e<3`<26=4+2`d932=i:ho1h65f8183>!4fn3=<7clh5769m6dc=n21b;h4?:%0bb?103g8ji7??;:k4`?6=,;km6:94n3cf>47<3`=h6=4+2`d932=i:ho1=?54i6`94?"5io0<;6`=ad827>=n?h0;6)=0b?oj:078?l14290/>lh5769m6dc=9?10e>79:18'6d`=;0?0b?oj:198m6?3290/>lh53878j7gb2810e>7<:18'6d`=;0?0b?oj:398f175290:6=4?{%1g5?73:2B?=<5`15394?=zj=;>6=4>:183!5c939oi6F;109l6dd=831vn9;>:182`?5>2=np@9?<:0yK65d:876b<,=li6;5r$2f2>d17j:188m=e=831b8oj50;9l7g0=831d=;;50;9j0`?=831d>i;50;9l71`=831d=9m50;9j07<72-8jj7:>;o0ba?6<3`>;6=4+2`d904=i:ho1=65f3g83>!4fn3>:7clh5409m6dc=;21b?o4?:%0bb?263g8ji7:4;h1b>5<#:hl18<5a2`g91>=n;00;6)lk57:9j72<72-8jj7:>;o0ba?><3`9=6=4+2`d904=i:ho1565f3483>!4fn3>:7clh5409m6dc=j21b?>4?:%0bb?263g8ji7m4;h11>5<#:hl18<5a2`g9`>=nlk5f:9a0dc=83?1>7;tL530>4}O:9h0qA:>4;cx 1`e281/8k754408 1`62hn0(9h<:3`2?!2bn3k27c:jb;38 1`72hk0b9kl:09'0c3=;0=0b9kk:19~ 6b62;;>7do6:188mdg=831bmi4?::m1f4<722c85:4?::`4>5<22;0>wA:>3;3xL76e3t.8h<4=159jea<722e9n<4?::kb=?6=3`kj6=44i`194?=e?3:197>50z&0`4:188yg26:3:1=7>50z&0`4<6<;1C85<0j=6s|29c94?4|5=;96<:>;<59e6=z{;2i6=4={<596g77}Yim16;7ok;|a01?=8391<7>t$2f2>7?43A>:=6g>4583>>o6<<0;66a=9083>>{ti00;6?uQa89>3?g>3tyjm7>52z\be>;3<00:885rs3`2>5<5sW8i=638:3`2?xu41>0;6>uQ385892459~w12?2909w095a`9>01?=:0;0qpl>8083>`<428:p(>j>:0:2?j71=3:17d?9b;29?l71l3:17d?9f;29?l7093:17d?83;29?l70=3:17d?87;29?l7013:17d?8b;29?l70l3:17d?8f;29?l7113:17d=j3;29?g71>3:157>50z&0`4<51j1C85<>6=44i065>5<<6=44i06;>5<26=44i06b>5<i6=44o3;2>5<56;294~"4l809545G4038m4232900e<:::188m4212900e<:8:188m42?2900c?7>:188yg7103:1?7>50z&0`4<51;1C85<>6=44o3;2>5<52z\220=:9?21>4?4}r35f?6=:rT::o52174951252z\22a=:9?<1=9;4}r35b?6=:rT::k52174951052z\234=:9?<1=994}r347?6=:rT:;>52174951?52z\230=:9?<1=964}r343?6=:rT:;:52174951g52z\23<=:9?=1=9;4}r34f?6=:rT:;o52174951d52z\23a=:9?=1=9:4}r34b?6=:rT:;k52175951152z\22<=:9?=1=984}r1f7?6=:rT8i>52175951>52z?223<51816=;651568yv71?3:1>v3>6681=4=:9?21=9;4}|`0ff<72l0?66dd3f9i;7>5;h6:>5<>o4;3:17d=;:188m63=831b?;4?::k03?6=3`936=44i2;94?=n;h0;66gl7:186>5<7s-9o=7;m;I625>o6<=0;66g>4483>>o64683>>i5180;66sm3c;94?3=83:p(>j>:4f8L1763`;?87>5;h371?6=3`;?:7>5;h373?6=3f82=7>5;|`0fd<72?0;6=u+3e3921=O<8;0e<:;:188m4222900e<:9:188m4202900e<:7:188k7?62900qo=mb;290?6=8r.8h<4:8:J754=n9=>1<75f15794?=n9=<1<75`28394?=z{:h<6=4={_1a3>;4jk095<5rs5;94?4|V=301>l6:067?xu3i3:1>vP;a:?0f<<6<<1v><50;0xZ64<5:h36<:;;|q07?6=:rT8?631<7>7p}<5;296~X4=278n54>479~w60=838pR>84=2`;>4203ty8;7>52z\03>;4jh0:895rs2:94?4|V:201>l6:065?xu413:1>vP<9:?0f<<6<>1v>o50;0xZ6g<5:hj6<:8;|q0f?6=:rT8n6337p}7}:;k21>4?4=2`a>4223ty8n44?:3y>7g?=:0;01>lm:067?xu4jh0;6?u23cc96<7<5:hi6<:9;|a7f4=83o187?>{%1g5?5d:2e8ni4?::k7=?6=3`>j6=44i2094?=n;:0;66g<4;29?l522900e>850;9j72<722c847>5;h1:>5<>o4l3:17o=me;291?6=8r.8h<4:b:J754=n9=>1<75f15794?=n9=<1<75f15594?=h:0;1<75rb2`e>5<2290;w)=k1;7`?M2692c:894?::k200<722c:8;4?::k202<722e95<4?::a7f6=83<1<7>t$2f2>32<@=;:7d?;4;29?l73=3:17d?;6;29?l73?3:17d?;8;29?j4>93:17pl1<729q/?i?5599K047?6=44i066>5<=6=44o3;2>5<52z\0fa=:;j;1>4?4}r6:>5<5sW>270=me;370>{t7g`=9=>0q~==:181[55349ii7?;5:p76<72;qU?>523cg95107}Y;<16?ok51558yv512909wS=9;<1ab?73=2wx?:4?:3y]72=:;j:1=9:4}r1;>5<5sW9370=mf;372>{t;00;6?uQ389>7g`=9==0q~=n:181[5f349h<7?;7:p7g<72;qU?o523b29510;<1`5?73=2wx?oh50;0x96da2;3:70=l1;370>{t;j:1<77?6349h=7?;6:~f6e0290n6>4>0z&0`4<4k>1d?n=50;9j0<<722c?m7>5;h11>5<>o4=3:17d=9:188m61=831b?54?::k0=?6=3`9j6=44i2`94?=n;m0;66l<<729q/?i?5649K047?6=44i066>5<=6=44i064>5<36=44i06:>5<j6=44i06a>5<3:1N3981b=9:50;9j513=831b=9850;9j511=831b=9650;9l6<7=831vn>m9:180>5<7s-9o=7;:;I625>o6<=0;66g>4483>>i5180;66s|3b194?4|V:i870=l6;0:5>{t<00;6?uQ489>7f2=9=>0q~:n:181[2f349h87?;5:p77<72;qU??523b695107}Y;=16?n;51578yv522909wS=:;<1`0?7302wx?;4?:3y]73=:;j>1=974}r14>5<5sW9<70=l5;370>{t;10;6?uQ399>7f2=9=k0q~=6:181[5>349h87?;b:p7d<72;qU?l523b795117}Y;m16?n;515:8yv5d<3:1>v37f0=9=?0qpl<<42kq/?i?53d;8k6c22900e>650;9j7<<722c8m7>5;h1a>5<>o383:17d:=:188f6c1290>6=4?{%1g5?3e3A>:=6g>4583>>o6<<0;66g>4783>>o6<>0;66a=9083>>{e;l=1<7;50;2x 6b62;h370?6=3`;?97>5;h372?6=3`;?;7>5;n0:5?6=3th8i54?:283>5}#;m;1985G4038m4232900e<:::188k7?62900q~=j5;296~X4m<16?h652838yv5?2909wS=7;<1f2?73<2wx?44?:3y]7<=:;l<1=9;4}r1b>5<5sW9j70=j6;372>{t;k0;6?uQ3c9>7`0=9==0q~=k:181[5c349n;7?;6:p7c<72;qU?k523d59511;6=4={_63?85b?3;?86s|4383>7}Y<;16?h951578yv5b>3:1>v37`>=9=?0qpl;0983>a<42oq/?i?541:8k1632900e>km:188m6cc2900e>ki:188m6`62900e>h<:188m6`22900e>h8:188m6`>2900e>hm:188m6`c2900e>hi:188m1662900n9>::18:>5<7s-9o=7<6c:J754=n9=>1<75f15794?=n9=<1<75f15594?=n9=21<75f15;94?=n9=k1<75f15`94?=h:0;1<75rb525>5<2290;w)=k1;0:3>N3981b=9:50;9j513=831b=9850;9j511=831d>4?50;9~f16029086=4?{%1g5?4>:2B?=<5f15694?=n9=?1<75`28394?=z{=:?6=4={_630>;38>095<5rs2ga>5<5sW9nn63;048200=z{:oo6=4={_1f`>;38<0:895rs2ge>5<5sW9nj63;048203=z{:l:6=4={_1e5>;38<0:855rs2d0>5<5sW9m?63;048202=z{:l>6=4={_1e1>;38<0:845rs2d4>5<5sW9m;63;04820g=z{:l26=4={_1e=>;38<0:8l5rs2da>5<5sW9mn63;078201=z{:lo6=4={_1e`>;38?0:8;5rs2de>5<5sW9mj63;078200=z{=::6=4={_635>;38?0:8:5rs526>5<5s4>;97<61:?742<6<=1v9>9:181827>382=63;068200=zuk9>=7>55;294~"4l80>i6F;109j512=831b=9;50;9j510=831b=9950;9l6<7=831vn>;9:180>5<7s-9o=7<62:J754=n9=>1<75f15794?=h:0;1<75rb2a;>5<4290;w)=k1;76?M2692c:894?::k200<722e95<4?::a6a1=8391<7>t$2f2>01<@=;:7d?;4;29?l73=3:17b<61;29?xd5l10;6>4?:1y'7a7==<1C85<>6=44o3;2>5<54;294~"4l80>56F;109j512=831b=9;50;9j510=831d>4?50;9~f16429086=4?{%1g5?323A>:=6g>4583>>o6<<0;66a=9083>>{e;hl1<7=50;2x 6b62;h370?6=3`;?97>5;n0:5?6=3th:8i4?:883>5}#;m;1:85G4038m4232900e<:::188m4212900e<:8:188m42?2900e<:6:188m42f2900e<:m:188k7?62900qo:m0;297?6=8r.8h<4:5:J754=n9=>1<75f15794?=h:0;1<75rb276>5<2290;w)=k1;7e?M2692c:894?::k200<722c:8;4?::k202<722e95<4?::a7`e=83?1<7>t$2f2>0c<@=;:7d?;4;29?l73=3:17d?;6;29?l73?3:17b<61;29?xd4ml0;684?:1y'7a7==m1C85<>6=44i065>5<<6=44o3;2>5<55;294~"4l80>i6F;109j512=831b=9;50;9j510=831b=9950;9l6<7=831vn>h=:186>5<7s-9o=7;k;I625>o6<=0;66g>4483>>o64683>>i5180;66sm3g694?3=83:p(>j>:4f8L1763`;?87>5;h371?6=3`;?:7>5;h373?6=3f82=7>5;|`0b3<72<0;6=u+3e391f=O<8;0e<:;:188m4222900e<:9:188m4202900c?7>:188yg5a03:197>50z&0`4<2l2B?=<5f15694?=n9=?1<75f15494?=n9==1<75`28394?=zj:lj6=4::183!5c93?h7E:>1:k201<722c:884?::k203<722c:8:4?::m1=4<722wi?km50;794?6|,:n:68j4H532?l73<3:17d?;5;29?l73>3:17d?;7;29?j4>93:17pl0<729q/?i?55b9K047?6=44i066>5<=6=44i064>5<N3981b=9:50;9j513=831b=9850;9j511=831d>4?50;9~f165290>6=4?{%1g5?3e3A>:=6g>4583>>o6<<0;66g>4783>>o6<>0;66a=9083>>{e;h>1<7;50;2x 6b62;h370?6=3`;?97>5;h372?6=3`;?;7>5;n0:5?6=3th8m;4?:483>5}#;m;19n5G4038m4232900e<:::188m4212900e<:8:188k7?62900qo=n8;291?6=8r.8h<4:b:J754=n9=>1<75f15794?=n9=<1<75f15594?=h:0;1<75rb2cb>5<2290;w)=k1;7f?M2692c:894?::k200<722c:8;4?::k202<722e95<4?::a7de=83?1<7>t$2f2>0c<@=;:7d?;4;29?l73=3:17d?;6;29?l73?3:17b<61;29?xd4il0;684?:1y'7a7==m1C85<>6=44i065>5<<6=44o3;2>5<55;294~"4l80>n6F;109j512=831b=9;50;9j510=831b=9950;9l6<7=831vn<8j:186>5<7s-9o=7;l;I625>o6<=0;66g>4483>>o64683>>i5180;66sm16294?3=83:p(>j>:4a8L1763`;?87>5;h371?6=3`;?:7>5;h373?6=3f82=7>5;|`237<72<0;6=u+3e391a=O<8;0e<:;:188m4222900e<:9:188m4202900c?7>:188yg70<3:197>50z&0`4<2k2B?=<5f15694?=n9=?1<75f15494?=n9==1<75`28394?=zj8==6=4::183!5c93?o7E:>1:k201<722c:884?::k203<722c:8:4?::m1=4<722wi=:650;794?6|,:n:68j4H532?l73<3:17d?;5;29?l73>3:17d?;7;29?j4>93:17pl>7`83>0<729q/?i?55d9K047?6=44i066>5<=6=44i064>5<N3981b=9:50;9j513=831b=9850;9j511=831d>4?50;9~f41b290>6=4?{%1g5?3c3A>:=6g>4583>>o6<<0;66g>4783>>o6<>0;66a=9083>>{e91:1<7;50;2x 6b62;h370?6=3`;?97>5;h372?6=3`;?;7>5;n0:5?6=3th89>4?:283>5}#;m;19;5G4038m4232900e<:::188k7?62900qo=la;290?6=8r.8h<4=949K047?6=44i066>5<=6=44o3;2>5<54;294~"4l809585G4038m4232900e<:::188m4212900c?7>:188yg5f83:1?7>50z&0`4<2=2B?=<5f15694?=n9=?1<75`28394?=zj:h86=4;:183!5c938296F;109j512=831b=9;50;9j510=831d>4?50;9~f6g529086=4?{%1g5?323A>:=6g>4583>>o6<<0;66a=9083>>{e;k?1<7=50;2x 6b62;397E:>1:k201<722c:884?::m1=4<722wi=;o50;194?6|,:n:6884H532?l73<3:17d?;5;29?j4>93:17pl;b383>6<729q/?i?5569K047?6=44i066>5<ji7o6;|q015<72?qU?8>4=272>7?6349>:7?;5:?0g=<6<=168o>51578940f28>>7p}=d783>1}Y:m<01?j8:3;2?84c03;?863;4io095<5rs9a94?5|V1i019l?:067?82e:3;?86s|4cf94?4|V=ho70:m2;371>{t;k<1<770?71;351>{t70{t;=l1<7382=6s|15a94?4|V8>h70?;d;0:5>{t9?h1<740e34;=o7<61:p53b=838p1<6>:04g?871m382=6s|17d94?4|582:6<8i;<344?4>92wx=:?50;0x94>628=:70?82;0:5>{t9>91<741434;<87<61:p523=838p1<6>:056?870>382=6s|16d94?4|582:6<9i;<3;4?4>92wx=:950;0x94>628=<70?88;0:5>{t9>31<741>34;:05a?870k382=6s|16f94?4|582:6<9k;<34a?4>92wx?8<50;0x963128>?70=:3;0:5>{t;h91<77?6349i=7?;4:p7d3=838p1>o9:3;2?85e93;?96s|3`594?4|5:k36?7>;<1a5?73>2wx?l750;0x96gf2;3:70=m3;370>{t;hh1<77?6349i?7?;5:p7db=838p1>oj:3;2?85e;3;?:6s|38d94?4|5:k;6?7>;<1a1?73<2wx?l?50;0x96g52;3:70=m5;371>{t6?034>i87<61:p7g1=838p1>ll:2`4?85di3;?86s|34694?4|5:?>6?7>;<167?73=2wx?oj50;0x96e52:ho70=la;371>{t;j91<76e4349hm7?;6:p7`3=838p1>k6:2g6?85bi3;?96s|17;94?4|582:6<86;<35e?4>92wx8=:50;0x916?2=:?70:?3;371>{t7d6348o47?;5:?016<6<=16=;o51568yv5b<3:1?v34233ty?mk4?:3y>0dc=ih168o>52838yv2e93:1>v3;b381=4=:1=9=4}r1a4?6=:r78n<4=909>7d6=9=?0q~=m2;296~;4j:095<523`0951352z?0ec<6<<16?o;52838yv5d13:1>v34?4}r1ff?6=:r7?<547`e=:0;0q~=jd;296~;38108ii523dg96<752z?74=<4mo16?k>52838yv5a93:1>v3;0980b4=:;o81>4?4}r1e7?6=:r7?<547c2=:0;0q~=i5;296~;38108j8523g496<752z?74=<4no168=>52838yv5b;3:1?vP>a49>5=7=;l9019><:3;2?xu3880;6>u241:9057<5=:96?7>;<1b4?73<2wx?k950;1x916?2:l<70=i8;0:5>;4i;0:895rs2d:>5<5s4>;47=i9:?0bd<5181v>hm:181827039mn63=7?;6:?1`2<6<<16=9j515`8963228><70?9c;373>;6>l0:8:521629511<58=96<:8;<340?73?27:;;4>469>52>=9==01<9n:064?870k3;?863>7d8200=:91:1=9;4$2;1>17;70=j9;63?85293;?;63=d68201=:9=n1=9o4=276>42134;=o7?;6:?22`<651548941528>=70?84;370>;6??0:885216:9513<58=j6<:9;<34g?73?27:;h4>469>5=6=9==0(>7=:538j6?6281v>h50;33[5a349n57=i;<165?73=27:8i4>489>703=9=?01<8l:066?871m3;?963>718201=:9>81=9;4=057>42134;<:7?;6:?23=<6<=16=:o51578941d28>=70?8e;372>;6090:895+380904=i;0;1>6s|3e83>45|V:n01>ll:2f896e52:n01>m8:2f896c>2:n01>;>:067?873l3;?463<548201=:9?i1=9:4=04f>42334;<<7?;5:?237<6<=16=::51578941128>?70?88;372>;6?h0:895216a9513<58=n6<:;;<3;4?73>2.85?4;1:l0=4<43ty8n7>56z\0f>;4jj08n63;4k>08n63;63}Y;h16?om53`9>7f4=;h16?n953`9>7`?=;h16=9j51548 6?52=;0b>7>:49~w6?=8374=2``>6?<5:i96>74=2a4>6?<5:o26>74=06g>4223-92>7:>;o1:5?0h4180<7p}<7;2955}Y;>16?om5369>7f4=;>16?n95369>7`e=9=<01>kj:066?85a83;?:631=9;4=2d5>423349m47?;7:?0bd<6<>16?km5155896`b28><70:?0;373>;38;0:8:5+380904=i;0;146s|3783>46|V:<01>ll:24896e52:<01>m8:24896cd28>>70=je;370>;4n90:8:523g09511<5:l?6<:8;<1e2?73?278j54>449>7cg=9=>01>hl:065?85am3;?:63;018203=:<981=984$2;1>1770=mc;16?85d:39>70=l7;16?85bk3;?;63423349m87?;6:?0b3<6=70=ic;371>;4nl0:89524129513<5=:96<::;%1:6?263g92=7o4}r17>5<68rT8863;4k;08863;4mj0:89523dg9510<5:l;6<:;;<1e6?73>278j94>459>7c0=9=?01>h7:067?85ai3;?96342334>;>7?;4:&0=7<392d85<4m;|q07?6=irT8?63;4k;08?63;4i=0:8:523`49511<5:k36<:8;<1be?73>278mn4>479>7dc=9=?0(>7=:538j6?62j1v><50;cxZ64<5:hh6><4=2a1>64<5:i<6><4=2c7>421349j:7?;6:?0e=<6>70=ne;370>"41;0?=6`<908g?xu3i3:1mvP;a:?0ff<3i278o?4;a:?0g2<3i278m94>459>7d0=9=?01>o7:066?85fi3;?86317ll:5;896e52=301>m8:5;896g328>>70=n6;370>;4i10:89523`c9511<5:kh6<:;;<1ba?73>2.85?4;1:l0=465==kqG8<=51zJ14g=zD=;?6?ua4ga90==#vk5168~^6?72;q:<7?9:|kb6?6=3f>o87>5;h66`?6=3f>h?7>5;n6a3?6=3`8o:7>5;n64g?6=3`2h6=44i25:>5<5<5<5<5<5<5<#:hl1>n74n3cf>4=5<#:hl1>n74n3cf>6=5<#:hl1>n74n3cf>0=5<#:hl1>n74n3cf>2=5<#:hl1>n74n3cf><=5<#:hl1>n74n3cf>g=h5il0;76g5$3ce>6c54i2;94?"5io08i6`=ad87?>o403:1(?oi:2g8j7gb2<10e>950;&1ec<4m2d9mh49;:k02?6=,;km6>k4n3cf>2=h5il0376g<4;29 7ga2:o0b?oj:898m65=83.9mk47>5$3ce>6co313:1(?oi:2g8j7gb2m10e9650;&1ec<4m2d9mh4j;:k73?6=,;km6>k4n3cf>c=h5il0:<65f4483>!4fn39n7co3<3:1(?oi:2g8j7gb28807d:<:18'6d`=;l1e>lk51298m6e=83.9mk44<69rF?=>4>{I03f>{K<8>1=v*;fc86?x"4l80:?i5fa883>>i51m0;66a=9g83>>i5i80;66a=a283>>i5i<0;66a=a683>>i5i10;66a=a883>>i5ih0;66g=0583>>o58<0;66g=0783>>o58>0;66g=0983>>o5800;66g=0`83>>d6;j0;6m;|&0`4<4=>1b>=:50;9j653=831b>=850;9j651=831b>=650;9j65?=831b>=o50;9jeg<722e95i4?::m1=c<722e9m<4?::m1e6<722e9m84?::m1e2<722e9m54?::m1e<<722e9ml4?::`e5?6=;3;1?vB;1282M47j2w/?i?5f19jea<722e9n<4?::kb=?6=3k=1<7=50;2x 6b62li0D9?>;M627?7|,8>n69h8;|k:5?6=3`ko6=44o3`2>5<;|q10;6>4>:2yO045=9rB9c65<7s-9o=7kl;I625>J39:0:w)?;e;6e3>{n180;66gnd;29?j4e93:17p}n9;296~Xf127<64?4}r0;e?6=:r7<6?l>;_0a5>{t:1h1<7=:180>4<4sE>:?7?tH32a?x"4l80m<6gnd;29?j4e93:17do6:188f2<72:0;6=u+3e39af=O<8;0@9?<:0y'51c=:188mdb=831d>o?50;9~wd?=838pRl74=68:5>{t:1k1<7uC40195~N58k1v(>j>:g28mdb=831d>o?50;9je<<722h<6=4<:183!5c93oh7E:>1:N756<6s-;?i7:i7:j=4<722cjh7>5;n0a5?6=3tyj57>52z\b=>;020;0q~<7a;296~;02;h:7S{zj8:j6=4<:080I26;3;pD?>m;|&0`45;n0a5?6=3`k26=44b683>6<729q/?i?5eb9K04752z\b`>;02hn0qpl>1183>6<62:qG8<=51zJ14g=z,:n:6k>4i`f94?=h:k;1<75fa883>>d029086=4?{%1g5?cd3A>:=6B;1282!73m3>m;6sf9083>>ofl3:17bvPn9:?4><752z?4>7d63W8i=6s|29`94?4|Vhn01:4nd:~f47429086<4<{M627?7|@;:i7p*ofl3:17b2900n:4?:283>5}#;m;1in5G4038H17428q/=9k54g58yl?62900elj50;9l6g7=831vl750;0xZd?<5>02=6s|29c94?4|5>09n<5Q2c38yv4?j3:1>vPnd:?4>db<4?:282>6}K<891=vF=0c9~ 6b62o:0elj50;9l6g7=831bm44?::`4>5<4290;w)=k1;g`?M2692F?=>4>{%37a?2a?2wb5<4?::kb`?6=3f8i=7>5;|qb=?6=:rTj5638:838yv4?i3:1>v38:3`2?[4e92wx>5l50;0xZdb<5>0jh6srb00f>5<42808wA:>3;3xL76e3t.8h<4i0:kb`?6=3f8i=7>5;hc:>5<0;6>4?:1y'7a7=mj1C84}#9=o18ko4}h;2>5<92wx>5o50;0x92<5j81U>o?4}r0;f?6=:rTjh638:`f8yxd68o0;694=:4y'7a7=99l0e?>;:188m7gf2900e?o6:188k46e2900n<>j:180>5<7s-9o=7;:;I625>o6<=0;66g>4483>>i5180;66sm11f94?5=83:p(>j>:3;1?M2692c:894?::k200<722e95<4?::p652=838pR?>;;<33a?73<2wx>lo50;0xZ7gf34;;i7?;5:p6d?=838pR?o6;<33`?73=2wx==l50;0xZ46e34;;h7<61:p55e=838p1<>j:3;2?877l3;?86srb003>5<>210:1<75m10f94?3=83:p(>j>:4`8L1763`;?87>5;h371?6=3`;?:7>5;h373?6=3f82=7>5;|`25`<72:0;6=u+3e3912=O<8;0e<:;:188m4222900c?7>:188yg76n3:1?7>50z&0`4<2=2B?=<5f15694?=n9=?1<75`28394?=zj8;=6=4::183!5c93?i7E:>1:k201<722c:884?::k203<722c:8:4?::m1=4<722wi=<950;194?6|,:n:6884H532?l73<3:17d?;5;29?j4>93:17pl>1983>6<729q/?i?5549K047?6=44i066>5<3:1N3981b=9:50;9j513=831b=9850;9j511=831b=9650;9l6<7=831vn5<7s-9o=7<65:J754=n9=>1<75f15794?=n9=<1<75`28394?=z{;k<6=4;{_0b3>;69m0:88521049513<58;j6<:;;|q141<72=qU>=:4=03g>42334;::7?;4:?25d<6<<1v?>6:187[47127:=i4>479>540=9=<01<70?>7;371>;69h0:855rs324>5<5sW8;;63>1d8201=z{;:36=4<{_03<>;69l0:8852105951252z\140=:98<1=994}r032?6=:rT9<;5210c951052z\251=:98i1>4?4}r321?6=:r7:=k4=909>54e=9=>0q~?>6;296~;691095<5210a951352z?25d<51816=v3>1e81=4=:98l1=9:4}r32`?6=:r7:=h4=909>54`=9=?0q~?>7;296~;69?095<5210:951252z?252<51816=<651578yxd6:m0;6449:dy'7a7=9;n0e?on:188m7632900e?>7:188m7g02900e?>9:188m76>2900e?>::188m7602900c<<=:188f44d290?6=4?{%1g5?3>3A>:=6g>4583>>o6<<0;66g>4783>>i5180;66sm13694?2=83:p(>j>:4;8L1763`;?87>5;h371?6=3`;?:7>5;n0:5?6=3th:>;4?:283>5}#;m;19;5G4038m4232900e<:::188k7?62900qo?=8;292?6=8r.8h<492:J754=n9=>1<75f15794?=n9=<1<75f15594?=n9=21<75`28394?=zj88j6=4;:183!5c93?27E:>1:k201<722c:884?::k203<722e95<4?::a57d=83<1<7>t$2f2>7?>3A>:=6g>4583>>o6<<0;66g>4783>>o6<>0;66g>4983>>i5180;66s|2`c94?4|V;kj70?=c;372>{t:9>1<7:t^327?875k3;?863>298203=:9;k1=9:4}r03l950;7xZ7g034;987?;6:?263<6<<16=?651558944f28>=7p}=0783>6}Y:9<01<<;:067?87503;?96s|21;94?4|V;:270?=6;370>{t:9?1<7{t9;81<7;<31f?73<2wx=?:50;0x94432;3:70?=b;371>{t9;?1<77?634;9n7?;6:p571=838p1<<7:3;2?875j3;?;6s|13;94?4|588j6?7>;<31f?7302wvn<=n:18a>3<68r.8h<4>3`9j6d1=831b>=o50;9j65?=831b>=:50;9j650=831b>=650;9j651=831b>lo50;9j6d3=831b>=;50;9l57`=831i=>750;494?6|,:n:6;?4H532?l73<3:17d?;5;29?l73>3:17d?;7;29?l7303:17b<61;29?xd6;80;6;4?:1y'7a7=>:1C85<>6=44i065>5<<6=44i06;>5<N3981b=9:50;9j513=831b=9850;9j511=831d>4?50;9~f452290?6=4?{%1g5?3f3A>:=6g>4583>>o6<<0;66g>4783>>i5180;66sm12594?0=83:p(>j>:708L1763`;?87>5;h371?6=3`;?:7>5;h373?6=3`;?47>5;n0:5?6=3th:?54?:683>5}#;m;1>4o4H532?l73<3:17d?;5;29?l73>3:17d?;7;29?l7303:17d?;9;29?j4>93:17p}=a683>0}Y:h=01<=6:065?87493;?;63>328203=:9:=1=994}r03e?6==750;7xZ76>34;857?;5:?274<6<116=>=51558945028>37p}=0583>1}Y:9>01<=6:064?874;3;?963>348200=z{;:=6=4={_032>;6;00:855rs32;>5<2sW8;463>308201=:9:91=9:4=016>42334;8;7?;4:p651=838pR?>8;<305?73>2wx>lo50;0xZ7gf34;897?;6:p6d3=838pR?o:;<30=;50;0xZ76234;8;7?;6:p57`=838pR<92wx=>>50;0x945>2;3:70?<8;370>{t9:;1<77?634;847?;5:p564=838p1<=<:3;2?87403;?:6s|12694?4|589>6?7>;<30850;0x94502;3:70?<8;37=>{z{;:?6=4:{_030>;68o09<9521329652<588o6?>;;<30e?47<2wx>=;50;6xZ76234;9<7o52178yv47>3:18vP=079>576=:9<01<;6:m09<:5212c965154z\14==:9;:1>=64=00g>76?34;8m7pR?>6;<314?47127:>i4=089>56g=:930q~521c8945f2;:j7p}nb;29e~Xfj27m=7o6;d?<58:96l74=02:>d?<58:j6l74=033>d?<58;86l74=002>d?<588n6l74}r0:`?6=;rT95i5211;9ea=:9;;1>o?4}r0:b?6=;rT95k521109ea=:9931>o?4}r0b5?6=;rT9m<52f68b`>;68;09n<5rs3c0>5<4sW8j?63i1;cg?8`02;h:7p}=a483>6}Y:h?01k?52c38945f2;k>7p}=a683>0}Y:h=01<2e81e2=:9:k1>l94}r0bo?4}r0b=?6=;rT9m45210296g7<58:m6?o6;|q1ed<72lo4=02b>7d634;;j7o52`c8yv77j3:1>v3>0`8b`>;68o0:5<5s4;:?7ok;<314?76<2wx=?<50;0x94462hn01<3b8bf>{t:0n1<7{t:h;1<7{t:h?1<7{t:h21<7{t:hk1<7{t:9?1<7{t:9=1<7{t:931<7{zj:m;|N751mn7;4$5d:>1353->m=7ok;%6e7?4e92.?ik4n9:l7ag<63->m<7on;o6fg?7<,=l>6>78;o6f`?65}#;m;1ih5G4038md7=831bm>4?::k:5?6=3`ko6=44o3`2>5<:>7>51;294~"4l80:8?5G4038k4262900q~o6:181[g>34=15<5rs`c94?4|Vhk01:4n1:p6=g=838p19?=:062?81=i:1v?6m:18181=:k;0R?l>;|q11:k201<722c:884?::m1=4<722wxm44?:3y]e<=:?3k27p}na;296~Xfi27?844>449~w7d62909wS7d63ty85:4?:2y]7<1<5>0jh63;488201=z{=>36=4={<59ed=:<=31>4?4}|`;a?6=;3;1?vB;1282M47j2wG8<:51z&7bg<23t.8h<4=2c9jea<722e9n<4?::kb=?6=3k=1<7=50;2x 6b62li0D9?>;h;2>5<92wx>5o50;0x92<5j81U>o?4}r0;f?6=:rTjh638:`f8yxd5m<0;6>4>:2yO045=9rB94}#5<7s-9o=7kl;I625>J39:0:w)?;e;6e3>{n180;66gnd;29?j4e93:17p}n9;296~Xf127<64?4}r0;e?6=:r7<6?l>;_0a5>{t:1h1<7N3981G8<=51z&20`<3n>1ve4?50;9jea<722e9n<4?::pe<<72;qUm4527;;2?xu50h0;6?u27;0a5>X5j81v?6m:181[gc34=1mi5r}c135?6=;3;1?vB;1282M47j2wG8<:51z&7bg<23t.8h<4=349jea<722e9n<4?::kb=?6=3k=1<7=50;2x 6b62li0D9?>;M627?7|,8>n69h8;|k:5?6=3`ko6=44o3`2>5<;|q1=l4}M620?7|,=li685r$2f2>7513`ko6=44o3`2>5<4d87b2=za0;1<75fae83>>i5j80;66s|a883>7}Yi016;77>;|q14>{I03f>{K<8>1=v*;fc86?x"4l809>o5fae83>>i5j80;66gn9;29?g1=8391<7>t$2f2>`e<@=;:7d7>:188mdb=831d>o?50;9~wd?=838pRl74=68:5>{t:1k1<7o?50;9je<<722h<6=4<:183!5c93oh7E:>1:N756<6s-;?i7:i7:j=4<722cjh7>5;n0a5?6=3thjo7>52;294~"4l80:8k5G4038m4242900c?7>:188yvg>2909wSo6;4243ty94l4?:3y>3??634kh6?7>;|q14>{I03f>{K<8>1=v*;fc86?x"4l809?55fae83>>i5j80;66gn9;29?g1=8391<7>t$2f2>`e<@=;:7d7>:188mdb=831d>o?50;9~fde=8381<7>t$2f2>42a3A>:=6g>4283>>i5180;66s|a883>7}Yi016mn4>429~w7>f2909w095909>ef<5181v?6m:18181=:k;0R?l>;|q150z&0`4<212B?=<5f15694?=n9=?1<75f15494?=h:0;1<75rb3d3>5<4290;w)=k1;0:6>N3981b=9:50;9j513=831d>4?50;9~w7cb2909wS;k:181[52l279j<4>479~w7`42909wS449~w63b2909wS=:e:?1b5<6<<1v?ki:18184a9382=63=f18201=zukk;6=46:48g!5c93k;7b77:188m63c2900e?h<:188m7cd2900e>;j:188m6072900e5m50;9j72>=831b?8650;9a=c<72:0;6=u+3e3913=O<8;0e<:;:188m4222900c?7>:188yg?f29086=4?{%1g5?4>:2B?=<5f15694?=n9=?1<75`28394?=zj0h1<7=50;2x 6b62;h370?6=3`;?97>5;n0:5?6=3th2h7>54;294~"4l80>56F;109j512=831b=9;50;9j510=831d>4?50;9~ft$2f2>7?03A>:=6g>4583>>o6<<0;66g>4783>>o6<>0;66a=9083>>{t110;6?uQ999>=`<5181v>;k:181[52l272n7?;4:p6c5=839pR?h<;<;e>423343j6<:;;|q1af<72;qU>hm4=8d9513i7>52z\01`=:1l0:885rs243>5<5sW9=<636d;372>{t0j0;6?uQ8b9>=a<6<=1v>97:181[500272h7?;5:p70>=838pR>;7;<;f>4203ty257>52z?:b?4>9272m7?;5:p6=g=838p14o528389j382=636e;370>{t1j0;6?u29e81=4=:1l0:8;5r}c132?6=>381;v*;h370?6=3`;?97>5;h372?6=3f82=7>5;|`041<72=0;6=u+3e396<3<@=;:7d?;4;29?l73=3:17d?;6;29?j4>93:17p}<0383>7}Y;9801>>;:3;2?xu4=m0;6?uQ34f8966228>=7p}=f283>7}Y:o901>>::067?xu5mj0;6?uQ2da8966228>>7p}<5983>7}Y;<201>>;:066?xu4=00;6?uQ34;8966328>=7p}<0283>7}:;9?1>4?4=227>4233twi>kh50;:97?g|,:n:6?hi;n0ee?6=3`9>h7>5;h0e7?6=3`9=<7>5;h:`>5<5<6=44b3df>5<4290;w)=k1;75?M2692c:894?::k200<722e95<4?::a6ce=83>1<7>t$2f2>0g<@=;:7d?;4;29?l73=3:17d?;6;29?j4>93:17pl=fe83>0<729q/?i?528:8L1763`;?87>5;h371?6=3`;?:7>5;h373?6=3f82=7>5;|q1bd<72;qU>ko4=3dg>7?63ty89i4?:3y]70b<5;ln6<::;|q1b6<72;qU>k=4=3df>4233ty8:=4?:3y]736<5;lh6<:9;|q;g?6=:rT3o63=fb8200=z{:=36=4={_14<>;5nj0:895rs27`>5<5sW9>o63=fe8202=z{;l>6=4={_0e1>;5nm0:895rs3da>5<5s48mi7<61:?1ba<6<<1v?hl:18184ak382=63=fe8203=zuk8n87>5a;5955}#;m;1>h:4o3fb>5<5<5<21<75f34a94?=n:o?1<75m2d194?5=83:p(>j>:448L1763`;?87>5;h371?6=3f82=7>5;|`1`f<72:0;6=u+3e3912=O<8;0e<:;:188m4222900c?7>:188yg4cl3:1?7>50z&0`4<51;1C85<>6=44o3;2>5<53;294~"4l80>96F;109j512=831b=9;50;9l6<7=831vn?k?:186>5<7s-9o=7<67:J754=n9=>1<75f15794?=n9=<1<75f15594?=h:0;1<75rb3g2>5<4290;w)=k1;0:7>N3981b=9:50;9j513=831d>4?50;9~f7c529086=4?{%1g5?4>:2B?=<5f15694?=n9=?1<75`28394?=z{;nj6=4={_0ge>;5m;095<5rs27g>5<5sW9>h63=e28200=z{;l86=4={_0e7>;5m:0:895rs27f>5<5sW9>i63=e18201=z{:?m6=4={_16b>;5m90:8;5rs243>5<5sW9=<63=dd8201=z{1i1<7=t^9a897bd28>?70{t;>21<7{t:o?1<7;<0f4?73=2wx>im50;0x97bd2;3:70{t:1k1<77?6348oi7?;5:p6=d=838p1?jj:3;2?84b93;?96s|2ed94?4|5;o;6?7>;<0f6?73<2wx>h>50;0x97c62;3:70{zj;l36=4n:38a!5c938m46a=f483>>o4=m0;66g<5d83>>o4=o0;66g<6183>>o4=10;66g<5883>>o4=h0;66g<5c83>>o4=j0;66l=f783><<729q/?i?528a8L1763`;?87>5;h371?6=3`;?:7>5;h373?6=3`;?47>5;h37=?6=3`;?m7>5;h37f?6=3f82=7>5;|`1b2<72:0;6=u+3e396<4<@=;:7d?;4;29?l73=3:17b<61;29?xu5n<0;6?uQ2g7897`02;3:7p}<5e83>7}Y;?7p}<5g83>7}Y;90;6?uQ372897`128><7p}<5983>7}Y;<201?h9:06;?xu4=00;6?uQ34;897`128>27p}<5`83>7}Y;i7p}<5b83>7}Y;1?78t$2f2>66d3f8h;7>5;h0f1?6=3`>j6=44i2a94?=e;9h1<7=50;2x 6b62<<0D9?>;h370?6=3`;?97>5;n0:5?6=3th8<44?:283>5}#;m;1985G4038m4232900e<:::188k7?62900qo=?a;297?6=8r.8h<4=939K047?6=44i066>5<53z\1a0=:;9h1=9:4=22:>4233ty?m7>52z\7e>;48k0:885rs2a94?4|V:i01>>6:066?xu48>0;6?u231`96<7<5::j6<:;;|q04=<72;q6?=752838966f28>>7psm30g94?2=;3j>:23f?j4dj3:17dt$2f2>00<@=;:7d?;4;29?l73=3:17b<61;29?xd49k0;6>4?:1y'7a7==<1C85<>6=44o3;2>5<53;294~"4l8095?5G4038m4232900e<:::188k7?62900q~74b=9=>01>?m:067?xu4:3:1>vP<2:?05a<6<<1v9=50;0xZ15<5:;i6<::;|q05<<72;q6??7p}<1`83>7}:;8h1>4?4=23`>4223twi?>>50;697?0|,:n:6>=?;n0`a?6=3`8n97>5;h10>5<1<75m33d94?5=83:p(>j>:448L1763`;?87>5;h371?6=3f82=7>5;|`06a<72:0;6=u+3e3910=O<8;0e<:;:188m4222900c?7>:188yg55m3:1?7>50z&0`4<51;1C85<>6=44o3;2>5<52z\1g`=:;;o1>4?4}r0f1?6=;rT9i85233d9512<5:8o6<:;;|q07?6=:rT8?63<2g8200=z{=>1<7>7p}<2c83>7}:;;l1>4?4=20f>4233ty8>n4?:3y>77b=:0;01>;50;194?6|,:n:6884H532?l73<3:17d?;5;29?j4>93:17pl<3283>6<729q/?i?5549K047?6=44i066>5<:188yv4dn3:1>vP=cg9>762=:0;0q~;51568965428>?7p}<4;296~X4<278?84>449~w13=838pR9;4=210>4223ty8?<4?:3y>763=:0;01>=;:067?xu4;;0;6?u232196<7<5:9?6<::;|a76e=83>1?78t$2f2>65d3f8o<7>5;h0f1?6=3`9>6=44i5494?=e;:h1<7=50;2x 6b62<<0D9?>;h370?6=3`;?97>5;n0:5?6=3th8?44?:283>5}#;m;1985G4038m4232900e<:::188k7?62900qo=?6=44i066>5<53z\1a0=:;:h1=9:4=21:>4233ty897>52z\01>;4;k0:885rs5494?4|V=<01>=6:066?xu4;>0;6?u232`96<7<5:9j6<:;;|q07=<72;q6?>752838965f28>>7psm35094?2=;3j>:261?j4c93:17dt$2f2>00<@=;:7d?;4;29?l73=3:17b<61;29?xd4;o0;6>4?:1y'7a7==<1C85<>6=44o3;2>5<53;294~"4l8095?5G4038m4232900e<:::188k7?62900q~52838yv4b=3:1?vP=e49>717=9=>01>=i:067?xu4>3:1>vP<6:?004<6<<1v9950;0xZ11<5:9m6<::;|q07a<72;q6?9?52838962728>?7p}<3d83>7}:;:l1>4?4=263>4223twi?9650;697?0|,:n:6>:7;n0g6?6=3`8n97>5;h1b>5<j>:448L1763`;?87>5;h371?6=3f82=7>5;|`000<72:0;6=u+3e3910=O<8;0e<:;:188m4222900c?7>:188yg53>3:1?7>50z&0`4<51;1C85<>6=44o3;2>5<7>52z\1`7=:;=<1>4?4}r0f1?6=;rT9i8523559512<5:>>6<:;;|q0e?6=:rT8m63<468200=z{:l1<7>7p}<4283>7}:;==1>4?4=265>4233ty8894?:3y>713=:0;01>:9:066?x{e;=o1<7:53;4x 6b62:>n7b93:17pl<4c83>6<729q/?i?5549K047?6=44i066>5<:188yv4c;3:1>vP=d29>71e=:0;0q~?7p}<7;296~X4?2788i4>449~w1?=838pR974=26a>4223ty8844?:3y>71b=:0;01>:l:067?xu4h6<::;|a772=83>1?78t$2f2>6433f8ho7>5;h0f1?6=3`936=44i5:94?=e;;91<7=50;2x 6b62<<0D9?>;h370?6=3`;?97>5;n0:5?6=3th8><4?:283>5}#;m;1985G4038m4232900e<:::188k7?62900qo==2;297?6=8r.8h<4=939K047?6=44i066>5<53z\1a0=:;;91=9:4=202>4233ty847>52z\0<>;4::0:885rs5:94?4|V=201><>:066?xu49o0;6?u233196<7<5:896<:;;|q065<72;q6???52838964528>>7psm30094?2=;3j>:231?j4d03:17d2900e9650;9a747=8391<7>t$2f2>00<@=;:7d?;4;29?l73=3:17b<61;29?xd48o0;6>4?:1y'7a7==<1C85<>6=44o3;2>5<53;294~"4l8095?5G4038m4232900e<:::188k7?62900q~52838yv4b=3:1?vP=e49>747=9=>01>>i:067?xu413:1>vP<9:?054<6<<1v9650;0xZ1><5::m6<::;|q04a<72;q6??7p}<0d83>7}:;9l1>4?4=233>4223twi??o50;697?0|,:n:6>5;h1a>5<j>:448L1763`;?87>5;h371?6=3f82=7>5;|`062<72:0;6=u+3e3910=O<8;0e<:;:188m4222900c?7>:188yg5503:1?7>50z&0`4<51;1C85<>6=44o3;2>5<52z\1ga=:;;21>4?4}r0f1?6=;rT9i85233;9512<5:8<6<:;;|q0f?6=:rT8n63<288200=z{:n1<7>7p}<2483>7}:;;31>4?4=20;>4233ty8>;4?:3y>771=:0;01><7:066?x{e;821<7:53;4x 6b62:;37b93:17pl<1483>6<729q/?i?5549K047?6=44i066>5<:188yv4di3:1>vP=c`9>740=:0;0q~?7p}449~w6b=838pR>j4=236>4223ty8=>4?:3y>741=:0;01>?9:067?xu49=0;6?u230796<7<5:;=6<::;|a0f0=8381<7>t$2f2>42a3A>:=6g>4283>>i5180;66sm4b094?4=83:p(>j>:06e?M2692c:8>4?::m1=4<722wi8i950;094?6|,:n:6<:i;I625>o6<:0;66a=9083>>{em7E:>1:k206<722e95<4?::a0db=8381<7>t$2f2>42a3A>:=6g>4283>>i5180;66sm4cc94?4=83:p(>j>:06e?M2692c:8>4?::m1=4<722wi?:m50;194?6|,:n:6884H532?l73<3:17d?;5;29?j4>93:17pl<7483>6<729q/?i?5579K047?6=44i066>5<3:11<75f15794?=h:0;1<75rb5f5>5<3290;w)=k1;7b?M2692c:894?::k200<722c:8;4?::m1=4<722wi8l650;694?6|,:n:68o4H532?l73<3:17d?;5;29?l73>3:17b<61;29?xd3ij0;6>4?:1y'7a7==?1C85<>6=44o3;2>5<i57>54;294~"4l80>56F;109j512=831b=9;50;9j510=831d>4?50;9~f1dd290?6=4?{%1g5?4>=2B?=<5f15694?=n9=?1<75f15494?=h:0;1<75rb24`>5<4290;w)=k1;74?M2692c:894?::k200<722e95<4?::a6`1=83?1<7>t$2f2>0b<@=;:7d?;4;29?l73=3:17d?;6;29?l73?3:17b<61;29?xd5m00;6>4?:1y'7a7==?1C85<>6=44o3;2>5<54;294~"4l80>56F;109j512=831b=9;50;9j510=831d>4?50;9~f7`3290>6=4?{%1g5?4>02B?=<5f15694?=n9=?1<75f15494?=n9==1<75`28394?=zj;oo6=4<:183!5c93?>7E:>1:k201<722c:884?::m1=4<722wi?;k50;094?6|,:n:6<:i;I625>o6<:0;66a=9083>>{e<>o1<7<50;2x 6b628>m7E:>1:k206<722e95<4?::pe7<72kqUm?523459e<=:;?k1m4528d8b=>;5m<0j56367;c:?85793k2707}Y019j8:3;2?xu3=m0;69uQ44f891e228>?70:nc;370>;3j00:895rs5a0>5<5sW>h?63;c781=4=z{=h<6=4={_6a3>;3jh095<5rs3f5>5<3sW8o:63<568143=::l=1=9;4=3ga>4233ty?;n4?:3y]02e<5==h6?l>;|q;g?6=irT3o63<568141=:0l0jh63n0;:`?84an32h704233ty8;44?:2y]72?<5:=h6<::;<15g?73=2wx8lo50;0xZ1gf34>jh7<61:p0<1=838pR978;<6b449>0a0=9=?019o7:066?82fk3;?96s|4b594?4|V=i<70:k6;370>{t:m?1<7:t^3f6?852?38;m63;b88200=::ln1=9;4}r6`5?6=:rT?o<524b096<752z\00c=:j:7>52z\7e3=:4?4}r14e5<4?116>kh536:897c32:=370=8c;0:5>;5m00:88522d`95137>52z?044;8:326?8>b2;h:70{t;?k1<7=t=24b>7d63498k:181851i392;63<6d81=4=z{=ki6=4={<6b`?73;27?mn4=909~w1b22909w0:k7;377>;3l?095<5rs27;>5<2s49>;7<6d:?b4?520278<;4<599>6c>=;<201>9?:067?xu4?80;6>u23459651<5:=>6?7>;<0f3?73?2wx?8750;6x96302;3m70=?6;16=>;5n10894523629513m7>53z?012<5i816>k6534c8961728>=7p}<5c83>6}:;<=1>l=4=3d;>63e349<<7?;7:p70e=83?p1>;8:3c6?84an39>o63=e5801f=::o21?8m4=253>42?3ty89i4?:6y>701=:h=01?h=:27g?8g72:?o70=?6;16`>;5no089i522d6970b<5;l36>;k;|q01`<72?q6?8952`:896672hn01?h=:27f?8g72:?n70;5n1089h5rs27e>5<4s49>;7k6534d8yv5183:19v3<5681ed=:i908:=522gd9736<5;o?6>8?;<0e28>870:n8;0:5>{t:o91<78t=3d1>7`434k;6?h<;<132?4a;279jk4=f29>6`2=:o901?h;:3;2?xu5n<0;6>u22gd96c3<5;o?6?h:;<0e2;3:70:mc;370>{t:ok1<7db<5;lm6?hn;|q73a<72;q68:m5ae9>02c=:0;0q~76e=:l?01>:=:3g6?853038n963<4d81a0=:;;>1>h;4=231>7c23499m7=7p}=f883>1}:;<=1>=74=3d:>7d634>i57?;6:?1b1<6<=1v?kj:18184b=3ko70{t;?l1<7dg<5:=;6?7>;|q02g<72;q6?;m52838960b28>87p}67;296~;>?38i=63;7d8206=z{=hi6=4={<6ae?73;27?nn4=909~w<>=838p1495ae9>e5<>02wx?=>50;0x96672;h:70:mc;372>{t;9;1<77d634>io7?;5:p6ag=838p1?j6:`f897c32;nj7p};c583>7}:7?63ty9i;4?:3y>6`1=:0;01?h;:066?xu5m10;6?u22d;96<7<5;l?6<:9;|q1ad<72;q6>hl5283897`328><7p}=eb83>1}::o81>hm4=`296`e<5::=6?kl;<0f`?4>92wx>no50;0xZ7ef349:47=838pR?m7;<126?4d02.85?4=c89m7<7=92wx>i=50;0xZ7b4349?i7i?50;0xZ7b6349?>7nh50;0xZ7ea3498:7nj50;0xZ7ec3499m7nl50;0xZ7ee349:i76}Y;m16??o53e9>74>=;m1/?4<53d9m7<7=92wx?o4?:2y]7g=:;;k1?o5230:97g=#;081?h5a38396>{t;h0;6?uQ3`9>71>=;h1/?4<53d9m7<7=;2wx?44?:3y]7<=:;881?45+38097`=i;0;186s|3983>7}Y;116??:5399'7<4=;l1e?4?55:p72<72;qU?:5235g972=#;081?h5a38392>{t;?0;6?uQ379>714=;?1/?4<53d9m7<7=?2wx?84?:3y]70=:;:i1?85+38097`=i;0;146s|3583>7}Y;=16?>85359'7<4=;l1e?4?59:p76<72;qU?>52322976=#;081?h5a3839e>{t;;0;6?uQ339>74c=;;1/?4<53d9m7<7=j2wx8l4?:3y]0d=:;9i18l5+38097`=i;0;1o6s|4883>7}Y<016?9k5489'7<4=;l1e?4?5d:p0=<72:qU855233690==:;881855+38097`=i;0;1i6s|4683>7}Y<>16?9<5469'7<4=;l1e?4?5f:p03<72;qU8;5232a903=#;081?h5a383955=z{=?1<77=:2g8j6?628;0q~:;:181[233498<7:;;%1:6?5b3g92=7?=;|q77?6=:rT??63<1d877>"41;08i6`<90827>{t;j0;6?uQ3b9>75e=;j1/?4<53d9m7<7=9=1vqo::6;2953<5;398wA:>3;3xL76e3tF?=94={o6eg?3d3->mn784}%1g5?71<2cj>7>5;h354?6=3`;j97>5;h364?6=3`;397>5;n3b2?6=3`>>h7>5;h:`>5<5<6=44i5g:>5<5<5<5<6=44o5`94?=h==0;66a;6b83>>d4kj0;6o4>:cyO045=9rB94}#1<75f21794?=n:9<1<75f21594?=n:921<75m12a94?d=03op@9?<:0yK65d5;n0:`?6=3f82j7>5;n0b5?6=3f8j?7>5;n0b1?6=3kl:6=4<:080I26;3;pD?>m;|&0`45;n0a5?6=3`k26=44b683>6<729q/?i?5eb9K04752z\b`>;02hn0qpli7;297?7=;rF?=>4>{I03f>{#;m;1j=5fae83>>i5j80;66gn9;29?g1=8391<7>t$2f2>`e<@=;:7A:>3;3x 42b2=l<7pg61;29?lgc2900c?l>:188yvg>2909wSo6;<59=4=z{;2j6=4={<596g77}Yim16;7ok;|ab3<72=0968u+3e39b3=nn80;66g=0983>>o5i<0;66ai2;29?g`229086=4?{%1g5?323A>:=6g>4583>>o6<<0;66a=9083>>{en=0;6>4?:1y'7a7=:080D9?>;h370?6=3`;?97>5;n0:5?6=3tym=7>52z\e5>;a=3;?86s|21:94?4|V;:370h::066?xu5i<0;6?uQ2`789c2=9=?0q~h=:181[`534l?6?7>;|qe7?6=:r7m97<61:?e0?73<2wvn<>>:18;>05;h0b1?6=3`8;47>5;h031?6=3`8;:7>5;h033?6=3fl36=44b023>5<4290;w)=k1;75?M2692c:894?::k200<722e95<4?::abd<72:0;6=u+3e3913=O<8;0e<:;:188m4222900c?7>:188yg`d29086=4?{%1g5?313A>:=6g>4583>>o6<<0;66a=9083>>{enl0;684?:1y'7a7==m1C85<>6=44i065>5<<6=44o3;2>5<;:181[47<27:<=4>449~wc7=83>pRk?4=023>42334lj6<:;;4223ty9m84?:2y]6d3<5ok1=9;4=ga951352z\14==:nj0:895rs326>5<5sW8;963ie;372>{t:9<1<7<7p}=0683>7}Y:9=01kk51568yv`?2909wSh7;7?63tym57>52z?245<51816jk4>459~wcg=838p1ko528389c`=9=?0q~hm:1818`d2;3:70hi:065?xual3:1>v3ie;0:5>;an3;?;6srb3;f>5<4290;w)=k1;75?M2692c:894?::k200<722e95<4?::a6d6=8391<7>t$2f2>03<@=;:7d?;4;29?l73=3:17b<61;29?xd5i;0;6>4?:1y'7a7==?1C85<>6=44o3;2>5<53;294~"4l80>;6F;109j512=831b=9;50;9l6<7=831vk?50;5x9c7=:k;01k85f09>557=n816>4k5156897g728>>70;5i=0:885rs327>5<5sW8;863>008141=z{;:>6=4={_031>;68809<85rs325>5<5sW8;:63>008143=z{;:<6=4={_033>;68809<:5rs32;>5<4sW8;463i6;03<>;68809<55rs``94?5|Vhh01k?5a89>b24j50;0xZ7?c3482i7<61:p6<`=838pR?7i;<0b4?4>92wx>l?50;0xZ7g6348j>7<61:p6d5=838pR?o<;<0b0?4>92wx>l;50;:xZ7g234l<6?l>;7g234;;=7l>5156897g528>?70{tn;0;6?u2f08b`>;a>3l97p}i8;296~;a?3ko70??1;d;?x{ti00;6?uQa89>56e=ik1v?7k:181[4>l27:?n4=9e9~w7?a2909wS<6f:?27f<51o1v?o>:181[4f927:?n4=a09~w7g42909wS::181[47=27:?n4=049~w7612909wS8:181[47?27:?n4=069~w76?2909wS4>{I03f>{K<8>1=v*;fc87?x"4l809=;5fae83>>i5j80;66gn9;29?g1=8391<7>t$2f2>`e<@=;:7A:>3;3x 42b2=l<7pg61;29?lgc2900c?l>:188yvg>2909wSo6;<59=4=z{;2j6=4={<596g77}Yim16;7ok;|a5=0=8391=7=tL530>4}O:9h0qA:>4;3x 1`e2=1v(>j>:30a?lgc2900c?l>:188md?=831i;7>53;294~"4l80no6F;109j=4<722cjh7>5;n0a5?6=3tyj57>52z\b=>;020;0q~<7a;296~;02;h:7S{zj8hj6=4::386I26;3;pD?>m;|N751<6s->mn7:4}%1g5?46?2cjh7>5;n0a5?6=3`k26=44i`c94?=ni:0;66l8:186>5<7s-9o=7kj;I625>of93:17do<:188m<7=831bmi4?::m1f4<722wi8<<50;394?6|,:n:6<:=;I625>i6<80;66s|a883>7}Yi016;77>;|qbe?6=:rTjm638:`38yv4?i3:1>v3;138204=:?3k87p}=8c83>7}:?38i=6P=b09~w7>d2909wSok;<59ea=zuk854;090~J39:0:wE5<42808wA:>3;3xL76e3t.8h<4=159jea<722e9n<4?::kb=?6=3k=1<7=50;2x 6b62li0D9?>;h;2>5<92wx>5o50;0x92<5j81U>o?4}r0;f?6=:rTjh638:`f8yxd3;=0;6?4?:1y'7a7=9=l0D9?>;h377?6=3f82=7>5;|qb=?6=:rTj5638:`;8yv4e93:1>vP=b09>3?4e92wx?4950;0xZ6?034>887?;3:p065=838p1:4nd:?771<5181vqoo7:187>4<4sE>:?7?tH32a?xJ39=03w):ib;68 1`>2=?97):i1;cg?!2a;38i=6*;eg8b=>h3mk0:7):i5;1:3>h3mm0;7p*4}O:9h0q)=k1;020>ofl3:17b2900n:4?:283>5}#;m;1in5G4038m<7=831bmi4?::m1f4<722wxm44?:3y]e<=:?33:7p}=8`83>7}:?38i=6P=b09~w7>e2909wSok;<59ea=zuzk26=4={_c:?81=i01v?l>:181[4e927<6?l>;|q0=2<72;qU?494=68b`>{zj<>1<7;52;7xH17428qC>=l4}M620?g|,=li695+4g;9004<,=l:6lj4$5d0>7d63->nj7o6;o6ff?7<,=l;6lo4n5g`>4=#4=z,:n:6??n;hc:>5<>i5j80;66g>d0290>6?4:{M627?7|@;:i7p*>of13:17don:188md5=831i;7>55;294~"4l80ni6F;109je4<722cj?7>5;h;2>5<:183!5c93;?>6F;109l517=831vl750;0xZd?<5>02=6s|a`83>7}Yih16;7o>;|q15l50;0x92<5j81U>o?4}r0;g?6=:rTjh638:`f8yxd34?:1y'7a7=:080D9?>;h370?6=3`;?97>5;n0:5?6=3tyj57>52z\b=>;02h30q~on:181[gf34>?n7?;5:p6g7=838pR?l>;<596g753z\0gg=:?3ko70:;b;370>{t<=k1<7;350z&0`4>of;3:17d7>:188mdb=831d>o?50;9~f175290:6=4?{%1g5?73:2B?=<5`15394?=z{h31<792wxml4?:3y]ed=:?3k:7p}=8`83>7}:<881=9?4=68b7>{t:1h1<7o?50;9je<<722cjm7>5;hc0>5<0;684?:1y'7a7=ml1C8:188yg26:3:1=7>50z&0`4<6<;1C85<0j=6s|29c94?4|5=;96<:>;<59e6=z{;2i6=4={<596g77}Yim16;7ok;|a5d>=8391=7=tL530>4}O:9h0qA:>4;3x 1`e2=1v(>j>:30a?lgc2900c?l>:188md?=831i;7>53;294~"4l80no6F;109j=4<722cjh7>5;n0a5?6=3tyj57>52z\b=>;020;0q~<7a;296~;02;h:7S{zj8on6=4<:080I26;3;pD?>m;|N751<6s->mn7:4}%1g5?45j2cjh7>5;n0a5?6=3`k26=44b683>6<729q/?i?5eb9K047>i5j80;66s|a883>7}Yi016;77>;|q14>{I03f>{K<8>1=v*;fc87?x"4l809>o5fae83>>i5j80;66gn9;29?g1=8391<7>t$2f2>`e<@=;:7d7>:188mdb=831d>o?50;9~wd?=838pRl74=68:5>{t:1k1<7uC40195~N58k1v@9?;:0y'0cd=<2w/?i?523`8mdb=831d>o?50;9je<<722h<6=4<:183!5c93oh7E:>1:k:5?6=3`ko6=44o3`2>5<;|q14>:2yO045=9rB94}#5<7s-9o=7kl;I625>o>93:17dok:188k7d62900q~o6:181[g>34=15<5rs3:b>5<5s4=1>o?4^3`2?xu50k0;6?uQae9>3?gc3twi?4750;796?3|D=;86:`f8 1`42;h:7):jf;c:?k2bj3;0(9h?:`c8j1cd281/8k;53858j1cc291v(>j>:33a?lg>2900elo50;9jea<722e9n<4?::k0=2<722h<6=4::386I26;3;pD?>m;|&0`4<59=1bmi4?::m1f4<722cj57>5;hcb>5<4d87b2=zah;1<75fa283>>o>93:17dok:188k7d62900qo:>2;295?6=8r.8h<4>439K047:6=44}rc:>5<5sWk27095909~wdg=838pRlo4=68b5>{t:1k1<742634=1m>5rs3:a>5<5s4=1>o?4^3`2?xu50j0;6?uQae9>3?gc3twi89750;194?6|,:n:6?7<;I625>o6<=0;66g>4483>>i5180;66s|a883>7}Yi016;7o6;|qbe?6=:rTjm63;488200=z{;h:6=4={_0a5>;02;h:7p}<9683>6}Y;0=01:4nd:?70<<6<=1v9:7:18181=ih1689752838yxd6i;0;684=:4yO045=9rB9d}#4=#"3n<085:5a4df94>{#;m;1>?>4i`;94?=nih0;66gnd;29?j4e93:17d=67;29?g1=83?1>7;tL530>4}O:9h0q)=k1;020>ofl3:17b2900elo50;9je6<722h<6=4::183!5c93on7E:>1:kb5?6=3`k86=44i8394?=nim0;66a=b083>>{e<881<7?50;2x 6b628>97E:>1:m204<722wxm44?:3y]e<=:?33:7p}na;296~Xfi27<6l?4}r0;e?6=:r7?=?4>409>3?g43ty94o4?:3y>3?4e92T9n<5rs3:`>5<5sWko7095ae9~yg2313:1?7>50z&0`4<51:1C85<>6=44o3;2>5<26<::;|q1f4<72;qU>o?4=681f4=z{:3<6=4<{_1:3>;02hn019:6:067?xu3<10;6?u27;cb?8231382=6srb54`>5<22:0=wA:>3;3xL76e3tF?=94n{%6ef?2<,=l269;=;%6e5?gc3->m?7;%6e4?gf3g>no7?4$5d5>6ee3g>ni7>4}%1g5?46k2cj57>5;hcb>5<4>{I03f>{#;m;1><:4i`f94?=h:k;1<75fa883>>ofi3:17do<:188f2<72<0;6=u+3e39a`=O<8;0el?50;9je6<722c2=7>5;hcg>5<:188yvg>2909wSo6;<59=4=z{hk1<75o50;0x917528>:7095a29~w7>e2909w0952c38Z7d63ty94n4?:3y]ea=:?3ko7psm45;94?4=83:p(>j>:06e?M2692c:8>4?::m1=4<722wi89l50;194?6|,:n:6?7<;I625>o6<=0;66g>4483>>i5180;66s|a883>7}Yi016;7o6;|qbe?6=:rTjm63;4c8200=z{;h:6=4={_0a5>;02;h:7p}6}Y;jh019:6:060?823j3;?86s|45c94?4|5>0jm63;4c81=4=z{=>36=4={<59ea=:<=31>4?4}|`2fc<72>0864u+3e395g`>o4100;66g>a483>>o6k90;66l>bb83>0<729q/?i?55c9K047?6=44i066>5<=6=44i064>5<N3981b=9:50;9j513=831d>4?50;9~f4db29086=4?{%1g5?323A>:=6g>4583>>o6<<0;66a=9083>>{t9kh1<77}Y0j16=om51578yv71=3:1>vP>649>5ge=9=>0q~;;:181[3334;ih7?;4:p776;<3a`?73=2wx=l;50;0xZ4g234;io7?;6:p5f6=838pR{t9kn1<77?634;ii7?;5:~f4d1290j6:4>0z&0`4<6j?1d=ll50;9j7a6=831b994?::k2fd<722c:m44?::k7a<<722c8oi4?::k2e=<722c8oh4?::k21c<722h:n84?:283>5}#;m;1985G4038m4232900e<:::188k7?62900qo?nd;290?6=8r.8h<4:9:J754=n9=>1<75f15794?=n9=<1<75`28394?=zj8kn6=4<:183!5c9382>6F;109j512=831b=9;50;9l6<7=831vn5<7s-9o=7;:;I625>o6<=0;66g>4483>>i5180;66sm1c394?5=83:p(>j>:478L1763`;?87>5;h371?6=3f82=7>5;|`2f6<72=0;6=u+3e391<=O<8;0e<:;:188m4222900e<:9:188k7?62900qo?m4;290?6=8r.8h<4=949K047?6=44i066>5<=6=44o3;2>5<52z\2eg=:9k>1>4?4}r1g4?6=:rT8h=521`d9512ae8201=z{8hj6=4={_3ae>;6j<0:885rs0c:>5<5sW;j563>ae8200=z{=o26=4={_6f=>;6im0:8;5rs2ag>5<5sW9hh63>b08201=z{8k36=4<{_3b<>;6j80:88521c1951352z\0g`=:9k91=984}r36b?6=:rT:9k521c1951252z?2f0<51816=lk51568yv7fl3:1>v3>ae81=4=:9ho1=9;4}r0;e?6=:r7:mh4=909>5d`=9=?0q~<7b;296~;6io095<521c6951252z?2f4<51816=o:51578yv7e:3:1>v3>b281=4=:9k>1=984}|`2bd<72h0<6<>t$2f2>4`f3f;nj7>5;h1g4?6=3`??6=44i0`b>5<5<5<5<1:k201<722c:884?::m1=4<722wi=k?50;694?6|,:n:68o4H532?l73<3:17d?;5;29?l73>3:17b<61;29?xd6n;0;6>4?:1y'7a7=:080D9?>;h370?6=3`;?97>5;n0:5?6=3th:j>4?:283>5}#;m;1985G4038m4232900e<:::188k7?62900qo?i5;297?6=8r.8h<4:5:J754=n9=>1<75f15794?=h:0;1<75rb0d4>5<3290;w)=k1;7:?M2692c:894?::k200<722c:8;4?::m1=4<722wi=k650;694?6|,:n:6?7:;I625>o6<=0;66g>4483>>o6>{t9ll1<7{t==0;6>uQ559>5c?=9=?01:066?xu6jh0;6?uQ1cc894`>28>?7p}>a883>7}Y9h301:065?xu3m00;6?uQ4d;894`628>?7p}7}Y;jn01uQ1dg894`228>>70?i7;371>{t;jo1<7{t9o:1<77?634;m>7?;4:p5c7=838p1:3;2?87a:3;?96s|29c94?4|58l96?7>;<3e7?73=2wx>5l50;0x94`42;3:70?i8;370>{t9o>1<77?634;m47?;5:p5c0=838p15<>2m0:9v*9d83>>o6i00;66g;9683>>o60>0;66g>o61j0;66l>8d83>6<729q/?i?5549K047?6=44i066>5<N3981b=9:50;9j513=831d>4?50;9~f4?629086=4?{%1g5?313A>:=6g>4583>>o6<<0;66a=9083>>{e9081<7=50;2x 6b62;397E:>1:k201<722c:884?::m1=4<722wi=4=50;194?6|,:n:6884H532?l73<3:17d?;5;29?j4>93:17pl>9583>6<729q/?i?52808L1763`;?87>5;h371?6=3f82=7>5;|`2=0<72:0;6=u+3e3910=O<8;0e<:;:188m4222900c?7>:188yg7>?3:1?7>50z&0`4<2=2B?=<5f15694?=n9=?1<75`28394?=zj8326=4<:183!5c93?<7E:>1:k201<722c:884?::m1=4<722wi=4o50;194?6|,:n:6?7=;I625>o6<=0;66g>4483>>i5180;66sm19c94?5=83:p(>j>:478L1763`;?87>5;h371?6=3f82=7>5;|`2:188yg7?l3:187>50z&0`4<51<1C85<>6=44i065>5<52z\0`5=:90?1=9:4}r77>5<2sW??70?7e;370>;61:0:89521859512<58326<:;;|q2=`<72:qU=4k4=0:f>42234;2;7?;5:p5d?=839pR459~w1?02908wS:67:?2869>5<7=9=?01<6l:066?xu4km0;6?uQ3bf894>f28>?7p}>9b83>7}Y90i01<6l:067?xu6000;6?u219g96<7<583?6<:;;|q2?7p}>9183>7}:90;1>4?4=0;1>4223ty94l4?:3y>5<4=:0;01<7<:066?xu50k0;6?u218196<7<583?6<::;|q1>7p}=8e83>7}:90?1>4?4=0:g>4233ty:5;4?:3y>5<1=:0;01<7n:067?xu6110;6?u218;96<7<583j6<::;|q1<`<72;q6=4o5283894>f28>>7p}=8g83>7}:91k1>4?4=0:g>4223ty:4n4?:3y>5=e=:0;01<6k:065?x{e9l31<775d;36!5c93;n56a>d783>>o4l90;66g:4;29?l7bi3:17d?n9;29?l2d?3:17d?k5;29?l5dl3:17d?6c;29?g7ck3:1?7>50z&0`4<2=2B?=<5f15694?=n9=?1<75`28394?=zj8no6=4<:183!5c93?=7E:>1:k201<722c:884?::m1=4<722wi=ih50;194?6|,:n:6884H532?l73<3:17d?;5;29?j4>93:17pl>e183>6<729q/?i?52808L1763`;?87>5;h371?6=3f82=7>5;|`2a4<72:0;6=u+3e3913=O<8;0e<:;:188m4222900c?7>:188yg7b:3:1?7>50z&0`4<51;1C85<>6=44o3;2>5<53;294~"4l80>96F;109j512=831b=9;50;9l6<7=831vn5<7s-9o=7;:;I625>o6<=0;66g>4483>>i5180;66sm1d594?5=83:p(>j>:458L1763`;?87>5;h371?6=3f82=7>5;|`2a=<72:0;6=u+3e396<4<@=;:7d?;4;29?l73=3:17b<61;29?xd6l10;6>4?:1y'7a7==<1C85<>6=44o3;2>5<53;294~"4l80>:6F;109j512=831b=9;50;9l6<7=831vn5<7s-9o=7<65:J754=n9=>1<75f15794?=n9=<1<75`28394?=z{8n=6=4={_3g2>;6lk095<5rs2f3>5<5sW9o<63>e28201=z{<>1<7;t^46894bd28>?70?j1;370>;6m<0:89521d5951253z\2ad=:9mi1=9;4=0g6>4223ty:m44?:2y]5d?<58no6<::;<3gb?73<2wx8n950;1xZ1e034;oh7?;4:?2a2<6<<1v449>5ag=9=?0q~=ld;296~X4km16=i651568yv7>k3:1>vP>9b9>5ag=9=>0q~?k7;296~;6lj095<521d0951252z?2`a<51816=h>51568yv7cm3:1>v3>dg81=4=:9l:1=9;4}r0;e?6=:r7:i=4=909>5`7=9=?0q~<7b;296~;6m8095<521d0951352z?2a7<51816=h=51578yv4?l3:1>v3>e281=4=:9mh1=9:4}r3f0?6=:r7:i84=909>5`>=9=>0q~?j6;296~;6m>095<521d:951352z?2a=<51816=i651578yv4?n3:1>v3>d981=4=:9mh1=9;4}r3ge?6=:r7:hl4=909>5ad=9=<0qpl>a683>7<729q/?i?515d8L1763`;??7>5;n0:5?6=3th?4n4?:383>5}#;m;1=9h4H532?l73;3:17b<61;29?xd41k0;694?:1y'7a7==01C85<>6=44i065>5<N3981b=9:50;9j513=831b=9850;9l6<7=831vn98j:180>5<7s-9o=7;:;I625>o6<=0;66g>4483>>i5180;66sm49`94?3=83:p(>j>:4f8L1763`;?87>5;h371?6=3`;?:7>5;h373?6=3f82=7>5;|`2g4<72=0;6=u+3e396<3<@=;:7d?;4;29?l73=3:17d?;6;29?j4>93:17pl>a`83>1<729q/?i?5589K047?6=44i066>5<=6=44o3;2>5<53;294~"4l8095?5G4038m4232900e<:::188k7?62900qo=6d;297?6=8r.8h<4=939K047?6=44i066>5<4g9K04786=44o3;2>5<52;294~"4l80:8k5G4038m4242900c?7>:188yvg5290:>vPn2:?0gfd?<583n6l74=0gb>d?<58k36l74=0gf>d?<582<6l74=0f6>d?<5=h1m45238;9e<=:9h81m45247a9e<=z{8<;6=4={_354>;3>l0:885rs0c6>5<3sW;j963>bg82e0=:9h>1=9:4=2;g>4233ty:9=4?:3y]506<58?m6lj4}r3;1?6=:rT:48521949ea=z{8k=6=4={_3b2>;6i>095<5rs57g>5<5sW>>h63;8c8201=z{1i1<79t^9a896ed2;:>70=69;1:3>;6i;085:5247a97fd<58hm65m4=0cb>4213ty:m54?:2y]5d><58k36?l>;<3a2?7f02wx84950;1xZ1?034;2n7:67:?2e5<6<:1v<8::185[71=278on4=079>5g`=9??01>7m:065?82?j3;?:63>a`8200=z{=o26=4:{_6f=>;6jh0jh63>b787a<=:9ok18h74=5:a>4203ty?o:4?:2y]0f1<58o269m8;<3fg?73;2wx=5950;1xZ4>034;3;71v5cg=9lo0q~=;f;296~X4vP;889>0=e=:0;0q~?n2;296~X6i;16=l<52c38yv7c=3:1?vP>d49>5a3=:k;01vP;b:?7f?4e92wx994?:`y]11=:;ji1>=:4=4696g7<58hm68:4=0`5>02<58lj68:4=0;a>02<58o268:4=0c4>4243492n7?;4:p03e=838pR98l;<65g?4e92wx?nh50;0x96ed2;k:70?l1;372>{t9ll1<7db<58lj6q6?nm52`1894d12:n;70?ia;1g4>;61k08h=521d;97a6<583o6<:;;<1:`?73=2wx85o50;0x91>d28>870:7b;0:5>{t9m<1<7db<58o265g`=9kh01?9l:060?xu4100;6;u23ba9651<5:326?l>;<3ab?5>127:m94>479>03c=9=>014?4}r36b?6=50`=:k;01j6s|1``94?4|58k36lj4=0`5>4ge3ty?8:4?:3y>7fe=:h?019l5ae9~wd>=838p1l652c38902=ih1v?9m:181840i392;63=7b81=4=z{83m6=4={<3:a?gc34;j<7<61:p5f6=838p1;<3a2?7ei27:jl4>b`9~w71f290?w0<8a;0a5>;2<39hn63>9d8be>;6mh0jm6s|18g94?4|583n6?l>;<3:f?7>m2wx=5650;0x94>02hn01<7m:0:;?xu6i00;68u21c495d?<58lj6a89>5dg=:0;0q~?6c;297~;61k0:5n521d;95;|q72a<72;q68;m5a`9>03c=:0;0q~?76;296~;60?09n<521`6951352z?2e7;<3f=?7bi2wx?4m50;0x96?e28>>70=6d;0:5>{t;jn1<76t=2a`>7?c34k36>78;<3a2?5dl27:jl459e8200=z{:in6=4;{<1`g?4>n27:n;45cg=;jo01:066?x{e<2w/?i?51718^6?728q947s`17294?=nl1<75`14294?=nko0;66g;be83>>i60;0;66g65;29?l7f03:17d7=:188k1?02900e:188m=4=831d8n950;9j5`b=831b=hk50;9j03d=831d85850;9l0=b=831d8o4?::m7`=<722h:o?4?:e82>a}K<891=vF=0c9~H17328q/8kl53:'7a7=9:l0el750;9l64h50;9l6d7=831d>l=50;9l6d3=831d>l950;9l6d>=831b>=:50;9j653=831b>=850;9j651=831b>=650;9a56e=83n1n7?>{M627?7|@;:i7p*1<75f21794?=n:9<1<75f21594?=n:921<75fac83>>i51m0;66a=9g83>>i5i80;66a=a283>>i5i<0;66a=a683>>i5i10;66li1;297?7=;rF?=>4>{I03f>{#;m;1j=5fae83>>i5j80;66gn9;29?g1=8391<7>t$2f2>`e<@=;:7A:>3;3x 42b2=l<7pg61;29?lgc2900c?l>:188yvg>2909wSo6;<59=4=z{;2j6=4={<596g77}Yim16;7ok;|ab2<72:0:6>uC40195~N58k1v(>j>:g28mdb=831d>o?50;9je<<722h<6=4<:183!5c93oh7E:>1:N756<6s-;?i7:i7:j=4<722cjh7>5;n0a5?6=3tyj57>52z\b=>;020;0q~<7a;296~;02;h:7S{zj8:96=4<:080I26;3;pD?>m;|&0`45;n0a5?6=3`k26=44b683>6<729q/?i?5eb9K04752z\b`>;02hn0qpl>0883>6<62:qG8<=51zJ14g=z,:n:6k>4i`f94?=h:k;1<75fa883>>d029086=4?{%1g5?cd3A>:=6B;1282!73m3>m;6sf9083>>ofl3:17bvPn9:?4><752z?4>7d63W8i=6s|29`94?4|Vhn01:4nd:~f46f29086<4<{M627?7|@;:i7p*ofl3:17b2900n:4?:283>5}#;m;1in5G4038H17428q/=9k54g58yl?62900elj50;9l6g7=831vl750;0xZd?<5>02=6s|29c94?4|5>09n<5Q2c38yv4?j3:1>vPnd:?4>db6}K<891=vF=0c9~ 6b62o:0elj50;9l6g7=831bm44?::`4>5<4290;w)=k1;g`?M2692F?=>4>{%37a?2a?2wb5<4?::kb`?6=3f8i=7>5;|qb=?6=:rTj5638:838yv4?i3:1>v38:3`2?[4e92wx>5l50;0xZdb<5>0jh6srb030>5<42808wA:>3;3xL76e3t.8h<4i0:kb`?6=3f8i=7>5;hc:>5<0;6>4?:1y'7a7=mj1C84}#9=o18ko4}h;2>5<92wx>5o50;0x92<5j81U>o?4}r0;f?6=:rTjh638:`f8yxd6880;684<:6y'7a7=99;0e?o::188m7622900e?>8:188m76?2900ck650;9aba<72=0;6=u+3e391<=O<8;0e<:;:188m4222900e<:9:188k7?62900qohn:187>5<7s-9o=7;6;I625>o6<=0;66g>4483>>o6>{enj0;6>4?:1y'7a7=:080D9?>;h370?6=3`;?97>5;n0:5?6=3ty9m84?:2y]6d3<5on1=984=gc951053z\140=:nm0:8952f`8201=z{;:<6=4={_033>;al3;?96s|21:94?4|V;:370hn:066?xua03:1>vPi8:?eg?4>92wxj44?:3y>ba<51816jn4>459~wcg=838p1ko528389ce=9=?0qpl>0983>3<421q/?i?511:8m7g22900e?>8:188m76?2900e?>::188m7g42900c<><:188f460290?6=4?{%1g5?3f3A>:=6g>4583>>o6<<0;66g>4783>>i5180;66sm11794?5=83:p(>j>:478L1763`;?87>5;h371?6=3f82=7>5;|`243<72=0;6=u+3e396<3<@=;:7d?;4;29?l73=3:17d?;6;29?j4>93:17p}=a483>6}Y:h?01<>8:065?877=3;?86s|21594?4|V;:<70??7;371>{t:921<770??5;371>{t:h91<73;?:6s|11194?4|V8:870??6;0:5>{t99>1<77?634;;:7?;4:p553=838p1<>::3;2?877>3;?96srb003>5<12=02w)=k1;314>o51m0;66g=0783>>o58=0;66g=a983>>o58<0;66a>1583>>d69m0;6>4?:1y'7a7==?1C85<>6=44o3;2>5<53;294~"4l80>:6F;109j512=831b=9;50;9l6<7=831vn5<7s-9o=7;:;I625>o6<=0;66g>4483>>i5180;66sm10a94?3=83:p(>j>:3;4?M2692c:894?::k200<722c:8;4?::k202<722e95<4?::p6pR?7k;<32`?73=27:=;4>449>54g=9=?0q~vP=059>540=9=>0q~vP=049>54g=9=>0q~?>4;296~X69=16=v3>1e81=4=:98i1=9:4}r322?6=:r7:=;4=909>54e=9=?0q~?>9;296~;69h095<5210a95115}#;m;19n5G4038m4232900e<:::188m4212900e<:8:188k7?62900q~52168947528>=7p}=0483>0}Y:9?01<>>:326?877038;963>218140=:9881=9:4}r032?6=;rT9<;521329650<58;96<:8;|q142<72:qU>=94=022>76034;;47=839pR?>7;<335?47027:<54=099~wdd=832pRll4=g39e<=:n>0j563>038b=>;6800j563>0`8b=>;6990j563>128b=>{t:0n1<7:t^3;g?876;38i=63>2181=a=:9881=9;4}r0:b?6=;rT95k5211c9ea=:98:1>o?4}r0b5?6=;rT9m<5211;9ea=:99k1>o?4}r0b7?6=;rT9m>5211;96g7<58:36?o<;|q1e0<72=qU>l;4=021>7d634;;=77d63ty9m54?:2y]6d><5o;1>o?4=003>7g?3tym47>52z?e3?gc34;;=7h7;|q246<72;q6==<5ae9>55>=9990q~?>1;296~;6990jh63>1381=4=z{8;?6=4={<327?gc34;9<7?>4:~wd?=838pRl74=01`>dd52z\1=a=:9:i1>4j4}r0:b?6=:rT95k5212a96<`52z\1e4=:9:i1>l?4}r0b7?6=:rT9m>5212a96d552z\1e0=:9:i1>l;4}r0b3?6=:rT9m:5212a96d152z\1e==:9:i1>l64}r030?6=:rT9<95212a965252z\140=:9:i1>=;4}r032?6=:rT9<;5212a965052z\142=:9:i1>=94}r0353;397~J39:0:wE5;c594?5=83:p(>j>:da8L1763`3:6=44i`f94?=h:k;1<75rs`;94?4|Vh301:461:p6=g=838p1:4=b09]6g752z\b`>;02hn0qpl>b683>6<62:qG8<=51zJ14g=zD=;?6{#;m;1>?l4i`f94?=h:k;1<75fa883>>d029086=4?{%1g5?cd3A>:=6g61;29?lgc2900c?l>:188yvg>2909wSo6;<59=4=z{;2j6=4={<596g77}Yim16;7ok;|a5cd=8391=7=tL530>4}O:9h0qA:>4;3x 1`e2:1v(>j>:30a?lgc2900c?l>:188md?=831i;7>53;294~"4l80no6F;109j=4<722cjh7>5;n0a5?6=3tyj57>52z\b=>;020;0q~<7a;296~;02;h:7S{zj:o;6=4<:387I26;3;pD?>m;|N751<6s->mn7=4}%1g5?4402cjh7>5;n0a5?6=3`k26=44b683>6<729q/?i?5eb9K047>i5j80;66smab83>7<729q/?i?515d8L1763`;??7>5;n0:5?6=3tyj57>52z\b=>;fk3;??6s|29c94?4|5>02=63nc;0:5>{t:1h1<7;h;2>5<m7E:>1:k206<722e95<4?::pe<<72;qUm452ab8206=z{;2j6=4={<59=4=:ij095<5rs3:a>5<5s4=1>o?4^3`2?xu50j0;6?uQae9>3?gc3twih?4?:681>g}K<891=vF=0c9~H17321q/8kl53:&7b<<3=91/88=54gf8 1`42;h:7):jf;c:?k2bj3:0(9h::2;4?k2bl3;0q)=k1;025>\>83;p>o4rZ2;3>7}5l39:6pg<9983>>of13:17d=67;29?j4e?3:1(?oi:3`5?k4fm3:07b4}O:9h0qA:>4;5x 1`>2=?97):i1;cg?!2a;38i=6*;eg8b=>h3mk0;7):i5;1:3>h3mm0:7p*5;h1:3?6=3f8i;7>5$3ce>7d13g8ji7>4;n0a1?6=,;km6?l9;o0ba?7<3f8i87>5$3ce>7d13g8ji7<4;n0a6?6=,;km6?l9;o0ba?5<3`h86=4+2`d9f7=i:ho1<65fb083>!4fn3h97clh5b39m6dc=:21bmh4?:%0bb?d53g8ji7=4;c594?3=<32p@9?<:0yK65d:877t$5d:>1353->m=7ok;%6e7?4e92.?ik4n9:l7ag<73->m97=67:l7aa<63->m:7=lb:l7a`<63t.8h<4=1d9je<<722cjh7>5;n0a5?6=3`9hn7>5;h1:3?6=3k=1<7=51;1xH17428qC>=l4}%1g5?46<2cjh7>5;n0a5?6=3`k26=44b683>6<729q/?i?5eb9K047>i5j80;66s|a883>7}Yi016;77>;|q11<75f15794?=h:0;1<75rb56f>5<4290;w)=k1;0:6>N3981b=9:50;9j513=831d>4?50;9~f<<72;0;6=u+3e3951`<@=;:7d?;3;29?j4>93:17p}n9;296~Xf12726<:<;|qb`?6=:rTjh63;4d8200=z{;h:6=4={_0a5>;02;h:7p}7}Y;jh019:j:067?xu41>0;6?uQ3858915328>?7p}7:18181=i01657<61:p01b=838p19=;:066?823m382=6s|42194?4|5>0jh63;3581=4=zukk1<7:53;4xH17428qC>=l4}M620?1|,=l269;=;%6e5?gc3->m?7?2d?ii4>;|&0`4<59o1bm44?::kb`?6=3f8i=7>5;h1:3?6=3k=1<7=51;1xH17428qC>=l4}%1g5?46<2cjh7>5;n0a5?6=3`k26=44b683>6<729q/?i?5eb9K047>i5j80;66s|a883>7}Yi016;77>;|q11<75f15794?=h:0;1<75rb883>7<729q/?i?515d8L1763`;??7>5;n0:5?6=3tyj57>52z\b=>;>28>87p}nd;296~Xfl27??94>449~w7d62909wS7d63ty85:4?:3y]7<1<5=9?6<:;;|q;>5<5s4=1m4529;0:5>{t<:91<7;3;=095<5r}c36>5<32:0=wA:>3;3xL76e3tF?=948{%6e=?22:2.?j<4nd:&7b6<5j81/8hh5a89m0`d=82.?j84<969m0`b=92w/?i?520d8md?=831bmi4?::m1f4<722c85:4?::`4>5<42808wA:>3;3xL76e3t.8h<4=159jea<722e9n<4?::kb=?6=3k=1<7=50;2x 6b62li0D9?>;h;2>5<92wx>5o50;0x92<5j81U>o?4}r0;f?6=:rTjh638:`f8yxd3;=0;6>4?:1y'7a7==?1C85<>6=44o3;2>5<m7E:>1:k206<722e95<4?::pe<<72;qUm4529;377>{tim0;6?uQae9>062=9=?0q~78;<600?73<2wx47>52z?4>d?<50095<5rs510>5<5s4=1mi5242696<754;192~J39:0:wEo?50;9j7<1=831i;7>53;397~J39:0:wE0elj50;9l6g7=831bm44?::`4>5<4290;w)=k1;g`?M2692c2=7>5;hcg>5<5<5sWk27095909~w7>f2909w0952c38Z7d63ty94o4?:3y]ea=:?3ko7psm42694?5=83:p(>j>:448L1763`;?87>5;h371?6=3f82=7>5;|`:>5<5290;w)=k1;37b>N3981b=9=50;9l6<7=831vl750;0xZd?<500:8>5rs`f94?4|Vhn019=;:066?xu5j80;6?uQ2c3892<5j81v>78:181[5>?27??94>459~w=<72;q6;7o6;<;96<78?7>52z?4>db<5=9?6?7>;|a6g?=8391<7>t$2f2>7?53A>:=6g>4583>>o6<<0;66a=9083>>{t;021<7=t^2;;?81=;jh01?l6:066?xuf13:19vPn9:?4>d?<5h0j563>5;c:?8432h30q~=67;297~X41>16;7=67:?1f<<6<=1v?l7:1878g=;0=01<;53858972=;0=01?l6:3;2?xu5j>0;6?uQ2c58972=:k;0(>7=:3`5?k5>93:0q~7>:09~w7d32909wS7d63-92>709n<5+38096g05rsc194?4|Vk901?:5ae9'7<4=j;1e?4?50:pf4<72;qUn<52148b`>"41;0i>6`<9082?xue83:1>vPm0:?b>db<,:396o<4n2;2>7=z{ho1<7:j50;:92?g|D=;8652z0f>71=uf9347>5$3ce>6>03g8ji7>4;n1;2?6=,;km6>68;o0ba?7<3f9397>5$3ce>6>03g8ji7<4;n1;4?6=,;km6>68;o0ba?5<3`hm6=4+2`d9f`=i:ho1<65fbe83>!4fn3hn7clh5bd9m6dc=:21bn:4?:%0bb?db3g8ji7=4;c0;5?6=;3;1?vB;1282M47j2w/?i?522;8mg2=831bn84?::m03c<722h?=i4?:280>0}#;m;18>d39h0;6>4?:1y'7a7==?1C85<>6=44o3;2>5<:n7>53;294~"4l80>:6F;109j512=831b=9;50;9l6<7=831vn9?l:180>5<7s-9o=7<62:J754=n9=>1<75f15794?=h:0;1<75rsc694?5|Vk>019?n:066?826j3;?86s|b483>6}Yj<168>7p}<7g83>7}Y;>l019?l:3;2?xu3900;6?u240c96<7<5=;h6<:;;|q75d<72;q68>7ps|b583>7}Yj=168g352z\03c=:<8n1?:h4}|`1<7<72:0:6>uC40195~N58k1v(>j>:31:?ld32900eo;50;9l72`=831i85<;h370?6=3`;?97>5;n0:5?6=3th?=o4?:283>5}#;m;19;5G4038m4232900e<:::188k7?62900qo:>c;297?6=8r.8h<4=939K047?6=44i066>5<5<4sWh?70:>a;371>;39k0:895rsc794?5|Vk?019?n:067?826j3;?96s|36d94?4|V:=m70:>c;0:5>{t<831<77?634>:o7?;4:p04g=838p19?m:3;2?826k3;?96srsc694?4|Vk>019?k:c68yvd22909wSl:;<62`?d23ty8;k4?:3y]72`<5=;o6>9i;|a6=5=8391=7=tL530>4}O:9h0q)=k1;00=>oe<3:17dl::188k61a2900n9?k:180>6<2s-9o=7:>d:ka0?6=3`h>6=44o25e>5<1:k201<722c:884?::m1=4<722wi893:17pl;1b83>6<729q/?i?52808L1763`;?87>5;h371?6=3f82=7>5;|qa0?6=;rTi863;1`8200=:<8h1=9:4}r`6>5<4sWh>70:>a;370>;39k0:885rs25e>5<5sW9927?=n4>459~w17f2909w0:>b;0:5>;39j0:885r}r`7>5<5sWh?70:>d;`7?xue=3:1>vPm5:?75a:h7=8f:~f15329086=4?{%1g5?323A>:=6g>4583>>o6<<0;66a=9083>>{e<:<1<7=50;2x 6b62;h370?6=3`;?97>5;n0:5?6=3th84k4?:383>5}#;m;1=9h4H532?l73;3:17b<61;29?xu3;:0;6>u22909f1=:<:>1>4?4=515>4223ty??84?:3y>6=5=j=168>852838yv5?03:1>vP<899>6=5=;>l0(>7=:2:4?k5>93:0q~=76;296~X40?16>5<536d8 6?52:2<7c=61;38yv5?=3:1>vP<849>6=7=;>l0(>7=:2:4?k5>9380q~=70;296~X40916?5h52838 6?52:2<7c=61;18yvda2909wSli;<0;7?d23-92>7lj;o1:5?670:<6;370>"41;0ii6`<9082?xuek3:1?vPmc:?1<4459'7<4=jl1e?4?52:pf2<72=qUn:522939f1=:<:>1=9;4=2:e>4243-92>7lj;o1:5?5<5>08555rs`;94?4|Vh301:4n9:p7<1=838pR>78;<597<153z\1f2=:?38i;63=7e8ab>"41;09n;5a38394>{t:k?1<7=t^3`6?81=:k?01?9k:cf8 6?52;h=7c=61;38yv4e<3:1?vP=b59>3?4e<279;i4mc:&0=7<5j?1e?4?52:p6g4=839pR?l=;<596g4<5;=o6o94$2;1>7d13g92=7=4}r611?6=:r7<6o=4=35g>6>?3-92>7:=4:l0=4<73ty?>>4?:3y>3?d6348:j53928 6?52=8?7c=61;18yxd3l10;684<:7yO045=9rB9d}#4=#"3n<085:5a4df95>{#;m;1>??4i`;94?=nih0;66gnd;29?j4e93:17d=67;29?g1=83?1>7;tL530>4}O:9h0q)=k1;020>ofl3:17b2900elo50;9je6<722h<6=4::183!5c93on7E:>1:kb5?6=3`k86=44i8394?=nim0;66a=b083>>{e<881<7?50;2x 6b628>97E:>1:m204<722wxm44?:3y]e<=:?33:7p}na;296~Xfi27<6l?4}r0;e?6=:r7?=?4>409>3?g43ty94o4?:3y>3?4e92T9n<5rs3:`>5<5sWko7095ae9~yg24<3:1>7>50z&0`4<65<:188yvg>2909wSo6;<59e<=z{hk1<728>?7p}=b083>7}Y:k;01:4=b09~w6?02908wS=67:?771<6<:1689751578yv24;3:1>v38:`f891532;3:7p};4983>7}:?3kj70:;9;0:5>{zj8296=4;:386I26;3;pD?>m;|N751<6s->mn7=4}%1g5?45:2cjh7>5;n0a5?6=3`k26=44i9394?=e?3:187>50z&0`4>o>93:17dok:188k7d62900qool:181>5<7s-9o=7?;f:J754=n9=91<75`28394?=z{h31<762909wS6>;<59e6=z{;2i6=4={<596g77}Yim16;7ok;|a536=83>1>7;tL530>4}O:9h0qA:>4;3x 1`e2:1v(>j>:301?lgc2900c?l>:188md?=831b4<4?::`4>5<3290;w)=k1;gg?M2692cj?7>5;h;2>5<m7E:>1:k206<722e95<4?::pe<<72;qUm452ab8206=z{;2j6=4={<59=4=:ij095<5rs9394?4|V1;01:4n3:p6=d=838p1:4=b09]6g752z\b`>;02hn0qpl>c283>6<62:qG8<=51zJ14g=zD=;?6{#;m;1>?l4i`f94?=h:k;1<75fa883>>d029086=4?{%1g5?cd3A>:=6g61;29?lgc2900c?l>:188yvg>2909wSo6;<59=4=z{;2j6=4={<596g77}Yim16;7ok;|a506=8391=7=tL530>4}O:9h0qA:>4;3x 1`e2:1v(>j>:30a?lgc2900c?l>:188md?=831i;7>53;294~"4l80no6F;109j=4<722cjh7>5;n0a5?6=3tyj57>52z\b=>;020;0q~<7a;296~;02;h:7S{zj=h1<7=51;1xH17428qC>=l4}M620?7|,=li6>5r$2f2>74e3`ko6=44o3`2>5<;_0a5>{t:1h1<77<3sE>:?7?tH32a?xJ39=0:w):ib;18y!5c938846gnd;29?j4e93:17do6:188f2<72:0;6=u+3e39af=O<8;0e4?50;9jea<722e9n<4?::aef<72;0;6=u+3e3951`<@=;:7d?;3;29?j4>93:17p}n9;296~Xf127jo7?;3:p6=g=838p1:461:?bg?4>92wx>5l50;0x92<5j81U>o?4}r0;g?6=:rTjh638:`f8yxdd>3:1?7?53zN756<6sA8;n6sC40695~"3nk087p*>of13:17o950;194?6|,:n:6hm4H532?I26;3;p(<:j:5d4?xo>93:17dok:188k7d62900q~o6:181[g>34=15<5rs3:b>5<5s4=1>o?4^3`2?xu50k0;6?uQae9>3?gc3twi8;<50;196?2|D=;86N3981b5<4?::kb`?6=3f8i=7>5;|`bg?6=:3:134kh6<:<;|q1;7?63ty94o4?:3y>3?4e92T9n<5rs3:`>5<5sWko7095ae9~yg2>?3:1?7<54zN756<6sA8;n6sC40695~"3nk087p*>of13:17o950;194?6|,:n:6hm4H532?l?62900elj50;9l6g7=831vnlm50;094?6|,:n:6<:i;I625>o6<:0;66a=9083>>{ti00;6?uQa89>ef<6<:1v?6n:18181=1816mn4=909~w7>e2909w0952c38Z7d63ty94n4?:3y]ea=:?3ko7psm4b594?5=:3>p@9?<:0yK65d:87?t$5da>6=z,:n:6?=7;hcg>5<50z&0`4>ofl3:17b7>50z&0`4<65<5<5sWk270ol:060?xu50h0;6?u27;;2?8gd2;3:7p}=8c83>7}:?38i=6P=b09~w7>d2909wSok;<59ea=zuk;hn7>56;19<~"4l80:oo5`1b494?=n9jo1<75f1b694?=n9m>1<75f1ba94?=n9j91<75m1bc94?5=83:p(>j>:448L1763`;?87>5;h371?6=3f82=7>5;|`2g=<72:0;6=u+3e3913=O<8;0e<:;:188m4222900c?7>:188yg7d13:187>50z&0`4<51<1C85<>6=44i065>5<52z\2g`=:9jk1=9;4}r3`0?6=:rT:o9521bc951252z\2`1=:9j31=9;4}r3`g?6=:rT:on521b:951252z\2g6=:9j21=9;4}r3`3?6=:r7:ol4=909>5f?=9=>0q~?l8;296~;6k1095<521b;9510a}#;m;1=8m4o076>5<5<5<5<5<5<4290;w)=k1;76?M2692c:894?::k200<722e95<4?::a501=8391<7>t$2f2>00<@=;:7d?;4;29?l73=3:17b<61;29?xd6=00;6>4?:1y'7a7==?1C85<>6=44o3;2>5<m7>57;294~"4l8095l5G4038m4232900e<:::188m4212900e<:8:188m42?2900e<:6:188k7?62900q~?:5;296~X6=<16=8o52838yv7dm3:1>vP>cd9>50d=9=>0q~?:1;296~X6=816=8l51578yv7dn3:1>vP>cg9>50g=9=?0q~?k0;296~X6l916=8o51548yv7c93:1>vP>d09>50g=9==0q~?k2;296~X6l;16=8951578yv72;3:1>vP>529>501=9=>0q~?lc;296~X6kj16=8751568yv7283:1>vP>519>50?=9=?0q~?:6;296~;6=k095<5214c9512;7>52z?212<51816=8o515:8yv7203:1>v3>5881=4=:9i3m3:17d?le;29?l7293:17d?lf;29?l7c83:17d?k1;29?l7c:3:17d?lc;29?l2e2900n8<50;194?6|,:n:68;4H532?l73<3:17d?;5;29?j4>93:17pl:0;297?6=8r.8h<4:6:J754=n9=>1<75f15794?=h:0;1<75rb4394?1=83:p(>j>:3;b?M2692c:894?::k200<722c:8;4?::k202<722c:854?::k20<<722e95<4?::p0`<72;qU8h525081=4=z{8in6=4={_3`a>;2:3;?86s|14394?4|V8?:70;=:066?xu6ko0;6?uQ1bd8907=9=?0q~?k0;296~X6l9169<4>479~w4b62909wS?k1:?65?73?2wx=i<50;0xZ4b534?:6<:7;|q2gf<72;qU=nm4=429512i6=4={_6a?83728>>7p};f;296~;2:382=63:1;370>{t=90;6?u25181=4=:=80:845r}c6:6?6=:3:15<7s-9o=7;9;I625>o6<=0;66g>4483>>i5180;66sm1c;94?5=83:p(>j>:3;1?M2692c:894?::k200<722e95<4?::a`<<72:0;6=u+3e3913=O<8;0e<:;:188m4222900c?7>:188ygbe29086=4?{%1g5?313A>:=6g>4583>>o6<<0;66a=9083>>{e;h370?6=3`;?97>5;h372?6=3f82=7>5;|`2<1<72=0;6=u+3e396<0<@=;:7d?;4;29?l73=3:17d?;6;29?j4>93:17pl;8983>6<729q/?i?52808L1763`;?87>5;h371?6=3f82=7>5;|``g?6=;3:1:188ygc3290>6=4?{%1g5?3b3A>:=6g>4583>>o6<<0;66g>4783>>o6<>0;66a=9083>>{elm0;684?:1y'7a7==o1C85<>6=44i065>5<<6=44o3;2>5<1:k201<722c:884?::k203<722c:8:4?::m1=4<722wi8ih50;794?6|,:n:68j4H532?l73<3:17d?;5;29?l73>3:17d?;7;29?j4>93:17pl;8g83>6<729q/?i?5579K047?6=44i066>5<:188yg2>93:1?7>50z&0`4<2=2B?=<5f15694?=n9=?1<75`28394?=zjl;1<7=50;2x 6b62;397E:>1:k201<722c:884?::m1=4<722wi=n;50;694?6|,:n:6874H532?l73<3:17d?;5;29?l73>3:17b<61;29?xd6km0;654?:1y'7a7=:0h0D9?>;h370?6=3`;?97>5;h372?6=3`;?;7>5;h375;h37e?6=3f82=7>5;|`217<72:0;6=u+3e3910=O<8;0e<:;:188m4222900c?7>:188yg72m3:1?7>50z&0`4<51;1C85<>6=44o3;2>5<87>53;294~"4l80>:6F;109j512=831b=9;50;9l6<7=831vnn650;694?6|,:n:6874H532?l73<3:17d?;5;29?l73>3:17b<61;29?xddm3:197>50z&0`4<51>1C85<>6=44i065>5<<6=44o3;2>5<7E:>1:k201<722c:884?::m1=4<722wi8;:50;694?6|,:n:6874H532?l73<3:17d?;5;29?l73>3:17b<61;29?xd3>10;6;4?:1y'7a7=:030D9?>;h370?6=3`;?97>5;h372?6=3`;?;7>5;h375;|`7=a<72=0;6=u+3e391<=O<8;0e<:;:188m4222900e<:9:188k7?62900qo:6f;297?6=8r.8h<4:6:J754=n9=>1<75f15794?=h:0;1<75rb5c6>5<1290;w)=k1;0:=>N3981b=9:50;9j513=831b=9850;9j511=831b=9650;9l6<7=831vn9o>:180>5<7s-9o=7;:;I625>o6<=0;66g>4483>>i5180;66sm4bf94?5=83:p(>j>:448L1763`;?87>5;h371?6=3f82=7>5;|`7`6<72?0;6=u+3e39693:17pl;cg83>6<729q/?i?5549K047?6=44i066>5<4g9K04786=44o3;2>5<7>52;294~"4l80:8k5G4038m4242900c?7>:188yg21>3:1>7>50z&0`4<65<4g9K04786=44o3;2>5<54z\225=:9j81>=64=043>7d634;>i7?;5:p0`4=838pR9k=;<1f6?73;2wx8;<50;6xZ10534;3>76>;<354?>634>=>750;6xZ43734;><7db<5mh1=9:4}r6a`?6=:rT?ni5219695127>54z\2<7=:9j81>=94=0:1>7d634;>i7?;4:p=0<721qU5852978b`>;4m90j563j7;c:?8b52h3019j7:`;894>52h301<8?:`;8yv7f03:1>vP>a99>5g1=im1v4<50;fxZ<4<58i96l74=849e<=:9k=1m4521g`9e<=:9j91m4521429e<=:;d>3k270:92;c:?82>?3k270:l7;c:?xu31>0;6>uQ485891?02;h:70:6f;370>{t9h;1<70}Y0;16=n<5214891?628>?70?l5;371>;6=;0:885rs5a4>5<4sW>h;63;c681f4=:52z\2a`=:9oh1mi5rs54a>5<5sW>=n63>638206=z{=2=6=4={_6;2>;30?09n<5rs5:g>5<5sW>3h63;9381=4=z{=h1<7=t^5`891d=:k;018=54c9~w1b?2908wS:k8:?7`=<5j816i84>459~w`5=838p1h;515789`2=:0;0q~79:18487d:38;96366;0a5>;6k<0:89521469512<5j21=9:4=547>42334>2h7?;4:p5g1=839p14?4=bc951352z?2<7;3180:885rs2g3>5<5s49n<7927?ok4>449~wf0=839p1n852c3891?328>?70mn:067?xu3kj0;6?u24bf96<7<5=n86<::;|q7g`<72;q68nh5283891b628>87p}j7;296~;b?38i=63kb;371>{t97?634;>87?;5:p`=<72;q6h?4<969>`<<5181vio50;1x9a4=;0201i7515689ad=:0;0q~k?:1818b>28>>70k>:3;2?xu3>>0;6?u247:96<7<5=<=6<:<;|q7`f<72;q68i65385891bc2;3:7p}>6083>7}:9?:1mi5217096<72o7>53z?7=a<518168l;5156891b428>?7p};9d83>7}:<0l1>4?4=5c6>4223ty?m=4?:3y>0d7=:0;019o<:060?xu30>0;6?u24949ea=:<121>4?4}r3ef?6=;r7:jo4=b09>5g?=9=?01n651578yvc52909w0k::3;2?87?<3;?:6s|1c:94?0|58i96?>;;<3a=?4>927?4k4>459>5f3=9=<01<;=:067?821<3;?96s|c683>7}:kj0:8952c981=4=z{j31<74?4}r3`2?6=:r7:o>4nd:?2gg<6k?1v96j:18182?n382=63;958200=z{=3;6=4={<6:6?73;27?5<4=909~w4ed290?w0?lb;3`g>;6=j0:on525282gf=:9jn1>4?4}r1f5?6=:r78i=4nd:?0a7<5181v<;::18187283ko70?:c;361>{t;2;3>n7p}kc;297~;cl382=63j1;370>;3m80:8>5rseg94?4|5ml1>4?4=d3951358z?2g7<51m16=nl51bg8943d28in70;<:0af?87dl3;?863l8;372>;3>=0:8;5248f951058z?2g7<51o16=8m51bd8905=9jl01?70:98;371>;31o0:88524bf951358z?2g7<5i816=8m51e28905=9m:01>70:98;372>;3i<0:8;524e1951059z?2g7<5i:16=8m51e38905=9m;01967:067?87dl3;?;63le;372>;3>10:8:524`79511<5=n86<:8;|q2`7<720q6=n<52`78943d28n970;<:0f1?82?03;?963>ce820==:kl0:8:5247:951><5=k>6<:7;<6g7?7302wx=i=50;0x94e52;k<70?ld;37=>{t9m>1<7=t=0a1>7g?34;hn7?k4:?2ga<6{tdb<5=n:6?7>;|q7a5<72;q68i65a`9>0`7=:0;0q~:n4;296~;3i<095<524`39513j>7>52z?7=24=909~w4e32909w0?lb;3`0>;6k<095<5rs0a0>5<4s4;h?75b8214=:=:0:9<5214096<7?7>52z?21f<6=:16=8:52838yv2cm3:1>v3;de8201=:4?4}rf4>5<2s4n96?l8;42034no6<:8;42034>oj7?;5:&0=72d85<4?;|qg1?6==r7o>727oh7?;6:?gb?73=27?hk4>469'7<4=l?1e?4?51:p`1<72a1<6<<16hi4>449>`c<6<=168ih51568 6?52m<0b>7>:39~wa5=83?p1i<52c089`2=9=>01ij515689a`=9=<019ji:065?!5>:3n=7c=61;18yxd3m;|N751<5sg>mo76=;%6ef?05;n145?6=3`2h6=44o552>5<>i5jh0;66g=d483>>d6nj0;654>:9yO045=9rB94}#1<75f21794?=e9:i1<7659;axH17428qC>=l4}%1g5?7ak2c9<94?::k140<722cjn7>5;n0:`?6=3f82j7>5;n0b5?6=3f8j?7>5;n0b1?6=3kl:6=4<:080I26;3;pD?>m;|&0`45;n0a5?6=3`k26=44b683>6<729q/?i?5eb9K04752z\b`>;02hn0qpli7;297?7=;rF?=>4>{I03f>{#;m;1j=5fae83>>i5j80;66gn9;29?g1=8391<7>t$2f2>`e<@=;:7A:>3;3x 42b2=l<7pg61;29?lgc2900c?l>:188yvg>2909wSo6;<59=4=z{;2j6=4={<596g77}Yim16;7ok;|ab3<72<096;u+3e39b3=nn80;66g=0583>>o58<0;66gi7;29?j`52900nk;50;694?6|,:n:6864H532?l73<3:17d?;5;29?l73>3:17b<61;29?xda<3:1?7>50z&0`4<51;1C85<>6=44o3;2>5<?7p}=0583>7}Y:9>01k;51568yv47=3:1>vP=049>b0<6<<1vk950;0xZc1<5o?1=984}rd1>5<5sWl970h;:3;2?xua;3:1>v3i5;0:5>;a<3;?96srb022>5<32:0=w)=k1;335>o58=0;66gi7;29?l`62900ck650;9aba<72:0;6=u+3e3913=O<8;0e<:;:188m4222900c?7>:188yg`f29086=4?{%1g5?313A>:=6g>4583>>o6<<0;66a=9083>>{enj0;6>4?:1y'7a7=:080D9?>;h370?6=3`;?97>5;n0:5?6=3ty9<94?:2y]652<5on1=9:4=gc9513>7p}i1;296~Xa927mm7?;4:pb=<72;qUj552fb81=4=z{o31<75<5s4lj6?7>;4223twi>4k50;194?6|,:n:6884H532?l73<3:17d?;5;29?j4>93:17pl=a183>6<729q/?i?52818L1763`;?87>5;h371?6=3f82=7>5;|`1e7<72:0;6=u+3e3912=O<8;0e<:;:188m4222900c?7>:188yg4f<3:1?7>50z&0`4<2>2B?=<5f15694?=n9=?1<75`28394?=zj;k=6=4<:183!5c93?>7E:>1:k201<722c:884?::m1=4<722wxj<4?:9y>b4<5j816j;4i1:?244449>6d6=9=>01?o=:066?84f<3;?863=a78200=z{o=1<76t=g596g7<5o<1j:521139b2=::0o1=9:4=3c3>422348j>7?;4:?1e1<6<<16>l851568yv47<3:1?vP=059>b3<58=16==?52168yv47=3:1>vP=049>b3<58<1vll50;1xZdd<5o;1m452f68b=>{t:0n1<7m382=6s|28d94?4|V;3m70{t:h;1<7{t:h?1<7382=6s|f383>7}:n80jh63i6;d1?xua03:1>v3i7;cg?87793l37ps|a883>7}Yi016=>m5ac9~w7?c2909wS<6d:?27f<51m1v?7i:181[4>n27:?n4=9g9~w7g62909wS;:181[47<27:?n4=059~w7622909wS7<2sE>:?7?tH32a?xJ39=0=w):ib;28 1`>2=?97):i1;cg?!2a;38i=6*;eg8b=>h3mk0:7p*5$3ce>7d43g8ji7>4;n0a6?6=,;km6?l<;o0ba?7<3`h;6=4+2`d9ec=i:ho1<65fad83>!4fn3km7c4}O:9h0q)=k1;01f>ofl3:17b2900n:4?:283>5}#;m;1in5G4038m<7=831bmi4?::m1f4<722wxm44?:3y]e<=:?33:7p}=8`83>7}:?38i=6P=b09~w7>e2909wSok;<59ea=zukk1<7=51;1xH17428qC>=l4}%1g5?45j2cjh7>5;n0a5?6=3`k26=44b683>6<729q/?i?5eb9K047>i5j80;66s|a883>7}Yi016;77>;|q134=1m452a;c:?xu5j=0;6?uQ2c689d<5j81/?4<52c18j6?6291v?l=:181[4e:27<6?l>;%1:6?4e;2d85<4>;|qa4?6=:rTi<63n:`f8 6?52hl0b>7>:19~wdc=838pRlk4=68b`>"41;0jj6`<9082?x{e<0<1<7=51;1xH17428qC>=l4}M620?7|,=li6=5r$2f2>74e3`ko6=44o3`2>5<;_0a5>{t:1h1<7j=:184>7:?7?tH32a?xJ39=0jw):ib;28 1`>2=?;7)::3;6e`>"3n:09n<5+4dd9e<=ih3mj0:7):i5;1:3>h3mm0:7p*>ofi3:17d=67;29?j4e?3:1(?oi:3`5?k4fm3:07b4}O:9h0qA:>4;;x 1`>2=?97):i1;cg?!2a;38i=6*;eg8b=>h3mk0:7):i0;cb?k2bk3;0(9h::2;4?k2bl3;0q)=k1;011>\>838p>o4=9;Y7<6=:r8o6?85}hc:>5<=h:k>1<7*=ag81f3=i:ho1>65`2c094?"5io09n;5a2`g97>=nj:0;6):18'6d`=j;1e>lk51:9jf5<72-8jj7l=;o0ba?4<3`kn6=4+2`d9f7=i:ho1?65m7;291?5=?rF?=>4>{I03f>{K<8>15v*;f88717=#4=#"3n<085:5a4df95>{#;m;1>?84i`;94?=nih0;66gnd;29?j4e93:17d=67;29?g1=83?1>7;tL530>4}O:9h0q)=k1;020>ofl3:17b2900elo50;9je6<722h<6=4::183!5c93on7E:>1:N756<6s-;?i7:i7:je4<722cj?7>5;h;2>5<:183!5c93;?>6F;109l517=831vl750;0xZd?<5>02=6s|a`83>7}Yih16;7o>;|q15l50;0x92<5j81U>o?4}r0;g?6=:rTjh638:`f8yxd3;=0;6>4?:1y'7a7==?1C85<>6=44o3;2>5<?57>53;294~"4l8095?5G4038m4232900e<:::188k7?62900q~o6:181[g>34=1m45rs`c94?4|Vhk019:6:066?xufl3:1>vPnd:?771<6<<1v?l>:181[4e927<6?l>;|q0=2<72:qU?494=517>42334>?57?;4:p065=838p1:4nd:?771<5181v9:7:18181=ih1689752838yxdf290>6>48{M627?7|@;:i7pB;158:!2a13>>>6*;f08b`>"3n:09n<5+4dd9e<=ih3mj0:7):i5;1:3>h3mm0:7p*:188m6?02900n:4?:481>0}K<891=vF=0c9~ 6b62;;?7dok:188k7d62900el750;9jed<722cj?7>5;c594?3=83:p(>j>:dg8L1763E>:?7?t$06f>1`03tcj=7>5;hc0>5<>i5j80;66sm40094?7=83:p(>j>:061?M2692e:8<4?::pe<<72;qUm4527;;2?xufi3:1>vPna:?4>d752z?757<6<816;7o<;|q11<75f15794?=h:0;1<75rb56:>5<4290;w)=k1;0:6>N3981b=9:50;9j513=831d>4?50;9~wd?=838pRl74=68b=>{tih0;6?uQa`9>01?=9=?0q~ok:181[gc34>887?;5:p6g7=838pR?l>;<596g753z\0=2=:<:>1=9:4=56:>4233ty??>4?:3y>3?gc34>887<61:p01>=838p1:4na:?70<<5181vqo?::186>6<0sE>:?7?tH32a?xJ39=02w):i9;666>"3n80jh6*;f281f4=#"3n90jm6`;eb82?!2a=392;6`;ee82?x"4l809>55fa883>>ofi3:17dok:188k7d62900e>78:188f2<72<0968uC40195~N58k1v(>j>:337?lgc2900c?l>:188md?=831bml4?::kb7?6=3k=1<7;50;2x 6b62lo0D9?>;M627?7|,8>n69h8;|kb5?6=3`k86=44i8394?=nim0;66a=b083>>{e<881<7?50;2x 6b628>97E:>1:m204<722wxm44?:3y]e<=:?33:7p}na;296~Xfi27<6l?4}r0;e?6=:r7?=?4>409>3?g43ty94o4?:3y>3?4e92T9n<5rs3:`>5<5sWko7095ae9~yg24<3:1?7>50z&0`4<2>2B?=<5f15694?=n9=?1<75`28394?=zj=>26=4<:183!5c9382>6F;109j512=831b=9;50;9l6<7=831vl750;0xZd?<5>0j56s|a`83>7}Yih1689751578yvgc2909wSok;<600?73=2wx>o?50;0xZ7d634=1>o?4}r1:3?6=;rT85:524269512<5=>26<:;;|q776<72;q6;7ok;<600?4>92wx89650;0x926>48{M627?7|@;:i7pB;158:!2a13>>>6*;f08b`>"3n:09n<5+4dd9e<=ih3mj0:7):i5;1:3>h3mm0:7p*:188m6?02900n:4?:481>0}K<891=vF=0c9~ 6b62;;?7dok:188k7d62900el750;9jed<722cj?7>5;c594?3=83:p(>j>:dg8L1763E>:?7?t$06f>1`03tcj=7>5;hc0>5<>i5j80;66sm40094?7=83:p(>j>:061?M2692e:8<4?::pe<<72;qUm4527;;2?xufi3:1>vPna:?4>d752z?757<6<816;7o<;|q11<75f15794?=h:0;1<75rb56:>5<4290;w)=k1;0:6>N3981b=9:50;9j513=831d>4?50;9~wd?=838pRl74=68b=>{tih0;6?uQa`9>01?=9=?0q~ok:181[gc34>887?;5:p6g7=838pR?l>;<596g753z\0=2=:<:>1=9:4=56:>4233ty??>4?:3y>3?gc34>887<61:p01>=838p1:4na:?70<<5181vq~o6:186[g>34=1m452a;c:?8722h301?:5a89~wdg=83?pRlo4=68be>;f2hk01<;5a`9>61;5<38i=6*<9381f3=i;0;1<6s|2c794?4|V;h>70?::3`2?!5>:38i:6`<9082?xu5j=0;6?uQ2c689d<5j81/?4<52c48j6?62;1v?l=:181[4e:27<6?l>;%1:6?4e>2d85<4<;|qa7?6=:rTi?63=4;cg?!5>:3h97c=61;28yvd62909wSl>;<36>db<,:396o<4n2;2>4=z{k:1<752z\ba>;02hn0(>7=:c08j6?62:1vqo<8d;294>{I03f>{K<8>19v*;f8870f=#"3n=08;k5+4gg93>{#;m;1>=h4Z8296~4d2;k1qW=60;0x6`<5?3wd?5650;&1ec<40>1e>lk50:9l7=0=83.9mk4<869m6dc=921d?5;50;&1ec<40>1e>lk52:9l7=6=83.9mk4<869m6dc=;21bnk4?:%0bb?db3g8ji7>4;h`g>5<#:hl1nh5a2`g95>=njj0;6)lk53:9a6=7=8391=7=tL530>4}O:9h0q)=k1;00=>oe<3:17dl::188k61a2900n9?k:180>6<2s-9o=7:>d:ka0?6=3`h>6=44o25e>5<1:k201<722c:884?::m1=4<722wi893:17pl;1b83>6<729q/?i?52808L1763`;?87>5;h371?6=3f82=7>5;|qa0?6=;rTi863;1`8200=:<8h1=9:4}r`6>5<4sWh>70:>a;370>;39k0:885rs25e>5<5sW9927?=n4>459~w17f2909w0:>b;0:5>;39j0:885r}r`7>5<5sWh?70:>d;`7?xue=3:1>vPm5:?75a:h7=8f:~f7>529086<4<{M627?7|@;:i7p*d;297?5==r.8h<4;1e9jf1<722ci97>5;n14b?6=3k>:m7>53;294~"4l80>:6F;109j512=831b=9;50;9l6<7=831vn9?m:180>5<7s-9o=7;9;I625>o6<=0;66g>4483>>i5180;66sm40a94?5=83:p(>j>:3;1?M2692c:894?::k200<722e95<4?::pf1<72:qUn95240c9513<5=;i6<:;;|qa1?6=;rTi963;1`8201=:<8h1=9;4}r14b?6=:rT8;k5240a96<7:57>52z?75d<518168v3;1c81=4=:<8i1=9;4}|qa0?6=:rTi863;1e8a0>{tj<0;6?uQb49>04b=j<1v>9i:181[50n27?=i4<7g9~yg4?;3:1?7?53zN756<6sA8;n6s+3e3966?1<75fb483>>i4?o0;66l;1e83>6<425}#;m;19;5G4038m4232900e<:::188k7?62900qo:>b;297?6=8r.8h<4:6:J754=n9=>1<75f15794?=h:0;1<75rb53`>5<4290;w)=k1;0:6>N3981b=9:50;9j513=831d>4?50;9~wg2=839pRo:4=53b>42234>:n7?;4:pf0<72:qUn85240c9512<5=;i6<::;|q03c<72;qU?:h4=53`>7?63ty?=44?:3y>04g=:0;019?l:067?xu39h0;6?u240`96<7<5=;h6<::;|pf1<72;qUn95240f9f1=z{k?1<74?:1y'7a7==<1C85<>6=44o3;2>5<8:7>53;294~"4l80>96F;109j512=831b=9;50;9l6<7=831vn>6i:181>5<7s-9o=7?;f:J754=n9=91<75`28394?=z{=986=4<{<0;6?d334>887<61:?773<6<<1v9=::18184?;3h?70:<6;0:5>{t;121<7"41;084:5a38395>{t;1?1<76s|39294?4|V:2;70=7f;0:5>"41;084:5a38397>{tjo0;6?uQbg9>6=5=j<1/?4<5bd9m7<7=82wxni4?:2y]fa=::181n8524249512<,:396ok4n2;2>4=z{ki1<7=t^ca897>62k?019=;:067?!5>:3hn7c=61;08yvd0290?wSl8;<0;5?d334>887?;5:?00j56s|a`83>7}Yih16;7on;|q0=2<72;qU?494=680=2=z{;h<6=4<{_0a3>;02;h<70<8d;`e?!5>:38i:6`<9083?xu5j<0;6>uQ2c7892<5j<16>:j5be9'7<4=:k<0b>7>:09~w7d32908wS7d33482d85<4=;|q1f7<72:qU>o<4=681f7=::>n1n:5+38096g05rs506>5<5s4=1n>5226f97=><,:3969<;;o1:5?69?7>52z?4>g7<5;=o6>69;%1:6?25<2d85<4>;|q767<72;q6;7l?;<04`?5?=2.85?4;259m7<7=:2wx8?>50;0x920b>7>:29~yg5003:197<55zN756<6sA8;n6sC40695~"3nk0;7p*>of13:17don:188md5=831i;7>55;294~"4l80ni6F;109je4<722cj?7>5;h;2>5<:183!5c93;?>6F;109l517=831vl750;0xZd?<5>02=6s|a`83>7}Yih16;7o>;|q15l50;0x92<5j81U>o?4}r0;g?6=:rTjh638:`f8yxd4?80;684=:4yO045=9rB94}#5}#;m;1ih5G4038md7=831bm>4?::k:5?6=3`ko6=44o3`2>5<:>7>51;294~"4l80:8?5G4038k4262900q~o6:181[g>34=15<5rs`c94?4|Vhk01:4n1:p6=g=838p19?=:062?81=i:1v?6m:18181=:k;0R?l>;|q1=l4}M620?7|,=li6=5r$2f2>7703`ko6=44o3`2>5<>of;3:17o950;794?6|,:n:6hk4H532?lg62900el=50;9j=4<722cjh7>5;n0a5?6=3th?=?4?:083>5}#;m;1=9<4H532?j7393:17p}n9;296~Xf127<64?4}rcb>5<5sWkj7095a09~w7>f2909w0:>2;375>;02h90q~<7b;296~;02;h:7S{zj;?36=4::284I26;3;pD?>m;|N751mn7>4$5d:>1353->m=7ok;%6e7?4e92.?ik4n9:l7ag<63->m<7on;o6fg?7<,=l>6>78;o6f`?75}#;m;1ih5G4038md7=831bm>4?::k:5?6=3`ko6=44o3`2>5<:>7>51;294~"4l80:8?5G4038k4262900q~o6:181[g>34=15<5rs`c94?4|Vhk01:4n1:p6=g=838p19?=:062?81=i:1v?6m:18181=:k;0R?l>;|q11<7=50;2x 6b62<<0D9?>;h370?6=3`;?97>5;n0:5?6=3th?844?:283>5}#;m;1>4<4H532?l73<3:17d?;5;29?j4>93:17p}n9;296~Xf127<6l74}rcb>5<5sWkj70:;9;371>{tim0;6?uQae9>062=9=?0q~78;<600?73<27?844>459~w1542909w095ae9>062=:0;0q~:;8;296~;02hk019:6:3;2?x{e:<=1<7;53;5xH17428qC>=l4}M620?g|,=li6=5+4g;9004<,=l:6lj4$5d0>7d63->nj7o6;o6ff?7<,=l;6lo4n5g`>4=#4=z,:n:6?5<>i5j80;66g<9683>>d0290>6?4:{M627?7|@;:i7p*>of13:17don:188md5=831i;7>55;294~"4l80ni6F;109je4<722cj?7>5;h;2>5<:183!5c93;?>6F;109l517=831vl750;0xZd?<5>02=6s|a`83>7}Yih16;7o>;|q15l50;0x92<5j81U>o?4}r0;g?6=:rTjh638:`f8yxd3;=0;6>4?:1y'7a7==?1C85<>6=44o3;2>5<?57>53;294~"4l8095?5G4038m4232900e<:::188k7?62900q~o6:181[g>34=1m45rs`c94?4|Vhk019:6:066?xufl3:1>vPnd:?771<6<<1v?l>:181[4e927<6?l>;|q0=2<72:qU?494=517>42334>?57?;4:p065=838p1:4nd:?771<5181v9:7:18181=ih1689752838yxd5?00;684<:6yO045=9rB9d}#4=#"3n<085:5a4df95>{#;m;1>?o4i`;94?=nih0;66gnd;29?j4e93:17d=67;29?g1=83?1>7;tL530>4}O:9h0q)=k1;020>ofl3:17b2900elo50;9je6<722h<6=4::183!5c93on7E:>1:kb5?6=3`k86=44i8394?=nim0;66a=b083>>{e<881<7?50;2x 6b628>97E:>1:m204<722wxm44?:3y]e<=:?33:7p}na;296~Xfi27<6l?4}r0;e?6=:r7?=?4>409>3?g43ty94o4?:3y>3?4e92T9n<5rs3:`>5<5sWko7095ae9~yg24<3:1?7>50z&0`4<2>2B?=<5f15694?=n9=?1<75`28394?=zj=>26=4<:183!5c9382>6F;109j512=831b=9;50;9l6<7=831vl750;0xZd?<5>0j56s|a`83>7}Yih1689751578yvgc2909wSok;<600?73=2wx>o?50;0xZ7d634=1>o?4}r1:3?6=;rT85:524269512<5=>26<:;;|q776<72;q6;7ok;<600?4>92wx89650;0x92"3n80jh6*;f281f4=#"3n90jm6`;eb82?!2a=392;6`;ee82?x"4l809>l5fa883>>ofi3:17dok:188k7d62900e>78:188f2<72<0968uC40195~N58k1v(>j>:337?lgc2900c?l>:188md?=831bml4?::kb7?6=3k=1<7;50;2x 6b62lo0D9?>;hc2>5<>ofl3:17b;n375?6=3tyj57>52z\b=>;020;0q~on:181[gf34=1m<5rs3:b>5<5s4>:>7?;1:?4>d552z?4>7d63W8i=6s|29a94?4|Vhn01:4nd:~f15329086=4?{%1g5?313A>:=6g>4583>>o6<<0;66a=9083>>{e<=31<7=50;2x 6b62;397E:>1:k201<722c:884?::m1=4<722wxm44?:3y]e<=:?3k27p}na;296~Xfi27?844>449~wdb=838pRlj4=517>4223ty9n<4?:3y]6g7<5>09n<5rs2;4>5<4sW92;63;358201=:<=31=9:4}r607?6=:r7<6lj4=517>7?63ty?854?:3y>3?gf34>?57<61:~f710290>6>48{M627?7|@;:i7pB;158b!2aj3:0(9h6:571?!2a93ko7):i3;0a5>"3mo0j56`;ec82?!2a83kj7c:jc;38 1`22:3<7c:jd;38y!5c9389m6gn9;29?lgf2900elj50;9l6g7=831b?4950;9a3?6==3819vB;1282M47j2w/?i?52068mdb=831d>o?50;9je<<722cjm7>5;hc0>5<0;684?:1y'7a7=ml1C8:188yg26:3:1=7>50z&0`4<6<;1C85<0j=6s|29c94?4|5=;96<:>;<59e6=z{;2i6=4={<596g77}Yim16;7ok;|a062=8391<7>t$2f2>00<@=;:7d?;4;29?l73=3:17b<61;29?xd3<00;6>4?:1y'7a7=:080D9?>;h370?6=3`;?97>5;n0:5?6=3tyj57>52z\b=>;02h30q~on:181[gf34>?57?;5:pea<72;qUmi52426951352z\1f4=:?38i=6s|38594?5|V:3<70:<4;370>;3<00:895rs510>5<5s4=1mi5242696<7?47>52z?4>dg<5=>26?7>;|a620=83?1?79tL530>4}O:9h0qA:>4;cx 1`e291/8k754408 1`62hn0(9h<:3`2?!2bn3k27c:jb;38 1`72hk0b9kl:09'0c3=;0=0b9kk:09~ 6b62;8j7do6:188mdg=831bmi4?::m1f4<722c85:4?::`4>5<22;0>wA:>3;3xL76e3t.8h<4=159jea<722e9n<4?::kb=?6=3`kj6=44i`194?=e?3:197>50z&0`4>of;3:17d7>:188mdb=831d>o?50;9~f175290:6=4?{%1g5?73:2B?=<5`15394?=z{h31<792wxml4?:3y]ed=:?3k:7p}=8`83>7}:<881=9?4=68b7>{t:1h1<7:188yg2313:1?7>50z&0`4<51;1C85<>6=44o3;2>5<26<::;|qb`?6=:rTjh63;358200=z{;h:6=4={_0a5>;02;h:7p}<9683>6}Y;0=019=;:067?82313;?86s|42194?4|5>0jh63;3581=4=z{=>36=4={<59ed=:<=31>4?4}|`130<72<086:uC40195~N58k1v@9?;:`y'0cd=82.?j44;539'0c7=im1/8k=52c38 1ca2h30b9km:09'0c6=ih1e8hm51:&7b0<41>1e8hj51:'7a7=:;k0el750;9jed<722cjh7>5;n0a5?6=3`92;7>5;c594?3=:3?p@9?<:0yK65d4:kb`?6=3f8i=7>5;hc:>5<>d0290>6=4?{%1g5?cb3A>:=6gn1;29?lg42900e4?50;9jea<722e9n<4?::a044=83;1<7>t$2f2>4253A>:=6a>4083>>{ti00;6?uQa89>3??63tyjm7>52z\be>;02h;0q~<7a;296~;39;0:8<527;c0?xu50k0;6?u27;0a5>X5j81v?6l:181[gc34=1mi5r}c600?6=;3:1N3981b=9:50;9j513=831d>4?50;9~f12>29086=4?{%1g5?4>:2B?=<5f15694?=n9=?1<75`28394?=z{h31<75<5sWko70:<4;371>{t:k;1<7168>:51568912>28>?7p};3283>7}:?3ko70:<4;0:5>{t<=21<7;3<0095<5r}c040?6==391;vB;1282M47j2wG8<:5az&7bg<73->m57::2:&7b44=b09'0``=i01e8hl51:&7b5;%6e1?5>?2d?ii4>;|&0`4<5:h1bm44?::kbe?6=3`ko6=44o3`2>5<0<525<t$2f2>`c<@=;:7do>:188md5=831b5<4?::kb`?6=3f8i=7>5;|`757<7280;6=u+3e39514<@=;:7b?;1;29?xuf13:1>vPn9:?4><7v38:3`2?[4e92wx>5m50;0xZdb<5>0jh6srb517>5<4290;w)=k1;75?M2692c:894?::k200<722e95<4?::a01?=8391<7>t$2f2>7?53A>:=6g>4583>>o6<<0;66a=9083>>{ti00;6?uQa89>3?g>3tyjm7>52z\be>;3<00:885rs`f94?4|Vhn019=;:066?xu5j80;6?uQ2c3892<5j81v>78:180[5>?27??94>459>01?=9=>0q~:<3;296~;02hn019=;:3;2?xu3<10;6?u27;cb?8231382=6srb350>5<22:03;3xL76e3tF?=94n{%6ef?6<,=l269;=;%6e5?gc3->m?7;%6e4?gf3g>no7?4$5d6>6?03g>nh7?4}%1g5?45i2cj57>5;hcb>5<4>{I03f>{#;m;1><:4i`f94?=h:k;1<75fa883>>ofi3:17do<:188f2<72<0;6=u+3e39a`=O<8;0el?50;9je6<722c2=7>5;hcg>5<:188yvg>2909wSo6;<59=4=z{hk1<75o50;0x917528>:7095a29~w7>e2909w0952c38Z7d63ty94n4?:3y]ea=:?3ko7psm42694?5=83:p(>j>:448L1763`;?87>5;h371?6=3f82=7>5;|`70<<72:0;6=u+3e396<4<@=;:7d?;4;29?l73=3:17b<61;29?xuf13:1>vPn9:?4>d?7}Yim168>:51578yv4e93:1>vP=b09>3?4e92wx?4950;1xZ6?034>887?;4:?70<<6<=1v9=<:18181=im168>:52838yv2303:1>v38:`c8912>2;3:7psm26094?3=;3=p@9?<:0yK65d:87ot$5da>5=#db<,=l86?l>;%6fb?g>3g>nn7?4$5d3>dg74f3`k26=44i`c94?=nim0;66a=b083>>o41>0;66l8:186>7<2sE>:?7?tH32a?x"4l809=95fae83>>i5j80;66gn9;29?lgf2900el=50;9a3?6==3:1N3981bm<4?::kb7?6=3`3:6=44i`f94?=h:k;1<75rb531>5<6290;w)=k1;376>N3981d=9?50;9~wd?=838pRl74=68:5>{tih0;6?uQa`9>3?g63ty94l4?:3y>044=9=;01:4n3:p6=d=838p1:4=b09]6g752z\b`>;02hn0qpl;3583>6<729q/?i?5579K047?6=44i066>5<:188yvg>2909wSo6;<59e<=z{hk1<728>>7p}nd;296~Xfl27??94>449~w7d62909wS7d63ty85:4?:2y]7<1<5=9?6<:;;<67=?73<2wx8>=50;0x9201?=:0;0qpl=5783>0<52{#;m;1><94i`f94?=h:k;1<75fa883>>ofi3:17do<:188f2<72<0;6=u+3e39a`=O<8;0el?50;9je6<722c2=7>5;hcg>5<:188yvg>2909wSo6;<59=4=z{hk1<75o50;0x917528>:7095a29~w7>e2909w0952c38Z7d63ty94n4?:3y]ea=:?3ko7psm25f94?3=:3?p@9?<:0yK65d:87?t$5da>5=z,:n:6??8;hcg>5<t$2f2>`c<@=;:7do>:188md5=831b5<4?::kb`?6=3f8i=7>5;|`757<7280;6=u+3e39514<@=;:7b?;1;29?xuf13:1>vPn9:?4><7v38:3`2?[4e92wx>5m50;0xZdb<5>0jh6srb364>5<42808wA:>3;3xL76e3tF?=94>{%6ef?65;hc:>5<0;6>4?:1y'7a7=mj1C8>{ti00;6?uQa89>3??63ty94l4?:3y>3?4e92T9n<5rs3:a>5<5sWko7095ae9~yg2713:1:7<5azN756<6sA8;n6sC4069<~"3nk0;7):i9;664>"3=:0?ji5+4g196g7<,=om6l74n5ga>4=#5=z,:n:6??<;[;3>4}5j3wQ?4>52z0g>67=u`k26=44i2;4>5<5<#:hl1>o84n3cf>4=5<#:hl1>o84n3cf>6=0;6l4;:`yO045=9rB92}#db<,=l86?l>;%6fb?g>3g>nn7?4$5d6>6?03g>nh7>4}%1g5?45k2P2<7v2900e>78:188k7d0290/>lh52c48j7gb2910c?l::18'6d`=:k<0b?oj:098k7d3290/>lh52c48j7gb2;10c?l=:18'6d`=:k<0b?oj:298mg5=83.9mk4m2:l1e`<732ci=7>5$3ce>g46`=ad81?>ofm3:1(?oi:c08j7gb2:10n:4?:581>0}K<891=vF=0c9~H1732>q/8k754408 1`62hn0(9h<:3`2?!2bn3k27c:jb;38 1`22:3<7c:jd;28y!5c9389h6gn9;29?lgc2900c?l>:188m6?02900n:4?:282>6}K<891=vF=0c9~ 6b62;;?7dok:188k7d62900el750;9a3?6=;3:1N3981G8<=51z&20`<3n>1ve4?50;9jea<722e9n<4?::pe<<72;qUm4527;;2?xu50h0;6?u27;0a5>X5j81v?6m:181[gc34=1mi5r}c600?6=;3:1N3981b=9:50;9j513=831d>4?50;9~wd?=838pRl74=68b=>{tim0;6?uQae9>062=9=?0q~78;<600?73<2wx8>=50;0x921>7;tL530>4}O:9h0qA:>4;5x 1`>2=?97):i1;cg?!2a;38i=6*;eg8b=>h3mk0:7):i5;1:3>h3mm0;7p*4}O:9h0q)=k1;020>ofl3:17b2900n:4?:283>5}#;m;1in5G4038H17428q/=9k54g58yl?62900elj50;9l6g7=831vl750;0xZd?<5>02=6s|29c94?4|5>09n<5Q2c38yv4?j3:1>vPnd:?4>db5}#;m;1985G4038m4232900e<:::188k7?62900q~o6:181[g>34=1m45rs`f94?4|Vhn019=;:066?xu5j80;6?uQ2c3892<5j81v>78:181[5>?27??94>459~w1542909w095ae9>062=:0;0qpl>5;290?4==rF?=>4>{I03f>{K<8>1;v*;f88717=#4=#5=z,:n:6?5<4>{I03f>{#;m;1><:4i`f94?=h:k;1<75fa883>>d029086=4?{%1g5?cd3A>:=6B;1282!73m3>m;6sf9083>>ofl3:17bvPn9:?4><752z?4>7d63W8i=6s|29`94?4|Vhn01:4nd:~f15329086=4?{%1g5?323A>:=6g>4583>>o6<<0;66a=9083>>{ti00;6?uQa89>3?g>3tyjh7>52z\b`>;3;=0:885rs3`2>5<5sW8i=638:3`2?xu41>0;6?uQ3858915328>?7p};3283>7}:?3ko70:<4;0:5>{zj;>1<7:52;7xH17428qC>=l4}M620?1|,=l269;=;%6e5?gc3->m?7;%6e1?5>?2d?ii4?;|&0`4<5;91bm44?::kb`?6=3f8i=7>5;h1:3?6=3k=1<7=51;1xH17428qC>=l4}%1g5?46<2cjh7>5;n0a5?6=3`k26=44b683>6<729q/?i?5eb9K04752z\b`>;02hn0qpl;3583>6<729q/?i?5549K047?6=44i066>5<5<5sWk27095a89~wdb=838pRlj4=517>4223ty9n<4?:3y]6g7<5>09n<5rs2;4>5<5sW92;63;358201=z{=986=4={<59ea=:<:>1>4?4}|qb=?6==rTj5638:`;89dd?55z\0=2=:?392;63n:2;4?8722:3<70<;:2;4?xu5j>0;6?uQ2c58972=:k;0(>7=:3`5?k5>93:0q~7>:09~w7d32909wS7d63-92>709n<5+38096g05rsc194?4|Vk901?:5ae9'7<4=j;1e?4?50:pf4<72;qUn<52148b`>"41;0i>6`<9082?xue83:1>vPm0:?b>db<,:396o<4n2;2>7=z{ho1<7:j50;:92?g|D=;8652z0f>71=uf9347>5$3ce>6>03g8ji7>4;n1;2?6=,;km6>68;o0ba?7<3f9397>5$3ce>6>03g8ji7<4;n1;4?6=,;km6>68;o0ba?5<3`hm6=4+2`d9f`=i:ho1<65fbe83>!4fn3hn7clh5bd9m6dc=:21bn:4?:%0bb?db3g8ji7=4;c0;5?6=;3;1?vB;1282M47j2w/?i?522;8mg2=831bn84?::m03c<722h?=i4?:280>0}#;m;18>d39h0;6>4?:1y'7a7==?1C85<>6=44o3;2>5<:n7>53;294~"4l80>:6F;109j512=831b=9;50;9l6<7=831vn9?l:180>5<7s-9o=7<62:J754=n9=>1<75f15794?=h:0;1<75rsc694?5|Vk>019?n:066?826j3;?86s|b483>6}Yj<168>7p}<7g83>7}Y;>l019?l:3;2?xu3900;6?u240c96<7<5=;h6<:;;|q75d<72;q68>7ps|b583>7}Yj=168g352z\03c=:<8n1?:h4}|`1<7<72:0:6>uC40195~N58k1v(>j>:31:?ld32900eo;50;9l72`=831i85<;h370?6=3`;?97>5;n0:5?6=3th?=o4?:283>5}#;m;19;5G4038m4232900e<:::188k7?62900qo:>c;297?6=8r.8h<4=939K047?6=44i066>5<5<4sWh?70:>a;371>;39k0:895rsc794?5|Vk?019?n:067?826j3;?96s|36d94?4|V:=m70:>c;0:5>{t<831<77?634>:o7?;4:p04g=838p19?m:3;2?826k3;?96srsc694?4|Vk>019?k:c68yvd22909wSl:;<62`?d23ty8;k4?:3y]72`<5=;o6>9i;|a6=5=8391=7=tL530>4}O:9h0q)=k1;00=>oe<3:17dl::188k61a2900n9?k:180>6<2s-9o=7:>d:ka0?6=3`h>6=44o25e>5<1:k201<722c:884?::m1=4<722wi893:17pl;1b83>6<729q/?i?52808L1763`;?87>5;h371?6=3f82=7>5;|qa0?6=;rTi863;1`8200=:<8h1=9:4}r`6>5<4sWh>70:>a;370>;39k0:885rs25e>5<5sW9927?=n4>459~w17f2909w0:>b;0:5>;39j0:885r}r`7>5<5sWh?70:>d;`7?xue=3:1>vPm5:?75a:h7=8f:~f15329086=4?{%1g5?323A>:=6g>4583>>o6<<0;66a=9083>>{e<:<1<7=50;2x 6b62;h370?6=3`;?97>5;n0:5?6=3th84k4?:383>5}#;m;1=9h4H532?l73;3:17b<61;29?xu3;:0;6>u22909f1=:<:>1>4?4=515>4223ty??84?:3y>6=5=j=168>852838yv5?03:1>vP<899>6=5=;>l0(>7=:2:4?k5>93:0q~=76;296~X40?16>5<536d8 6?52:2<7c=61;38yv5?=3:1>vP<849>6=7=;>l0(>7=:2:4?k5>9380q~=70;296~X40916?5h52838 6?52:2<7c=61;18yvda2909wSli;<0;7?d23-92>7lj;o1:5?670:<6;370>"41;0ii6`<9082?xuek3:1?vPmc:?1<4459'7<4=jl1e?4?52:pf2<72=qUn:522939f1=:<:>1=9;4=2:e>4243-92>7lj;o1:5?552z\b=>;02h30q~=67;296~X41>16;7=67:p6g1=839pR?l8;<596g1<5;=o6oh4$2;1>7d13g92=7>4}r0a1?6=;rT9n8527;0a1>;5?m0ih6*<9381f3=i;0;1=6s|2c694?5|V;h?70952c68971c2ki0(>7=:3`5?k5>9380q~0b>7>:19~w1442909w095b09>62b=;1<0(>7=:507?k5>93;0q~:=2;296~;02k:01?9k:2:6?!5>:3>986`<9081?xu3:90;6?u27;cf?840l393<6*<938761=i;0;1?6srb3`b>5<42808wA:>3;3xL76e3tF?=94>{%6ef?65;hc:>5<0;6>4?:1y'7a7=mj1C8>{ti00;6?uQa89>3??63ty94l4?:3y>3?4e92T9n<5rs3:a>5<5sWko7095ae9~yg>529086<4<{M627?7|@;:i7pB;1582!2aj3:0q)=k1;01f>ofl3:17b2900n:4?:283>5}#;m;1in5G4038m<7=831bmi4?::m1f4<722wxm44?:3y]e<=:?33:7p}=8`83>7}:?38i=6P=b09~w7>e2909wSok;<59ea=zuk>h<7>53;397~J39:0:wE5;c594?5=83:p(>j>:da8L1763`3:6=44i`f94?=h:k;1<75rs`;94?4|Vh301:461:p6=g=838p1:4=b09]6g752z\b`>;02hn0qpl;7083>6<62:qG8<=51zJ14g=zD=;?6{#;m;1>?l4i`f94?=h:k;1<75fa883>>d029086=4?{%1g5?cd3A>:=6g61;29?lgc2900c?l>:188yvg>2909wSo6;<59=4=z{;2j6=4={<596g77}Yim16;7ok;|a62c=83;36<8523yO045=9rB92}id=#1?:h4$5df>2=#vh5c;Y7<6=:r;:6o4ro2:0>5<#:hl1?5<4n3cf>5=5<#:hl1?5<4n3cf>7=54o2:`>5<#:hl1?5<4n3cf>1=5<#:hl1?5<4n3cf>3=5<#:hl1?5<4n3cf>==5<#:hl1?5<4n3cf>d=oe03:1(?oi:c;8j7gb2810en;50;&1ec6=h5il0?76gl2;29 7ga2k30b?oj:498mf7=83.9mk4m9:l1e`<132ch<7>5$3ce>g?oel3:1(?oi:c;8j7gb2010eom50;&1ecg=m;|&0`4<5;01bn94?::ka1?6=3f95;c62`?6=;3919v*a;297?6=8r.8h<4:6:J754=n9=>1<75f15794?=h:0;1<75rb53a>5<4290;w)=k1;75?M2692c:894?::k200<722e95<4?::a04e=8391<7>t$2f2>7?53A>:=6g>4583>>o6<<0;66a=9083>>{tj=0;6>uQb59>04g=9=?019?m:067?xue=3:1?vPm5:?75d<6<=168vP<7g9>04e=:0;0q~:>9;296~;39h095<5240a9512:m7>52z?75g<518168vPm4:?75a5<5sW97>53;397~J39:0:wE1<75fb483>>i4?o0;66l;1`83>6<729q/?i?5579K047?6=44i066>5<N3981b=9:50;9j513=831d>4?50;9~f17d29086=4?{%1g5?4>:2B?=<5f15694?=n9=?1<75`28394?=z{k>1<7=t^c68917f28>>70:>b;370>{tj<0;6>uQb49>04g=9=>019?m:066?xu4?o0;6?uQ36d8917d2;3:7p};1883>7}:<8k1>4?4=53`>4233ty?=l4?:3y>04d=:0;019?l:066?x{tj=0;6?uQb59>04b=j=1vo;50;0xZg3<5=;o6o;4}r14b?6=:rT8;k5240f972`4?:282>6}K<891=vF=0c9~ 6b62;927dl;:188mg3=831d?:h50;9a04b=8391?7;t$2f2>17c3`h?6=44ic794?=h;>l1<75m40c94?5=83:p(>j>:448L1763`;?87>5;h371?6=3f82=7>5;|`75g<72:0;6=u+3e3913=O<8;0e<:;:188m4222900c?7>:188yg26k3:1?7>50z&0`4<51;1C85<>6=44o3;2>5<?70:>b;371>{t;>l1<7;<62g?73<2wx8c;371>{z{k>1<70q~l::181[d234>:h7l:;|q03c<72;qU?:h4=53g>61a3twi>5:50;195?5|D=;869i:188f17c29086>4:{%1g5?26l2ci87>5;h`6>5<5<4290;w)=k1;75?M2692c:894?::k200<722e95<4?::a04d=8391<7>t$2f2>00<@=;:7d?;4;29?l73=3:17b<61;29?xd39j0;6>4?:1y'7a7=:080D9?>;h370?6=3`;?97>5;n0:5?6=3tyi87>53z\a0>;39h0:885240`95126=4<{_`6?826i3;?863;1c8200=z{:=m6=4={_14b>;39j095<5rs53:>5<5s4>:m7<61:?75f<6<=1v9?n:181826j382=63;1b8200=zuzh?6=4={_`7?826l3h?7p}m5;296~Xe=27?=i4m5:p72`=838pR>9i;<62`?50n2wvn?6::180>4<4sE>:?7?tH32a?x"4l809?45fb583>>oe=3:17b=8f;29?g26l3:1?7=55z&0`4<39m1bn94?::ka1?6=3f95;c62e?6=;3:1N3981b=9:50;9j513=831d>4?50;9~f17e29086=4?{%1g5?313A>:=6g>4583>>o6<<0;66a=9083>>{e<8i1<7=50;2x 6b62;397E:>1:k201<722c:884?::m1=4<722wxn94?:2y]f1=:<8k1=9;4=53a>4233tyi97>53z\a1>;39h0:895240`951352z\03c=:<8i1>4?4}r62=?6=:r7?=l4=909>04e=9=>0q~:>a;296~;39k095<5240a951352z\a0>;39m0i86s|b483>7}Yj<1684>{I03f>{#;m;1>>74ic694?=nj<0;66a<7g83>>d39m0;6>4<:4y'7a7=<8n0eo:50;9jf0<722e8;k4?::`75d<72:0;6=u+3e3913=O<8;0e<:;:188m4222900c?7>:188yg26j3:1?7>50z&0`4<2>2B?=<5f15694?=n9=?1<75`28394?=zj=;h6=4<:183!5c9382>6F;109j512=831b=9;50;9l6<7=831vo:50;1xZg2<5=;j6<::;<62f?73<2wxn84?:2y]f0=:<8k1=9:4=53a>4223ty8;k4?:3y]72`<5=;h6?7>;|q75<<72;q68?7p};1`83>7}:<8h1>4?4=53`>4223twxn94?:3y]f1=:<8n1n95rsc794?4|Vk?019?k:c78yv50n3:1>vP<7g9>04b=;>l0qpl=8683>6<62:qG8<=51zJ14g=z,:n:6?=6;h`7>5<t$2f2>00<@=;:7d?;4;29?l73=3:17b<61;29?xd39k0;6>4?:1y'7a7==?1C85<>6=44o3;2>5<:o7>53;294~"4l8095?5G4038m4232900e<:::188k7?62900q~l;:180[d334>:m7?;5:?75g<6<=1vo;50;1xZg3<5=;j6<:;;<62f?73=2wx?:h50;0xZ61a34>:o7<61:p04?=838p19?n:3;2?826k3;?86s|40c94?4|5=;i6?7>;<62g?73=2wvo:50;0xZg2<5=;o6o:4}r`6>5<5sWh>70:>d;`6?xu4?o0;6?uQ36d8917c2:=m7psm29:94?5=939p@9?<:0yK65d6=44o25e>5<:h6gm4;29?ld22900c>9i:188f17f29086=4?{%1g5?313A>:=6g>4583>>o6<<0;66a=9083>>{e<8h1<7=50;2x 6b62<<0D9?>;h370?6=3`;?97>5;n0:5?6=3th?=n4?:283>5}#;m;1>4<4H532?l73<3:17d?;5;29?j4>93:17p}m4;297~Xe<27?=l4>449>04d=9=>0q~l::180[d234>:m7?;4:?75g<6<<1v>9i:181[50n27?=n4=909~w17>2909w0:>a;0:5>;39j0:895rs53b>5<5s4>:n7<61:?75f<6<<1vq~l;:181[d334>:h7l;;|qa1?6=:rTi963;1e8a1>{t;>l1<75<42808wA:>3;3xL76e3t.8h<4=389jf1<722ci97>5;n14b?6=3k>:h7>53;191~"4l80?=i5fb583>>oe=3:17b=8f;29?g26i3:1?7>50z&0`4<2>2B?=<5f15694?=n9=?1<75`28394?=zj=;i6=4<:183!5c93?=7E:>1:k201<722c:884?::m1=4<722wi8o6<=0;66g>4483>>i5180;66s|b583>6}Yj=168?7p}m5;297~Xe=27?=l4>459>04d=9=?0q~=8f;296~X4?o168v3;1`81=4=:<8i1=9:4}r62e?6=:r7?=o4=909>04e=9=?0qp}m4;296~Xe<27?=i4m4:pf0<72;qUn85240f9f0=z{:=m6=4={_14b>;39m08;k5r}c04b?6=;3;1?vB;1282M47j2w/?i?522;8mg2=831bn84?::m03c<722h?=i4?:280>0}#;m;18>d39h0;6>4?:1y'7a7==?1C85<>6=44o3;2>5<:n7>53;294~"4l80>:6F;109j512=831b=9;50;9l6<7=831vn9?l:180>5<7s-9o=7<62:J754=n9=>1<75f15794?=h:0;1<75rsc694?5|Vk>019?n:066?826j3;?86s|b483>6}Yj<168>7p}<7g83>7}Y;>l019?l:3;2?xu3900;6?u240c96<7<5=;h6<:;;|q75d<72;q68>7ps|b583>7}Yj=168g352z\03c=:<8n1?:h4}|`1<5<72:0:6>uC40195~N58k1v(>j>:31:?ld32900eo;50;9l72`=831i85<;h370?6=3`;?97>5;n0:5?6=3th?=o4?:283>5}#;m;19;5G4038m4232900e<:::188k7?62900qo:>c;297?6=8r.8h<4=939K047?6=44i066>5<5<4sWh?70:>a;371>;39k0:895rsc794?5|Vk?019?n:067?826j3;?96s|36d94?4|V:=m70:>c;0:5>{t<831<77?634>:o7?;4:p04g=838p19?m:3;2?826k3;?96srsc694?4|Vk>019?k:c68yvd22909wSl:;<62`?d23ty8;k4?:3y]72`<5=;o6>9i;|a062=8391<7>t$2f2>03<@=;:7d?;4;29?l73=3:17b<61;29?xd3;?0;6>4?:1y'7a7==<1C85<>6=44o3;2>5<847>53;294~"4l80>96F;109j512=831b=9;50;9l6<7=831vn9=n:180>5<7s-9o=7;:;I625>o6<=0;66g>4483>>i5180;66sm42a94?5=83:p(>j>:478L1763`;?87>5;h371?6=3f82=7>5;|`77`<72:0;6=u+3e3910=O<8;0e<:;:188m4222900c?7>:188yg2383:1?7>50z&0`4<2=2B?=<5f15694?=n9=?1<75`28394?=zj=>96=4<:183!5c93?>7E:>1:k201<722c:884?::m1=4<722wi89:50;194?6|,:n:68;4H532?l73<3:17d?;5;29?j4>93:17pl;4783>6<729q/?i?5549K047?6=44i066>5<4m4:?773<518168>651578yv24?3:1?v3=858a0>;3;1095<5242c9513857>53z?1<006e=9=?0q~:51578yv24n3:1?v3=898a0>;3<9095<524509513?=7>53z?1<<012=9=?0q~:;3;297~;5?o0i863;4581=4=:<=<1=9;4}r671?6=:r794=4m4:?703<5181v>6<:181[5?;2794=4<7g9'7<4=;180b>7>:19~w6>62909wS=71:?13c<4?o1/?4<53908j6?6281v>6j:181[5?m279444<7g9'7<4=;180b>7>:39~w6>c2909wS=7d:?1<=<4?o1/?4<53908j6?62:1v>6l:181[5?k2794:4<7g9'7<4=;180b>7>:59~w6>e2909wS=7b:?1<3<4?o1/?4<53908j6?62<1v>6n:181[5?i279484<7g9'7<4=;180b>7>:79~w6>>2909wS=79:?1<1<4?o1/?4<53908j6?62>1v>67:181[5?02794>4<7g9'7<4=;180b>7>:99~w6>12909wS=76:?1<7<4?o1/?4<53908j6?6201v>6::181[5?=2794<4<7g9'7<4=;180b>7>:`9~w6>72909wS=70:?0g??7)=62;`:?k5>93;0q~m::180[e2348357l:;<670?73<2.85?4m9:l0=4<53tyh87>53z\`0>;5010i963;438201=#;081n45a38397>{tk:0;6>uQc29>6=1=j<1689>51568 6?52k30b>7>:59~wf4=839pRn<4=3:5>g3<5=9n6<:;;%1:6?d>3g92=7;4}ra2>5<4sWi:70<75;`6?824k3;?86*<938a=>h4180=7p}l0;297~Xd8279494m5:?77d<6<=1/?4<5b89m7<7=?2wxnk4?:2y]fc=::191n85242:9512<,:396o74n2;2>==z{kn1<7=t^cf897>52k?019=9:067?!5>:3h27c=61;;8yvdd2908wSll;<0;5?d234>887?;4:&0=7;3;=0:885239d9515<,:396o74n2;2>g=zuk854;090~J39:0:wExi40<0;6)h5il0;76a<8183>!4fn39386`=ad82?>oek3:1(?oi:c`8j7gb2910eo950;&1ec;:`1<4<72:0:6>uC40195~N58k1v(>j>:31:?ld32900eo;50;9l72`=831i85<;h370?6=3`;?97>5;n0:5?6=3th?=o4?:283>5}#;m;19;5G4038m4232900e<:::188k7?62900qo:>c;297?6=8r.8h<4=939K047?6=44i066>5<5<4sWh?70:>a;371>;39k0:895rsc794?5|Vk?019?n:067?826j3;?96s|36d94?4|V:=m70:>c;0:5>{t<831<77?634>:o7?;4:p04g=838p19?m:3;2?826k3;?96srsc694?4|Vk>019?k:c68yvd22909wSl:;<62`?d23ty8;k4?:3y]72`<5=;o6>9i;|a7=`=8381<7>t$2f2>42a3A>:=6g>4283>>i5180;66s|39794?4|V:2>70<71;14b>"41;08495a38394>{t;1:1<77}Yjj16>5?5b49'7<4=jk1e?4?50:pf2<72:qUn:522939f1=:;1l1=9=4$2;1>gd3914v*1<75f1gd94?=n<;k1<75f24f94?=n:;h370?6=3`;?97>5;n0:5?6=3th9:;4?:483>5}#;m;19i5G4038m4232900e<:::188m4212900e<:8:188k7?62900qo<97;297?6=8r.8h<4=939K047?6=44i066>5<53z\2bc=::?21=9:4=345>4213ty?>l4?:3y]07g<5;<36<::;|q11a<72;qU>8j4=345>4233ty99k4?:3y]60`<5;<=6<::;|q767<72;qU8?<4=345>4203ty9:84?:3y>63>=:0;01?88:067?xu5>?0;6?u227496<7<5;<<6<::;|a603=83<197ot$2f2>7323f8?i7>5;h3eb?6=3`>9:7>5;h06`?6=3`8>j7>5;h614?6=3k8>87>53;294~"4l80>:6F;109j512=831b=9;50;9l6<7=831vn?;?:187>5<7s-9o=7;n;I625>o6<=0;66g>4483>>o6>{e:<;1<7=50;2x 6b62;397E:>1:k201<722c:884?::m1=4<722wi>8<50;194?6|,:n:68;4H532?l73<3:17d?;5;29?j4>93:17pl=5283>6<729q/?i?52808L1763`;?87>5;h371?6=3f82=7>5;|q10`<72;qU>9k4=370>7?63ty:jk4?:2y]5c`<5;??6<:;;<066?73<2wx8?850;0xZ141348>87?;5:p60b=839pR?;k;<064?73<2799<4>459~w73a2909wS<:f:?115<6<<1v9479~w72a2909w0<:4;0:5>;5=:0:895rs373>5<5s48><7<61:?114<6<<1v?6n:1818429382=63=538200=z{;2i6=4={<066?4>92799>4>449~yg43k3:1h7=5fz&0`4<59650;9j66g=831b>>k50;9j66`=831b>9>50;9j617=831b>9<50;9j615=831b>9:50;9j613=831b>9850;9j66d=831b>>j50;9a61?=8331<7>t$2f2>33<@=;:7d?;4;29?l73=3:17d?;6;29?l73?3:17d?;8;29?l7313:17d?;a;29?l73j3:17b<61;29?xd55<>6=44i065>5<<6=44o3;2>5<53;294~"4l80>96F;109j512=831b=9;50;9l6<7=831v?:7:181[4302798o4=909~w75f2909wS<459~w75a2909wS<469~w7262909wS<;1:?10<<6499~w7242909wS<;3:?10<<6489~w7222909wS<;5:?10<<62798l4>479~w75e2909wS<469~w72>2909w0<;9;0:5>;55<5s48?m7<61:?10g<6<<1vqo67:186>6<0s-9o=767;n:0>5<5<>d??3:1?7>50z&0`4<2>2B?=<5f15694?=n9=?1<75`28394?=zj1?1<7=50;2x 6b62<<0D9?>;h370?6=3`;?97>5;n0:5?6=3th3:7>53;294~"4l8095?5G4038m4232900e<:::188k7?62900q~6<:181[>4342=6?7>;|q147<72;qU>=<4=959513<=7>52z\734=:0>0:895rs9;94?4|V13015;51568yv>52909wS6=;<:6>4223ty387>52z?;3?4>9273:7?;4:p<0<72;q6484=909><3<6<<1vqo:89;291?5=?r.8h<4;789l022=831b>=?50;9j024=831b8:o50;9j027=831i8:650;194?6|,:n:6884H532?l73<3:17d?;5;29?j4>93:17pl;7783>6<729q/?i?5579K047?6=44i066>5<:188yv20<3:1>vP;759>021=:0;0q~vP;739>02>=9=>0q~:8a;296~X3?h168:851568yv2093:1>vP;709>020=9=?0q~:85;296~;3?1095<524659512<:7>52z?733<518168:951578yxd5>:0;6i4<:gy'7a7=:?90c?;i:188m75f2900e?=j:188m75a2900e?:?:188m7262900e?:=:188m7242900e?:;:188m7222900e?:9:188m75e2900e?=k:188f70729026=4?{%1g5?4>82B?=<5f15694?=n9=?1<75f15494?=n9==1<75f15:94?=n9=31<75f15c94?=n9=h1<75`28394?=zj;<:6=4::183!5c93?m7E:>1:k201<722c:884?::k203<722c:8:4?::m1=4<722wi>;<50;194?6|,:n:68;4H532?l73<3:17d?;5;29?j4>93:17p}=5g83>7}Y:>7p}=3d83>7}Y::o01?8?:067?xu5;o0;6?uQ22d8970728>=7p}=4183>7}Y:=:01?8?:06;?xu5<80;6?uQ2538970728><7p}=4383>7}Y:=801?8?:06:?xu5<:0;6?uQ2518970728>i7p}=4583>7}Y:=>01?8?:06b?xu5<<0;6?uQ2578970628>?7p}=4783>7}Y:=<01?8>:065?xu5;k0;6?uQ22`8970628>>7p}=3e83>7}Y::n01?8>:064?xu5>90;6?u227296<7<5;<96<:;;|q124<72;q6>;?52838970528>>7psm1gg94?2=83:p(>j>:4:8L1763`;?87>5;h371?6=3`;?:7>5;n0:5?6=3tho=7>53;294~"4l80>:6F;109j512=831b=9;50;9l6<7=831vn>jl:180>5<7s-9o=7;:;I625>o6<=0;66g>4483>>i5180;66sm36g94?5=83:p(>j>:3;1?M2692c:894?::k200<722e95<4?::a7ag=83?1<7>t$2f2>0b<@=;:7d?;4;29?l73=3:17d?;6;29?l73?3:17b<61;29?xd5k=0;6>4?:1y'7a7=:080D9?>;h370?6=3`;?97>5;n0:5?6=3th8;o4?:483>5}#;m;19h5G4038m4232900e<:::188m4212900e<:8:188k7?62900qo1<75f15794?=h:0;1<75rb3a1>5<2290;w)=k1;7a?M2692c:894?::k200<722c:8;4?::k202<722e95<4?::a722=83?1<7>t$2f2>0b<@=;:7d?;4;29?l73=3:17d?;6;29?l73?3:17b<61;29?xd5>m0;6>4?:1y'7a7=:0>0D9?>;h370?6=3`;?97>5;n0:5?6=3th9:k4?:283>5}#;m;1>4:4H532?l73<3:17d?;5;29?j4>93:17pl=5`83>0<729q/?i?55b9K047?6=44i066>5<=6=44i064>5<N3981b=9:50;9j513=831d>4?50;9~f71629086=4?{%1g5?4>:2B?=<5f15694?=n9=?1<75`28394?=zj;?n6=4<:183!5c93?>7E:>1:k201<722c:884?::m1=4<722wi>om50;194?6|,:n:6894H532?l73<3:17d?;5;29?j4>93:17pl=c783>0<729q/?i?528:8L1763`;?87>5;h371?6=3`;?:7>5;h373?6=3f82=7>5;|`1f`<72:0;6=u+3e3910=O<8;0e<:;:188m4222900c?7>:188yg>f290?6=4?{%1g5?4>=2B?=<5f15694?=n9=?1<75f15494?=h:0;1<75rb550>5<4290;w)=k1;75?M2692c:894?::k200<722e95<4?::a02d=8391<7>t$2f2>7?53A>:=6g>4583>>o6<<0;66a=9083>>{e;>=1<7<50;2x 6b628>m7E:>1:k206<722e95<4?::a63d=8381<7>t$2f2>42a3A>:=6g>4283>>i5180;66s|36:94?4|V:=370=88;0a5>{ti;0;60<0=i016?i<5a89>72>=i016?:?5a89>7a>=i016>865a89>601=i016>:75a89>62>=i016>:95a89>620=i016>:;5a89>622=i016>:=5a89>624=i016>885a89>61b=i016>995a89>05?=i016>oo5a89><79>:181[509278;<4=b09~w=e=83?pR5m4=52:>6?0348=j7?;4:?11`<6<=16>ok51568yv2093:19vP;709>027=:k;015654638911>2==:70:83;370>{tko0;6?uQcg9>a2;|q;6?6=;rT3>6372;0a5>;?03297p};e883>7}YuQ2cc897df2;h:70{t:m?1<77033ty98h4?:3y>61b=im16>8;525g8yv47;3:1>v3>fb81=c=::459>72d=:0;0q~=86;296~;4?80jh63<7681=4=z{8lm6=4i{<3eg?4>l279954<969>601=;0=01?96:2;4?8400392;63=7680=2=::><1?494=356>6?0348<87=67:?136<41>16>:<5385891e72hn01?86:0de?842=3;mj63=5`8202=z{;:;6=4<{<3eg?4f9273m7?;4:?73g<6<=1v?>>:18787ak38j?63;788144=:0h0:885246`95137>53z?2bf<5i<16454=039>9279o;4>469~w73>2909w0<:a;0:5>;5?80:895rs3`g>5<5s48h87?;5:?1f`<5181v99;:18182093ko70:89;640>{t:7?6348<=7?;5:p60b=839p1?86:37g?842=38>h63=5d81=4=z{8lo6=4={<3eg?47<27:jh4=909~wa6=832p1>j=:`c8961?2hk01>9>:`c896b?2hk01;5>m0:895rs2fa>57=67:?11=92wx>9650;0x97202hn01?:l:36;?xu4l10;69u23e:96g7<58ln6<::;<1gg?73<279:i4>449~w1?12908w0?ic;031>;31?09n<52461951353z?12a<51816>8o51578973d28>?7p}=6d83>7}::?l1>4?4=37b>4213ty9;=4?:3y>627=:0;01?8m:060?xu4?:0;6?u236696<7<5:=<6<:<;|q0`<<72:q6?i65ae9>72c=9=?01>jn:3;2?xu3?;0;6?u246;9024<5==86?7>;|q11c<72=q6>;7524d897322;?m70<93;06b>;5=h0:895rs364>5<5s48?;7{t:j?1<77?6348ii7?;5:p63g=839p1?;9:`c8972c2hk01?8m:3;2?xu5jo0;6?u22b69512<5;i;6?7>;|q03a<72;q6?:65ae9>72c=:0;0q~957>52z?11=7>:19~w1402909w0<:7;cg?840m393=6*<93876==i;0;1=6s|42094?4|5;=26lj4=35f>6>b3-92>7:=8:l0=4<53ty??<4?:3y>62>=im16>:k539f8 6?52=837c=61;18yv2483:1>v3=768b`>;5?l084n5+380907>5<5s48<:7ok;<04a?5?j2.85?4;299m7<7==2wx8?k50;0x97122hn01?9j:2:b?!5>:3>946`<9085?xu3:m0;6?u22669ea=::>o1?574$2;1>14?3g92=794}r61g?6=:r79;>4nd:?13`<4011/?4<543:8j6?6211v9"41;0?>55a3839=>{t<;k1<76>2348=57:=a:&0=7<3:11e?4?5a:p070=838p1?9j:2:3?842=3>9:6*<93876==i;0;1n6s|22f94?2|5;?36?l>;<04a?df348?o7<;554z?13<<5j816>:k5c49>61e=:=<01?8<:365?!5>:388o6`<9081?xu5<<0;69u226:96g7<5;=n6n:4=36`>722348=?7<;5:&0=7<5;j1e?4?53:p612=83>p1?98:3`2?840m3i870<;c;070>;5>:09895+380966e5<3s48<:7635=:=90(>7=:31`?k5>93?0q~<;2;290~;5?<09n<5226g9g4=::=i1>9<4=340>7253-92>7<622=:k;01?9j:b28972d2;>:70<93;075>"41;09?n5a38393>{t:=:1<7:t=350>7d63484=419'7<4=::i0b>7>:99~w75a290?w0<82;0a5>;5?l0ih63=4b817c=::?91>>h4$2;1>75d3g92=774}r00a?6=>r799;4=b09>62c=jj16>:j5bb9>61e=::o01?8<:31f?84d83;?96*<93817f=i;0;1m6s|22c94?0|5;>o6?l>;<04a?d03484=3`9>6f6=9=>0(>7=:31`?k5>93h0q~kn:1818c02;h?70j>:066?!5>:3o27c=61;28yvc?2908w0k8:c289`1=:k801i?51568 6?52l30b>7>:09~w6b0290?w0=k2;0a3>;4lh0:8:5236`9511<5:=?6<:8;%1:6?5c>2d85<4?;|q0`0<72=q6?i<52c7896bf28>>70=8b;372>;4?=0:885+38097a05<3s49o>7=7)=62;1g2>h418097p}1}:;m81>o<4=2fb>4213495=z{=8;6=4={<04`?5?8279984;219'7<4=<;;0b>7>:09~w16b2909w0:?9;0a3>;5k;0:8:5+380905b5<5s4>;57m:181827138i863=c38200=#;0818=j4n2;2>7=z{=:j6=4={<63=?4e:279o?4>459'7<4=<9n0b>7>:29~yg22<3:1h7j516yO045=9rB97}i{#;m;1=>l4o5g1>5<6=44o55e>5<5<>o5jh0;66g=d483>>o44b83>>o5l00;66g>a383>>d1>3:1?7<54zN756<6sA8;n6sC40695~"3nk097p*>of13:17o950;194?6|,:n:6hm4H532?I26;3;p(<:j:5d4?xo>93:17dok:188k7d62900qool:181>5<7s-9o=7?;f:J754=n9=91<75`28394?=z{h31<7v38:3`2?[4e92wx>5m50;0xZdb<5>0jh6srb55e>5<42808wA:>3;3xL76e3tF?=94>{%6ef?45;hc:>5<0;6>4?:1y'7a7=mj1C8>{ti00;6?uQa89>3??63ty94l4?:3y>3?4e92T9n<5rs3:a>5<5sWko7095ae9~yg2b:3:187?54zN756<6sA8;n6sC40695~"3nk097p*>of13:17d6m:188f2<72=0;6=u+3e39ac=O<8;0e4?50;9jea<722c9n=4?::m1f4<722wxm44?:3y]e<=:?33:7p}7b;296~X?j27<6?l?;|q15<7s-9o=7<63:J754=n9=>1<75f15794?=h:0;1<75rb24:>5<2290;w)=k1;0:3>N3981b=9:50;9j513=831b=9850;9j511=831d>4?50;9~f603290>6=4?{%1g5?3d3A>:=6g>4583>>o6<<0;66g>4783>>o6<>0;66a=9083>>{e;?<1<7850;2x 6b62?:0D9?>;h370?6=3`;?97>5;h372?6=3`;?;7>5;h375;|`02=<72:0;6=u+3e3910=O<8;0e<:;:188m4222900c?7>:188yg2?;3:187>50z&0`4<212B?=<5f15694?=n9=?1<75f15494?=h:0;1<75rb5g5>5<3290;w)=k1;7;?M2692c:894?::k200<722c:8;4?::m1=4<722wi:54?:383>5}#;m;1=9h4H532?l73;3:17b<61;29?xd30<0;6?4?:1y'7a7=9=l0D9?>;h377?6=3f82=7>5;|`7a=<72;0;6=u+3e3951`<@=;:7d?;3;29?j4>93:17p};e383>7}YuQ1`78960328>?70=96;373>{t<>l1<7{t<87p}7c;290~X?k273h7<61:?7<6<6<<168h851578yvce290?wSkm;<45>d?<5==m6l74=5g1>d?54z\1fd=:;?>1=9;4=245>423349=47?;4:p6a3=839pR?j:;<150?73>278:;4>449~w62a2909wS=;f:?02=<6<<1v<:l:180[73k27?4>4>459>0`0=9=<0q~=7p}>a383>7}Y9h801>86:064?xu3m>0;6?u24d09ea=:4?4}r45>5<5s4<=6?l>;<:g>4223ty?494?:3y>02`=im1685;52838yv2b=3:1>v3;e781=4=:0=5=9=<019k9:067?xu4>:0;6?u237;9512<5:;|q020<72;q6?;75157896012;3:7p}<6683>7}:;?31=984=24;>7?63ty=;7>52z?52?gc34<36?7>;|q7<7<72;q685=5283891>228>87psm4cf94?5=939p@9?<:0yK65d:87?t$5da>3=z,:n:6???;hg5>5<5<0;6>4?:1y'7a7=0o1C85<5o50;0x92<5181U?:h4}r0;f?6=:rT8hi527;377>{zj=<;6=4<:080I26;3;pD?>m;|N751<6s->mn784}%1g5?4682cn:7>5;h1g`?6=3f95;c594?5=83:p(>j>:9d8L1763`;??7>5;hae>5<5<5sWo=7095cg9~w7>f2909w0952838Z61a3ty94o4?:3y]7ab<5>0:8>5r}c6:e?6=;3;1?vB;1282M47j2wG8<:51z&7bg<13t.8h<4=119ja3<722c8hi4?::m03c<722h<6=4<:183!5c932m7E:>1:k206<722chj7>5;n0:5?6=3tyn:7>52z\f2>;02jl0q~<7a;296~;02;3:7S=8f:p6=d=838pR>jk;<595156}K<891=vF=0c9~H17328q/8kl56:'7a7=:8:0eh850;9j7ab=831d?:h50;9a3?6=;3:1N3981b=9=50;9jgc<722e95<4?::pa3<72;qUi;527;ae?xu50h0;6?u27;0:5>X4?o1v?6m:181[5cl27<6<:<;|a0ag=8391=7=tL530>4}O:9h0qA:>4;3x 1`e2?1v(>j>:333?lc12900e>jk:188k61a2900n:4?:283>5}#;m;14k5G4038m4242900enh50;9l6<7=831vh850;0xZ`0<5>0hj6s|29c94?4|5>095<5Q36d8yv4?j3:1>vP3?73;2wvn9;7:181>5<7s-9o=7?;f:J754=n9=91<75`28394?=zj=?h6=4=:183!5c93;?j6F;109j515=831d>4?50;9~f10f29096=4?{%1g5?73n2B?=<5f15194?=h:0;1<75rb57b>5<4290;w)=k1;0:6>N3981b=9:50;9j513=831d>4?50;9~f1da29096=4?{%1g5?73n2B?=<5f15194?=h:0;1<75rs5`g>5<3sW>ih63;5087fa=:<61a3ty?4=4?:3y]0=6<5=??699i;|q71`<72=qU88k4=5d;>13c34>>:7::d:?711<3=m1v9k<:181[2b;27?994;e39~w1e?2908wS:l8:?7b=<3k>1688854b58yv2d;3:1>vP;c29>0c>=:97>97:9b:p0g3=838pR9l:;<6e2wx8:m50;0xZ11d34>m47:8c:p027=838pR99>;<67b?2092wxok4?:2y]gc=:<vP;5g9>036=;>l0q~:99;296~X3>0168;o52838yv2>=3:1>vP;949>01`=<0?0q~:m6;296~X3j?168k654e68yv2b<3:1>vP;e59>003=vP;a`9>0c>=>977:;|q:6?6=:rT2>63;548:6>{t<<=1<7{t<021<7=t^5;;?82a03>2;63;5787=2=z{=i:6=4={_6`5>;3n10?o<5rs5:5>5<5sW>3:63;5487<3=z{=326=4={_6:=>;31h08;k5rs5f:>5<5sW>o563;d`803c=z{=226=4={_6;=>;3=?0?445rs5:g>5<5sW>3h63;5487;3=80?i4524g:90`?<5=?=69k6;<67b?2b12wx8;m50;0xZ10d34>>:7:9c:p72>=838p19h7:25:?823n39<46s|34294?4|5=?:6>;?;<660?53n2wx=;>50;0x913128<;70::5;354>{t9h?1<7=t=572>4g234>>:7?n5:?711<6i<1v<;?:181822>3;><63;548215=z{=hn6=4={<6a`?c134>ij7<61:p5d0=838p19;9:0c5?822<3;j:6s|2e494?2|5=?:6?j9;<6e27?8k4=d49>002=:m?0q~=6e;296~;3=8085h5245d97h6=4={<661?2e34>>m7?;5:p0a<72;q688854c9>00g=9=>0q~:6b;296~;3=<0?5:5248c97ab52z?7b=<4?;1689h53638yv>d290>w0::1;:`?82a032h70::6;:`?823n32h70::4;:`?xu4j?0;6?u244397g0<5=>m6>l9;|q2<7<72;q688851978913228297p}>a983>7}:<<<1=l64=576>4g?3ty?o=4?:3y>01`=;363;618f2>;31h0n:63;c`8f2>;3lh0n:63;6`8206=z{8<>6=4={<665?71=27?9;4>649~w1ee2909w0::5;6`3>;3kh08hi5rs57:>5<5s4>>47?;3:?71d<5181v?ln:181823n38im63;5581fd=z{82<6=4={<662?7??27?984>a09~w7b22909w0::1;0g1>;3n109h85rs0gf>5<5s4>>:7?je:?710<6ml1v98>:181822=3>=>63;6180`a=z{:>m6=4<{<665?53n27?j54<4g9>000=;=l0q~?;c;296~;3=80:8n52446951eon7>52z?710<3l1168io53ef8yv4c13:1>v3;f981`<=:<<>1>i74}r3b6?6=:r7?9;4>a39>002=9h80q~?k5;296~;3=?0:h85244795`b>o7?;3:p6=g=838p19?=:062?82el39oh6s|2bc94?4|V;ij70:i8;0`e>"41;09o45a38394>{t:j21<7"41;09o45a38396>{t:m81<76*<9381g<=i;0;1?6s|2e394?4|V;n:70:i8;0g5>"41;09o45a38390>{t:m:1<7"41;09o45a38392>{t:jo1<7"41;09o45a3839<>{t:ji1<7"41;09o45a3839e>{t:j=1<77}Y?11688?5439'7<4=?>1e?4?50:p33<72;qU;;52443905=#;081;:5a38395>{t?<0;6>uQ749>007=;o168k653g9'7<4=?>1e?4?52:p31<72:qU;95244397a=:6}Y?;1688?53c9>0c>=;k1/?4<5769m7<7=<2wx;<4?:2y]34=:<<;1?l524g:97d=#;081;:5a38391>{t?90;6>uQ719>007=;0168k65389'7<4=?>1e?4?56:p2c<72:qU:k5244397==:6}Y>l1688?5369>0c>=;>1/?4<5769m7<7=02wx:i4?:2y]2a=:<<;1?;524g:973=#;081;:5a3839=>{t>j0;6>uQ6b9>007=;<168k65349'7<4=?>1e?4?5a:p2g<72:qU:o52443971=:6}Y>h1688?5329>0c>=;:1/?4<5769m7<7=k2wx:44?:2y]2<=:<<;1??524g:977=#;081;:5a3839`>{t090;6>uQ819>007=1e?4?5e:p3c<72:qU;k5244390<=:7}Y?l168k65499'7<4=?>1e?4?5119~w2b=838pR:j4=5d;>11<,:396:94n2;2>47=7)=62;54?k5>93;97p}8b;296~X0j27?j54;5:&0=7<0?2d85<4>3:p3d<72;qU;l524g:901=#;081;:5a383951=z{>31<77=:658j6?628?0q~9<:181[1434>m47=l;%1:6?103g92=7?9;|~yxFGKr;jj<4iab01<61zHIHp;1;84Zhh|9:;<<640^llp5678Vcf|R>Pnnv3456692;n7<<5D`vbE976294:86?=:HLSQQ:1<26>752F__\XZ5R@>25?699?1:>7AZTQWW>Wct}e~7=<4?>c926?TF48;1<3h4138Qavsk|5;:6=0>1:31>Zgcl9:;=1?>:10:35>LHW]]0J0?=58?386d=593K7><4>>02864442HUM_O2=3;3=51=5;3CE\XZ5A^DPF94428437?4K<083:f=52MkmL2>:1<:?7:14=Whno<=>?<083:4=4n291EC^ZT;QT86<6611?6I2<:1<`?14?>897>@U;;3:5i6:5IORVP?B;;3:5=?5;:HLSQQ4?>g97>JSSX\^1]1=50?37?119:69SV:4294m794Paef3456;;3:596O311<6?D:697?0M1?=>49B8459=2K7=90:;@>21;3G;914>7L2>9?68E979=2K7>=08;@>15?79=2K7><0:;@>16;117;2G;?7>0M1614:C?=;2?10g8EVtaWge<=>>_hos[DUunVddx=>?10;8EZ@TJ5;;245N_GQA847912KTJ^L313<:?DYA[K6:?374A^DPF973601JSK]M<07==>GXNZH7=;06;@]EWG:6?730MRH\B=3;:<=FWOYI0<718:C\BVD;9730MRH\B=03:<=FWOYI0??19:C\BVD;:;4i7LQISC>17?7912KTJ^L322<;?DYA[K69255N_GQA868?3HUM_O2;>99B[CUE4<437LQISC>5:==FWOYI0:07;@]EWG:?611JSK]M<8<7?Dekz?1Jcxz@Ed9BkprHMVg~t=>?0g9BkprHMVg~t=>?0033?Dir|FOTaxv?01225464AnwwK@Yhz9:;>4:Cpaq2E5=DOE?6M7M59@4:AooZOI^Vcf|RMck^KMR472>5833M6:295K<3<5?A:4294?7I2<>79GPDELzl1OXLMDr^llp5679;80HYOLKs]mkq6788Uba}QKT@AHvZhh|9:;=<94D`vb85803Mkm1?15:FbpdG?3MkmL2?>89GeqgF48:5n6Jnt`C?54<7601OmyoN<03=e>Bf|hK7=7>18:FbpdG;9720HlznA=0=e>Bf|hK7?7>18:FbpdG;;720HlznA=6=<>Bf|hK79364D`vbE90902NjxlO37?:8@drfI52546Jnt`C?=;0Pilr\@jssGLUjbi>?013f?Air|FOTmcj?01316>Bh}}ENSl`k0122[lkwWMe~xBKPaof34576l2NdyyAJ_np3456582NdyyAJ_np3456XadzTHb{{OD]lv56788n0Hb{{OD]lv5679;:0Hb{{OD]lv5679Vcf|RJ`uuMF[jt789;:=6K:;DDbhi773LLj`aQfmq]FBdjk9;1N_85JS=2=1>CT484>7H]32?58AV:4294>7H]33?c8AVYffm:;<=?n;DQ\ekb789:Te`~PER]bja6789;j7H]Paof34576i2OXSl`k0122[lkwWLYTmcj?0132`>CTWjg{Sh?01214>CTWjg{Sh?012\mhvXMZUha}Qjq12344bCTWfx;<=>Pilr\AVYhz9:;<<;4EUC@O46?1028AQGDCVcf|RK[ABI2<>CiikfnX<4Es78Aw:76>1N~1?50?78Aw:66=1MOAE=;GF0?CBD<2LOOH=4FER1?C@33OLo=:5IFe3.Mk>9:DE`4+Nf8;37KHk1,Km6g=ANm;&EcQfmq68BCb5?2LMh?#Fn99EBa4*Ag;27KHk2,Km54>9:DE`7+Nf=;37KHk2,Km1g=ANm8&EcQfmq68BCb4?2LMh>#Fn99EBa5*Ag;27KHk3,Km54?@Al<'Bb55IFe7.Mk7>3OLo9 Ga10:8BCb2%@d9n6HId4/JjZojx=1MJi88;GDg2(Oi9=1MJi8"Io]jiuYANm<&Ec?;;GDg32=ANm=&Ec64FGf4)Lh612LMh:#Fn03;?C@c?$Ce>45IFe5.Mk4602LMh:#Fn2;8BCb0%@d8=55IFe5.Mk2e3OLo; Ga_hos0>@Al1=0JKj7-Hl;?C@c0$Ce=45IFe:.Mk7602LMh5#Fn3;8BCb?%@d9=55IFe:.Mk5>3OLo4 Ga30;8BCb?%@d?=55IFe:.Mk3e3OLo4 Ga_hos7>@TJ:1M_@m4FRO\4(vfzldo6H\M^3.tdtbf}i0J^CP2,rbv`hsk2LXAR="p`pfjq7?5F339J07=N=;1B:?5F759JEFUb3@KH_Rgbp^KBGV733@DBX>5FNW78MJGD\m1BCLM[_`lg4567:91BCLM[_`lg4567W`g{SDANCU]bja6789;o7DANCU]bja67888;7DANCU]bja6788Uba}QFO@AW[dhc89::=i5FO@AW[hs89:;i6G@ABV\ip~789::j6G@ABV\ip~789::=h5FO@AW[hs89:;>k5FO@AW[hs89:;>?j;HMBGQYj}q:;<=:>1:KLEFRXe|r;<=>Pilr`?LIFK]Ud~=>?00g8MJGD\Vey<=>?_hos[LIFK]Ud~=>?0048MJGD\{<0EBLJRSg8MJDBZ[Uecy>?0001?LIEM[XTbbz?013\mhvXAFHN^_Qaou2344733@EIA95FOCQ7?LID[<1BCN]>5:KLGV423@EH_>m4INAP[dhc89:;=h5FOBQ\ekb789:Te`~PINAP[dhc89:;=k5FOBQ\ghvXmx:;<=<;;HM@WZejxVoz<=>?_hos[LID[Vif|Rk~01235c=NGJYTo`~Pep2344433@EH_Rmbp^gr4566W`g{SDALS^antZcv89::=k5FOBQ\ghvXmx:;=_hos[LID[Vif|Rk~01215c=NGJYTo`~Pep2346433@EH_Rmbp^gr4564W`g{SDALS^antZcv89:8=k5FOBQ\ghvXmx:;<9<;;HM@WZejxVoz<=>;_hos[LID[Vif|Rk~01275c=NGJYTo`~Pep2340433@EH_Rmbp^gr4562W`g{SDALS^antZcv89:>=k5FOBQ\ghvXmx:;<;<;;HM@WZejxVoz<=>9_hos[LID[Vif|Rk~01255c=NGJYTo`~Pep2342433@EH_Rmbp^gr4560W`g{SDALS^antZcv89:<=k5FOBQ\ghvXmx:;<5<;;HM@WZejxVoz<=>7_hos[LID[Vif|Rk~012;5c=NGJYTo`~Pep234<433@EH_Rmbp^gr456>W`g{SDALS^antZcv89:2=k5FOBQ\ghvXmx:;==<;;HM@WZejxVoz<=??_hos[LID[Vif|Rk~01335==NGJYTe`~9;HMGKKCc3@EOCCKPos2345473@EOCCKPos2345YneyUBCIAAE^mq4567911BCIAAEhl4?LICGGOy86G@L1a8MJJ7Wds<=>?d:KLH5Yj}q:;<=?i;HMO4Zkrp9:;<<>>e:KLH5Yj}q:;<=?>f:KLH5Yj}q:;<=?>1g9JKI6Xe|r;<=>>20g8MJJ7Wds<=>?12g8MJJ7Wds<=>?15f8MJJ7Wds<=>?2d9JKI6Xe|r;<=>=1d9JKI6Xe|r;<=><1d9JKI6Xe|r;<=>;1d9JKI6Xe|r;<=>:1d9JKI6Xe|r;<=>91e9JKI6Xe|r;<=>8e:KLH5Yj}q:;<=9>d:KLH5Yj}q:;<=6j;HMO4Zkrp9:;<5?j;HMO4Zkrp9:;<4?>0:KLH5Yj}q:;<=Qfmq`8MJJ7Wfx;<=>>c:KLH5Yhz9:;OHD8Uecy>?003f?LIK9Vddx=>?1^kntZOHD8Uecy>?0036?LIKLZ30EB@_Rdcg}47OHX^IX56G@PVPfea602CD\Z\jae{\mhvXAFZ\^hoky058MJTCZL[m7DA]DSGR[dhc89:;>95FOSFQATYffm:;<=Qfmq]JKWBUMXUjbi>?013e?LIUL[OZS`{w012355=NG[NYI\Qbuy23457692CD^I\JQ^ov|56788;:=6G@REPFUZkrp9:;1:KLVATBYVg~t=>?02324>OHZMXN]Rczx12341763@EYH_K^_lw{4567<8;;7DA]DSGR[hs89:;9:1038MJTCZL[Taxv?01255462CD^H_}139JKWCVzVcf|RG@RDSq50=NG[]:h6G@RV3\ekb789:n7DA]W0]bja6789;n7DA]W0]bja67898n7DA]W0]bja67899:=6G@RV3\ekb789:Te`~l;HMQS4Yhz9:;<Paof34566m2CD_=Qnne2345YneyUBC^>Paof34566k2CD_=Qbuy2345b?01]jiuYNGZ:Tc>?013f?LITWjg{Sh?012f?LITWjg{Sh?013f?LITWjg{Sh?010f?LITWjg{Sh?011f?LITWjg{Sh?016f?LITWjg{Sh?017f?LITWjg{Sh?0147?LIR8j1BCX>Pmtz3456c3@E^Pmtz34566;l1BCX>Pmtz34566Pmtz34565m2CDY=Qbuy234546m2CDY=Qbuy234556m2CDY=Qbuy234526m2CDY=Qbuy234536m2CDY=Qbuy234506l2CDY=Qbuy23451b3@E^>0:KLSWTBYVg~t=>?0032?LIPZ[OZS`{w012354763@E\^_K^_lw{4567:8;:7DAXRSGR[hs89:;??4038MJQUZL[Taxv?0127546119JKRTUMXUfyu>?01527>OH_[XN]Rczx1234Zojx11BCZ\]EPp1?LU33@Y_Oh5FSUA\mhvXAZ^H=i5FS^antZcv89:;h6G\_bos[`w789;o7D]Pclr\at678;n0E^Qlmq]fu567;m1B_Rmbp^lf4567;2Ce<>5Fn018Mk443@d8?6Ga429Jj0ci5CPL]B@AYT]KU8n6B_M^@VWQTN9m1G\@QLOTLWAWY6l2F[ARM@UOVFVZ4c3EZFSNAZNUGQ[6>f3EZFSIHI_0;:?IVJWMLMS?o4LQO\@C@X:9k0@]CPDGD\64g>o4LQO\@C@X:=k0@]CPDGD\60g:o4LQO\@C@X:1k0@]CPDGD\6JWEVNMJR;6;MRN[A@AW?30@]CPDGD\3<=KXDUOJKQ79:NSIZBANV3j7A^B_YMQ6Z7>3E^bah|30?c8HQojm{6:<3m4LUknaw:693:5m6B[ilgq847912F_e`k}<0<:?IRnelx7>374LUknaw:4601GXdcjr=6==>JSadoy0806;MVji`t;>730@Ygbes>4:<=K\`gn~1619:NWmhcu40437AZfmdpWG45?0037?IRnelx_ORmbp^gr4567:8>0@YgbesV@[fkwWl{;<=><169OPlkbz]ITo`~Pep2345Yney20@Ygbes]355=K\`gn~R>Pmtz3456692F_e`k}_1]nq}6789;:>6B[ilgq[5Yj}q:;<=?>139OPlkbzV:Taxv?01215441:NWmhcuW9Ufyu>?01720>JSadoyS=Qbuy2345Yney20@Ygbes]2=>JSadoyS<>6;MVji`tX98;;7AZfmdp\5Zgil9:;?_hos[IRnelxT=Road12344773E^bah|P1^cm`5679;<0@Ygbes]2[dhc89::Sdc_MVji`tX9Vkeh=>?1033?IRnelxT=Road123670_`lg4565W`g{SAZfmdp\5Zgil9:;>?0337?IRnelxT=Rmbp^gr4567;8=0@Ygbes]2[fkwWl{;<=>Pilr24>JSadoyS2:NWmhcuW8Ufyu>?010254=K\`gn~R?Pmtz345649=1GXdcjr^3\ip~789:Te`~>0:NWmhcuW8Uecy>?0005?IRnelxT=R``t1235ZojxVF_e`k}_0]mkq6788;m7AZfmdp\5Ziu89:;>95CThofvZ7Xg{:;<=Qfmq]OPlkbzV;Tc>?013e?IRnelxT=Ra}012261=K\`gn~R?Pos2344YneyUGXdcjr^3\kw6788;m7AZfmdp\5Ziu89:9>95CThofvZ7Xg{:;?033;?IRnelxT>55CThofvZ5?3E^bah|P499OPlkbzV?37AZfmdp\2==K\`gn~R97;MVji`tX011GXdcjr^;6?Iifl8n0@bok1^llp5679;:0@bok1^llp5679Vcf|RB`ae3\jjr789;:h6Cnde]\eab789:o7@okd^]b`a6788l0Aua}_Sgpqir;994m7@v`r^Pfwpjs48;5i6Cwos]Qavsk|5;5i6Cwos]Qavsk|585i6Cwos]Qavsk|595i6Cwos]Qavsk|5>5i6Cwos]Qavsk|5?5i6Cwos]Qavsk|5<5i6Cwos]Qavsk|5=5i6Cwos]Qavsk|525i6Cwos]Qavsk|535>6@?2:L26>H5:2D8>6@;2:L60>HHZ1;0C>5@R378KW4E9<1D^?L=3:MQ70=HZ:H9?6A]449LV1D6;2EY9>5@R718KW143FX386Azt1g8Kpr7W`g{SB{{0068Kpr6m2E~x<:4Otv0a>Ir|:Uba}Q@uu120>Ir|=o0Cxz;_hos[Jss<8>0Cxz:4:Mvp32W;87<0]1?50?68U979<2[7>384Q=194;20:SlWWcflpUjbi>?0105?TiTZlkouRoad1234ZojxV[d__kndx]bja6789;:<6_`SSgb`|Yffm:;<<<9;PmPV`gcqVkeh=>?1^kntZWh[[ojhtQnne234476;2[d__kndx]`iuYby9:;5^oRPfeaXkdzTi|>?000`?TiTZlkouRmbp^gr4566W`g{S\a\Rdcg}ZejxVoz<=>>1g9RkVTbimsTc>?0107?TiTZlkouRa}0123[lkwWXeX^hoky^mq45679o1Zc^\jae{\kw67888?7\a\Rdcg}Ziu89::Sdc_PmPV`gcqVey<=>>149QE969>2XJ0<>18:PB847=87<0^L2>1?78VD:66<1YM1<15:PB86823[K783;4R@>6:0=UI5<596\N<6<6?WG;07?0^L26>29QEH3W_hos[WGJKZ:S=<>4R@O@WZojxVXJAN]>8:PBIWcflp;;7_OBRdcg}Zkrp9:;<?1008VDKUmhnrS`{w012354753[KF^hoky^ov|5678;;:=6\NMSgb`|Yj}q:;<==>4:PBIWcflpUfyu>?01]jiu3=5]ARGO[dhc89:;Sdc_SCPAIYffm:;<=?k;SCPAIYj}q:;<=k4R@QFHZkrp9:;<1:PBW@JXe|r;<=>Pilr6?WGTMGn0^L]JN^ov|5678l1YM^KA_lw{45679o1YM^KA_lw{456798l0^L]JN^ov|5678;;n7_O\EO]nq}67899:=6\NSDL\ip~789:Te`~:;SCPvc3h4R@QqvZkrp9:;<>?i;SCPvwYj}q:;<=:>e:PBWwtXe|r;<=>:109QEVtuWds<=>?_hosg>TFW9Ufyu>?01f8VDY7Wds<=>?1d9QEZ6Xe|r;<=>>1d9QEZ6Xe|r;<=>=1e9QEZ6Xe|r;<=><119QEZ6Xe|r;<=>Pilrg?WGX99Ufyu>?01g8VDY68Vg~t=>?00d8VDY68Vg~t=>?003e?WGX99Ufyu>?0102a>TFW8:Taxv?012054=UIV;;S`{w0123[lkwl2XJS_lw{4567;8;0^LQ>1^ov|5678Vcf|n5]A^3\ip~789:o7_OP1^ov|56788o0^LQ>_lw{456798o0^LQ>_lw{4567:8n0^LQ>_lw{4567;8:0^LQ>_lw{4567W`g{o6\N_3]nq}6789n0^LQ=_lw{45679l1YMRQbuy234576m2XJS>Qbuy234546l2XJS>Qbuy23455682XJS>Qbuy2345Yneyi0^LQ;_lw{4567l2XJS9Qbuy23457b3[KT8Rczx123447b3[KT8Rczx123477c3[KT8Rczx12346773[KT8Rczx1234Zojxj1YMR;Pmtz3456c3[KT9Rczx12344cS`{w012354cS`{w012364bS`{w0123746S`{w0123[lkwk2XJS;Qbuy2345bTFW>Ufyu>?0132a>TFW>Ufyu>?0102`>TFW>Ufyu>?01124>TFW>Ufyu>?01]jiueTFW1Ufyu>?013f?WGX0Vg~t=>?003f?WGX0Vg~t=>?033g?WGX0Vg~t=>?0233?WGX0Vg~t=>?0^kntf=UIV3Taxv?012g?WGX1Vg~t=>?00g8VDY>Wds<=>?10g8VDY>Wds<=>?20f8VDY>Wds<=>?3028VDY>Wds<=>?_hos1>THEJY<7_ABCR6[51=UGDIX8UQfmq]QKHET4RNO@WZejxVoz<=>?279QKHETWjg{Sh?012\mhvXZFGH_Rmbp^gr456798:0^BCLS^kntZTHEJY:>6\\8:PP4qiUmo;;7_]?tnPfbZgil9:;?_hos[WU7|fXnjRoad12344773[Y;xb\jf^cm`5679;<0^^>{oSge[dhc89::Sdc_SQ3pjTbnVkeh=>?1033?WU7|fXnjRoad123670?3348VV6sg[omSl`k0120[lkwW[Y;xb\jf^cm`567;8;=7_]?tnPfbZojxVXX?01f8VVYdeyUn}=>?1e9QWZejxVoz<=>=d:PP[fkwWl{;<==k;SQ\ghvXmx:;<9j4RR]`iuYby9:;9i5]S^antZcv89:=h6\\_bos[`w789=87_ZC5:Pfeac3[ojhtQnne2345473[ojhtQnne2345YneyUYiljv_`lg45679m1Yiljv_`lg4566:91Yiljv_`lg4566W`g{S_kndx]bja6788;o7_kndx]bja678;8;7_kndx]bja678;Uba}Q]e`fz[dhc89:9=<>4Rdcg}ZojxVXnmiw>7:PfbAiimo1YikJ`nd]bja67898?7_kiDnlf[dhc89:;Sdc_Sge@jhbWhdo<=>?1g9QacBhflUecy>?0007?WcaLfdnSca{0122[lkwW[omHb`j_omw45669l1YikJ`nd]lv5678;80^hhKoog\kw6789Uba}Q]egFlj`Yhz9:;<<84RddQat>?239QacTbyVkeh=>?0^kntZTbn[ozSl`k0123544>139QacSuoVcf|R\jfTpd5a=Umo_ykRa}012365=Umo_ykRa}0123[lkwW[omYiPos2345713[oxyaz6;Sgpqir;87k0^h}zlu>24;e1;2=e>Tb{|f019:Pfwpjs4:427_k|umv?0;?89Qavsk|5<556\jstnw828>3[oxyaz38?;8V`urd}622?84RdqvhqY7Wge<=>?_hos[Wct}e~T0_nb}4:Q`wq2<[ldo6]jnu]bja6789;n7^kat^cm`5678Vcf|R]jnu]bja6789;i7^kat^mq45679j1XiczPos2345YneyUXiczPos23457f3Zdo^LCLSVP26>Uil[KFO^Y]_`lg4567:h1Xbi\NMBQTVZgil9:;<0:Qm`WGJKZ]YSnc_ds3456XadzT_cj]ALAPSWYdeyUn}=>?0036?VhcZHGH_Z\Pclr\at67889;7^`kR@O@WRTXkdzTi|>?00]jiuYTfmXJAN]XR^antZcv89::=<;4SofQEHET_[Uha}Qjq123666<[gnYM@M\WS]`iuYby9:;>Rgbp^Qm`WGJKZ]YSnc_ds345469<1Xbi\NMBQTVZejxVoz<=><319PjaTFEJY\^Rmbp^gr4564W`g{S^`kR@O@WRTXkdzTi|>?02321>Uil[KFO^Y]_bos[`w789>8<6]adSCNGVQUWjg{Sh?016\mhvX[gnYM@M\WS]`iuYby9:;8?033;?VhcZFGH_<=4SofQKHETWjg{Sh?01220>Uil[EFO^Qlmq]fu56788;?7^`kRNO@WZejxVoz<=>?2068WkbUGDIXSnc_ds345649=1Xbi\@MBQ\ghvXmx:;<=:>7:Qm`WIJKZUha}Qjq1234Zojx890_cj]OLAP[fkwWl{;<=?>4:Qm`WIJKZUha}Qjq12354733Zdo^BCLS^antZcv89::><:4SofQKHETWjg{Sh?013051=TfmXDAN]Pclr\at6788>:;6]adSMNGVYdeyUn}=>?1^knt45<[gnYC@M\_bos[`w7898:86]adSMNGVYdeyUn}=>?2037?VhcZFGH_Rmbp^gr4565:8>0_cj]OLAP[fkwWl{;<=<<169PjaTHEJYTo`~Pep2347Yney;=7^`kRNO@WZojxVYeh_ABCR3e?VhcZFGH_Ra}012361=TfmXDAN]Pos2345YneyUXbi\@MBQ\kw6789;?7^}jt39WWa=S[Vif|Rk~0123`>RTWjg{Sh?013g?QUXkdzTi|>?03f8PVYdeyUn}=>?359W]UC23]cfi64Thofv96902^bah|31?:8Plkbz585m6Zfmdp?7?6902^bah|33?58Plkbz]I:>6ZfmdpWGZejxVoz<=>?2`9Wmhcu\JUha}Qjq1234ZojxV^bah|[C^antZcv89:;=i5[ilgq[dhc89:;>=5[ilgq[dhc89:;Sdc_UknawYffm:;<=?8;Upj@drf;2\HO45YIDU\P\VB<2\[Mm4VQCq[kis89::=h5YP@p\jjr789;Te`~PVQCq[kis89::=l5YiePBIFUPZm1]ei\NMBQTV32l911]ei\NMBQTV32lWjg{Sh?0122=>Pnl[KFO^Y]65i\ghvXmx:;<=?>9:Tj`WGJKZ]Y:9ePclr\at67898:56XfdSCNGVQU>=aTo`~Pep234556k2\bh_OBCRUQ21mXkdzTi|>?01]jiu473_co^LCLSVP50nYneyU]ei\NMBQTV32l98?0Zdj]ALAPSWYdeyUn}=>?0228RlbUIDIX[_Qlmq]fu5678Vcf|RXfdSCNGVQUWjg{Sh?012250=QamXJAN]XR^antZcv89::?=5YiePBIFUPZVif|Rk~0122[lkwW_co^LCLSVP\ghvXmx:;<5:Tj`WGJKZ]YSnc_ds3454482\bh_OBCRUQ[fkwWl{;<=7[gkR@O@WRTXkdzTi|>?0213?SocZHGH_Z\Pclr\at678:Uba}QYiePBIFUPZVif|Rk~0120543<^`nYM@M\WS]`iuYby9:;8>>4VhfQEHET_[Uha}Qjq1230ZojxV\bh_OBCRUQ[fkwWl{;<=:>149UmaTFEJY\^Rmbp^gr4562;91]ei\NMBQTVZejxVoz<=>:_hos[SocZHGH_Z\Pclr\at678<;:96XfdSCNGVQUWjg{Sh?01404>Pnl[KFO^Y]_bos[`w78981078RlbUIDIX[_Qlmq]fu5670::0Zdj]ALAPSWYdeyUn}=>?8^kntZPnl[KFO^Y]_bos[`w7892:=85YiePBIFUPZVif|Rk~012:75=QamXJAN]XR^antZcv89:2Sdc_WkgVDKD[^XTo`~Pep234<76=2\bh_OBCRUQ[fkwWl{;<<><0:Tj`WGJKZ]YSnc_ds3446XadzTZdj]ALAPSWYdeyUn}=>>0036?SocZHGH_Z\Pclr\at67989;7[gkR@O@WRTXkdzTi|>?10]jiuYQamXJAN]XR^antZcv89;:=?01326>Pnl[KFO^Y]_np345659;1]ei\NMBQTVZiu89:;?<;4VhfQEHET_[Ud~=>?0^knt7=P[<1\_1>15:UP84823^Y7>394WR>0>5823^Y7?384W`psW@7c3QCGECV"XE@#4+7'[]_I,= > @Q@ML0^cjVCo==5Wdl]Neoiu^lxxeb`>0:ZgiZKnffx]i}foo78\j:76?1Sc1>1179[k969:?1Sc1>1389[k969W`g{?6V|t89[wqAJgmogn6Qnde2345:76m1Tmij?012?5?69j2Ujhi>?01>2:g=Ximn;<=>32?f8[dbc89:;0>4?>c9\eab789:7?3l4_`fg4566494h7Rokd12359776l1Tmij?013?54<76j1Tmij?013?548e3Vkoh=>?1=3=f>Yflm:;<<2=>c9\eab789;7?3l4_`fg45664=4i7Rokd1235939j2Ujhi>?00>5:g=Ximn;<=?37?`8[dbc89::050m;^cg`567953546Qnne2345713Vkeh=>?0^kntZYffm:;<=?7;^cm`56798<0Sl`k0122[lkwWVkeh=>?10:8[dhc89:9=;5Paof3454XadzTSl`k01215==Xign;<==>6:]bja678:Uba}QPaof3455602Ujbi>?0535?Zgil9:;8Rgbp^]bja678=;37Road123140:_hos[Zgil9:;9<64_`lg45619?1Tmcj?014\mhvXWhdo<=>9199\ekb789=::6Qnne2342YneyUTmcj?0152<>Yffm:;<5?9;^cm`5670Vcf|RQnne234=7?3Vkeh=>?9048[dhc89:2Sdc_^cm`5671820S`{w01233>Yhz9:;<<:4_np3456XadzTSb|?01223>Yhz9:;=<:4_np3457XadzTSb|?01321>gcllxn7l`k0123[jt789:9>6oad1234Ziu89:;Sdc_`lg4567Wfx;<=>>3:amp2=dg|di<4cr18`c`>3mcyinzfoo18bvd33`eia95focq:?kGCg|~GI=?7;oCGkprKM9Uba}QaAEmvpIC798:0bLJ`uuNF4Ziu89:;>;5aAEmvpIC7Wfx;<=>Pilr\jDBh}}FN199mEAir|EO:Sdc_oCGkprKM8;87cO\7:lBWZ@TJ>1eM^QFOC31?kGTW@EIScO\_HMAkprf3gKXSDAMotv5?kGTg|~n7cO\otv\ekb789:9>6`NSnww[dhc89:;Sdc_oCPkprXign;<=>>e:lBWjssWge<=>>239mEVir|Vddx=>?1^kntZhF[fSca{01225a=iIZe~xRa}012365=iIZe~xRa}0123[lkwWgKXcxzPos2345703gKdyyAJ159mEjssGLUba}QaAnwwK@723gHN^_74nCGQVZ@TJ8;0bOK]R^DPFZgil9:;?0^kntZhEM[XTJ^LPaof3456612dII_\PIN@4?kD@W@EI;6`MR^KLFc=iJ[UBCOQnne2345433gHYSDAM_`lg4567W`g{ScL]_HMA[dhc89:;=k5aBS]JKGYj}q:;<=??;o@Q[LIEWds<=>?1038jGTXAFHTaxv?01225475aBS]JKGYj}q:;<=Qfmqg8jGTXAFHTc>?0101?kDUW@EISb|?012\mhvXfKXTEBLPos2345733gIJ_n5aC@Q\ip~789:o7cMNS^ov|56788o0bNO\_lw{456798n0bNO\_lw{4567:l1eOL]Pmtz345659m1eOL]Pmtz34564m2dHM^Qbuy234556m2dHM^Qbuy234526l2dHM^Qbuy23453682dHM^Qbuy2345Yney<0bIZNCJc8jARFKBUM_Oo4nEVBGNYNGKo0bIZNCJ]bja6789897cJ[ABI\ekb789:Te`~PnEVBGNYffm:;<=?j;oFWEFMXff~;<=?=2:lGPDELWge<=>>_hos[kBSIJATbbz?01322>hCagFNh6`KioNF[jt789:9<6`KioNF[jt789:Te`~PnEkmH@Yhz9:;<<84nEkmK@c6`KioMF[lkwWgNbbBK>7:lGkprHMo1eHb{{OD]bja67898?7cJ`uuMF[dhc89:;Sdc_oFlqqIBWhdo<=>?1g9m@jssGLUjbi>?0007?kBh}}ENSl`k0122[lkwWgNdyyAJ_`lg456698>0bIaztNG\mhvXfMe~xBK>e:lGkprHMVey<=>?239m@jssGLUd~=>?0^kntZhCg|~DIRa}012350=iAYF9:6`FPM0q0>hKLZ20bAJ\_GQA<>hKLZUBCO?;;oNGWZOHJVdGH^QFOCmvpg=iDMYTEBL`uua8jIBTWhdo<=>?1d9mHAUXign;<=>Pilr\jIBTWhdo<=>?1b9mHAUXign;<=?>e:lO@VYffm:;<c:lO@VYffm:;Rgbp^lO@VYffm:;0bAYJc:lOS@Yffm:;<=?j;oNTAZgil9:;hHMVkeh=>?00a8jJCXign;<=>Pilr\jJCXign;<=>>b:lLAZgil9:;=?1348jJpbzekrSl`k0122[lkwWgE}ibny^cm`56798;;7cAyesnb}Zhh|9:;=?84nNtfvig~Wge<=>>_hos[kIqm{fjuR``t1235412:lQKHETW`g{Sc\@MBQ22>hUGD]Ni6`]OLUF[dhc89:;>?5aRNOTAZgil9:;?1008jWIJ_LUba}QaRNOTA42hRLZUjbi>?013f?kSC[Vkeh=>?0^kntZhRLZUjbi>?013`?kSC[Vkeh=>?10g8jPBTWhdo<=>>_hos[kSC[Vkeh=>?10a8jPBTWge<=>>1d9mQAUXff~;<=?Pilr\jPBTWge<=>>1c9mQAUXg{:;<=?l;oWGWZiu89:;Sdc_oWGWZiu89:;=95aUVG`?kSPMVkeh=>?00g8jPQBWhdo<=>?_hos[kSPMVkeh=>?00g8jPQBW`g{Sc[XE068jSKF02d]ALQFOC37?kPJIVCDNR`YM@]JKGir|k1eZ@OPIN@lqqehQXHUecy>?00]jiuYi^YKTbbz?01327>hPM>1e[HQISC58tjjXkea27}ac_bnhak>vhz}Uh`fka9:rlvqYci}k37}a}t^mse3=wg{~T}o5osv\v`urd}30|b|{_raov<=wg{~T~k{1:p0?wgj02x`Rzvpd38wc=tg|xhiRhfldlbi`b<{fyohQciogmf7=rx01}ma{j_bkm=>pfd|oTc}l7;ya:156dl1so4;<6ynsg12*=tJK|0g8222c0sg9m:7?4n2d4>3=#;o?1?hh4}R;:>6`c2;2m6<=;b02e>400mh1o?hk50;395~U>i39mh7<7f;300g77n3;=;h94vG174?6=93;1;%0:4?2bj2h8ih4?:5`957<11rF8j?4={I3e4>"5190?il5rL2d0>4}#v??:0:9y.2f?3:17d7>:188k1002900e9=k:188k1ec2900e9l=:188k1gc2900c4<50;9l0d7=831b89k50;9l0<`=831d88l50;9l006=831bo;4?::kf6?6=3`>=47>5;n60a?6=3f>?47>5;h64g?6=3f>j<7>5;h6`a?6=3f>i?7>5;n6:5?6=3`386=44i8294?=h<:<1<75`42c94?=n<>l1<75f45d94?=h:1<75`46694?=n1<75`45`94?=h:hn1<7*=9381ef=i:0;1<65`2``94?"51;09mn5a28395>=h:k<1<7*=9381ef=i:0;1>65`2c794?"51;09mn5a28397>=h:k>1<7*=9381ef=i:0;1865`2c194?"51;09mn5a28391>=h:k81<7*=9381ef=i:0;1:65`2c394?"51;09mn5a28393>=h:k:1<7*=9381ef=i:0;1465`2`d94?"51;09mn5a2839=>=h:ho1<7*=9381ef=i:0;1m65`2`c94?"51;09mn5a2839f>=n??0;6)<62;56?k4>93:07d9;:18'6<4=?<1e>4?51:9j36<72-82>79:;o0:5?4<3`=96=4+280930=i:0;1?65f7183>!4>:3=>7c<61;68?l0a290/>4<5749m6<7==21b:h4?:%0:6?123g82=784;h4g>5<#:081;85a28393>=n>j0;6)<62;56?k4>93207d8m:18'6<4=?<1e>4?59:9j2d<72-82>79:;o0:5?g<3`<26=4+280930=i:0;1n65f6983>!4>:3=>7c<61;a8?l00290/>4<5749m6<7=l21b;h4?:%0:6?123g82=7k4;h5g>5<#:081;85a2839b>=n?j0;6)<62;56?k4>93;;76g8b;29 7?52>?0b?7>:038?l1f290/>4<5749m6<7=9;10e:750;&1=7<0=2d95<4>3:9j3=<72-82>79:;o0:5?7332c<;7>5$3;1>235<#:081;85a283953=6=4+28097225<#:081?::4n3;2>4=5<6290;w)=m0;31<>N4n91d=?950;9~f6`3290:6=4?{%1a4?5el2B8j=5`29g94?=zj=9;6=4>d;1:>1b|D:l96l?:8`8^=c=9r;?6pT<6g8245=u`3=6=44o205>5<5<!4>:3>:7c<61;28?l27290/>4<5409m6<7=921b?k4?:%0:6?263g82=7<4;h1g>5<#:0818<5a28397>=n;k0;6)<62;62?k4>93>07d=n:18'6<4=<81e>4?55:9j7<<72-82>7:>;o0:5?0<3`936=4+280904=i:0;1;65f3683>!4>:3>:7c<61;:8?l51290/>4<5409m6<7=121b?84?:%0:6?263g82=7o4;h17>5<#:0818<5a2839f>=n;:0;6)<62;62?k4>93i07d==:18'6<4=<81e>4?5d:9j0d<72-82>7:>;o0:5?c<3`>26=4+280904=i:0;1j65m48:94?3=:3?p@>h=:0yK5c64=#?4$5fa>d7<,=no6?7;;%6g=??c3g>o97?4$5fb>4`f3`3o6=44i8g94?=ni80;66a=9583>>o4??0;66l8:186>7<2sE9m>7?tH0d3?x"4j90:j45fa083>>i51=0;66g6d;29?l?b2900e4950;9a3?6==3:1N4n91G?k<51z&271<3m81ve4;50;9j=2<722c3j7>5;hc2>5<=2wx>;k50;0x96`6288<7095969~w70a2909w0952868Z7?33ty9;=4?:3y]e4=:?3k:7psm43:94?5=83:p(>l?:354?M5a82c:>l4?::k26g<722e9;84?::p=a<72;qU5i527;;g?xu>m3:1>vP6e:?76=<6:k1v?7;:181[4><27<6?7;;|q033<72:qU?:84=68b5>;3:10:>l5rs504>5<5s4=15h5243:962346|,:h;6<88;n37f?6=3`;>=7>5;h367?6=3`;>97>5;h363?6=3`;>57>5;h36f?6=3`;>h7>5;h36b?6=3`;==7>5;h357?6=3`;=97>5;h37b?6=3`9h>7>5;c37g?6=13:150z&0f5<5?m1C?k>4i00b>5<5<5<6=44}c37a?6=;3:1vP>4c9>51c=:>?0q~?:1;296~X6=816=9m513c8yv72;3:1>vP>529>51e=9;h0q~?:5;296~X6=<16=9m513a8yv72?3:1>vP>569>51e=9;n0q~?:9;296~X6=016=9m513d8yv72j3:1>vP>5c9>51e=9;o0q~?:d;296~X6=m16=9m51228yv72n3:1>vP>5g9>51b=9;h0q~?91;296~X6>816=9m51238yv71;3:1>vP>629>51b=9;k0q~?95;296~X6><16=9j513f8yv73n3:1>vP>4g9>51b=9;i0q~=l2;296~X4k;16=9j513g8yv73k3:1>v3>4b8130=:9=o1=?o4}r37`?6=:r7:8i4=749>51c=9;h0qpl<9c83>`<328;p(>l?:2;a?j5>>3:17d:6:188m1g=831b??4?::k07?6=3`9?6=44i2794?=n;?0;66g<7;29?l5?2900e>750;9j7d<722c8n7>5;h1g>5<o4?::k26f<722c:>i4?::m130<722wi?4650;794?6|,:h;68j4H2d3?l75i3:17d?=b;29?l75k3:17d?=d;29?j40=3:17pl<9883>3<729q/?o>5639K7c65<5<5<54;294~"4j90>46F:;50;9~w6?12909wS=66:?0=d<5?<1v9750;0xZ1?<5:336<2c9~w62=838pR>:4=2;:>44e3ty897>52z\01>;41>0:>n5rs2494?4|V:<01>78:00g?xu4?3:1>vP<7:?0=<<6:h1v>650;0xZ6><5:336<288o7p}2b9~w6b=838pR>j4=2;:>44b3ty85:4?:3y>7<1=:>?01>7n:00a?xu4110;6?u238:9623<5:3j6<i41j0;66g;9;29?l2f2900e><50;9j76<722c887>5;h16>5<>o403:17d=6:188m6g=831b?o4?::k0`?6=3k92h7>55;294~"4j90>n6F7j:186>5<7s-9i<7;l;I1e4>o6:h0;66g>2c83>>o6:j0;66g>2e83>>i5?<0;66sm38d94?0=83:p(>l?:708L6`73`;9m7>5;h31f?6=3`;9o7>5;h31`?6=3`;9i7>5;n041?6=3th8m=4?:583>5}#;k:1955G3g28m44f2900e<k3:1>vP<9b9>7d6=:>?0q~:6:181[2>3492h7?=a:p0d<72;qU8l5238g957gl3;9n6s|3283>7}Y;:16?4j513a8yv532909wS=;;<1:b?75j2wx?84?:3y]70=:;0n1=?j4}r15>5<5sW9=70=6e;31f>{t;>0;6?uQ369>7<`=9;k0q~=7:181[5?3492i7?=c:p7<<72;qU?45238g957bn3;9h6s|3c83>7}Y;k16?4h513a8yv5c2909wS=k;<1:b?75m2wx?4j50;0x96?c2;=>70=n0;31f>{t;0o1<7712349j<7?=a:p7<`=838p1>7i:356?85f83;9o6srb2c5>5>o3i3:17d==:188m65=831b?94?::k01?6=3`9=6=44i2594?=n;10;66g<9;29?l5f2900e>l50;9j7a<722h8m>4?:883>5}#;k:1:>5G3g28m44f2900e<:188k7122900qo=n4;292?6=8r.8n=492:J0b5=n9;k1<75f13`94?=n9;i1<75f13f94?=n9;o1<75`26794?=zj:k>6=4<:183!5e83?>7E=i0:k26d<722c:>o4?::m130<722wx?l<50;0xZ6g5349j97<85:p0<<72;qU84523`1957gj6=4={_6b?85f;3;9n6s|3383>7}Y;;16?l=513a8yv542909wS=<;<1b7?75l2wx?94?:3y]71=:;h>1=?l4}r16>5<5sW9>70=n3;31a>{t;?0;6?uQ379>7d5=9;l0q~=8:181[50349j87?=a:p7=<72;qU?5523`195667}Y;h16?l:513f8yv5e2909wS=m;<1b0?75k2wx?i4?:3y]7a=:;h>1=?k4}r1b7?6=:r78m>4=749>7d3=9;k0q~=n4;296~;4i=09;8523`7957dg}#;k:1?n64o2a7>5<>o4i3:17d=m:188m6b=831b?k4?::k74?6=3`>96=44b2a6>5<2290;w)=m0;7a?M5a82c:>l4?::k26g<722c:>n4?::k26a<722e9;84?::a7f0=83?1<7>t$2`3>0b<@:l;7d?=a;29?l75j3:17d?=c;29?l75l3:17b<85;29?xd4k>0;6>4?:1y'7g6==<1C?k>4i00b>5<5<52z\0g1=:;j=1>:;4}r1;>5<5sW9370=l5;31e>{t;00;6?uQ389>7f3=9;h0q~=n:181[5f349h97?=c:p7g<72;qU?o523b7957b3;9o6s|3g83>7}Y;o16?n8513f8yv272909wS:?;<1`2?75i2wx8?4?:3y]07=:;j<1=?l4}r1`1?6=:r78o84=749>7f1=9;k0q~=l6;296~;4k?09;8523b5957dc}#;k:1?h94o2g0>5<5<5<5<5<5<5<?50;9l623=831vn>k::186>5<7s-9i<7<8b:J0b5=n9;k1<75f13`94?=n9;i1<75f13f94?=h:>?1<75rb2g5>5<4290;w)=m0;042>N4n91b=?o50;9j57d=831d>:;50;9~w6c42909wS=j3:?0a3<5?<1v>mn:181[5di278i94>2c9~w6ed2909wS=lc:?0a1<6:h1v>mj:181[5dm278i94>2b9~w6b72909wS=k0:?0a1<6:l1v>j=:181[5c:278i94>2e9~w6b32909wS=k4:?0a1<6:o1v>j9:181[5c>278i94>309~w6b?2909wS=k8:?0a1<6;91v>jn:181[5ci278i84>2`9~w6bd2909wS=kc:?0a0<6:j1v>jj:181[5cm278i84>2c9~w6c72909wS=j0:?0a0<6:m1v>k;:18185b<38<9636=4={<1f1?40=278i;4>2c9~yg55?3:197>50z&0f5<2m2B8j=5f13c94?=n9;h1<75f13a94?=n9;n1<75`26794?=zj:8h6=4<:183!5e838<:6Fo8:180>5<7s-9i<7;:;I1e4>o6:h0;66g>2c83>>i5?<0;66sm2cc94?5=83:p(>l?:458L6`73`;9m7>5;h31f?6=3f8<97>5;|`1fg<72:0;6=u+3c2910=O;o:0e<50z&0f5<212B8j=5f13c94?=n9;h1<75f13a94?=h:>?1<75rb2g1>5<4290;w)=m0;76?M5a82c:>l4?::k26g<722e9;84?::a7=c=8391<7>t$2`3>03<@:l;7d?=a;29?l75j3:17b<85;29?xd6;:0;644?:1y'7g6=>:1C?k>4i00b>5<5<5<5<5<2m7>53;294~"4j90>96F5<7s-9i<7;i;I1e4>o6:h0;66g>2c83>>o6:j0;66g>2e83>>i5?<0;66sm3b`94?3=83:p(>l?:4g8L6`73`;9m7>5;h31f?6=3`;9o7>5;h31`?6=3f8<97>5;|`0ga<72<0;6=u+3c291a=O;o:0e<50z&0f5<2m2B8j=5f13c94?=n9;h1<75f13a94?=n9;n1<75`26794?=zj:n:6=4::183!5e83?o7E=i0:k26d<722c:>o4?::k26f<722c:>i4?::m130<722wi?i=50;794?6|,:h;68j4H2d3?l75i3:17d?=b;29?l75k3:17d?=d;29?j40=3:17pl0<729q/?o>55b9K7c65<5<6=44}c1g3?6==3:1N4n91b=?o50;9j57d=831b=?m50;9j57b=831d>:;50;9~f6b>290>6=4?{%1a4?3d3A9m<6g>2`83>>o6:k0;66g>2b83>>o6:m0;66a=7483>>{e;mh1<7;50;2x 6d72h?;h31e?6=3`;9n7>5;h31g?6=3`;9h7>5;n041?6=3th8hi4?:483>5}#;k:19n5G3g28m44f2900e<?1<75rb2g2>5<2290;w)=m0;7a?M5a82c:>l4?::k26g<722c:>n4?::k26a<722e9;84?::a7=5=83?1<7>t$2`3>0e<@:l;7d?=a;29?l75j3:17d?=c;29?l75l3:17b<85;29?xd40<0;684?:1y'7g6==j1C?k>4i00b>5<5<5<55;294~"4j90>n6F66:186>5<7s-9i<7;j;I1e4>o6:h0;66g>2c83>>o6:j0;66g>2e83>>i5?<0;66sm39`94?3=83:p(>l?:4g8L6`73`;9m7>5;h31f?6=3`;9o7>5;h31`?6=3f8<97>5;|`050z&0f5<2j2B8j=5f13c94?=n9;h1<75f13a94?=n9;n1<75`26794?=zj8??6=4::183!5e83?h7E=i0:k26d<722c:>o4?::k26f<722c:>i4?::m130<722wi=8850;794?6|,:h;68m4H2d3?l75i3:17d?=b;29?l75k3:17d?=d;29?j40=3:17pl>5983>0<729q/?o>55e9K7c65<5<6=44}c36e?6==3:1N4n91b=?o50;9j57d=831b=?m50;9j57b=831d>:;50;9~f43d290>6=4?{%1a4?3c3A9m<6g>2`83>>o6:k0;66g>2b83>>o6:m0;66a=7483>>{e9h?;h31e?6=3`;9n7>5;h31g?6=3`;9h7>5;n041?6=3th::=4?:483>5}#;k:19h5G3g28m44f2900e<?1<75rb047>5<2290;w)=m0;7g?M5a82c:>l4?::k26g<722c:>n4?::k26a<722e9;84?::a530=83?1<7>t$2`3>0b<@:l;7d?=a;29?l75j3:17d?=c;29?l75l3:17b<85;29?xd4:00;6>4?:1y'7g6==?1C?k>4i00b>5<5<54;294~"4j909;45G3g28m44f2900e<83:187>50z&0f5<5?01C?k>4i00b>5<5<6=44}c14b?6=;3:1N4n91b=?o50;9j57d=831d>:;50;9~f6?5290?6=4?{%1a4?4012B8j=5f13c94?=n9;h1<75f13a94?=h:>?1<75rb2:2>5<4290;w)=m0;76?M5a82c:>l4?::k26g<722e9;84?::a7<2=8391<7>t$2`3>7113A9m<6g>2`83>>o6:k0;66a=7483>>{e9<:1<7=50;2x 6d72<<0D>h?;h31e?6=3`;9n7>5;n041?6=3th?5n4?:283>5}#;k:19:5G3g28m44f2900e<349K7c65<033o7p}<2783>3}Y;;<01><8:356?855k3;9n6344e3ty9n44?:5y]6g?<5;hj6?9:;<0af?75i278o44>2b9~w61c2909wS=8d:?0<`<5?<1v5o50;1xZ=g<5=3j6<2o7?=b:p7<3=838pR>7:;<1b3?40=2wx=9l50;0xZ42e34;=;7?;b:p0a5=838pR9j<;<1`=?75i2wx>o650;0xZ7d?348in7<85:p773=838pR><:;<11g?40=2wx=><50;0xZ45534;8?7<85:p507=838p1<88:072?872:38<96s|14194?4|58<<6<;<;<360?40=2wx=8;50;0x940028?>70?:6;041>{t9<=1<743034;>47<85:p50?=838p1<88:07:?872i38<96s|14`94?4|58<<6<;m;<36g?40=2wx=;;50;0x940028<>70?96;041>{t943c34;>i7<85:p50`=838p1<88:07e?871838<96s|17394?4|58<<6<8>;<356?40=2wx=;=50;0x940028<870?94;041>{t;;21<744f349957<85:p7=4=838p1>6<:356?85>83;9m6s|39694?4|5:2>6?9:;<1:4?75j2wx?5850;0x96>02;=>70=60;31g>{t;121<77123492>7?=a:p7=g=838p1>6m:356?85>:3;9n6s|39a94?4|5:2o6?9:;<1:6?75k2wx?:k50;0x961a2;=>70=64;31e>{t;1:1<7712349287?=b:p0m38<96s|38494?4|5:3i6>79;<1b=?75i2wx??o50;0x964e2;=>70==9;31f>{t;0i1<76?d349j57?=b:p7d4=838p1>o9:2c1?85f13;9o6s|3b694?4|5:i36>m;;<1`=?75j2wx=9h50;0x940028>m70?:0;041>{t;l91<76c4349n>7?=b:p0<>=83>p1977:3;7?84ej3;9n63<28826d=:9<:1=?o4}r1`7?6=;r78o44=749>7`4=9;k01>6j:00b?xu3100;6?u248:9=`=:<0k1>:;4}r6:f?6=:r7?5n4=749>052z?0=7<5?<16?5?513`8yv5>;3:1>v3<8d826g=:;0>1>:;4}r1b2c9>7d?=:>?0q~=la;296~;4m>08ol523b`962352z?0a2<4kj16?nj52678yv5dm3:1>v3:;4}r1g4?6=:r78i:47a7=:>?0q~=k2;296~;4m>08h?523e1962352z?0a2<4l=16?i;52678yv5cm3:1>v3:;4}r1`6?6=;rT:4o5217597f4<5:o96?9:;|q0a5<72:q6?h953d2896c62;=>70=8f;31e>{t;m<1<7=t=2g4>6b1349o;7<85:?0<4<6:h1v>j7:18185b?39o463;4lm09;85rs5094?76sW>970=l8;61?855?3;9o63=b`826g=:9:91=>?4=20a>44c34;>>7?=d:?211<6:m16=88513f8943?288o70?:a;31`>;6=j0:>i5214g957b<58<;6<2c9>530=9;h0(>9>:538j617291v9>50;32[27349h47:?;<113?75l279nl4>2`9>565=9::01>55826f=:9<<1=?m4=07;>44d34;>m7?=a:?21f<6:k16=8k513`89407288h70?92;31`>;6>=0:>i52174957b<,:=:69?4n253>4=z{:l1<7??{_1e?85d039m70==7;31f>;6;:0:>k5233`957d<58?96<2`9>50>=9;h01<;n:00`?872k3;9o63>5d826d=:9?:1=?l4=041>44d34;=87?=c:?223<6:h1/?:?5409m726=:2wx?i4?:01xZ6b<5:3i6>j4=2c2>6b<5:k=6>j4=2a;>6b<5:8<6<o4>2`9>504=9;k01<;;:00b?872>3;9n63>59826d=:944f34;>i7?=c:?225<6:h16=;<513`89403288j70?96;31g>"4?80?=6`<7180?xu4j3:1:vP177m:2;896g62:301>o9:2;896e?2:301<=<:00a?!5093>:7c=80;48yv5?290=wS=7;<1:f?5?349j=7=7;<1b2?5?349h47=7;<307?75i2.8;<4;1:l035<03ty8;7>511y]72=:;0h1?:523`3972=:;h<1?:523b`957e<5:io6<2c9>7a5=9;h01>j::00b?85c?3;9h6344c349oj7?=d:?0a4<6:m1/?:?5409m726=02wx?;4?:02xZ60<5:3i6>84=2c2>60<5:k=6>84=2aa>44e349hh7?=a:?0gc<6:m16?i?513f896b4288o70=k5;31`>;4l>0:>o523e;957g<5:ni6<2b9>7`7=9;i0(>9>:538j617201v>;50;33[523492n7=:;<1b5?52349j:7=:;<1`f?75l278oi4>2e9>7f`=9;h01>j>:00b?85c;3;9o6344d349on7?=b:?0`a<6:h16?ih513`896c6288i7)=81;62?k5083k0q~=;:1824~X4<2785o4<4:?0e4<4<278m;4<4:?0gg<6:h16?nj513a896ea288j70=k1;31g>;4l:0:>l523e7957d<5:n<6<2`9>7ab=9;h01>ji:00b?85b93;9m6*<70875>h4?90i7p}<3;29e~X4;2785o4<3:?0e4<4;278m;4<3:?0<6<6:m16?5;513f896>0288o70=79;31g>;40k0:>n5239f957d<,:=:69?4n253>f=z{:81<7ot^20896?e2:801>o>:20896g12:801>6<:00`?85?=3;9o63<86826f=:;131=?l4=2:a>44e3493h7?=a:&034<392d8;=4k;|q7e?6=irT?m63<9c87e>;4i80?m63;40:0:>l52397957d<5:2<6<2e9>7=b=9;n0(>9>:538j6172l1v9750;cxZ1?<5:3i6974=2c2>1?<5:k=6974=2:0>44e349397?=a:?0<2<6:h16?57513f896>e288j70=7d;31g>"4?80?=6`<718e?x{ef19~H6`42;qe8h85499'0`3=>2w/?o>52c58^=c=:ro1=:4rZ24e>7}683;=6pg66;29?l21>3:17b:me;29?l24k3:17b:nd;29?j2f93:17d:188m11b2900e>;>:188m1b42900e9l>:188m7d?2900c9om:188m6422900c?ll:188k1>c2900c?ok:18'6<4=:hi0b?7>:198k7ge290/>4<52`a8j7?62810c?l9:18'6<4=:hi0b?7>:398k7d2290/>4<52`a8j7?62:10c?l;:18'6<4=:hi0b?7>:598k7d4290/>4<52`a8j7?62<10c?l=:18'6<4=:hi0b?7>:798k7d6290/>4<52`a8j7?62>10c?l?:18'6<4=:hi0b?7>:998k7ga290/>4<52`a8j7?62010c?oj:18'6<4=:hi0b?7>:`98k7gf290/>4<52`a8j7?62k10e>h50;&1=7<4m2d95<4?;:k0`?6=,;396>k4n3;2>4=h5180976g:298m6?=83.95?45$3;1>6co4>3:1(?7=:2g8j7?62>10e>;50;&1=7<4m2d95<47;:k00?6=,;396>k4n3;2><=h5180j76g<2;29 7?52:o0b?7>:c98m1g=83.95?45$3;1>6co3?3:1(?7=:2g8j7?62o10e9850;&1=7<4m2d95<4>0:9j00<72-82>7=j;o0:5?7632c?87>5$3;1>6c5<#:081?h5a283956=h5180:865m33f94?`=93lp@>h=:0yK5c60=z,:h;6<<<;h;g>5<5<6=44o3:4>5<5<5<5<5<5<5=50;9l6=3=831d>5950;9l6=?=831d>5l50;9l6=e=831d>5j50;9aa=<72:0:6>uC3g095~N6n91v(>l?:d58md7=831d>4:50;9j=a<722h<6=4<:183!5e83o87E=i0:N0b7<6s-;887:j1:j5;n0:0?6=3ty2h7>52z\:`>;021l0q~<9e;296~;02;3?7S<64:p63`=838pRl?4=68b5>{zjlo1<7=51;1xH6`528qC=k>4}%1a4?c03`k:6=44o3;7>5<3587a4=za1l1<75fa083>>i51=0;66s|9e83>7}Y1m16;76i;|q12`<72;q6;7<64:\1=1=z{;4<4sE9m>7?tH0d3?x"4j90n;6gn1;29?j4><3:17d7k:188f2<72:0;6=u+3c29a6=O;o:0@>h=:0y'562=4:50;9~w{t:?o1<70q~<9f;296~Xf927<6l?4}|`245<72:0:6>uC3g095~N6n91v(>l?:d58md7=831d>4:50;9j=a<722h<6=4<:183!5e83o87E=i0:N0b7<6s-;887:j1:j5;n0:0?6=3ty2h7>52z\:`>;021l0q~<9e;296~;02;3?7S<64:p63`=838pRl?4=68b5>{zj8:86=4<:080I5a:3;pD5;n0:0?6=3`3o6=44b683>6<729q/?o>5e29K7c61<75rs8f94?4|V0n01:47f:p63c=838p1:4=959]6<252z\b5>;02h;0qpl>0883>6<62:qG?k<51zJ2b5=z,:h;6h94i`394?=h:0>1<75f9e83>>d029086=4?{%1a4?c43A9m<6Bn=6sf8g83>>of93:17b<64;29?xu>l3:1>vP6d:?4>=`52z?4>7?33W8286s|27d94?4|Vh;01:4n1:~f46d29086<4<{M1e6?7|@8l;7p*of93:17b<64;29?l?c2900n:4?:283>5}#;k:1i>5G3g28H6`528q/=>:54d38yl>a2900el?50;9l6<2=831v4j50;0xZ03j6s|27g94?4|5>09595Q2868yv41n3:1>vPn1:?4>d76}K;o81=vF>f19~ 6d72l=0el?50;9l6<2=831b5i4?::`4>5<4290;w)=m0;g0?M5a82F8j?4>{%300?2b<2wb4k4?::kb5?6=3f8287>5;|q:`?6=:rT2h638:9d8yv41m3:1>v38:3;7?[4><2wx>;h50;0xZd7<5>0j=6srb02;>5<32;0>w)=m0;33<>o6ml0;66g=8b83>>o50k0;66a>0583>>d68>0;6>4?:1y'7g6==?1C?k>4i00b>5<5<53;294~"4j909;;5G3g28m44f2900e<vP=8b9>551=9;h0q~<7b;296~X50k16==8513`8yv77<3:1>vP>059>550=:>?0q~??5;296~;68>09;852114957g2}#;k:1=<;4i0ge>5<5<5<7E=i0:k26d<722c:>o4?::m130<722wi==h50;194?6|,:h;68;4H2d3?l75i3:17d?=b;29?j40=3:17pl>1083>6<729q/?o>52648L6`73`;9m7>5;h31f?6=3f8<97>5;|q2ac<72;qU=hh4=031>44f3ty94i4?:3y]6=b<58;96<44f3ty9444?:3y]6=?<58:m6<7123ty:544=:>?01:00b?xu68o0;6?u211d9623<58;:6<4473`8357>5;h3fe?6=3`;nh7>5;h3ff?6=3`;nj7>5;h0;`?6=3`83;7>5;h3fg?6=3f;:;7>5;c32b?6=<3:1N4n91b=?o50;9j57d=831b=?m50;9l623=831vn5<7s-9i<7;n;I1e4>o6:h0;66g>2c83>>o6:j0;66a=7483>>{e98h1<7=50;2x 6d72<<0D>h?;h31e?6=3`;9n7>5;n041?6=3th:=i4?:583>5}#;k:19l5G3g28m44f2900e<50z&0f5<5?m1C?k>4i00b>5<5<5<6=44}r0;=?6=vP>ee9>54`=9;k0q~?jb;296~X6mk16=<7513c8yv7bn3:1>vP>eg9>54d=9;k0q~<7d;296~X50m16=vP=869>54c=9;n0q~?jc;296~X6mj16=vP>169>54c=:>?0q~?>8;296~;69o09;85210g957g52z?25<<5?<16=v3>1c8130=:98o1=?m4}r32g?6=:r7:=i4=749>54c=9;o0qpl>0383>6<729q/?o>5549K7c65<6=44}c33f?6=>3:1N4n91b=?o50;9j57d=831b=?m50;9j57b=831b=?k50;9l623=831ve`9>576=9lk01<>m:00b?xu6mk0;6>uQ1d`8944728oi70??b;31f>{t9li1<7=t^0g`?87583;no63>0c826a=z{8oo6=4<{_3f`>;6:90:ii5211`957c53z\2a`=:9921=hk4=021>44f3ty:ik4?:2y]5``<58;>620n01<>?:8f8946420n01<>6:8f8946d20n01b<42908wS<73:?fa?g634l26?7;;|q1<0<72:qU>5;4=d:9e4=:ml09595rs3:4>5<4sW83;63j8;0:0>;6:9094:5rs3::>5<2sW83563>1781=1=:98?1>574=003>7>>34;;n7?=c:p6=d=839pR?6m;<33=?4><27:<54=8c9~w7>d290?wS<7c:?246<51=16==6529a89465288i7p}=8e83>1}Y:1n01<>?:3;7?876=383h63>21817<85:p552=838p1<><:`38946?28:?7p}>0`83>7}:9931m<5211`962352z?24f0e9~w4702909w0?>6;c2?87583;:;6srs8f94?4|V0n01<<=:8d8yv4?93:1>vP=809>574=:1;0q~<73;296~X50:16=?<52918yv4?=3:1>vP=849>574=:1?0q~<77;296~X50>16=?<52958yv4?13:1>vP=889>574=:130q~<7b;296~X50k16=?<529`8yv4?k3:1>vP=8b9>574=:1i0q~<7d;296~X50m16=?<529f8yv7bi3:1>vP>e`9>574=9lk0q~?jb;296~X6mk16=?<51d`8yv7bk3:1>vP>eb9>574=9li0q~?jd;296~X6mm16=?<51df8yv7bm3:1>vP>ed9>574=9lo0q~?jf;296~X6mo16=?<51dd8yxd?k3:1?7?53zN0b7<6sA;m<6sC3g195~"3m<0>7p*>o>l3:17o950;194?6|,:h;6h=4H2d3?l>a2900el?50;9l6<2=831v4j50;0xZ03j6s|27g94?4|5>09595Q2868yv41n3:1>vPn1:?4>d753;397~J4n;0:wE?i0:O7c5=9r.?i84:;|&0f5<58<1bm<4?::m1=1<722c2h7>5;c594?5=83:p(>l?:d18L6`73`2m6=44i`394?=h:0>1<75rs8f94?4|V0n01:47f:p63c=838p1:4=959]6<252z\b5>;02h;0qpl<4883>0<52"3m:0??<5+4e`9e4=#4:4$5f:>6<5+4ec9=`=i>of93:17b<64;29?l50>3:17o950;796?3|D:l96<3:17d7k:188m5<2290;w)=m0;g6?M5a82c297>5;h;4>5<>i51=0;66sm3g394?7=83:p(>l?:00;?M5a82e:>:4?::p=a<72;qU5i527;:e?xu>m3:1>vP6e:?4><352z?0b4<6:>16;778;|q12c<72;q6;7<64:\1=1=z{;=;6=4={_c2?81=i81vqo:=8;297?6=8r.8n=4=769K7c65<6=44}r;g>5<5sW3o70959e9~w44e3ty9594?:3y]6<2<5>09595rs255>5<4sW9<:638:`38914?288j7p};2683>7}:?33n70:=8;041>{zj=3:6=4<:080I5a:3;pDn97;4}%1a4?47=2cj=7>5;n0:0?6=3`3o6=44b683>6<729q/?o>5e29K7c6>i51=0;66s|9e83>7}Y1m16;76i;|q12`<72;q6;7<64:\1=1=z{;{I3e4>{K;o91=v*;e486?x"4j909=:5fa083>>i51=0;66g6d;29?g1=8391<7>t$2`3>`5<@:l;7A=i2;3x 4532=o:7pg7f;29?lg62900c?7;:188yv?c2909wS7k;<597}Yi816;7o>;|a6a5=8391=7=tL2d1>4}O9o:0qA=i3;3x 1c22<1v(>l?:33;?lg62900c?7;:188m53;294~"4j90n?6F7}:?38286P=959~w70a2909wSo>;<59e4=zuk8hn7>53;397~J4n;0:wE?i0:O7c5=9r.?i84:;|&0f5<5901bm<4?::m1=1<722c2h7>5;c594?5=83:p(>l?:d18L6`73E9m>7?t$017>1c63tc3j7>5;hc2>5<5<5sW3o70958g9~w70b2909w0952868Z7?33ty9:k4?:3y]e4=:?3k:7psm2ca94?5=939p@>h=:0yK5c60=z,:h;6?>:;hc2>5<50z&0f5>of93:17b<64;29?xu>l3:1>vP6d:?4>=`52z?4>7?33W8286s|27d94?4|Vh;01:4n1:~f7b529086?4;{M1e6?7|@8l;7pBof93:17b<64;29?l?c2900n:4?:283>5}#;k:1i>5G3g28H6`528q/=>:54d38yl>a2900el?50;9l6<2=831vnl>50;094?6|,:h;6<=:;I1e4>o6:00;66a=7483>>{t1m0;6?uQ9e9>e5<6:01v?8j:18181=0o16m=4=749~w70a2909w0952868Z7?33ty9;=4?:3y]e4=:?3k:7psm44`94?5=:3>p@>h=:0yK5c60=z,:h;6??m;hc2>5<50z&0f5>of93:17b<64;29?xdf83:1>7>50z&0f5<6;<1C?k>4i00:>5<6=44}r;g>5<5sW3o70o?:00:?xu5>l0;6?u27;:e?8g72;=>7p}=6g83>7}:?38286P=959~w7172909wSo>;<59e4=zuk8hm7>55;092~"4j909ol5`2b494?=n;:i1<75f33g94?=n:j>1<75f32f94?=e:j31<7:50;2x 6d72<30D>h?;h31e?6=3`;9n7>5;h31g?6=3f8<97>5;|`1g=<72:0;6=u+3c29620<@:l;7d?=a;29?l75j3:17b<85;29?xu5k?0;6?uQ2b4897e?2;=>7p}<3b83>7}Y;:i01?m6:00`?xu4:l0;6?uQ33g897e>288j7p}=c583>7}Y:j>01?m6:00a?xu4;m0;6?uQ32f897e?288i7p}=c683>7}::j31>:;4=3a;>44f3twi>im50;591?d|,:h;6?jl;n0g0?6=3`98o7>5;h11a?6=3`8h87>5;h10b?6=3`9>;7>5;h1053;294~"4j90>:6F5<7s-9i<7<86:J0b5=n9;k1<75f13`94?=h:>?1<75rb3f4>5<4290;w)=m0;76?M5a82c:>l4?::k26g<722e9;84?::a6a?=8391<7>t$2`3>03<@:l;7d?=a;29?l75j3:17b<85;29?xd5lh0;694?:1y'7g6=:>30D>h?;h31e?6=3`;9n7>5;h31g?6=3f8<97>5;|q1`1<72;qU>i:4=3fb>7123ty8?n4?:3y]76e<5;n<6<44f348o:7?=a:p6f2=838pR?m;;<0gf?75j2wx?>h50;0xZ65a348o57?=a:p701=838pR>;8;<0g=?75j2wx?>650;0xZ65?348om7?=c:p6a3=838p1?jm:356?84c>3;9n6s|27g94?4|5;n=6?9:;<0g3?75j2wx>;h50;0x97b02;=>70{t:m21<7712348om7?=b:~f7b6290=6>47{%1a4?4c92e9on4?::k07f<722c8>h4?::k07c<722c89:4?::k07g<722h9h=4?:283>5}#;k:19;5G3g28m44f2900e<?1<75rb3ae>5<3290;w)=m0;04=>N4n91b=?o50;9j57d=831b=?m50;9l623=831v?ml:181[4dk279ok4=749~w65d2909wS=2`9~w65a2909wS=;8:181[52?279oh4>2`9~w65e2909wS=2c9~yg4d:3:147=5az&0f5<5k;1d>oj50;9j76e=831b??k50;9j76b=831b?>k50;9j76`=831b?8950;9j76d=831i>n?50;194?6|,:h;6884H2d3?l75i3:17d?=b;29?j40=3:17pl=bg83>6<729q/?o>5579K7c65<6=44}c0`4?6=>3:1n>52678yv54k3:1>vP<3b9>6f7=9;h0q~==e;296~X4:l16>n?513c8yv54l3:1>vP<3e9>6f6=9;h0q~=n>513a8yv54n3:1>vP<3g9>6g`=9;h0q~=:7;296~X4=>16>oh513c8yv54j3:1>vP<3c9>6f6=9;o0q~52z?1fc<5?<16>n>513f8yxd3><0;6o4::gy'7g6==831b?>750;9j76g=831b?>l50;9a032=8391<7>t$2`3>00<@:l;7d?=a;29?l75j3:17b<85;29?xd3=l0;6>4?:1y'7g6==<1C?k>4i00b>5<5<=<7>53;294~"4j90>:6F5<7s-9i<7;9;I1e4>o6:h0;66g>2c83>>i5?<0;66sm47194?>=83:p(>l?:35e?M5a82c:>l4?::k26g<722c:>n4?::k26a<722c:>h4?::k26c<722c:?=4?::m130<722wx88m50;0xZ13d34>=?7<85:p76e=838pR>=l;<650?75j2wx??k50;0xZ64b34>=87?=a:p76c=838pR>=j;<66a?75i2wxm54?:3y]e==:<;7>53z\012=:44f3ty8?54?:3y]76><5=<96<74=540>44b3ty8?l4?:3y]76g<5=<86<l4=540>4573ty?9i4?:3y>032=:>?0198<:00b?xu3=l0;6?u244g9623<5=<86<526789104288h7p};6083>7}::;4=540>44c3twi>h<50;697?0|,:h;6?k=;n0be?6=3`8h?7>5;h6b>5<l?:448L6`73`;9m7>5;h31f?6=3f8<97>5;|`1`c<72:0;6=u+3c2910=O;o:0e<50z&0f5<5??1C?k>4i00b>5<5<52z\1ed=::l:1>:;4}r0`7?6=;rT9o>522d3957g<5;nm6<7}::l;1>:;4=3g3>44f3ty9hh4?:3y>6a`=:>?01?k?:00a?x{e:o>1<7:53;4x 6d72;l?7bk=50;194?6|,:h;6884H2d3?l75i3:17d?=b;29?j40=3:17pl=f083>6<729q/?o>5549K7c65<6=44}c0e6?6=;3:1vP=ad9>6c4=:>?0q~k=513c897`6288j7p}<2;296~X4:279j>4>2c9~w15=838pR9=4=3d2>44e3ty9ik4?:3y>6c5=:>?01?h=:00b?xu5n90;6?u22g39623<5;l96<1?78t$2`3>6613f8i=7>5;h0`7?6=3`986=44i5694?=e;9?1<7=50;2x 6d72<<0D>h?;h31e?6=3`;9n7>5;n041?6=3th8<>4?:283>5}#;k:1985G3g28m44f2900e<5<6=44}r0a5?6=:rT9n<52316962353z\1g6=:;9?1=?o4=220>44f3ty8?7>52z\07>;48<0:>o5rs5694?4|V=>01>><:00a?xu4880;6?u23179623<5::?6<l?:22`?j4e:3:17dt$2`3>00<@:l;7d?=a;29?l75j3:17b<85;29?xd4800;6>4?:1y'7g6==<1C?k>4i00b>5<5<53;294~"4j909;;5G3g28m44f2900e<75d=9;k01>>6:00b?xu4<3:1>vP<4:?04g<6:k1v9;50;0xZ13<5::26<7}:;931>:;4=22b>44e3twi?<<50;697?0|,:h;6>?=;n0a7?6=3`8h?7>5;h16>5<l?:448L6`73`;9m7>5;h31f?6=3f8<97>5;|`04c<72:0;6=u+3c2910=O;o:0e<50z&0f5<5??1C?k>4i00b>5<5<52z\1f6=:;8:1>:;4}r0`7?6=;rT9o>52303957g<5::m6<7}:;8;1>:;4=233>44f3ty875`=:>?01>??:00a?x{e;821<7:53;4x 6d72:;37b6<729q/?o>5549K7c65<6=44}c122?6=;3:1vP=b59>740=:>?0q~278=:4>2c9~w11=838pR994=236>44e3ty8=>4?:3y>741=:>?01>?9:00b?xu49=0;6?u23079623<5:;=6<1?78t$2`3>67b3f8i97>5;h0`7?6=3`9j6=44i2d94?=e;8n1<7=50;2x 6d72<<0D>h?;h31e?6=3`;9n7>5;n041?6=3th8=o4?:283>5}#;k:1985G3g28m44f2900e<c;297?6=8r.8n=4=779K7c65<6=44}r0a1?6=:rT9n85230a962353z\1g6=:;8n1=?o4=23a>44f3ty8m7>52z\0e>;49m0:>o5rs2d94?4|V:l01>?m:00a?xu4900;6?u230f9623<5:;h6<l?:207?j4e>3:17dt$2`3>00<@:l;7d?=a;29?l75j3:17b<85;29?xd4:80;6>4?:1y'7g6==<1C?k>4i00b>5<5<7>53;294~"4j909;;5G3g28m44f2900e<775=9;k01><>:00b?xu4?3:1>vP<7:?066<6:k1v9750;0xZ1?<5:8:6<7}:;;;1>:;4=201>44e3twi>ko50;697?0|,:h;6?hn;n0bb?6=3`8h?7>5;h1;>5<l?:448L6`73`;9m7>5;h31f?6=3f8<97>5;|`1b2<72:0;6=u+3c2910=O;o:0e<50z&0f5<5??1C?k>4i00b>5<5<52z\1ec=::o21>:;4}r0`7?6=;rT9o>522g;957g<5;l<6<7}::o31>:;4=3d;>44f3ty9j;4?:3y>6c1=:>?01?h7:00a?x{e:l21<7:53;4x 6d72;o37b=831i>h950;194?6|,:h;6884H2d3?l75i3:17d?=b;29?j40=3:17pl=e483>6<729q/?o>5549K7c65<6=44}c0f2?6=;3:1vP=ac9>6`0=:>?0q~h9513c897c2288j7p}<9;296~X41279i:4>2c9~w1>=838pR964=3g6>44e3ty9i>4?:3y>6`1=:>?01?k9:00b?xu5m=0;6?u22d79623<5;o=6<1?78t$2`3>6673f8i<7>5;h0`7?6=3`9i6=44i2f94?=e:ol1<7=50;2x 6d72<<0D>h?;h31e?6=3`;9n7>5;n041?6=3th9ji4?:283>5}#;k:1985G3g28m44f2900e<5<6=44}r0a4?6=:rT9n=522gg962353z\1g6=::ol1=?o4=3dg>44f3ty8n7>52z\0f>;5no0:>o5rs2f94?4|V:n01?hk:00a?xu5nk0;6?u22gd9623<5;ln6<kj5267897`b288i7psm2dg94?2=;3l?:3gf?j4fl3:17dj50;9a6`b=8391<7>t$2`3>00<@:l;7d?=a;29?l75j3:17b<85;29?xd5mk0;6>4?:1y'7g6==<1C?k>4i00b>5<5<53;294~"4j909;;5G3g28m44f2900e<hm52678yv4d;3:1?vP=c29>6`b=9;k01?km:00b?xu4j3:1>vPj50;0xZ6b<5;oi6<hj5267897cd288j7p}=e`83>7}::lh1>:;4=3g`>44e3twi?>950;794?6|,:h;6?9m;I1e4>o6:h0;66g>2c83>>o6:j0;66g>2e83>>i5?<0;66sm4c294?4=83:p(>l?:016?M5a82c:>44?::m130<722wi8lm50;094?6|,:h;6<=:;I1e4>o6:00;66a=7483>>{e7E=i0:k26<<722e9;84?::a0<6=8381<7>t$2`3>4523A9m<6g>2883>>i5?<0;66sm4`694?4=83:p(>l?:016?M5a82c:>44?::m130<722wi?8l50;194?6|,:h;6884H2d3?l75i3:17d?=b;29?j40=3:17pl<5583>6<729q/?o>5579K7c65<6=44}c17b?6==3:150z&0f5<2l2B8j=5f13c94?=n9;h1<75f13a94?=n9;n1<75`26794?=zj:996=4<:183!5e83?=7E=i0:k26d<722c:>o4?::m130<722wi?>:50;694?6|,:h;6874H2d3?l75i3:17d?=b;29?l75k3:17b<85;29?xd4;?0;6>4?:1y'7g6==?1C?k>4i00b>5<5<jj7>53;294~"4j90>;6F5<7s-9i<7;n;I1e4>o6:h0;66g>2c83>>o6:j0;66a=7483>>{e<1l1<7:50;2x 6d72h?;h31e?6=3`;9n7>5;h31g?6=3f8<97>5;|`7e6<72=0;6=u+3c291<=O;o:0e<5<5<53;294~"4j90>;6F5<7s-9i<7;:;I1e4>o6:h0;66g>2c83>>i5?<0;66sm48794?2=83:p(>l?:4;8L6`73`;9m7>5;h31f?6=3`;9o7>5;n041?6=3th9o84?:583>5}#;k:1955G3g28m44f2900e<?1<75rb26g>5<5290;w)=m0;301>N4n91b=?750;9l623=831vn978:181>5<7s-9i<7?<5:J0b5=n9;31<75`26794?=z{0<1<7mt^848964c20n015m59e9>e=<>l2788446d:?7=4<>l279o>46d:?1`6<>l279oo46d:?1ff<>l279h?46d:?71g<>l2wx8;850;0xZ10134kj6<<6;|q7f`<72;qU8ok4=5a2>7123ty??n4?:2y]06e<5=km6<i<7<85:p0d7=838pR9o>;<6b0?40=2wx>o750;1xZ7d>3498<7?=b:?071<6:h1v9;m:181[22j27?9o4=959~w=g=832pR5o4=20g>4ce342h6l?4=213>44c349887?=b:?073<6:h1684;513a897e2288j7p}<5983>6}Y;<201>;m:00a?853j3;9n6s|48394?4|V=3:70:61;0:0>{t<>o1<7;4l5rs5f0>5<2sW>o?63;ag826g=:44e34>297?=b:p0g7=838pR9l>;<6`4?75i2wx>o650;6xZ7d?3499h7?jc:?7e6<6:k16>n;513`8yv2fj3:1>vP;ac9>0de=:>?0q~==5;296~X4:<168lm513;8yv4ek3:1>vP=bb9>6ge=:0>0q~:7d;296~X30m1684>52678yv52?3:15v3<2e82ac=::mi1?894=3f2>630348h>7=:7:?720<4=>16?8l526789655288i70=<4;31g>;4;?0:>o5rs3f7>5<5s48o?7o>;<0gg?4c<2wx4n4?:2y>>513c891?4288j7p}<4883>6}:;=31>4:4=27a>44f349>87?=a:pe=<72=q6??j51dg89d>=:0>0198::`:891?4288i7p}<4b83>7}:;=31?:84=26g>7123ty?5?4?:3y>0<5=:>?0197::00b?xu31=0;6?u24879623<5=3<6<<6;|q7fc<72;q68n?513;891e72;=>7p}<3983>1}:;;n1>5?4=3f`>65?34>=97=<8:?00c<6:h1v>;?:181852<38<963<31826f=z{:926=4<{<11`?4?;27?:84<389>71`=9;h0q~=m6<;3><08?o5235d957b56z?06a<50016>no532a897bd2:9h70;5k;08?n52477976e54z?06a<50k16>i<5a09>6fg=;:n01?m=:21g?xu4;l0;6>u233f96=e<5;i96>=j;<651?54m2wx?>h50;7x964c2;2o70;5l808?k522b0976`<5=<>6>=i;|q7<`<72;q684>513;891>a2;=>7p};a383>7}::;4=5c5>44f3ty9on4?:3y>6fd=i816>i?52ba8yv4d;3:1jv3=c281=1=::l81>n=4=3d7>7e4349;:7e;0`7>;4:=09o>522gc96f5<5;o36?m<;<134?4d;279ih4=c29>0f6=9;i0196i:00`?xu5kk0;68u233f95`b<5;ii6?7;;<106?75i27?m>4>2b9>6f3=9;i0q~=97::c:p71c=838p1>:6:8g8962a2;=>7p};9783>7}:<0;1m<52485962357z?06a<6mh16>no533g897bd2:8n70;5k;08>h52477977c<5:9<6?9:;|q00d<72;q6?9l52678962c28827p}<2g83>7}:;:=1=?o4=213>7123ty8?<4?:3y>761=9;h01>==:356?xu4;:0;6?u2325957e<5:9?6?9:;|q070<72;q6?>9513f896512;=>7p};a483>7}:1=?74=5c5>7123tyj57>52z?bi<5286891g1288h7p}=d283>7}::m91>4:4=5c5>44e3ty9ni4?:3y>6ge=i816>n<52cf8yv2fm3:1>v3;b1826<=::;4}r0`0?6=;r79ol4=c59>6ae=:j>01?m::356?xu5im0;6?uQ2`f897cb2;ko7)=81;0bg>h4?90;7p}=ac83>7}Y:hh01?k7:3ca?!50938jo6`<7182?xu5j?0;6?uQ2c4896432;h=7)=81;0bg>h4?9097p}=b483>7}Y:k?01>?j:3`6?!50938jo6`<7180?xu5j=0;6?uQ2c68967?2;h?7)=81;0bg>h4?90?7p}=b283>7}Y:k901>?=:3`0?!50938jo6`<7186?xu5j;0;6?uQ2c08966d2;h97)=81;0bg>h4?90=7p}=b083>7}Y:k;01>>9:3`2?!50938jo6`<7184?xu5j90;6?uQ2c2896672;h;7)=81;0bg>h4?9037p}=ag83>7}Y:hl01?hn:3ce?!50938jo6`<718:?xu5il0;6?uQ2`g897`32;kn7)=81;0bg>h4?90j7p}=a`83>7}Y:hk01?k=:3cb?!50938jo6`<718a?xu4n3:1>vP53z\0`>;48908h63=ed80`>"4?808i6`<7182?xu4j3:1?vP"4?808i6`<7180?xu413:1>vP<9:?1a=<412.8;<452z\0<>;5nh0846*<7080a>h4?90>7p}<7;296~X4?278>94<7:&034<4m2d8;=49;|q02?6=:rT8:63<19802>"4?808i6`<7184?xu4=3:1>vP<5:?057<4=2.8;<452z\00>;48j0886*<7080a>h4?9027p}<3;296~X4;278<;4<3:&034<4m2d8;=4n;|q06?6=:rT8>63=f5806>"4?808i6`<718a?xu3i3:1>vP;a:?1a7<3i2.8;<452z\7=>;4:=0?56*<7080a>h4?90o7p};8;297~X30279jl4;8:?1a=<302.8;<452z\73>;4910?;6*<7080a>h4?90m7p};6;296~X3>278=?4;6:&034<4m2d8;=4>0:p00<72;qU885231a900=#;>;1?h5a362954=z{=>1<70(>9>:2g8j6172880q~:<:181[24348m87:<;%145?5b3g9<<7?<;|q0g?6=:rT8o63=e380g>"4?808i6`<71820>{zj=9>6=4>6;00>65|D:l96l?:06b?l?12900e<:9:188m4>e2900e<=9:188m40e2900c<6l:188m15d2900e5o50;9l5=c=831b8:k50;9j51d=831b8i=50;9j0g7=831d=;j50;9l5a2=831b??;50;9l026=831d=5650;9l5gd=831d8o4?::m60?6=3f>?n7>5;c1bf?6=j3;1nvB259j=a<722e94<4?::m1<6<722e9484?::m1<2<722e9444?::k2ad<722c:io4?::k2af<722c:ii4?::k2a`<722h:>?4?:c8;>`}K;o81=vF>f19~ 6d72:ki7d?ja;29?l7bj3:17d?jc;29?l7bl3:17d?je;29?l?a2900c?6>:188k7>42900c?6::188k7>02900c?66:188f`>=8391=7=tL2d1>4}O9o:0q)=m0;g4?lg62900c?7;:188m53;294~"4j90n?6F7}:?38286P=959~w70a2909wSo>;<59e4=zukon6=4<:080I5a:3;pD5;n0:0?6=3`3o6=44b683>6<729q/?o>5e29K7c61<75rs8f94?4|V0n01:47f:p63c=838p1:4=959]6<252z\b5>;02h;0qpljd;290?4==r.8n=4jd:kf5;h0;=?6=3fo26=44bda94?5=83:p(>l?:478L6`73`;9m7>5;h31f?6=3f8<97>5;|`ff?6=;3:144f3ty:ih4?:3y]5`c<5li1=?l4}r0;=?6=:rT94452ec826g=z{l31<7?0q~kn:1818cd2;=>70km:00b?x{en10;654::by'7g6=n11b=ho50;9ja=<722c9444?::k2a`<722c:io4?::k2af<722c:ii4?::mfb?6=3kl<6=4<:183!5e83?=7E=i0:k26d<722c:>o4?::m130<722wij<4?:283>5}#;k:19;5G3g28m44f2900e<5<7s-9i<7;9;I1e4>o6:h0;66g>2c83>>i5?<0;66smf483>0<729q/?o>55e9K7c65<5<6=44}cd5>5<2290;w)=m0;04f>N4n91b=?o50;9j57d=831b=?m50;9j57b=831d>:;50;9~w4cf2909wS?ja:?e3?75j2wxi54?:5y]a==:n>0:>l52f0826d=:n<0:>o5rs3::>5<4sW83563i1;31f>;a;3;9n6s|1dg94?4|V8on70h<:00b?xu6mk0;6?uQ1d`89c3=9;i0q~?jc;296~X6mj16j84>2e9~w4cc2909wS?jd:?e1?75i2wxik4?:3y]ac=:n?09;85rsg294?4|5o=1>:;4=g4957g71234l=6<b0<5?<16j;4>2e9~yg4?:3:1?7>50z&0f5<2>2B8j=5f13c94?=n9;h1<75`26794?=zj;2?6=4<:183!5e83?>7E=i0:k26d<722c:>o4?::m130<722wi>5850;194?6|,:h;6884H2d3?l75i3:17d?=b;29?j40=3:17pl=8983>6<729q/?o>5569K7c65<6=44}rg;>5<0s4o36?7;;`><5o21i552290957g<5;2?6<2c9~w4cf2909wS?ja:?e52z\2aa=:n10:ii5rs0gf>5<4sW;ni63jd;3fa>;a03;ni6s|9g83>6}Y1o16i546d:?fa??c3ty94<4?:3y]6=7<5;296?9:;|q1<6<72;qU>5=4=3:7>7123ty9484?:3y]6=3<5;2=6?9:;|q1<2<72;qU>594=3:;>7123ty9444?:9y]6=?<5lo1>4:4=df96=?<5o21>574=3:1>44e348387?=a:?1<3<6:h16>56513c8yvc>2909w0k7:`389`b=m01vhh50;0x9`c=i816j54jf:~w<`52z\1<4=:9;81>5?4}r0;7?6=:rT94>5213096=552z\1<0=:9;81>5;4}r0;3?6=:rT94:5213096=152z\1<<=:9;81>574}r3fe?6=:rT:il5213095`g52z\2ag=:9;81=hl4}r3fg?6=:rT:in5213095`e52z\2aa=:9;81=hj4}r3fa?6=:rT:ih5213095`c6}K;o81=vF>f19~H6`428q/8h;54:'7g6=:8i0el?50;9l6<2=831b5i4?::`4>5<4290;w)=m0;g0?M5a82F8j?4>{%300?2b92wb4k4?::kb5?6=3f8287>5;|q:`?6=:rT2h638:9d8yv41m3:1>v38:3;7?[4><2wx>;h50;0xZd7<5>0j=6srb04`>5<42808wA=i2;3xL4`73tF8j>4>{%6f1?25;h;g>5<0;6>4?:1y'7g6=m:1C?k>4i9d94?=ni80;66a=9583>>{t1m0;6?uQ9e9>3?>a3ty9:h4?:3y>3?4><2T9595rs34e>5<5sWk:7095a09~yg7f83:197<55zN0b7<6sA;m<6sC3g195~"3m<0?7p*>o>l3:17d7j:188m<1=831i;7>55;294~"4j90n96F5;h:e>5<:183!5e83;946F03j6s|9d83>7}Y1l16;77:;|q12`<72;q6?k?5135892<>?2wx>;h50;0x92<51=1U>4:4}r044?6=:rTj=638:`38yxd5=l0;694=:5yO7c4=9rB:j=5rL2d0>=}#4=#4=z,:h;65<<1<75m7;297?7=;rF8j?4>{I3e4>{#;k:1=k74i`394?=h:0>1<75f9e83>>d029086=4?{%1a4?c43A9m<6g7f;29?lg62900c?7;:188yv?c2909wS7k;<597}Yi816;7o>;|a045=8381<7>t$2`3>4523A9m<6g>2883>>i5?<0;66s|9e83>7}Y1m16;77k;|q1=1<72;qU>4:4=681=1=z{:==6=4={_142>;39:0:>45rs531>5<5s4=1m<52401962354;397~J4n;0:wE?i0:O7c5=0r.?i84;;%6f7?2492.?ho4n1:&7`a<51=1/8i759e9m0a3=92.?hk4<779m0a1=82w/?o>51gf8m5<42808wA=i2;3xL4`73t.8n=4>f89je4<722e9594?::k:`?6=3k=1<7=50;2x 6d72l90D>h?;h:e>5<;k50;0x92<51=1U>4:4}r05b?6=:rTj=638:`38yxu>l3:1>vP6d:?4>52z\1=1=:?38286s|36494?4|V:==7095a09~yg33290>6?4:{M1e6?7|@8l;7pB0(9k<:512?!2cj3k:7):kd;0:0>"3l002h6`;d482?!2ci33n7c:k6;38 1c72:kj7c:k8;38y!5e83;mi6g6d;29?l?b2900el?50;9l6<2=831b?lo50;9a3?6==3819vB51g;8md7=831d>4:50;9j=a<722c2i7>5;h;4>5<0;684?:1y'7g6=m<1C?k>4i8794?=n1>0;66g7f;29?lg62900c?7;:188yg5a93:1=7>50z&0f5<6:11C?k>4o004>5<0296s|27g94?4|5:l:6<<8;<59=2=z{;7}Yi816;7o>;|a07g=8391<7>t$2`3>7113A9m<6g>2`83>>o6:k0;66a=7483>>{t1m0;6?uQ9e9>3??c3ty2i7>52z\:a>;3:h0:>o5rs3;7>5<5sW828638:3;7?xu4ih0;6>uQ3`c892l4>2`9~w14>2909w0959d9>07g=:>?0qpl>8583>0<52{#;k:1=kl4i`394?=h:0>1<75f9e83>>o>m3:17d78:188f2<72<0;6=u+3c29a0=O;o:0e4;50;9j=2<722c3j7>5;hc2>5<=2wx>;k50;0x96`6288<7095969~w70a2909w0952868Z7?33ty9;=4?:3y]e4=:?3k:7psm1e294?3=:3?p@>h=:0yK5c61=z,:h;65<t$2`3>`3<@:l;7d7::188m<1=831b4k4?::kb5?6=3f8287>5;|`0b4<7280;6=u+3c2957><@:l;7b?=7;29?xu>l3:1>vP6d:?4>=`v38:3;7?[4><2wx>:>50;0xZd7<5>0j=6srb0:f>5<42808wA=i2;3xL4`73tF8j>4>{%6f1?25;h;g>5<0;6>4?:1y'7g6=m:1C?k>4i9d94?=ni80;66a=9583>>{t1m0;6?uQ9e9>3?>a3ty9:h4?:3y>3?4><2T9595rs34e>5<5sWk:7095a09~yg7c<3:1?7?53zN0b7<6sA;m<6sC3g195~"3m<0?7p*>o>l3:17o950;194?6|,:h;6h=4H2d3?l>a2900el?50;9l6<2=831v4j50;0xZ03j6s|27g94?4|5>09595Q2868yv41n3:1>vPn1:?4>d76}K;o81=vF>f19~H6`428q/8h;54:'7g6=:9?0el?50;9l6<2=831b5i4?::`4>5<4290;w)=m0;g0?M5a82c3j7>5;hc2>5<5<5sW3o70958g9~w70b2909w0952868Z7?33ty9:k4?:3y]e4=:?3k:7psm1c`94?5=939p@>h=:0yK5c61=z,:h;6?>:;hc2>5<50z&0f5>of93:17b<64;29?xu>l3:1>vP6d:?4>=`52z?4>7?33W8286s|27d94?4|Vh;01:4n1:~f1d=8391=7=tL2d1>4}O9o:0qA=i3;3x 1c22=1v(>l?:326?lg62900c?7;:188m53;294~"4j90n?6F5;n0:0?6=3ty2h7>52z\:`>;021l0q~<9e;296~;02;3?7S<64:p63`=838pRl?4=68b5>{zj:=36=4::386I5a:3;pDn97:4$5g0>1563->on7o>;%6g`?4><2.?h446d:l7`0<63->om77j;o6g2?7<,=nm6>99;o6g3?61<75f36494?=e?3:197<55zN0b7<6sA;m<6s+3c295c?5}#;k:1i85G3g28H6`528q/=>:54d38yl?22900e4950;9j5;n0:0?6=3th8j<4?:083>5}#;k:1=?64H2d3?j75?3:17p}6d;296~X>l27<65h4}r;f>5<5sW3n7095949~w70b2909w0=i1;313>;020=0q~<9f;296~;02;3?7S<64:p626=838pRl?4=68b5>{zj=836=4<:183!5e838<;6F02h6s|9d83>7}Y1l168?6513`8yv4><3:1>vP=959>3?4><2wx?:850;1xZ61134=1m<5243:957g9;7>52z?4>=83?1>7;tL2d1>4}O9o:0qA=i3;cx 1c22=1/8h=54238 1be2h;0(9jk:3;7?!2c133o7c:k5;38 1bf20o0b9j9:09'0a`=;><0b9j8:19~ 6d72;;;7d7k:188m5<22;0>wA=i2;3xL4`73t.8n=4>f89je4<722e9594?::k:`?6=3`3n6=44i8594?=e?3:197>50z&0f5>o>?3:17d6i:188md7=831d>4:50;9~f6`6290:6=4?{%1a4?7502B8j=5`13594?=z{0n1<77p}=6d83>7}:;o;1=?94=68:3>{t:?l1<70q~<80;296~Xf927<6l?4}|`76=<72:0;6=u+3c29621<@:l;7d?=a;29?l75j3:17b<85;29?xu>l3:1>vP6d:?4>3:1?vP<779>3?g634>947?=a:p071=838p1:46e:?76=<5?<1vqo:;b;291?5=>rF8j?4>{I3e4>{K;o91mv*;e487?!2b;3>8=6*;dc8b5>"3lm09595+4e;9=a=ih3l?0:7):j0;1be>h3l10;7p*0}K;o81=vF>f19~ 6d728l27do>:188k7?32900e4j50;9j=`<722c2;7>5;c594?3=83:p(>l?:d78L6`73`3>6=44i8594?=n0o0;66gn1;29?j4><3:17pl4<729q/?o>513:8L6`73f;9;7>5;|q:`?6=:rT2h638:9d8yv?b2909wS7j;<59=0=z{;{t:>:1<75<7s-9i<7?<5:J0b5=n9;31<75`26794?=zj=8j6=4<:183!5e838<;6F02h6s|9d83>7}Y1l168?o513`8yv4><3:1>vP=959>3?4><2wx?lo50;1xZ6gf34>947?=9:?76d<6:h1v9<6:18181=1l168?o52678yv25?3:1>v38:`38914?2;=>7psm1`794?1=;33p(>l?:0c6?j7f93:17d6n:188m42e2900e8:50;9j72>=831b=5l50;9j5d0=831i=l<50;794?6|,:h;68l4H2d3?l75i3:17d?=b;29?l75k3:17d?=d;29?j40=3:17pl>a283>6<729q/?o>5569K7c65<6=44}c3b0?6=;3:1N4n91b=?o50;9j57d=831d>:;50;9~w4g62909wS?n1:?2e1<5?<1v5o50;0xZ=g<58k96<44f3ty>87>52z\60>;6i:0:>l5rs25;>5<5sW9<463>a2826g=z{82i6=4={_3;f>;6i;0:>n5rs0c5>5<5sW;j:63>a3826a=z{8k96=4={<3b6?40=27:m94>2`9~w4g42909w0?n3;041>;6i=0:>o5r}c3:g?6=i3=1==u+3c2955<1<75f1`294?=n91l1<75f4e194?=n;hi1<75f19g94?=n;hn1<75f15794?=e90h1<7=50;2x 6d72h?;h31e?6=3`;9n7>5;n041?6=3th:5>4?:583>5}#;k:1945G3g28m44f2900e<<3:1?7>50z&0f5<5??1C?k>4i00b>5<5<53;294~"4j90>96F5<7s-9i<7;:;I1e4>o6:h0;66g>2c83>>i5?<0;66sm18;94?2=83:p(>l?:4;8L6`73`;9m7>5;h31f?6=3`;9o7>5;n041?6=3th:5l4?:583>5}#;k:1>:74H2d3?l75i3:17d?=b;29?l75k3:17b<85;29?xu6180;6?uQ183894?f2;=>7p}7}Y;hl01<7::00b?xu2<3:1?vP:4:?2=g<6:h16=4=513c8yv7f83:1>vP>a19>5vP;d29>5<5=9;i0q~=nc;296~X4ij16=49513c8yv7?m3:1?vP>8d9>5<1=9;h01<76:00a?xu4im0;6?uQ3`f894?>288h7p}>4483>7}Y9=?01<76:00b?xu61;0;6?u218`9623<583?6<7}:90>1>:;4=0;6>44e3ty9:k4?:3y>5<3=:>?01<7n:00b?xu61?0;6?u21859623<583j6<i6l<0;66g>o2<3:17d?n0;29?l7?n3:17d:k3;29?l5fk3:17d?k4;29?l5fl3:17d?;5;29?g7cn3:1?7>50z&0f5<2>2B8j=5f13c94?=n9;h1<75`26794?=zj8n<6=4;:183!5e83?j7E=i0:k26d<722c:>o4?::k26f<722e9;84?::a5a>=8391<7>t$2`3>7113A9m<6g>2`83>>o6:k0;66a=7483>>{e9m31<7=50;2x 6d72h?;h31e?6=3`;9n7>5;n041?6=3th:ho4?:283>5}#;k:1985G3g28m44f2900e<:;50;9~w4b22909wS?k5:?2``<5?<1v>oi:181[5fn27:h44>2`9~w02=839pR8:4=0fe>44e34;o;7?=b:p5d6=838pRa34;o;7?=c:p0a5=838pR9j<;<3g3?75i2wx?lm50;0xZ6gd34;on7?=a:p5a2=839pR2c9~w6gc2909wS=nd:?2`a<6:j1v<:::181[73=27:hi4>2`9~w4b12909w0?kf;041>;6l10:>l5rs0f4>5<5s4;o;7<85:?2`=<6:k1v?8j:18187c038<963>d8826g=z{;2`9~w4bf2909w0?kb;041>;6ll0:>o5rs0f`>5<5s4;oh7<85:?2``<6:j1vqo?71;29=?b=951938k40b2900e>oi:188m02=831b=5:50;9j5=`=831b8:k50;9j53b=831b?lm50;9j5=4=831i=::50;194?6|,:h;68;4H2d3?l75i3:17d?=b;29?j40=3:17pl>7483>6<729q/?o>5579K7c65<6=44}c343?6=;3:1N4n91b=?o50;9j57d=831d>:;50;9~f41?29086=4?{%1a4?40>2B8j=5f13c94?=n9;h1<75`26794?=zj8=26=4<:183!5e83?=7E=i0:k26d<722c:>o4?::m130<722wi=:o50;194?6|,:h;6?99;I1e4>o6:h0;66g>2c83>>i5?<0;66sm16`94?5=83:p(>l?:478L6`73`;9m7>5;h31f?6=3f8<97>5;|`23a<72:0;6=u+3c2910=O;o:0e<50z&0f5<2?2B8j=5f13c94?=n9;h1<75`26794?=zj82;6=4<:183!5e838<:6F5<7s-9i<7;:;I1e4>o6:h0;66g>2c83>>i5?<0;66sm16094?5=83:p(>l?:448L6`73`;9m7>5;h31f?6=3f8<97>5;|`236<72=0;6=u+3c2962?<@:l;7d?=a;29?l75j3:17d?=c;29?j40=3:17p}>6d83>7}Y9?o01<9<:356?xu4io0;6?uQ3`d8941e288j7p}:4;291~X2<27:;94>2`9>52?=9;k01<9k:00b?870n3;9m6s|19694?5|V82?70?84;31f>;6?m0:>o5rs0:e>5<4sW;3j63>74826g=:9>=1=?o4}r64a?6=;rT?;h52167957g<58=m6<44e34;<>7?=b:p7de=838pR>ol;<344?75i2wx=5<50;0xZ4>534;<>7?=a:p53`=838p1<9;:356?870i3;9m6s|16394?4|58=>6?9:;<3470?88;31f>{t:?o1<771234;<57?=b:p63`=838p1<96:356?870i3;9n6s|26294?4|58=j6?9:;<34f?75j2wx>:?50;0x941e2;=>70?83;31e>{t9>i1<771234;3<7?=a:p52c=838p1<9i:356?87?83;9n6s|26094?4|582;6?9:;<344?75j2wx>:=50;0x94172;=>70?83;31f>{t9>81<771234;5z&0f5<6ko1d=om50;9j7d`=831b994?::k2`5<722c:4k4?::k7f4<722c:no4?::k0ef<722c:4?4?::`2g7<72:0;6=u+3c2910=O;o:0e<50z&0f5<2>2B8j=5f13c94?=n9;h1<75`26794?=zj8i>6=4<:183!5e83?=7E=i0:k26d<722c:>o4?::m130<722wi=n850;194?6|,:h;6?99;I1e4>o6:h0;66g>2c83>>i5?<0;66sm1b594?5=83:p(>l?:448L6`73`;9m7>5;h31f?6=3f8<97>5;|`2g=<72:0;6=u+3c29620<@:l;7d?=a;29?l75j3:17b<85;29?xd6k00;6>4?:1y'7g6==<1C?k>4i00b>5<5<53;294~"4j90>96F5<7s-9i<7;8;I1e4>o6:h0;66g>2c83>>i5?<0;66sm1bg94?5=83:p(>l?:355?M5a82c:>l4?::k26g<722e9;84?::a5gc=8391<7>t$2`3>03<@:l;7d?=a;29?l75j3:17b<85;29?xd6k90;6>4?:1y'7g6==?1C?k>4i00b>5<5<54;294~"4j909;45G3g28m44f2900e<vP>bb9>5f7=:>?0q~=nf;296~X4io16=n7513c8yv33290>wS;;;<3`6?75i27:o:4>2`9>5fd=9;k01uQ1e2894e5288i70?lb;31f>{t91l1<7=t^0:e?87d;3;9n63>c4826d=z{=h:6=4<{_6a5>;6k:0:>l521bf957d53z\2fg=:9j?1=?l4=0a3>44e3ty8mn4?:3y]7de<58hn6<44f3ty:ni4?:3y>5f4=:>?017}:9j<1>:;4=0a4>44e3ty9:k4?:3y>5f1=:>?01c`83>7}:9jh1>:;4=0af>44f3ty:on4?:3y>5fb=:>?01c183>7}:9j:1>:;4=0a2>44d3twi=5j50;094?6|,:h;6<=:;I1e4>o6:00;66a=7483>>{e<>91<7<50;2x 6d7289>7E=i0:k26<<722e9;84?::a72g=83>1<7>t$2`3>0?<@:l;7d?=a;29?l75j3:17d?=c;29?j40=3:17pl>8`83>1<729q/?o>5589K7c65<5<?h7>53;294~"4j90>96F5<7s-9i<7;k;I1e4>o6:h0;66g>2c83>>o6:j0;66g>2e83>>i5?<0;66sm1`594?2=83:p(>l?:35:?M5a82c:>l4?::k26g<722c:>n4?::m130<722wi=4>50;694?6|,:h;6874H2d3?l75i3:17d?=b;29?l75k3:17b<85;29?xd60:0;6>4?:1y'7g6=:><0D>h?;h31e?6=3`;9n7>5;n041?6=3th8;n4?:283>5}#;k:1>:84H2d3?l75i3:17d?=b;29?j40=3:17pl=6183>7<729q/?o>51278L6`73`;957>5;n041?6=3th:4;4?:383>5}#;k:1=>;4H2d3?l7513:17b<85;29?xd6l;0;6?4?:1y'7g6=9:?0D>h?;h31=?6=3f8<97>5;|q:2?6=9;qU5;523``9=a=:9=?15i5217a9=a=:9h:15i5224g9=a=:1j02h63:4;;g?87?<33o70?k0;;g?87?m33o70?k4;;g?871l33o70?mb;;g?82e20n01>97:8f894>?20n019:m:8f8yv73>3:1>vP>479>01b=9;h0q~?7b;290~X60k16=l;519`894>f288j70=8c;31e>{t9:<1<76c83>7}Y9?h01<8l:`38yv7?k3:1>vP>8b9>5=b=:>?0q~:f2905=>=;><019:m:2cb?87f=32j70?60;31g>{t91o1<7=t^0:f?87?m382863>9b82<`=z{==n6=4<{_64a>;6080?;h52194957?56z\20g=:;hh1=hm4=0c6>42e349513`8yv2c;3:19vP;d29>5d6=i816=4m54e1894c72=n870:82;31`>{ti=63>d3826<=z{8;6>m095952193953b53z\2`1=:9m>1>4:4=0g3>4b33ty8>84?:3y]773<5==96<4=550>7123ty:454?:3y]5=><58236?7;;|q2fg<72:qU=ol4=0`a>7?334;hj7?mb:p0g<72;qU8o524c81=1=z{<>1<7ot^46896ge28oj70;;:3;7?87f=3??70?6c;77?87b83??70?71;77?87dn3??70?7d;31=>;4?h0:>l5rs56a>5<5sW>?n63;4c81=1=z{:kn6=4={<1bf?4?=27:m:4>2b9~w4b22909w0?k4;c2?87b83;o96s|3`d94?1|5:ki6?68;<3:g?5fn27:i=45=7=;hl014g6348=<7?=9:p72>=83om:0gg?8500382863>a4803==:91k1=?m4=56g>44f34;2<7?=a:p72?=838p1>97:8g8961f2;=>7p}>4483>1}:;hh1=hk4=066>7?334;2o7?;5:?2a5<6<<1v<7>:18187?m3k:70?6c;3:5>{t<;<1<77>>34>i6l?4}r;`>5<5s43h6?7;;<77>j7>52z?11`<4??16>;>52678yv7?=3:1>v3>858b5>;60?09;85rs0c5>5<5s4;j97?n6:?2e2<5?<1v9b82e5=:9l:1=l>4}r06a?6=11<4ih16=5:59d9>5a6=1l1v<6;:18187?<382863>8082<1=z{880827123ty:4?4?:2y>5=7=91801i64k4=56g>7123ty::n4?:3y>53e=:0>01<6n:00a?xu6000;6?u219:9=`=:91k1>:;4}r3g5?6=:r7:h=4n1:?2`7<5?<1vcg82`5=z{:=i6=4={<14e?75j278;n4=749~w6gd2903w0=nb;0;5>;>k39<:63>9b80ef=:9l:1?lm4=0:2>6gd34;hj7=nc:?2e2<6:h16=5=513`8yv5fl3:18v36gc34;j;7?=b:~f153290::7=k:40xH6`528qC=k>4}M1e7?4|f=o=6:94$5g6>3=z,:h;6<:6;[15b?7|:10vc<:9:188m1ed2900c9:>:188m1012900c<=9:188mf0=831b8l950;9l53>=831b5>4?::k2<`<722c2<7>5;n64a?6=3`;3;7>5;h:3>5<5<5<5<4}M1e7?7|,=o>6>5r$2`3>4423`3o6=44o3:2>5<5<5<5<5<5<551`:8m4cf2900e5=50;9l6=3=831d>5950;9l6=?=831d>5l50;9l6=e=831ii54?:282>6}K;o81=vF>f19~ 6d72l=0el?50;9l6<2=831b5i4?::`4>5<4290;w)=m0;g0?M5a82F8j?4>{%300?2b92wb4k4?::kb5?6=3f8287>5;|q:`?6=:rT2h638:9d8yv41m3:1>v38:3;7?[4><2wx>;h50;0xZd7<5>0j=6srbdg94?5=939p@>h=:0yK5c65<50z&0f5>{t1m0;6?uQ9e9>3?>a3ty9:h4?:3y>3?4><2T9595rs34e>5<5sWk:7095a09~yg`>29086<4<{M1e6?7|@8l;7p*of93:17b<64;29?l?c2900n:4?:283>5}#;k:1i>5G3g28H6`528q/=>:54d38yl>a2900el?50;9l6<2=831v4j50;0xZ03j6s|27g94?4|5>09595Q2868yv41n3:1>vPn1:?4>d76}K;o81=vF>f19~ 6d72l=0el?50;9l6<2=831b5i4?::`4>5<4290;w)=m0;g0?M5a82F8j?4>{%300?2b92wb4k4?::kb5?6=3f8287>5;|q:`?6=:rT2h638:9d8yv41m3:1>v38:3;7?[4><2wx>;h50;0xZd7<5>0j=6srb020>5<42808wA=i2;3xL4`73t.8n=4j7:kb5?6=3f8287>5;h;g>5<0;6>4?:1y'7g6=m:1C?k>4L2d1>4}#9:>18h?4}h:e>5<;k50;0x92<51=1U>4:4}r05b?6=:rTj=638:`38yxd6800;6>4>:2yO7c4=9rB:j=5r$2`3>`15<7s-9i<7k<;I1e4>J4n;0:w)?<4;6f5>{n0o0;66gn1;29?j4><3:17p}6d;296~X>l27<65h4}r05a?6=:r7<6?7;;_0:0>{t:?l1<7l:180>4<4sE9m>7?tH0d3?x"4j90n;6gn1;29?j4><3:17d7k:188f2<72:0;6=u+3c29a6=O;o:0@>h=:0y'562=0qd6i:188md7=831d>4:50;9~w{t:?o1<70q~<9f;296~Xf927<6l?4}|`eo5000;66g>ec83>>o6mm0;66g>ed83>>ibn3:17oh;:187>5<7s-9i<7;6;I1e4>o6:h0;66g>2c83>>o6:j0;66a=7483>>{en80;694?:1y'7g6==01C?k>4i00b>5<5<6=44}cd0>5<4290;w)=m0;042>N4n91b=?o50;9j57d=831d>:;50;9~w7>>2908wS<79:?e0?75k27m=7?=c:p5`d=839pR44f34l:6<52z\2a`=:n80:>o5rsdd94?4|Vll01k=52678yv`72909w0h;:356?8`4288j7p}i1;296~;a938<963i3;31f>{zjol1<7853;:x 6d72ol0e?66:188m4cc2900e5}#;k:19l5G3g28m44f2900e<2`83>>o6:k0;66a=7483>>{enm0;694?:1y'7g6=:>30D>h?;h31e?6=3`;9n7>5;h31g?6=3f8<97>5;|q1<<<72:qU>574=gg957e<5oi1=?o4}r3f`?6=:rT:ii52fd826g=z{8on6=4={_3fa>;am3;9m6s|1d`94?4|V8oi70hl:00a?xu50>0;6?uQ29589cb=9;i0q~hn:181[`f34lo6?9:;|qef?6=:r7mi7<85:?e`?75i2wxjn4?:3y>bf<5?<16ji4>2c9~yg76=3:1:7:59z&0f5<69<1b>5?50;9j5`e=831b=ho50;9j6=e=831b=hl50;9l55b=831i=<:50;194?6|,:h;6884H2d3?l75i3:17d?=b;29?j40=3:17pl>0g83>6<729q/?o>5579K7c65<6=44}c325?6=;3:1N4n91b=?o50;9j57d=831d>:;50;9~f474290>6=4?{%1a4?40j2B8j=5f13c94?=n9;h1<75f13a94?=n9;n1<75`26794?=z{;2:6=4;{_0;5>;69=0:>o5211d957d<58;:6<44f3ty:il4?:3y]5`g<58:m6<5m4=030>44d3ty:io4?:3y]5`d<58;:6<7123ty:542=:>?01l?:4a8L6`73`;9m7>5;h31f?6=3`;9o7>5;h31`?6=3f8<97>5;|q2ad<72:qU=ho4=036>4cf34;;n7?=c:p5`d=83?pR4ce34lm62`9~w4cd2908wS?jc:?250<6mj16==l513f8yv7bl3:1?vP>ee9>b=<6mm16jk4>ee9~w4cb2908wS?je:?e;bm33o70h6:8f8946720n01<><:8f8946>20n01<>l:8f8yv4?93:18vP=809>55e=:0>0170??0;c2?877;38286s|29594?5|V;2<70??0;0:0>;an383;6s|29;94?2|V;2270h6:3;7?8`?2;2270hi:3::?xu50k0;6>uQ29`89`>=i816ih4=959~w7>d2908wS<7c:?f<27:=84=8b9~w``=838p1hk5a09>b=b<55d=:>?0q~??d;296~;68j0j=63>14824a=zuz3o6=4={_;g?875:33m7p}=8083>7}Y:1;01<<=:3:2?xu50:0;6?uQ291894452;287p}=8483>7}Y:1?01<<=:3:6?xu50>0;6?uQ295894452;2<7p}=8883>7}Y:1301<<=:3::?xu50k0;6?uQ29`894452;2i7p}=8b83>7}Y:1i01<<=:3:`?xu6mh0;6?uQ1dc8944528oj7p}>ec83>7}Y9lh01<<=:0ga?xu6mj0;6?uQ1da8944528oh7p}>ee83>7}Y9ln01<<=:0gg?xu6ml0;6?uQ1dg8944528on7psm9583>6<62:qG?k<51zJ2b5=zD:l86{#;k:1>=;4i`394?=h:0>1<75f9e83>>d029086=4?{%1a4?c43A9m<6g7f;29?lg62900c?7;:188yv?c2909wS7k;<597}Yi816;7o>;|a54}O9o:0qA=i3;3x 1c22:1v(>l?:326?lg62900c?7;:188m53;294~"4j90n?6F5;n0:0?6=3ty2h7>52z\:`>;021l0q~<9e;296~;02;3?7S<64:p63`=838pRl?4=68b5>{zj8o:6=4<:080I5a:3;pDn97=4}%1a4?47=2cj=7>5;n0:0?6=3`3o6=44b683>6<729q/?o>5e29K7c6>i51=0;66s|9e83>7}Y1m16;76i;|q12`<72;q6;7<64:\1=1=z{;{I3e4>{K;o91=v*;e480?x"4j909=o5fa083>>i51=0;66g6d;29?g1=8391<7>t$2`3>`5<@:l;7d6i:188md7=831d>4:50;9~fd6=8381<7>t$2`3>4523A9m<6g>2883>>i5?<0;66s|9e83>7}Y1m16m=4>289~w70b2909w0958g9>e5<5?<1v?8i:18181=:0>0R?7;;|q135<72;qUm<527;c2?x{ell0;6>4=:5yO7c4=9rB:j=5rL2d0>4}#5<7s-9i<7k<;I1e4>o?n3:17do>:188k7?32900qoo?:181>5<7s-9i<7?<5:J0b5=n9;31<75`26794?=z{0n1<752678yv41n3:1>v38:3;7?[4><2wx>:>50;0xZd7<5>0j=6srbb;94?1=:3hp@>h=:0yK5c66=#1c03->oh7<64:&7`<<>l2d?h84?;%6gb?50>2d?h:4>;|&0f5<6n?1Q4h4>{3`9y_51n38p>i4<1;j721=831b5i4?::k033<722e95l4?:%0:6?4>12d95<4?;:m1==<72-82>7<69:l1=4<632e95:4?:%0:6?4>12d95<4=;:m1=0<72-82>7<69:l1=4<432h<6=4m:48`I5a:3;pDn?7:<1:&7`g7?=uS9=j7=1<75f9e83>>o4??0;66a=9`83>!4>:38256`=9083?>i5110;6)<62;0:=>h5180:76a=9683>!4>:38256`=9081?>i51<0;6)<62;0:=>h5180876gn7;29 7?52h<0b?7>:198md3=83.95?4n6:l1=4<632cj87>5$3;1>d0d0290>6947{M1e6?7|@8l;7pB8=6*;dc8b5>"3lm09595+4e;9=a=i>i51=0;66g>o4??0;66l8:180>4<4sE9m>7?tH0d3?x"4j90:j45fa083>>i51=0;66g6d;29?g1=8391<7>t$2`3>`5<@:l;7d6i:188md7=831d>4:50;9~w{t:?o1<70q~<9f;296~Xf927<6l?4}|`756<72:0;6=u+3c2913=O;o:0e<50z&0f5<5??1C?k>4i00b>5<5<7E=i0:k26<<722e9;84?::p=a<72;qU5i529;31=>{ti80;6?uQa09>07b=9;h0q~<64;296~X51=16;7<64:p7dg=838pR>on;<61`?75i2wx?:850;0xZ61134>:?7?=a:pi4=749~w1752909w095a09>045=:>?0qpln:187>6<1sE9m>7?tH0d3?xJ4n:0"3lk0j=6*;de81=1=#"3lo08;;5a4e595>{#;k:1>==4i8f94?=ni80;66a=9583>>o4??0;66l8:180>4<4sE9m>7?tH0d3?x"4j90:j45fa083>>i51=0;66g6d;29?g1=8391<7>t$2`3>`5<@:l;7d6i:188md7=831d>4:50;9~w{t:?o1<70q~<9f;296~Xf927<6l?4}|`756<72:0;6=u+3c2913=O;o:0e<t$2`3>4523A9m<6g>2883>>i5?<0;66s|9e83>7}Y1m1657?=9:pe4<72;qUm<52401957d52z\1=1=:?38286s|36494?4|V:==70:>3;31e>{t03:1>v38:8f89<<5?<1v9?=:18181=i8168<=52678yxd6=3:187=56zN0b7<6sA;m<6sC3g193~"3m:0??<5+4e`9e4=#4:4$5f:>6=5+4ed97207643`3o6=44i`394?=h:0>1<75f36494?=e?3:1?7?53zN0b7<6sA;m<6s+3c295c?5<7s-9i<7k<;I1e4>o?n3:17do>:188k7?32900q~7k:181[?c34=14k5rs34f>5<5s4=1>4:4^3;7?xu5>o0;6?uQa09>3?g63twi8<=50;194?6|,:h;6884H2d3?l75i3:17d?=b;29?j40=3:17pl6:181>5<7s-9i<7?<5:J0b5=n9;31<75`26794?=z{0n1<74:4=681=1=z{:==6=4={_142>;39:0:>l5rs983>7}:?33o70752678yv26:3:1>v38:`3891742;=>7psm2583>1<42?qG?k<51zJ2b5=zD:l86:u+4d19067<,=ni6l?4$5fg>7?33->o577k;o6g1?6<,=nm6>99;o6g3?75<6<62:qG?k<51zJ2b5=z,:h;65<50z&0f5>of93:17b<64;29?xu>l3:1>vP6d:?4>=`52z?4>7?33W8286s|27d94?4|Vh;01:4n1:~f17429086=4?{%1a4?313A9m<6g>2`83>>o6:k0;66a=7483>>{e13:1>7>50z&0f5<6;<1C?k>4i00:>5<6=44}r;g>5<5sW3o707513;8yvg62909wSo>;<627?75j2wx>4:50;0xZ7?334=1>4:4}r142?6=:rT8;;52401957g;>2;=>7p};1383>7}:?3k:70:>3;041>{zj;3h6=4<:183!5e838<:6F98:180[50?27<6>on;<0:g?75j2wx5i4?:4y]=a=:?33o70o59e9>50<>l279877k;|q033<72:qU?:84=68033=::0i1=?o4}r0:f?6=99;<36>611348?6>99;<0:g?40=2wx>4o50;0xZ7?f348?6?7;;%145?4>12d8;=4?;|q1==<72;qU>464=0796<2<,:=:6?76;o144?752z\1=2=:i38286*<7081=<=i;>:1>6s|28794?4|V;3>70952868 6162;327c=80;18yvg02909wSo8;<07>d7<,:=:6l84n253>5=z{h?1<7:1?6srb342>54:{%6f7?25j2.?hn4nd:&7a<oi7=:e:&7a=<03t.8n=4>f59Y<`<5s;i1>l4rZ24e>7}5m38<6pa<6683>!4>:39=:6`=9083?>i4><0;6)<62;152>h5180:76a<6583>!4>:39=:6`=9081?>i4=o0;6)<62;152>h5180876gm6;29 7?52k?0b?7>:198mg2=83.95?4m5:l1=4<632ci?7>5$3;1>g3d5><0;6>4>:2yO7c4=9rB:j=5r$2`3>77c3`ki6=44i`a94?=h;l?:2d`?lge2900elm50;9l70c=831i?k750;194?6|,:h;6884H2d3?l75i3:17d?=b;29?j40=3:17pl6<729q/?o>5579K7c65<6=44}c1ef?6=;3:12`9~wde=839pRlm4=2d:>44f349mm7?=b:p70c=838pR>;j;<1ef?40=2wx?k650;0x96`>2;=>70=ib;31e>{t;o31<7712349mn7?=b:~wdd=838pRll4=2d`>dd7}Y;hl:27f?x{e:?<1<7=51;1xH6`528qC=k>4}%1a4?46l2cjn7>5;hc`>5<5<42:0>w)=m0;1eg>ofj3:17dol:188k63b2900n>h6:180>5<7s-9i<7;9;I1e4>o6:h0;66g>2c83>>i5?<0;66sm3gc94?5=83:p(>l?:448L6`73`;9m7>5;h31f?6=3f8<97>5;|`0bg<72:0;6=u+3c29620<@:l;7d?=a;29?l75j3:17b<85;29?xufj3:1?vPnb:?0b<<6:k16?ko513c8yvgd2908wSol;<1e=?75i278jl4>2c9~w63b2909wS=:e:?0bg<5?<1v>h7:18185a138<9632c9~yvge2909wSom;<1eg?ge3tyjo7>52z\bg>;4nj0jo6s|34g94?4|V:?n70=ic;16a>{zj;<<6=4<:080I5a:3;pDi7>5;c1eg?6=;3919v*?1<75rb2db>5<4290;w)=m0;75?M5a82c:>l4?::k26g<722e9;84?::a7cd=8391<7>t$2`3>7113A9m<6g>2`83>>o6:k0;66a=7483>>{tik0;6>uQac9>7c?=9;h01>hn:00b?xufk3:1?vPnc:?0b<<6:h16?ko513`8yv52m3:1>vP<5d9>7cd=:>?0q~=i8;296~;4n009;8523g`957g52z?0bd<5?<16?kl513`8yxufj3:1>vPnb:?0bf5<5sW9>i63:?7>53;294~"4j90>96F5<7s-9i<7;:;I1e4>o6:h0;66g>2c83>>i5?<0;66sm37g94?4=83:p(>l?:016?M5a82c:>44?::m130<722wx8<<50;1x97012hh019?<:356?826=3;9n6s|40694?4|5;<<6ll4=536>7123ty8::4?:3y]731<5;<<6>;j;%145?51>2d8;=4?;|q020<72;qU?;;4=345>63b3-9<=7=96:l035<63ty8:94?:3y]732<5;<>6>;j;%145?51>2d8;=4=;|q01c<72;qU?8h4=24f>7123-9<=7=96:l035<43tyi:7>52z\a2>;5>>0jo6*<708a1>h4?90;7p}m4;297~Xe<279:;4nc:?750<6:h1/?:?5b49m726=92wxn>4?:2y]f6=::??1mn52401957g<,:=:6o;4n253>7=z{ho1<7:t^`g897022hh019?<:00a?851m3;956*<708a1>h4?9087ps|36594?4|V:=<70953658yv?c2909wS7k;<59=a=z{:==6=4={_142>;02:==7p}=9`83>6}Y:0k01:4=9`9>637=j?1/?:?528;8j617291v?77:180[4>027<6?77;<055?d33-9<=7<69:l035<63ty95:4?:2y]6<1<5>095:522739f6=#;>;1>474n253>7=z{;3>6=4<{_0:1>;02;3>70<91;cf?!50938256`<7180?xu38=0;6?u27;c4?841939=;6*<708746=i;>:1<6s|41094?4|5>0j963=608020=#;>;18==4n253>4=z{=::6=4={<59e1=::?;1?;:4$252>1643g9<<7<4}r1eb?6=:r7<6l<4=342>63a3-9<=7:?3:l035<43twi8n<50;797?0|D:l96l?:327?l?c2900e4k50;9je4<722e9594?::k033<722h<6=4::386I5a:3;pD5;h;f>5<a2900el?50;9l6<2=831vn>h>:182>5<7s-9i<7?=8:J0b5=h9;=1<75rs8f94?4|V0n01:47f:p=`<72;qU5h527;;6?xu5>l0;6?u23g39571<5>02;6s|27d94?4|5>09595Q2868yv4083:1>vPn1:?4>d74?:383>5}#;k:1=>;4H2d3?l7513:17b<85;29?xd3:10;6>4?:1y'7g6=:>=0D>h?;h31e?6=3`;9n7>5;n041?6=3ty2h7>52z\:`>;020n0q~7j:181[?b34>947?=a:p6<2=838pR?7;;<596<253z\033=:<891=?74=50;>44e3ty?=?4?:3y>3?g634>:?7<85:p071=838p1:46e:?76=<5?<1vqo?98;290?4==rF8j?4>{I3e4>{K;o91=v*;e480?x"4j909<;5fa083>>i51=0;66g6d;29?l1a2900n:4?:583>5}#;k:1i95G3g28m<1=831b4k4?::kb5?6=3f8287>5;|`b4?6=:3:17123ty52z\4b>;020=0q~<9f;296~;02;3?7S<64:p626=838pRl?4=68b5>{zj8>=6=4;:386I5a:3;pDn97=4}%1a4?47>2cj=7>5;n0:0?6=3`3o6=44i6d94?=e?3:187>50z&0f5>o?n3:17do>:188k7?32900qoo?:181>5<7s-9i<7?<5:J0b5=n9;31<75`26794?=z{0n1<752678yv1a2909wS9i;<59=2=z{;7}Yi816;7o>;|a5d?=8391=7=tL2d1>4}O9o:0qA=i3;3x 1c22:1v(>l?:326?lg62900c?7;:188m53;294~"4j90n?6F5;n0:0?6=3ty2h7>52z\:`>;021l0q~<9e;296~;02;3?7S<64:p63`=838pRl?4=68b5>{zj89=6=4<:080I5a:3;pDn97=4}%1a4?47=2cj=7>5;n0:0?6=3`3o6=44b683>6<729q/?o>5e29K7c6>i51=0;66s|9e83>7}Y1m16;76i;|q12`<72;q6;7<64:\1=1=z{;4<4sE9m>7?tH0d3?xJ4n:0:w):j5;18y!5e838;96gn1;29?j4><3:17d7k:188f2<72:0;6=u+3c29a6=O;o:0e5h50;9je4<722e9594?::p=a<72;qU5i527;:e?xu5>l0;6?u27;0:0>X51=1v?8i:181[g634=1m<5r}c65`?6=;3818vBh?;h:e>5<7E=i0:k26<<722e9;84?::p=a<72;qU5i52a1826<=z{;5<5s4=1>4:4^3;7?xu5?90;6?uQa09>3?g63twini4?:282>6}K;o81=vF>f19~H6`428q/8h;53:'7g6=:9=0el?50;9l6<2=831b5i4?::`4>5<4290;w)=m0;g0?M5a82F8j?4>{%300?2b92wb4k4?::kb5?6=3f8287>5;|q:`?6=:rT2h638:9d8yv41m3:1>v38:3;7?[4><2wx>;h50;0xZd7<5>0j=6srb562>5<42;0?wA=i2;3xL4`73tF8j>4>{%6f1?5b:kb5?6=3f8287>5;h;g>5<0;6>4?:1y'7g6=m:1C?k>4i9d94?=ni80;66a=9583>>{ei90;6?4?:1y'7g6=9:?0D>h?;h31=?6=3f8<97>5;|q:`?6=:rT2h63n0;31=>{t:?o1<7;f838<96s|27d94?4|5>09595Q2868yv4083:1>vPn1:?4>d71}K;o81=vF>f19~H6`428q/8h;53:'7g6=:8h0el?50;9l6<2=831b5i4?::`4>5<4290;w)=m0;g0?M5a82c3j7>5;hc2>5<5<5290;w)=m0;301>N4n91b=?750;9l623=831v4j50;0xZ52z?4>7?33W8286s|26294?4|Vh;01:4n1:~f1d629086?4;{M1e6?7|@8l;7pBof93:17b<64;29?l?c2900n:4?:283>5}#;k:1i>5G3g28m=`=831bm<4?::m1=1<722wim=4?:383>5}#;k:1=>;4H2d3?l7513:17b<85;29?xu>l3:1>vP6d:?b4?7512wx>;k50;0x9252z\b5>;02h;0qpl>b083>3<421q/?o>51c38k4gd2900e2`83>>o6:k0;66a=7483>>{e9ho1<7=50;2x 6d72<<0D>h?;h31e?6=3`;9n7>5;n041?6=3th:mk4?:583>5}#;k:1>:74H2d3?l75i3:17d?=b;29?l75k3:17b<85;29?xu6ij0;6?uQ1`a894ga2;=>7p}>b583>7}Y9k>01b`83>7}Y9kk01a883>7}Y9h301l?:061?j74j3:17d?m4;29?l74?3:17d?m5;29?l7e>3:17d?m7;29?l7e03:17d?<9;29?l7e:3:17d?<6;29?g7393:1?7>50z&0f5<2=2B8j=5f13c94?=n9;h1<75`26794?=zj89o6=4<:183!5e83?=7E=i0:k26d<722c:>o4?::m130<722wi=>h50;194?6|,:h;6884H2d3?l75i3:17d?=b;29?j40=3:17pl>4183>2<729q/?o>526g8L6`73`;9m7>5;h31f?6=3`;9o7>5;h31`?6=3`;9i7>5;h31b?6=3f8<97>5;|q27g<72;qU=>l4=063>7123ty:n94?:3y]5g2<58>:6<94=062>44e3ty:n84?:3y]5g3<58>;6<44d3ty:n:4?:3y]5g1<58>;6<44e3ty:?44?:3y]56?<589o6<44f3ty:?;4?:3y]560<589m6<3e83>7}:9:n1>:;4=063>44b3ty:?h4?:3y>56`=:>?01<:?:00e?x{e=:0;644<:cy'7g6==:1d8h4?::k2f1<722c:?:4?::k2f0<722c:n;4?::k2f2<722c:n54?::k2f7<722c?n7>5;c71>5<4290;w)=m0;76?M5a82c:>l4?::k26g<722e9;84?::a15<72:0;6=u+3c2913=O;o:0e<?0q~?m4;296~X6j=169?4>2`9~w4502909wS?<7:?66?75j2wx=o;50;0xZ4d234?:6<52z\2f2=:=80:>i5rs0`;>5<5sW;i463:1;31a>{t9k81<7<7?=b:p0c<72;q69?4=749>14<6:h1v8>50;0x906=:>?018?513d8yxd3?00;6?4?:1y'7g6=9:?0D>h?;h31=?6=3f8<97>5;|`gg?6=;3:1N4n91b=?o50;9j57d=831d>:;50;9~f4?a29086=4?{%1a4?40>2B8j=5f13c94?=n9;h1<75`26794?=zjm:1<7=50;2x 6d72<<0D>h?;h31e?6=3`;9n7>5;n041?6=3tho>7>53;294~"4j90>:6F5<7s-9i<7;7;I1e4>o6:h0;66g>2c83>>o6:j0;66a=7483>>{e9?k1<7:50;2x 6d72;=j7E=i0:k26d<722c:>o4?::k26f<722e9;84?::a03`=8391<7>t$2`3>7113A9m<6g>2`83>>o6:k0;66a=7483>>{ek:0;6>4?:1y'7g6=:><0D>h?;h31e?6=3`;9n7>5;n041?6=3thon7>55;294~"4j90>i6F?1<75rb5a:>5<2290;w)=m0;7g?M5a82c:>l4?::k26g<722c:>n4?::k26a<722e9;84?::a020=8391<7>t$2`3>00<@:l;7d?=a;29?l75j3:17b<85;29?xd3?k0;6>4?:1y'7g6=:><0D>h?;h31e?6=3`;9n7>5;n041?6=3th?;54?:283>5}#;k:1985G3g28m44f2900e<5<7s-9i<7<86:J0b5=n9;k1<75f13`94?=h:>?1<75rb0ca>5<3290;w)=m0;7:?M5a82c:>l4?::k26g<722c:>n4?::m130<722wi=o=50;:94?6|,:h;6?9i;I1e4>o6:h0;66g>2c83>>o6:j0;66g>2e83>>o6:l0;66g>2g83>>o6;90;66a=7483>>{e9:21<7=50;2x 6d72h?;h31e?6=3`;9n7>5;n041?6=3th:894?:283>5}#;k:1>:84H2d3?l75i3:17d?=b;29?j40=3:17pl>3`83>6<729q/?o>5579K7c65<6=44}c`e>5<3290;w)=m0;7:?M5a82c:>l4?::k26g<722c:>n4?::m130<722wio84?:483>5}#;k:1>:l4H2d3?l75i3:17d?=b;29?l75k3:17d?=d;29?j40=3:17pll1;297?6=8r.8n=4:5:J0b5=n9;k1<75f13`94?=h:>?1<75rb560>5<3290;w)=m0;7:?M5a82c:>l4?::k26g<722c:>n4?::m130<722wi89950;494?6|,:h;6?9k;I1e4>o6:h0;66g>2c83>>o6:j0;66g>2e83>>o6:l0;66a=7483>>{e<1>1<7:50;2x 6d72<30D>h?;h31e?6=3`;9n7>5;h31g?6=3f8<97>5;|`7<3<72:0;6=u+3c2913=O;o:0e<50z&0f5<5?m1C?k>4i00b>5<5<5<6=44}c6;N4n91b=?o50;9j57d=831d>:;50;9~f1d029086=4?{%1a4?313A9m<6g>2`83>>o6:k0;66a=7483>>{eo4?::k26f<722c:>i4?::k26`<722e9;84?::a0g?=8391<7>t$2`3>03<@:l;7d?=a;29?l75j3:17b<85;29?xd4k80;6?4?:1y'7g6=9:?0D>h?;h31=?6=3f8<97>5;|`7gg<72;0;6=u+3c29563<@:l;7d?=9;29?j40=3:17pl>4983>7<729q/?o>51278L6`73`;957>5;n041?6=3th?884?:383>5}#;k:1=>;4H2d3?l7513:17b<85;29?xd30h0;6?4?:1y'7g6=9:?0D>h?;h31=?6=3f8<97>5;|`7fg<72;0;6=u+3c29563<@:l;7d?=9;29?j40=3:17p}>4783>1}Y9=<01382863>45826g=z{=ih6=4={_6`g>;4k80:>45rs562>5<3sW>?=63>6984b>;6;6>h0:>o5rs015>5<3sW;8:63>3781=1=:9=81=>84=5a4>44e3tyh:7>53z\`2>;cm3k:70j=:00b?xu3i>0;6?uQ4`58940f288j7p}>6983>1}Y9?20145826d=z{091<76t^8189<2=i816?oh59e9>``<>l27h577k;<6`6??c34;=477k;<372??c3ty:4h4?:3y]5=c<583o6l?4}r;3>503b=1m16ni46d:?704<>l27?;h46d:?7f4<>l2wx8:k50;1xZ11b34>2`9~w=6=83?pR5>4=0c;>4cd34><47?=a:?2eg<6:k16=>6513`8yv2e93:1?vP;b09>0g7=:0>019l8:00b?xu6l:0;6?uQ1e1891d>288j7p}>d583>7}Y9m>01:`38yv23i3:1>vP;4`9>51>=9;30q~:9d;296~X3>m168;j52868yv20<3:1>vP;759>02?=:>?0q~:m:180[2e34>i6?7;;<70>1dh>7>53z\7g7=:4:4=ea957g44e34ni6?9:;|q:0?6=?r7:m54>ec9>=1<51=16=ll513c8945f288j70li:00b?823;3;9m63;85826d=z{83o6=4<{<3:`?4><27:5k4>2`9>0=2=9;h0q~m=:1818dc2h;01n=52678yve32909w0m::356?8e6288i7p}>6883>7}:9?21m<5217c962352z?73g<5?<168:6513`8yv5en3:1>v34=749>011=9;k0q~:mc;296~;3jm09;8524c;957d7?334>70:md;31f>{t71234>in7?=9:p``<72;q6hh4=959>`7<6:k1v<:<:181873<38<963>3`826g=z{jl1<7:;4}rf2>5<4s4i26>98;44f34n96?9:;|qg3?6=:r7o<7?=b:?g70:;5;31=>{t61134>h;7<85:p511=838p1<:9:`38942?2;=>7p};8283>6}:<1>1>:;4=5:`>44f34>ih7?=a:p0=3=838p1969:356?82?k3;9n6s|49594?4|5=236?9:;<6;e?7512wx8;k50;0x910c2h;0198i:356?xu6m80;6>u21d396<2<583m6<44e3tyo57>52z?gg?40=27::l4>2b9~w4?b290=w0?n8;3fe>;61o09;852464957g<58ki6<4>2c9~wgc=838p1n=513c89g`=:>?0q~m?:1818e4288i70m>:356?xu6ij0;6?u21`;9e4=:9k;1=lm4}r641?6=:r7?;;4=749>02d=9;h0q~:87;296~;3?00:>45246:96237>54z?2f4<6j;16=9<51c08905=9k801:;4}r30f?6=:r7:?;4n1:?207<6;k1v9k50;0x91d=i8169>4;e:p`6<72:q6h94=749>`=<6:h168nl513;8yvb22909w0j9:356?8b?288i7p}>b583>=}:9h21>5?4=0`2>4d334;?>7?m4:?67?7e<27:n>4>2`9>fc<6:j1689=513a891>3288h7p}>b483>=}:9h21>5=4=061>4d234?86b783>=}:9h21>5;4=061>4d134?86b683><}:9h21>594=061>4d034?864>2e9>g0<6:j16899513f891>d288o70:md;31`>{t9k21<77t=0c;>7>>34;?>7?m8:?67?7e027?:k4>2c9>5g5=9;o01n;513f89120288n70:7c;31a>;3jm0:>h5rs0`:>5<5s4;j47<7b:?2f6<6:o1vb082fd=:9k91=>>4}r670?6=:r7?8<4n1:?700<5?<1v9ln:18182e93k:70:mb;041>{t?288i7p};8883>7}:<>o1m<5249c962352z?2f4<6ih16=ll52678yv7f13:1?v3>a881=1=:9k;1=l74=555>44e3ty:?:4?:2y>514=9:=018=51258945?2;=>7p}>3883>7}:9=81=>74=01b>7123ty?o54?:3y>0f1=9;k019m6:356?xudm3:19v3l9;0:e>;cj3;9h63k4;31`>;c>3;9h63;c8826g=#;>;1oi5a36294>{tkj0;68u2c881===:lk0:>n52d5826f=:l?0:>o524b;957b<,:=:6nj4n253>4=z{jh1<7;t=b;96<1<5mh1=?l4=e6957d<5m<1=?o4=5a:>44f3-9<=7mk;o144?47?234ni6<44f34n=6<{I3e4>{K;o91>v`;e78;7>"3m<0=7p*\4>o0=w<<5d;3`>7`=::08<7s`34594?=n1?0;66g<7e83>>i4=90;66g7a;29?j2283:17dm9:188m6?22900e99l:188k1gf2900c5>50;9j0a5=831d>4j50;9j6g>=831i=h<50;:95?>|D:l9662900c?6<:188k7>22900c?68:188k7>>2900e<7?tH0d3?x"4j90:i?5f1dc94?=n9lh1<75f9g83>>i5080;66a=8283>>i50<0;66a=8683>>i5000;66lj8;297?7=;rF8j?4>{I3e4>{#;k:1i:5fa083>>i51=0;66g6d;29?g1=8391<7>t$2`3>`5<@:l;7A=i2;3x 4532=o:7pg7f;29?lg62900c?7;:188yv?c2909wS7k;<597}Yi816;7o>;|aa`<72:0:6>uC3g095~N6n91v(>l?:d58md7=831d>4:50;9j=a<722h<6=4<:183!5e83o87E=i0:N0b7<6s-;887:j1:j5;n0:0?6=3ty2h7>52z\:`>;021l0q~<9e;296~;02;3?7S<64:p63`=838pRl?4=68b5>{zjln1<7;52;4x 6d72ln0eh650;9j5`g=831b=hl50;9ja`<722en57>5;cg`>5<3290;w)=m0;7;?M5a82c:>l4?::k26g<722c:>n4?::m130<722wiio4?:283>5}#;k:1>:84H2d3?l75i3:17d?=b;29?j40=3:17p}j8;296~Xb027nn7?=a:p5`g=838pR44f3ty:io4?:3y]5`d<5li1=?l4}rgf>5<5sWon70kl:00`?xub13:1>vPj9:?ff?40=2wxil4?:3y>af<5?<16io4>2c9~yg`?290?6>49{%1a4?`?3`;nm7>5;hgf>5<>da<3:1?7>50z&0f5<2>2B8j=5f13c94?=n9;h1<75`26794?=zjo;1<7=50;2x 6d72<<0D>h?;h31e?6=3`;9n7>5;n041?6=3thm?7>53;294~"4j909;;5G3g28m44f2900e<2`9>b4<6:k1vhk50;0xZ`c<5o>1=?l4}rg;>5<5sWo370h>:00b?xubn3:1>vPjf:?e7?40=2wxj=4?:3y>b1<5?<16j>4>2`9~wc7=838p1k?526789c5=9;h0qpl=8383>6<729q/?o>5579K7c65<6=44}c0;0?6=;3:13:1?7>50z&0f5<2?2B8j=5f13c94?=n9;h1<75`26794?=zj;236=4<:183!5e83?=7E=i0:k26d<722c:>o4?::m130<722wi>5o50;194?6|,:h;68;4H2d3?l75i3:17d?=b;29?j40=3:17p}j8;29<~;b0382863jd;g;?8`?2l201?6=:00a?84?<3;9m63=87826g=::121=?o4=3:b>44e3tyni7>58z?fa?4><27nh7kj;`c<5;296<2`9>6=>=9;h01?6n:00b?xu6mh0;6>uQ1dc89`b=9lk01k651dc8yv7bj3:1>vP>ec9>aa<6mk1v4h50;1xZ<`<5l215i52ed8:`>{t:1;1<7{t:1?1<738<96s|29594?4|V;2<70<78;041>{t:131<77}:m10j=63jd;g:?xubn3:1>v3je;c2?8`?2ll0qp}6d;296~X>l27:>?46f:p6=7=838pR?6>;<316?4?92wx>5=50;0xZ7>434;9>7<73:p6=3=838pR?6:;<316?4?=2wx>5950;0xZ7>034;9>7<77:p6=?=838pR?66;<316?4?12wx=ho50;0xZ4cf34;9>7?ja:p5`d=838pR\?m38p=k4>d;Y73`=:r8:65<5<#:081>484n3;2>4=1<7*=938b7>h5180;76gn2;29 7?52h90b?7>:098f2<72:0:6>uC3g095~N6n91v(>l?:326?lg62900c?7;:188m53;294~"4j90n?6F5;n0:0?6=3ty2h7>52z\:`>;021l0q~<9e;296~;02;3?7S<64:p63`=838pRl?4=68b5>{zjh0;6>4>:2yO7c4=9rB:j=5r$2`3>7623`k:6=44o3;7>5<<3:17p}6d;296~X>l27<65h4}r05a?6=:r7<6?7;;_0:0>{t:?l1<702h63n:8f8yv4>?3:1>vP=969>e?4><2.8;<4=979m726=82wx>4;50;0xZ7?234=1>4:4$252>7?13g9<<7?4}rc7>5<5sWk?70o5a09'727=i:1e?:>50:pe7<72;qUm?527;c2?!5093k87c=80;38yxd3?m0;6>4>:2yO7c4=9rB:j=5rL2d0>4}#5<7s-9i<7k<;I1e4>o?n3:17do>:188k7?32900q~7k:181[?c34=14k5rs34f>5<5s4=1>4:4^3;7?xu5>o0;6?uQa09>3?g63twi?o?50;596?d|D:l96h3l<0:7):ka;;f?k2c>3;0(9ji:255?k2c?3;0q)=m0;3e3>\?m3;p>o4rZ24e>7}5l39:6pg6d;29?l?b2900e>99:188k7?f290/>4<528;8j7?62910c?77:18'6<4=:030b?7>:098k7?0290/>4<528;8j7?62;10c?7::18'6<4=:030b?7>:298f2<72k0?6ouC3g095~N6n91v@>h<:8y'0`5=<:;0(9jm:`38 1bc2;3?7):k9;;g?k2c=3;0(9jn:8g8j1b1281/8ih53648j1b0281v(>l?:32:?_>b2;q9n7<6:|X02c<5s;n1>;4ri8f94?=n1l0;66g<7783>>i51h0;6)<62;0:=>h5180;76a=9983>!4>:38256`=9082?>i51>0;6)<62;0:=>h5180976a=9483>!4>:38256`=9080?>of?3:1(?7=:`48j7?62910el;50;&1=72d95<4>;:kb0?6=,;396l84n3;2>7=h5180876l8:186>6<0sE9m>7?tH0d3?xJ4n:02w):j3;605>"3lk0j=6*;de81=1=#"3lh02i6`;d782?!2cn39<:6`;d682?x"4j909>o>m3:17do>:188k7?32900e>99:188f2<72<0968uC3g095~N6n91v(>l?:0d:?lg62900c?7;:188mh?;M1e6?7|,89?69k>;|k:1?6=3`3<6=44i9d94?=ni80;66a=9583>>{e;o;1<7?50;2x 6d728837E=i0:m262<722wx5i4?:3y]=a=:?32m7p}6e;296~X>m27<64;4}r05a?6=:r78j<4>269>3??03ty9:k4?:3y>3?4><2T9595rs353>5<5sWk:7095a09~yg26;3:1?7>50z&0f5<2>2B8j=5f13c94?=n9;h1<75`26794?=zj=836=4<:183!5e838<:6F02h6s|9d83>7}Y1l168?6513`8yvg62909wSo>;<627?75j2wx>4:50;0xZ7?334=1>4:4}r142?6=;rT8;;52401957g<5=836<;<627?40=2wx8?950;0x92<>m27?>54=749~ygg=83?1?79tL2d1>4}O9o:0qA=i3;;x 1c42=9:7):kb;c2?!2cl38286*;d88:`>h3l<0:7):ka;;f?k2c>3;0(9ji:255?k2c?3;0q)=m0;03f>o>l3:17d7j:188md7=831d>4:50;9j720=831i;7>55;091~J4n;0:wE?i0:'7g6=9o30el?50;9l6<2=831b5i4?::k:a?6=3`3<6=44b683>0<729q/?o>5e49K7c66=44i8594?=n0o0;66gn1;29?j4><3:17pl4<729q/?o>513:8L6`73f;9;7>5;|q:`?6=:rT2h638:9d8yv?b2909wS7j;<59=0=z{;{t:>:1<75<7s-9i<7;9;I1e4>o6:h0;66g>2c83>>i5?<0;66sm43:94?5=83:p(>l?:355?M5a82c:>l4?::k26g<722e9;84?::p=a<72;qU5i527;;g?xu>m3:1>vP6e:?76=<6:k1vl?50;0xZd7<5=;86<4:4=681=1=z{:==6=4<{_142>;39:0:>l5243:957g:>7>52z?4>d7<5=;86?9:;|q762<72;q6;77j;<61"3l002h6`;d482?!2ci33n7c:k6;38 1ba2:==7c:k7;38y!5e838;o6g6d;29?l?b2900el?50;9l6<2=831b?:850;9a3?6==3819vB51g;8md7=831d>4:50;9j=a<722c2i7>5;h;4>5<0;684?:1y'7g6=m<1C?k>4L2d1>4}#9:>18h?4}h;6>5<>of93:17b<64;29?xd4n80;6<4?:1y'7g6=9;20D>h?;n313?6=3ty2h7>52z\:`>;021l0q~7j:181[?b34=1585rs34f>5<5s49m=7?=7:?4><152z?4>7?33W8286s|26294?4|Vh;01:4n1:~f17429086=4?{%1a4?313A9m<6g>2`83>>o6:k0;66a=7483>>{e<;21<7=50;2x 6d72;==7E=i0:k26d<722c:>o4?::m130<722wx5i4?:3y]=a=:?33o7p}6e;296~X>m27?>54>2c9~wd7=838pRl?4=530>44e3ty9594?:3y]6<2<5>09595rs255>5<4sW9<:63;12826d=:<;21=?o4}r626?6=:r7<6l?4=530>7123ty?>:4?:3y>3??b34>947<85:~f72=83?1?79tL2d1>4}O9o:0qA=i3;;x 1c42=9:7):kb;c2?!2cl38286*;d88:`>h3l<0:7):ka;;f?k2c>3;0(9ji:255?k2c?3;0q)=m0;03`>o>l3:17d7j:188md7=831d>4:50;9j720=831i;7>55;091~J4n;0:wE?i0:'7g6=9o30el?50;9l6<2=831b5i4?::k:a?6=3`3<6=44b683>0<729q/?o>5e49K7c66=44i8594?=n0o0;66gn1;29?j4><3:17pl4<729q/?o>513:8L6`73f;9;7>5;|q:`?6=:rT2h638:9d8yv?b2909wS7j;<59=0=z{;{t:>:1<75<7s-9i<7;9;I1e4>o6:h0;66g>2c83>>i5?<0;66sm43:94?5=83:p(>l?:355?M5a82c:>l4?::k26g<722e9;84?::p=a<72;qU5i527;;g?xu>m3:1>vP6e:?76=<6:k1vl?50;0xZd7<5=;86<4:4=681=1=z{:==6=4<{_142>;39:0:>l5243:957g:>7>52z?4>d7<5=;86?9:;|q762<72;q6;77j;<6102h63n:8f8943=1m16>946d:p=`<7208;;52a;142>;6=39<:63=4;142>{t:0k1<7h4?90;7p}=9983>7}Y:0201<;52868 6162;327c=80;38yv4>?3:1>vP=969>e?4><2.8;<4=989m726=:2wx>4;50;0xZ7?234=1>4:4$252>7?>3g9<<7=4}rc4>5<5sWk<70<;:`38 6162h<0b>9?:19~wd3=838pRl;4=079e4=#;>;1m;5a36295>{ti=0;6?uQa59>e?g63-9<=7o9;o144?4:18;>37?tH0d3?xJ4n:0>w):j3;61f>"3lj0jh6*;e88b?!2cm39>i6*;e984?x"4j90:j95U8d817e=:h0vV>8i:3y1a?402te8::4?:%0:6?51>2d95<4?;:m020<72-82>7=96:l1=4<632e8:94?:%0:6?51>2d95<4=;:m01c<72-82>7=96:l1=4<432ci:7>5$3;1>g3oe;3:1(?7=:c78j7?62;10elk50;&1=7uC3g095~N6n91v(>l?:33g?lge2900elm50;9l70c=831i?km50;197?3|,:h;6>hl;hca>5<h?;h31e?6=3`;9n7>5;n041?6=3th8jl4?:283>5}#;k:19;5G3g28m44f2900e<5<6=44}rca>5<4sWki70=i9;31f>;4nh0:>l5rs`a94?5|Vhi01>h6:00b?85ai3;9n6s|34g94?4|V:?n70=ib;041>{t;o21<7712349mn7?=a:p7c?=838p1>hn:356?85aj3;9n6srs``94?4|Vhh01>hl:``8yvgd2909wSol;<1eg?gd3ty89h4?:3y]70c<5:lh6>;j;|a630=8391=7=tL2d1>4}O9o:0q)=m0;02`>ofj3:17dol:188k63b2900n>hl:180>6<2s-9i<7=ic:kbf?6=3`kh6=44o27f>5<o4?::m130<722wi?ko50;194?6|,:h;6884H2d3?l75i3:17d?=b;29?j40=3:17pl6<729q/?o>52648L6`73`;9m7>5;h31f?6=3f8<97>5;|qbf?6=;rTjn635<4sWkh70=i9;31e>;4nh0:>o5rs27f>5<5sW9>i632`9~w6`>2909w0=ia;041>;4nk0:>o5r}rca>5<5sWki70=ic;ca?xufk3:1>vPnc:?0bf5;n16a?6=3k9m57>53;294~"4j90>:6Fhn:180>5<7s-9i<7;9;I1e4>o6:h0;66g>2c83>>i5?<0;66sm3g`94?5=83:p(>l?:355?M5a82c:>l4?::k26g<722e9;84?::peg<72:qUmo523g;957d<5:lj6<52z?0b<<5?<16?kl513c8yv5a13:1>v3{tij0;6?uQab9>7ce=ij1v>;j:181[52m278jn4<5d9~yg26;3:1?7>50z&0f5<2=2B8j=5f13c94?=n9;h1<75`26794?=zj=;>6=4<:183!5e83?>7E=i0:k26d<722c:>o4?::m130<722wi?;k50;094?6|,:h;6<=:;I1e4>o6:00;66a=7483>>{t<881<7=t=345>dd<5=;86?9:;<621?75j2wx8<:50;0x97002hh019?::356?xu4>>0;6?uQ375897002:?n7)=81;152>h4?90;7p}<6483>7}Y;??01?89:27f?!50939=:6`<7182?xu4>=0;6?uQ376897022:?n7)=81;152>h4?9097p}<5g83>7}Y;8j:356?!50939=:6`<7180?xue>3:1>vPm6:?12253z\a0>;5>?0jo63;14826d=#;>;1n85a36295>{tj:0;6>uQb29>633=ij168<=513c8 6162k?0b>9?:39~wdc=83>pRlk4=346>dd<5=;86<m27<64k4}r142?6=:rT8;;527;142>{t:0k1<7=t^3;b?81=:0k01?8>:c48 6162;327c=80;28yv4>03:1?vP=999>3?4>0279:<4m4:&034<5101e?:>51:p6<1=839pR?78;<596<1<5;<:6o=4$252>7?>3g9<<7<4}r0:1?6=;rT958527;0:1>;5>80ji6*<7081=<=i;>:1?6s|41694?4|5>0j;63=608022=#;>;18==4n253>5=z{=:96=4={<59e0=::?;1?;;4$252>1643g9<<7?4}r635?6=:r7<6l:4=342>6033-9<=7:?3:l035<53ty8jk4?:3y>3?g5348==7=:f:&034<38:1e?:>53:~f630290>6?4:{M1e6?7|@8l;7pBof93:17b<64;29?l?c2900e4k50;9j=2<722h<6=4::183!5e83o>7E=i0:k:1?6=3`3<6=44i9d94?=ni80;66a=9583>>{e;o;1<7?50;2x 6d728837E=i0:m262<722wx5i4?:3y]=a=:?32m7p}6e;296~X>m27<64;4}r05a?6=:r78j<4>269>3??03ty9:k4?:3y>3?4><2T9595rs353>5<5sWk:7095a09~yg5283:197<55zN0b7<6sA;m<6sC3g195~"3m<0;7p*>o>l3:17d7j:188m<1=831i;7>55;294~"4j90n96F5;h:e>5<:183!5e83;946F03j6s|9d83>7}Y1l16;77:;|q12`<72;q6?k?5135892<>?2wx>;h50;0x92<51=1U>4:4}r044?6=:rTj=638:`38yxd4j>0;684=:4yO7c4=9rB:j=5rL2d0>4}#5}#;k:1i85G3g28m<3=831b5:4?::k;b?6=3`k:6=44o3;7>5<51;294~"4j90:>55G3g28k4402900q~7k:181[?c34=14k5rs8g94?4|V0o01:465:p63c=838p1>h>:004?81=1>1v?8i:18181=:0>0R?7;;|q135<72;qUm<527;c2?x{e::i1<7;53;5xH6`528qC=k>4}M1e7?g|,=o>6=5+4d19067<,=ni6l?4$5fg>7?33->o577k;o6g1?7<,=nj64k4n5f5>4=#4=z,:h;6?>j;h;g>5<>i51=0;66g<7783>>d0290>6?4:{M1e6?7|@8l;7p*>o>l3:17d7j:188m<1=831i;7>55;294~"4j90n96F5;h:e>5<:183!5e83;946F03j6s|9d83>7}Y1l16;77:;|q12`<72;q6?k?5135892<>?2wx>;h50;0x92<51=1U>4:4}r044?6=:rTj=638:`38yxd39:0;6>4?:1y'7g6==?1C?k>4i00b>5<5<947>53;294~"4j909;;5G3g28m44f2900e<vPn1:?756<6:k1v?7;:181[4><27<6?7;;|q033<72:qU?:84=530>44f34>947?=a:p044=838p1:4n1:?756<5?<1v9<8:18181=1l168?652678yxd5;k0;684<:6yO7c4=9rB:j=5rL2d0>d}#4=#"3lo08;;5a4e595>{#;k:1>=k4i8f94?=n1l0;66gn1;29?j4><3:17d=86;29?g1=83?1>7;tL2d1>4}O9o:0q)=m0;3e=>of93:17b<64;29?l?c2900e4k50;9j=2<722h<6=4::183!5e83o>7E=i0:k:1?6=3`3<6=44i9d94?=ni80;66a=9583>>{e;o;1<7?50;2x 6d728837E=i0:m262<722wx5i4?:3y]=a=:?32m7p}6e;296~X>m27<64;4}r05a?6=:r78j<4>269>3??03ty9:k4?:3y>3?4><2T9595rs353>5<5sWk:7095a09~yg26;3:1?7>50z&0f5<2>2B8j=5f13c94?=n9;h1<75`26794?=zj=836=4<:183!5e838<:6F02h6s|9d83>7}Y1l168?6513`8yvg62909wSo>;<627?75j2wx>4:50;0xZ7?334=1>4:4}r142?6=;rT8;;52401957g<5=836<;<627?40=2wx8?950;0x92<>m27?>54=749~yg42l3:197=57zN0b7<6sA;m<6sC3g19e~"3m<0;7):j3;605>"3lk0j=6*;de81=1=#"3lh02i6`;d782?!2cn39<:6`;d682?x"4j909>o>m3:17do>:188k7?32900e>99:188f2<72<0968uC3g095~N6n91v(>l?:0d:?lg62900c?7;:188mh?;h;6>5<>of93:17b<64;29?xd4n80;6<4?:1y'7g6=9;20D>h?;n313?6=3ty2h7>52z\:`>;021l0q~7j:181[?b34=1585rs34f>5<5s49m=7?=7:?4><152z?4>7?33W8286s|26294?4|Vh;01:4n1:~f17429086=4?{%1a4?313A9m<6g>2`83>>o6:k0;66a=7483>>{e<;21<7=50;2x 6d72;==7E=i0:k26d<722c:>o4?::m130<722wx5i4?:3y]=a=:?33o7p}6e;296~X>m27?>54>2c9~wd7=838pRl?4=530>44e3ty9594?:3y]6<2<5>09595rs255>5<4sW9<:63;12826d=:<;21=?o4}r626?6=:r7<6l?4=530>7123ty?>:4?:3y>3??b34>947<85:~f73d290>6>48{M1e6?7|@8l;7pB"3l002h6`;d482?!2ci33n7c:k6;38 1ba2:==7c:k7;38y!5e838;i6g6d;29?l?b2900el?50;9l6<2=831b?:850;9a3?6==3819vB51g;8md7=831d>4:50;9j=a<722c2i7>5;h;4>5<0;684?:1y'7g6=m<1C?k>4i8794?=n1>0;66g7f;29?lg62900c?7;:188yg5a93:1=7>50z&0f5<6:11C?k>4o004>5<0296s|27g94?4|5:l:6<<8;<59=2=z{;7}Yi816;7o>;|a045=8391<7>t$2`3>00<@:l;7d?=a;29?l75j3:17b<85;29?xd3:10;6>4?:1y'7g6=:><0D>h?;h31e?6=3`;9n7>5;n041?6=3ty2h7>52z\:`>;020n0q~7j:181[?b34>947?=b:pe4<72;qUm<52401957d52z\1=1=:?38286s|36494?5|V:==70:>3;31e>;3:10:>l5rs531>5<5s4=1m<5240196239;7>52z?4>4}O9o:0qA=i3;cx 1c2291/8h=54238 1be2h;0(9jk:3;7?!2c133o7c:k5;38 1bf20o0b9j9:09'0a`=;><0b9j8:09~ 6d72;:n7d7k:188m5<22;0>wA=i2;3xL4`73t.8n=4>f89je4<722e9594?::k:`?6=3`3n6=44i8594?=e?3:197>50z&0f5>o>?3:17d6i:188md7=831d>4:50;9~f6`6290:6=4?{%1a4?7502B8j=5`13594?=z{0n1<77p}=6d83>7}:;o;1=?94=68:3>{t:?l1<70q~<80;296~Xf927<6l?4}|`756<72:0;6=u+3c2913=O;o:0e<50z&0f5<5??1C?k>4i00b>5<5<;02;3?7p}<7783>6}Y;><019?<:00b?82503;9m6s|40094?4|5>0j=63;128130=z{=8<6=4={<59=`=:<;21>:;4}|`11d<72<086:uC3g095~N6n91v@>h<:`y'0`3=82.?i>4;309'0ad=i81/8ij52868 1b>20n0b9j::09'0ag=1l1e8i851:&7`c<4??1e8i951:'7g6=:9o0e4j50;9j=`<722cj=7>5;n0:0?6=3`9<:7>5;c594?3=:3?p@>h=:0yK5c65;h;g>5<>d0290>6=4?{%1a4?c23A9m<6g65;29?l?02900e5h50;9je4<722e9594?::a7c7=83;1<7>t$2`3>44?3A9m<6a>2683>>{t1m0;6?uQ9e9>3?>a3ty2i7>52z\:a>;020?0q~<9e;296~;4n80:>:527;;4?xu5>o0;6?u27;0:0>X51=1v?9?:181[g634=1m<5r}c627?6=;3:1N4n91b=?o50;9j57d=831d>:;50;9~f14?29086=4?{%1a4?40>2B8j=5f13c94?=n9;h1<75`26794?=z{0n1<7l2wx5h4?:3y]=`=:<;21=?l4}rc2>5<5sWk:70:>3;31f>{t:0>1<70q~=86;297~X4??168<=513c8914?288j7p};1383>7}:?3k:70:>3;041>{t<;=1<7;3:109;85r}c06=?6==391;vBn?7:<1:&7`gm2d?h;4>;%6gb?50>2d?h:4>;|&0f5<58l1b5i4?::k:a?6=3`k:6=44o3;7>5<0<525<t$2`3>`3<@:l;7d7::188m<1=831b4k4?::kb5?6=3f8287>5;|`0b4<7280;6=u+3c2957><@:l;7b?=7;29?xu>l3:1>vP6d:?4>=`v38:3;7?[4><2wx>:>50;0xZd7<5>0j=6srb530>5<4290;w)=m0;75?M5a82c:>l4?::k26g<722e9;84?::a07>=8391<7>t$2`3>7113A9m<6g>2`83>>o6:k0;66a=7483>>{t1m0;6?uQ9e9>3??c3ty2i7>52z\:a>;3:10:>o5rs`394?4|Vh;019?<:00a?xu51=0;6?uQ286892<51=1v>99:180[50>27?=>4>2`9>07>=9;k0q~:>2;296~;02h;019?<:356?xu3:>0;6?u27;;f?825038<96srb37;>5<22:04n{%6f1?6<,=o869=>;%6gf?g63->oh7<64:&7`<<>l2d?h84>;%6ge??b3g>o:7?4$5fe>6113g>o;7?4}%1a4?47m2c2h7>5;h;f>5<<1<75m7;291?4==rF8j?4>{I3e4>{#;k:1=k74i`394?=h:0>1<75f9e83>>o>m3:17d78:188f2<72<0;6=u+3c29a0=O;o:0e4;50;9j=2<722c3j7>5;hc2>5<=2wx>;k50;0x96`6288<7095969~w70a2909w0952868Z7?33ty9;=4?:3y]e4=:?3k:7psm40194?5=83:p(>l?:448L6`73`;9m7>5;h31f?6=3f8<97>5;|`76=<72:0;6=u+3c29620<@:l;7d?=a;29?l75j3:17b<85;29?xu>l3:1>vP6d:?4>7}Yi8168<=513`8yv4><3:1>vP=959>3?4><2wx?:850;1xZ61134>:?7?=a:?76=<6:h1v9?=:18181=i8168<=52678yv25?3:1>v38:8g8914?2;=>7psm24594?3=;3=p@>h=:0yK5c65=#?4$5fa>d7<,=no6?7;;%6g=??c3g>o97?4$5fb>76b3`3o6=44i8g94?=ni80;66a=9583>>o4??0;66l8:186>7<2sE9m>7?tH0d3?x"4j90:j45fa083>>i51=0;66g6d;29?l?b2900e4950;9a3?6==3:1N4n91b584?::k:3?6=3`2m6=44i`394?=h:0>1<75rb2d2>5<6290;w)=m0;31<>N4n91d=?950;9~w{t1l0;6?uQ9d9>3??23ty9:h4?:3y>7c7=9;=01:467:p63`=838p1:4=959]6<252z\b5>;02h;0qpl;1283>6<729q/?o>5579K7c65<6=44}c614>2c9~w7?32909wS<64:?4>7?33ty8;;4?:2y]720<5=;86<4=749~w1402909w0959d9>07>=:>?0qpl=5783>0<42>qG?k<51zJ2b5=zD:l86lu+4d794>"3m:0??<5+4e`9e4=#4:4$5f:>6<5+4ec9=`=i>of93:17b<64;29?l50>3:17o950;796?3|D:l96<3:17d7k:188m5<2290;w)=m0;g6?M5a82c297>5;h;4>5<>i51=0;66sm3g394?7=83:p(>l?:00;?M5a82e:>:4?::p=a<72;qU5i527;:e?xu>m3:1>vP6e:?4><352z?0b4<6:>16;778;|q12c<72;q6;7<64:\1=1=z{;=;6=4={_c2?81=i81vqo:>3;297?6=8r.8n=4:6:J0b5=n9;k1<75f13`94?=h:>?1<75rb50;>5<4290;w)=m0;042>N4n91b=?o50;9j57d=831d>:;50;9~w{t1l0;6?uQ9d9>07>=9;h0q~o>:181[g634>:?7?=b:p6<2=838pR?7;;<596<253z\033=:<891=?o4=50;>44f3ty?=?4?:3y>3?g634>:?7<85:p071=838p1:46e:?76=<5?<1vqo<{I3e4>{K;o91=v*;e483?x"4j90:jo5fa083>>i51=0;66g6d;29?l?b2900e4950;9a3?6==3:1N4n91b584?::k:3?6=3`2m6=44i`394?=h:0>1<75rb2d2>5<6290;w)=m0;31<>N4n91d=?950;9~w{t1l0;6?uQ9d9>3??23ty9:h4?:3y>7c7=9;=01:467:p63`=838p1:4=959]6<252z\b5>;02h;0qpl=3083>0<52{#;k:1=kl4i`394?=h:0>1<75f9e83>>o>m3:17d78:188f2<72<0;6=u+3c29a0=O;o:0e4;50;9j=2<722c3j7>5;hc2>5<=2wx>;k50;0x96`6288<7095969~w70a2909w0952868Z7?33ty9;=4?:3y]e4=:?3k:7psm23`94?5=939p@>h=:0yK5c65=z,:h;6?>:;hc2>5<50z&0f5>of93:17b<64;29?xu>l3:1>vP6d:?4>=`52z?4>7?33W8286s|27d94?4|Vh;01:4n1:~f6c?290=6?4n{M1e6?7|@8l;7pBn;6*;de81=1=#"3lo08;;5a4e594>{#;k:1=k64Z9g95~4e2tP8:k4={3f974=h:0=1<7*=9381=<=i:0;1>65`28794?"51;09545a28397>=e?3:1m7:5azN0b7<6sA;m<6sC3g193~"3m:0??<5+4e`9e4=#4:4$5f:>6<5+4ed972076a3S2n6?u=b;0:>x\4>o09w?j5278~m4650;&1=7<5101e>4?51:9l6<1=83.95?4=989m6<7=:21d>4;50;&1=7<5101e>4?53:9je2<72-82>7o9;o0:5?6<3`k>6=4+2809e3=i:0;1=65fa583>!4>:3k=7c<61;08?lg5290/>4<5a79m6<7=;21i;7>54;091~J4n;0:wE?i0:O7c5=?r.?i>4;309'0ad=i81/8ij52868 1b>20n0b9j::09'0a`=;><0b9j8:19~ 6d72;;:7d7k:188md7=831d>4:50;9j720=831i;7>53;397~J4n;0:wE?i0:'7g6=9o30el?50;9l6<2=831b5i4?::`4>5<4290;w)=m0;g0?M5a82F8j?4>{%300?2b92wb4k4?::kb5?6=3f8287>5;|q:`?6=:rT2h638:9d8yv41m3:1>v38:3;7?[4><2wx>;h50;0xZd7<5>0j=6srb530>5<4290;w)=m0;76?M5a82c:>l4?::k26g<722e9;84?::p=a<72;qU5i527;;g?xuf93:1>vPn1:?756<6:k1v?7;:181[4><27<6?7;;|q033<72;qU?:84=530>44f3ty?=?4?:3y>3?g634>:?7<85:~fd<72=0968uC3g095~N6n91v@>h<:6y'0`5=<:;0(9jm:`38 1bc2;3?7):k9;;g?k2c=3;0(9ji:255?k2c?3:0q)=m0;026>o>l3:17do>:188k7?32900e>99:188f2<72:0:6>uC3g095~N6n91v(>l?:0d:?lg62900c?7;:188m53;294~"4j90n?6F7}:?38286P=959~w70a2909wSo>;<59e4=zuk>:?7>53;294~"4j90>96F02h6s|a083>7}Yi8168<=513`8yv4><3:1>vP=959>3?4><2wx?:850;0xZ61134>:?7?=a:p044=838p1:4n1:?756<5?<1vqo?::187>7<2sE9m>7?tH0d3?xJ4n:0"3lk0j=6*;de81=1=#"3lo08;;5a4e594>{#;k:1><=4i8f94?=ni80;66a=9583>>o4??0;66l8:180>4<4sE9m>7?tH0d3?x"4j90:j45fa083>>i51=0;66g6d;29?g1=8391<7>t$2`3>`5<@:l;7A=i2;3x 4532=o:7pg7f;29?lg62900c?7;:188yv?c2909wS7k;<597}Yi816;7o>;|a045=8391<7>t$2`3>03<@:l;7d?=a;29?l75j3:17b<85;29?xu>l3:1>vP6d:?4>3:1>vP<779>045=9;k0q~:>2;296~;02h;019?<:356?x{e:=0;694=:4yO7c4=9rB:j=5rL2d0>2}#?4$5fa>d7<,=no6?7;;%6g=??c3g>o97?4$5fe>6113g>o;7>4}%1a4?46<2c2h7>5;hc2>5<5<0;6>4>:2yO7c4=9rB:j=5r$2`3>4`>3`k:6=44o3;7>5<3587a4=za1l1<75fa083>>i51=0;66s|9e83>7}Y1m16;76i;|q12`<72;q6;7<64:\1=1=z{;3;297?6=8r.8n=4:5:J0b5=n9;k1<75f13`94?=h:>?1<75rs8f94?4|V0n01:46d:pe4<72;qUm<52401957d52z\1=1=:?38286s|36494?4|V:==70:>3;31e>{t<881<7;39:09;85r}r;g>5<2sW3o70959e9>e??c34;>64j4=369=a=z{:==6=4:{_142>;02:==70o53648943=;><01?:53648yv4>i3:1>vP=9`9>61<51=1/?:?528;8j617291v?77:181[4>027:97<64:&034<5101e?:>51:p6<1=838pR?78;52z\1=0=:?38286*<7081=<=i;>:1?6s|a683>7}Yi>16>94n1:&0342d8;=4?;|qb1?6=:rTj963>5;c2?!5093k=7c=80;38yvg32909wSo;;;1m;5a36296>{ti;0;6?uQa39>3?g63-9<=7o9;o144?5d}K;o81=vF>f19~H6`425<#:081?;84n3;2>4=5<#:081?;84n3;2>6=h5180;76gm4;29 7?52k?0b?7>:098mg5=83.95?4m5:l1=4<532cji7>5$3;1>g354b346>5<42808wA=i2;3xL4`73t.8n=4=1e9jeg<722cjo7>5;n16a?6=3k9mo7>53;191~"4j908jn5fac83>>ofk3:17b=:e;29?g5a13:1?7>50z&0f5<2>2B8j=5f13c94?=n9;h1<75`26794?=zj:lj6=4<:183!5e83?=7E=i0:k26d<722c:>o4?::m130<722wi?kl50;194?6|,:h;6?99;I1e4>o6:h0;66g>2c83>>i5?<0;66s|ac83>6}Yik16?k7513`896`f288j7p}nc;297~Xfk278j44>2`9>7cg=9;h0q~=:e;296~X4=l16?kl52678yv5a03:1>v37cd=9;h0qp}nb;296~Xfj278jn4nb:pef<72;qUmn523ga9ef=z{:?n6=4={_16a>;4nj089h5r}c052?6=;3;1?vB520f8mdd=831bmn4?::m01`<722h8jn4?:280>0}#;k:1?km4i``94?=nij0;66a<5d83>>d4n00;6>4?:1y'7g6==?1C?k>4i00b>5<5<53;294~"4j90>:6Fhm:180>5<7s-9i<7<86:J0b5=n9;k1<75f13`94?=h:>?1<75rs``94?5|Vhh01>h6:00a?85ai3;9m6s|ab83>6}Yij16?k7513c896`f288i7p}<5d83>7}Y;hm:356?xu4n10;6?u23g;9623<5:li6<7}Yik16?km5ac9~wde=838pRlm4=2d`>dei7>52z\01`=:;oi1?8k4}|`122<72:0:6>uC3g095~N6n91v(>l?:33g?lge2900elm50;9l70c=831i?km50;197?3|,:h;6>hl;hca>5<h?;h31e?6=3`;9n7>5;n041?6=3th8jl4?:283>5}#;k:19;5G3g28m44f2900e<5<6=44}rca>5<4sWki70=i9;31f>;4nh0:>l5rs`a94?5|Vhi01>h6:00b?85ai3;9n6s|34g94?4|V:?n70=ib;041>{t;o21<7712349mn7?=a:p7c?=838p1>hn:356?85aj3;9n6srs``94?4|Vhh01>hl:``8yvgd2909wSol;<1eg?gd3ty89h4?:3y]70c<5:lh6>;j;|a045=8391<7>t$2`3>03<@:l;7d?=a;29?l75j3:17b<85;29?xd39<0;6>4?:1y'7g6==<1C?k>4i00b>5<5<52;294~"4j90:?85G3g28m44>2900c?9::188yv26:3:1?v3=678bf>;39:09;852407957d:87>52z?1228::181[51=279:;4<5d9'727=;?<0b>9?:09~w6032909wS=94:?120<4=l1/?:?53748j6172;1v>;i:181[52n278:h4=749'727=;?<0b>9?:29~wg0=838pRo84=344>de<,:=:6o;4n253>5=z{k>1<7=t^c6897012hi019?::00b?!5093h>7c=80;38yvd42908wSl<;<051?gd34>:?7?=a:&034;39:0:>o5237g957?<,:=:6o;4n253>6=zuz3o6=4={_;g?81=1m1v>99:181[50>27<6>99;|q1=d<72:qU>4o4=681=d=::?;1n;5+363965<4sW824638:3;;?84193h?7)=81;0:=>h4?90:7p}=9683>6}Y:0=01:4=969>637=j:1/?:?528;8j6172;1v?7::180[4>=27<6?7:;<055?gb3-9<=7<69:l035<43ty?<94?:3y>3?g0348==7=97:&034<38:1e?:>50:p054=838p1:4n5:?124<4><1/?:?54118j617281v9>>:18181=i=16>;?53768 6162=:87c=80;08yv5an3:1>v38:`0897062:?m7)=81;637>h4?9087psm28f94?5=939p@>h=:0yK5c65=z,:h;6?>:;hc2>5<50z&0f5>of93:17b<64;29?xu>l3:1>vP6d:?4>=`52z?4>7?33W8286s|27d94?4|Vh;01:4n1:~f=6=8391=7=tL2d1>4}O9o:0qA=i3;3x 1c2291v(>l?:326?lg62900c?7;:188m53;294~"4j90n?6F5;n0:0?6=3ty2h7>52z\:`>;021l0q~<9e;296~;02;3?7S<64:p63`=838pRl?4=68b5>{zj=kj6=4<:080I5a:3;pDn97>4}%1a4?47=2cj=7>5;n0:0?6=3`3o6=44b683>6<729q/?o>5e29K7c6>i51=0;66s|9e83>7}Y1m16;76i;|q12`<72;q6;7<64:\1=1=z{;{I3e4>{K;o91=v*;e483?x"4j909<85fa083>>i51=0;66g6d;29?g1=8391<7>t$2`3>`5<@:l;7d6i:188md7=831d>4:50;9~w{t:?o1<70q~<9f;296~Xf927<6l?4}|`127<72821=;4=2zN0b7<6sA;m<6sC3g193~h3m?0?86*;e2876g=#"3ll089h5+4d:93>"3m<0;7p*=h;?:1<7*=938024=i:0;1=65`37f94?"51;08:<5a28396>=h;?i1<7*=938024=i:0;1?65`37`94?"51;08:<5a28390>=h;?k1<7*=938024=i:0;1965`37;94?"51;08:<5a28392>=h;?21<7*=938024=i:0;1;65`37594?"51;08:<5a2839<>=h;??1<7*=938024=i:0;1565`37694?"51;08:<5a2839e>=h;!4>:3h;7c<61;28?lga290/>4<5b19m6<7=921bnn4?:%0:6?d73g82=7<4;h`a>5<#:081n=5a28397>=njh0;6)<62;`3?k4>93>07dl6:18'6<4=j91e>4?55:9jf=<72-82>7l?;o0:5?0<3`h<6=4+2809f5=i:0;1;65fb783>!4>:3h;7c<61;:8?ld3290/>4<5b19m6<7=121bn>4?:%0:6?d73g82=7o4;hcf>5<#:081n=5a2839f>=e:??1<7=51;1xH6`528qC=k>4}%1a4?46l2cjn7>5;hc`>5<5<42:0>w)=m0;1eg>ofj3:17dol:188k63b2900n>h6:180>5<7s-9i<7;9;I1e4>o6:h0;66g>2c83>>i5?<0;66sm3gc94?5=83:p(>l?:448L6`73`;9m7>5;h31f?6=3f8<97>5;|`0bg<72:0;6=u+3c29620<@:l;7d?=a;29?l75j3:17b<85;29?xufj3:1?vPnb:?0b<<6:k16?ko513c8yvgd2908wSol;<1e=?75i278jl4>2c9~w63b2909wS=:e:?0bg<5?<1v>h7:18185a138<9632c9~yvge2909wSom;<1eg?ge3tyjo7>52z\bg>;4nj0jo6s|34g94?4|V:?n70=ic;16a>{zj;<=6=4<:080I5a:3;pDi7>5;c1eg?6=;3919v*?1<75rb2db>5<4290;w)=m0;75?M5a82c:>l4?::k26g<722e9;84?::a7cd=8391<7>t$2`3>7113A9m<6g>2`83>>o6:k0;66a=7483>>{tik0;6>uQac9>7c?=9;h01>hn:00b?xufk3:1?vPnc:?0b<<6:h16?ko513`8yv52m3:1>vP<5d9>7cd=:>?0q~=i8;296~;4n009;8523g`957g52z?0bd<5?<16?kl513`8yxufj3:1>vPnb:?0bf5<5sW9>i6353;397~J4n;0:wE?i0:'7g6=:8n0ell50;9jef<722e89h4?::`0bf<72:0868u+3c297ce>i4=l0;66l6<729q/?o>5579K7c65<6=44}c1ee?6=;3:1N4n91b=?o50;9j57d=831d>:;50;9~f6`e29086=4?{%1a4?40>2B8j=5f13c94?=n9;h1<75`26794?=z{hh1<7=t^``896`>288i70=ia;31e>{tij0;6>uQab9>7c?=9;k01>hn:00a?xu4=l0;6?uQ34g896`e2;=>7p}7}:;o31>:;4=2da>44f3ty8j44?:3y>7cg=:>?01>hm:00a?x{tik0;6?uQac9>7ce=ik1vlm50;0xZde<5:lh6lm4}r16a?6=:rT89h523ga970c6}K;o81=vF>f19~ 6d72;;o7dom:188mde=831d?8k50;9a7ce=8391?7;t$2`3>6`d3`ki6=44i`a94?=h;l?:448L6`73`;9m7>5;h31f?6=3f8<97>5;|`0bd<72:0;6=u+3c2913=O;o:0e<50z&0f5<5??1C?k>4i00b>5<5<288j70=ia;31f>{t;70=ib;31f>{z{hh1<763b3twi>;750;195?5|D:l96;j:188f6`d29086>4:{%1a4?5ak2cjn7>5;hc`>5<5<4290;w)=m0;75?M5a82c:>l4?::k26g<722e9;84?::a7cg=8391<7>t$2`3>00<@:l;7d?=a;29?l75j3:17b<85;29?xd4nk0;6>4?:1y'7g6=:><0D>h?;h31e?6=3`;9n7>5;n041?6=3tyjn7>53z\bf>;4n00:>o523gc957g;4nk09;85rs2d;>5<5s49m57<85:?0bg<6:h1v>h6:18185ai38<963;j;<1eg?52m2wvn?8n:180>4<4sE9m>7?tH0d3?x"4j909=i5fac83>>ofk3:17b=:e;29?g5ak3:1?7=55z&0f5<4nj1bmo4?::kbg?6=3f9>i7>5;c1e=?6=;3:1N4n91b=?o50;9j57d=831d>:;50;9~f6`f29086=4?{%1a4?313A9m<6g>2`83>>o6:k0;66a=7483>>{e;oh1<7=50;2x 6d72;==7E=i0:k26d<722c:>o4?::m130<722wxmo4?:2y]eg=:;o31=?l4=2db>44f3tyjo7>53z\bg>;4n00:>l523gc957di7>52z\01`=:;oh1>:;4}r1e7cd=9;k0q~=i9;296~;4nh09;8523g`957d52z\bf>;4nj0jn6s|ab83>7}Yij16?km5ab9~w63b2909wS=:e:?0bf<4=l1vqo<9b;297?7=;rF8j?4>{I3e4>{#;k:1>>d4nj0;6>4<:4y'7g6=;oi0ell50;9jef<722e89h4?::`0b<<72:0;6=u+3c2913=O;o:0e<50z&0f5<2>2B8j=5f13c94?=n9;h1<75`26794?=zj:li6=4<:183!5e838<:6F44e3ty89h4?:3y]70c<5:li6?9:;|q0b=<72;q6?k75267896`e288j7p}7}:;ok1>:;4=2da>44e3twxmo4?:3y]eg=:;oi1mo5rs`a94?4|Vhi01>hl:`a8yv52m3:1>vP<5d9>7ce=;6<62:qG?k<51zJ2b5=z,:h;6??k;hca>5<t$2`3>00<@:l;7d?=a;29?l75j3:17b<85;29?xd4nh0;6>4?:1y'7g6==?1C?k>4i00b>5<5<53;294~"4j909;;5G3g28m44f2900e<=838p1>h6:356?85aj3;9m6s|3g;94?4|5:lj6?9:;<1ef?75j2wvll50;0xZdd<5:lh6ll4}rc`>5<5sWkh70=ic;c`?xu4=l0;6?uQ34g896`d2:?n7psm27f94?5=939p@>h=:0yK5c6d:kbf?6=3`kh6=44o27f>5<;j:188f6`>29086=4?{%1a4?313A9m<6g>2`83>>o6:k0;66a=7483>>{e;ok1<7=50;2x 6d72<<0D>h?;h31e?6=3`;9n7>5;n041?6=3th8jo4?:283>5}#;k:1>:84H2d3?l75i3:17d?=b;29?j40=3:17p}nb;297~Xfj278j44>2c9>7cg=9;k0q~ol:180[gd349m57?=a:?0bd<6:k1v>;j:181[52m278jo4=749~w6`?2909w0=i9;041>;4nk0:>l5rs2d:>5<5s49mm7<85:?0bg<6:k1vq~om:181[ge349mo7om;|qbg?6=:rTjo63{t;i6srb340>5<42808wA=i2;3xL4`73t.8n=4=1e9jeg<722cjo7>5;n16a?6=3k9mo7>53;191~"4j908jn5fac83>>ofk3:17b=:e;29?g5a13:1?7>50z&0f5<2>2B8j=5f13c94?=n9;h1<75`26794?=zj:lj6=4<:183!5e83?=7E=i0:k26d<722c:>o4?::m130<722wi?kl50;194?6|,:h;6?99;I1e4>o6:h0;66g>2c83>>i5?<0;66s|ac83>6}Yik16?k7513`896`f288j7p}nc;297~Xfk278j44>2`9>7cg=9;h0q~=:e;296~X4=l16?kl52678yv5a03:1>v37cd=9;h0qp}nb;296~Xfj278jn4nb:pef<72;qUmn523ga9ef=z{:?n6=4={_16a>;4nj089h5r}c050?6=;3;1?vB520f8mdd=831bmn4?::m01`<722h8jn4?:280>0}#;k:1?km4i``94?=nij0;66a<5d83>>d4n00;6>4?:1y'7g6==?1C?k>4i00b>5<5<53;294~"4j90>:6Fhm:180>5<7s-9i<7<86:J0b5=n9;k1<75f13`94?=h:>?1<75rs``94?5|Vhh01>h6:00a?85ai3;9m6s|ab83>6}Yij16?k7513c896`f288i7p}<5d83>7}Y;hm:356?xu4n10;6?u23g;9623<5:li6<7}Yik16?km5ac9~wde=838pRlm4=2d`>dei7>52z\01`=:;oi1?8k4}|`756<72:0;6=u+3c2910=O;o:0e<50z&0f5<2=2B8j=5f13c94?=n9;h1<75`26794?=zj=;<6=4<:183!5e83?>7E=i0:k26d<722c:>o4?::m130<722wi8<750;194?6|,:h;68;4H2d3?l75i3:17d?=b;29?j40=3:17pl;1c83>6<729q/?o>5549K7c65<6=44}c62`?6=;3:1N4n91b=?o50;9j57d=831d>:;50;9~f17a29086=4?{%1a4?323A9m<6g>2`83>>o6:k0;66a=7483>>{e<;;1<7=50;2x 6d72h?;h31e?6=3`;9n7>5;n041?6=3th?>>4?:283>5}#;k:1985G3g28m44f2900e<?1<75rb24f>5<5290;w)=m0;301>N4n91b=?750;9l623=831v9?=:180841>3ki70:>3;041>;39<0:>o5rs537>5<4s48=;7om;<621?40=27?=:4>2c9~w1712908w0<98;ca?826?38<963;18826g=z{=;36=4<{<05=?ge34>:57<85:?75g<6:k1v9?n:180841i3ki70:>b;041>;39m0:>o5rs53`>5<4s48=n7om;<62`?40=27?=k4>2c9~w17b2908w0<9c;ca?826n38<963;20826g=z{=8;6=4<{<05`?ge34>9=7<85:?766<6:k1v9<=:180841;3ki70:=3;041>;3:<0:>o5rs507>5<5s48=87om;<611?40=2wx?;<50;0xZ605348=87=:e:&034<4>81e?:>50:p736=838pR>8?;<057?52m2.8;<4<609m726=92wx?;j50;0xZ60c348=h7=:e:&034<4>81e?:>52:p73e=838pR>8l;<05g?52m2.8;<4<609m726=;2wx?;l50;0xZ60e348=n7=:e:&034<4>81e?:>54:p73g=838pR>8n;<05e?52m2.8;<4<609m726==2wx?;750;0xZ60>348=57=:e:&034<4>81e?:>56:p73>=838pR>87;<0581e?:>58:p733=838pR>8:;<052?52m2.8;<4<609m726=12wx?;:50;0xZ603348=97=:e:&034<4>81e?:>5a:p70`=838pR>;i;<15a?40=2.8;<4<609m726=j2wxn<4?:3y]f4=::?>1mn5+3639f5=i;>:1<6s|ag83>6}Yio16>;=5ab9>073=9;k0(>9>:c28j617281vom50;1xZge<5;44f3-9<=7l?;o144?4"4?80i<6`<7180?xuei3:1?vPma:?12g2`9'727=j91e?:>54:pf<<72:qUn45227c9ef=:<8n1=?o4$252>g653z\a2>;5>>0jo63;16826d=#;>;1n=5a3629<>{tj=0;6>uQb59>630=ij168<;513c8 6162k:0b>9?:89~wg5=839pRo=4=346>de<5=;86<5<3sWkn70<95;ca?826;3;9n63<6d826<=#;>;1n=5a3629f>{zj;<:6=4;:387I5a:3;pDn:7:<;%6f7?25j2.?hn4nd:&7a<oi7=:e:&7a=<03->n97>4}%1a4?7a;2P3i7v<=:0`9yj51<3:1(?7=:240?k4>93:07b=:f;29 7?52:<87c<61;38?ld4290/>4<5b39m6<7=821bmh4?:%0:6?d53g82=7?4;c051?6=;3;1?vB520f8mdd=831bmn4?::m01`<722h8jn4?:280>0}#;k:1?km4i``94?=nij0;66a<5d83>>d4n00;6>4?:1y'7g6==?1C?k>4i00b>5<5<53;294~"4j90>:6Fhm:180>5<7s-9i<7<86:J0b5=n9;k1<75f13`94?=h:>?1<75rs``94?5|Vhh01>h6:00a?85ai3;9m6s|ab83>6}Yij16?k7513c896`f288i7p}<5d83>7}Y;hm:356?xu4n10;6?u23g;9623<5:li6<7}Yik16?km5ac9~wde=838pRlm4=2d`>dei7>52z\01`=:;oi1?8k4}|`02`<72;0;6=u+3c29563<@:l;7d?=9;29?j40=3:17p}<6583>7}Y;?>01?8::27f?!50939=?6`<7183?xu4=o0;6?uQ34d8960b2;=>7)=81;157>h4?90:7p}m3;296~Xe;279:84nc:&034;4>l0:>45+3639f7=i;>:1=6srb36g>5<12:03w)=m0;07`>i5<10;66g>e483>>o3800;66g=4083>>o5<:0;66g;0083>>d54?:1y'7g6==?1C?k>4i00b>5<5<55;294~"4j90>h6F5<7s-9i<7<86:J0b5=n9;k1<75f13`94?=h:>?1<75rs36;>5<5sW8?463=4c8130=z{8o>6=4<{_3f1>;5l5225c957e;57>52z\74<=::=i1=?l4}r075?6=:rT98<5225c957g52z\106=::=k1=?l4}r635?6=:rT?<<5225c957b52z?10f<5?<16>9l513c8yv43i3:1>v3=4`8130=::=h1=?l4}|`17<<72?0>6lu+3c2966?5<6=44i362>5<86=44i2de>5<o4?::m130<722wi>>:50;694?6|,:h;68o4H2d3?l75i3:17d?=b;29?l75k3:17b<85;29?xd5;<0;6>4?:1y'7g6=:><0D>h?;h31e?6=3`;9n7>5;n041?6=3th9?;4?:283>5}#;k:1985G3g28m44f2900e<5<6=44}r006?6=:rT9??52225962353z\2a0=:::21=?o4=315>44f3ty?<84?:3y]053<5;936<9?4=317>44f348897?=a:p615=838pR?:<;<000?75j2wx?kh50;0xZ6`a348887?=c:p665=838p1?=7:356?844?3;9m6s|22694?4|5;9?6?9:;<001?75j2wx>;k50;0x97522;=>70<<6;31f>{t:?l1<77123488;7?=b:~f757290o6>4i{%1a4?4482e9>n4?::k15`<722c9>?4?::k166<722c9>94?::k160<722c9>;4?::k162<722c9>54?::k16<<722c9>l4?::k15c<722c9><4?::`16a<7200;6=u+3c2926=O;o:0e<50z&0f5<2k2B8j=5f13c94?=n9;h1<75f13a94?=n9;n1<75`26794?=zj;8m6=4<:183!5e83?>7E=i0:k26d<722c:>o4?::m130<722wx>?m50;0xZ74d3489j7<85:p64c=838pR??j;<01a?75i2wx>?<50;0xZ7453489h7?=a:p675=838pR?<<;<01`?75j2wx>?:50;0xZ7433489h7?=d:p673=838pR?<:;<01`?75k2wx>?850;0xZ7413489h7?=e:p671=838pR?<8;<01`?7482wx>?650;0xZ74?3489h7?=f:p67?=838pR?<6;<01`?7492wx>?o50;0xZ74f3489i7?=c:p64`=838pR??i;<01a?75j2wx>??50;0xZ7463489i7?=d:p67b=838p1?0;66g70;29?g>229086=4?{%1a4?313A9m<6g>2`83>>o6:k0;66a=7483>>{e0:0;6>4?:1y'7g6==?1C?k>4i00b>5<5<1>:;4}r3f;?=3;9m6s|8683>7}Y0>164>4>2`9~w=6=838pR5>4=91957d712342?6<6<0s-9i<7::8:m716<722c:i:4?::k714<722c?944?::k715<722h?9:4?:283>5}#;k:19;5G3g28m44f2900e<?1<75rb575>5<4290;w)=m0;042>N4n91b=?o50;9j57d=831d>:;50;9~w1342909wS::3:?713<5?<1v2c9~w1362909wS::1:?712<6:h1v9;6:181[22127?984>2`9~w1372909wS::0:?710<6:k1v9;;:181822?38<963;57826d=z{=?>6=4={<661?40=27?9;4>2c9~yg43?3:1h7=5fz&0f5<5<>1d>9=50;9j64c=831b>?<50;9j675=831b>?:50;9j673=831b>?850;9j671=831b>?650;9j67?=831b>?o50;9j64`=831b>??50;9a612=8331<7>t$2`3>7133A9m<6g>2`83>>o6:k0;66g>2b83>>o6:m0;66g>2d83>>o6:o0;66g>3183>>o6;80;66a=7483>>{e:=?1<7;50;2x 6d72h?;h31e?6=3`;9n7>5;h31g?6=3`;9h7>5;n041?6=3th98;4?:283>5}#;k:1985G3g28m44f2900e<9852678yv46m3:1>vP=1d9>612=9;h0q~<=2;296~X5:;16>9:513c8yv45;3:1>vP=229>612=9;i0q~<=4;296~X5:=16>9:513g8yv45=3:1>vP=249>612=9;n0q~<=6;296~X5:?16>9:513d8yv45?3:1>vP=269>612=9:;0q~<=8;296~X5:116>9:51228yv4513:1>vP=289>613=9;k0q~<=a;296~X5:h16>9;513a8yv46n3:1>vP=1g9>613=9;h0q~<=1;296~X5:816>9;513f8yv43<3:1>v3=458130=::=<1=?o4}r071?6=:r79884=749>610=9;h0qpl>e583>1<729q/?o>5599K7c65<5<o4?::m130<722wi?ol50;194?6|,:h;68;4H2d3?l75i3:17d?=b;29?j40=3:17pl<5e83>6<729q/?o>52648L6`73`;9m7>5;h31f?6=3f8<97>5;|`0f<<72<0;6=u+3c291a=O;o:0e<50z&0f5<5??1C?k>4i00b>5<5<m7>55;294~"4j90>i6F5<7s-9i<7;8;I1e4>o6:h0;66g>2c83>>i5?<0;66sm2`794?3=83:p(>l?:4`8L6`73`;9m7>5;h31f?6=3`;9o7>5;h31`?6=3f8<97>5;|`016<72<0;6=u+3c291a=O;o:0e<50z&0f5<5?11C?k>4i00b>5<5<?7>53;294~"4j909;55G3g28m44f2900e<?1<75rb363>5<4290;w)=m0;76?M5a82c:>l4?::k26g<722e9;84?::a603=8391<7>t$2`3>7113A9m<6g>2`83>>o6:k0;66a=7483>>{e:=81<7=50;2x 6d72h?;h31e?6=3`;9n7>5;n041?6=3th95k4?:283>5}#;k:19:5G3g28m44f2900e<5<5<6=44}c0b5?6=;3:1N4n91b=?o50;9j57d=831d>:;50;9~f=>=83>1<7>t$2`3>71>3A9m<6g>2`83>>o6:k0;66g>2b83>>i5?<0;66sm44094?5=83:p(>l?:448L6`73`;9m7>5;h31f?6=3f8<97>5;|`71d<72:0;6=u+3c29620<@:l;7d?=a;29?l75j3:17b<85;29?xd4=?0;6?4?:1y'7g6=9:?0D>h?;h31=?6=3f8<97>5;|`10c<72;0;6=u+3c29563<@:l;7d?=9;29?j40=3:17p}<5683>7}Y;<=01>;8:3;7?xu>>3:1=luQ979>5`4=1m16hh46d:?73a<>l278n<46d:?012<>l2789=46d:?0f2<>l279?n46d:?17g<>l2799i46d:?11f<>l2799o46d:?11d<>l2799446d:?11=<>l2799:46d:?113<>l279?l46d:?174<>l279>o46d:?0a=<>l2795i46d:?;4??c34>jm77k;<664??c3ty8;i4?:2y]72b<5;?86<50;0xZ637349><7<64:p2`9~w137290>wS::0:?715<51=164;4;519>00>=<<:019;=:00b?xud>3:1>vPl6:?ga?g53ty8584?:3y]7<3<5;3m6<d7jm7>52z\7ed=:4:4}r:3>5<4sW2;706?:3;7?8>121:0q~:k3;296~X3l:16>l7513c8yv4>l3:1?vP=9e9>601?o6:00a?xu5j10;6?uQ2c:897?a288j7p}=4983>7}:::k1m<5225f961>7>52z?1742909w0?j2;0;7>;5<90:>o5rs27:>5<5s49>h7?=a:?01d<5?<1v>;::18185283k:70=:6;041>{t9l?1<7ht=0g1>7>63488o7=86:?17g<4??16>8j53648973d2:==70<:b;142>;5=h08;;5224;9720<5;?36>99;<063?50>2799;4<779>0dg=i816>9j51d78975>28o>70<{t9l<1<7=t=0g1>7>234236<;?03;9n63;5`826g=z{8o36=4<{<3f6?4?1273:7?j8:?;{t:0o1<7712348j57?=d:p66b=838p1?=j:356?842=3;9m6s|2`294?4|5;k<6<6<9j52538975>2;>:70<;2;041>{t9l91<74cf34;n87<85:pg2<721q6?o?59d9>701=1l16?8>59d9>7g1=1l16=h:513c89f>=:>?01>lm:00a?84293;9m6s|3cc94?e|5:h:6>99;<00g??b3488n77j;<06`??b348>o77j;<06f??b348>m77j;<06=??b348>477j;<063??b348>:77j;<1af?40=2wx4<4?:3y><5;|q;3?6=:r73:768;<:;>7123ty9>n4?:3y>67d=i816>>>523a8yv5e?3:18v31=?l4=2`a>44f348>=7?=b:p02b=839p12c9>616=9;k0q~<:2;296~;5=:09;85222g957e87>52z?110<5?<16>9h513;8yv52:3:1>v3<528130=:;<<1=?74}r1av3;598714=:<<81>:;4}r077?6=66?=:=901?:8:360?844m3;9m6s|23`94?4|5;8i6?7;;<3f0?75k2wx>l850;0x97?c2h;01?o8:356?xu5i10;6?u22`;9623<5;k:6<>o59d9>667=1l16>9h52678yv4f:3:1>v3=a6826d=::h91>:;4}r16g?6=:r789:4n1:?01a<5?<1v?o;:18184f=38<963=a8826f=z{=:36=4={<00g?g6348=>7=92:&034<38>1e?:>50:p050=838p1?=m:`3897052:<;7)=81;633>h4?90:7p};1083>7}::8;o144?4:<7>52z?11f9?:29~w16a2909w0<:b;c2?841:39=n6*<708742=i;>:186s|41g94?4|5;?j6l?4=341>60f3-9<=7:?7:l035<23ty?60?=i816>;<537;8 6162=:<7c=80;48yv27k3:1>v3=598b5>;5>;08:55+36390515<5s48>;7o>;<056?51?2.8;<4;069m726=02wx8=o50;0x97312h;01?8=:246?!5093>;;6`<718:?xu3800;6?u22709732<5;>o69>6;%145?27?2d8;=4n;|q740<72;q6>;<534d8975>2=:>7)=81;633>h4?90i7p}=2083>1}:::i1>4:4=341>g7<5;9;6?<>;<073?4592.8;<4=219m726=82wx>;1>?>4n253>4=z{;8j6=4;{<06`?4><279:?4mc:?175<5:h16>99523c8 6162;8;7c=80;08yv4513:18v3=5b81=1=::?81no52222967?<5;><6?<6;%145?4582d8;=4<;|q16=<72=q6>8l5286897052kk01?=?:30;?843?38946*<708165=i;>:186s|23594?2|5;?j6?7;;<056?d>3488<7<=7:?102<5:>1/?:?52328j6172<1v?<9:1878421382863=638a<>;5;909>;522559670<,:=:6?54z?11=<51=16>;<5b69>666=:;?01?:8:306?!509389<6`<7184?xu5:=0;69u224596<2<5;<96o84=313>743348?;7<=4:&034<5:91e?:>58:p675=83>p1?;9:3;7?841:3h?70<<0;017>;5<>09>>5+36396765<1s488m7<64:?127995230897g4288i7)=81;014>h4?90j7p}=1d83>3}:::;1>4:4=341>dc<5;<:6lk4=313>77b348?;7<>e:?1e6<6:h1/?:?52328j6172k1vh?50;0x9ac=:0=01n6513`8 6162l:0b>9?:19~wa`=839p1ik5a59>``<51<16o54>2`9'727=m91e?:>51:p7g0=83>p1>l>:3;b?85e13;9h63<5`826a=:;<91=?j4$252>6d23g9<<7>4}r1a0?6=7g?=9;h01>;n:00`?852;3;9n6*<7080f0=i;>:1=6s|3c194?2|5:h:6?78;<1a=?75i2789l4>2c9>705=9;i0(>9>:2`6?k508380q~=m2;290~;4j80958523c;957e<5:?j6<"4?80?<=5a36294>{t;ol1<763a348857=if:&034<3891e?:>51:p7`b=838p1>k7:3;b?84f=3;9h6*<7080af=i;>:1<6s|3d`94?4|5:o36?77;<0b1?75k2.8;<4"4?808in5a36296>{t;l31<77?2348j97?=a:&034<4mj1e?:>53:~f154290o6i4>7zN0b7<6sA;m<6sC3g196~h3m?02=6*;e485?x"4j90:><5`4ba94?=n91h1<75`47494?=n91i1<75f42a94?=h0h0;66gj2;29?l4>l3:17dof93:17b<64;29?l?c2900n:4?:283>5}#;k:1i>5G3g28H6`528q/=>:54d38yl>a2900el?50;9l6<2=831vnl>50;094?6|,:h;6<=:;I1e4>o6:00;66a=7483>>{t1m0;6?uQ9e9>e5<6:01v?8j:18181=0o16m=4=749~w70a2909w0952868Z7?33ty9;=4?:3y]e4=:?3k:7psm47494?5=939p@>h=:0yK5c67=z,:h;6?>:;hc2>5<50z&0f5>of93:17b<64;29?xu>l3:1>vP6d:?4>=`52z?4>7?33W8286s|27d94?4|Vh;01:4n1:~f1ed290?6<4;{M1e6?7|@8l;7pBof93:17b<64;29?l?c2900e5750;9a3?6=<3:1N4n91b4k4?::kb5?6=3`82?7>5;n0:0?6=3ty2h7>52z\:`>;021l0q~66:181[>>34=1>4=4}r05a?6=:r7<6?7;;_0:0>{t:?l1<7o6:h0;66g>2c83>>i5?<0;66sm35:94?3=83:p(>l?:35a?M5a82c:>l4?::k26g<722c:>n4?::k26a<722e9;84?::a715=83?1<7>t$2`3>0e<@:l;7d?=a;29?l75j3:17d?=c;29?l75l3:17b<85;29?xd4<<0;6;4?:1y'7g6=>91C?k>4i00b>5<5<5<6=44}c173?6=;3:1N4n91b=?o50;9j57d=831d>:;50;9~f10f290?6=4?{%1a4?3>3A9m<6g>2`83>>o6:k0;66g>2b83>>i5?<0;66sm4e294?2=83:p(>l?:4:8L6`73`;9m7>5;h31f?6=3`;9o7>5;n041?6=3th=:7>52;294~"4j90:?85G3g28m44>2900c?9::188yg21k3:1>7>50z&0f5<6;<1C?k>4i00:>5<6=44}c6g6?6=:3:18c9>715=9;k01>:::00g?xu3>?0;6?uQ474891012;3?7p}>8b83>7}Y91i01>:::00f?xu3;j0;69uQ42a891ed213015l513c8930=9;30q~6n:187[>f342i6?9:;<65e?75j27?h=4>2c9~w`4=83>pRh<4=769=a=:;4<:0:>o52357957g<5:><6<o64=260>44d349?97?=b:p773=838pR><:;<173?75j2wx=><50;1xZ45534>=m7?=a:?7`5<6:j1v?ll:180[4ek2788>4>2e9>713=9;i0q~?78;296~X60116?96513f8yv2c93:1>v3;cb8b5>;3l;09;85rs7694?4|5?>1>4:4=9`957d=n7>52z?723;3l;0:>45rs262>5<4s49?47<85:?72d<6:j168i>513c8yv53:3:1>v3<49826d=:;=91>:;4}r170?6=:r78854>2c9>713=:>?0q~=;6;296~;4<10:>n5235596236=4={<47>d7<5?<1>:;4}r65=?6=:r7?:l4=749>03e=9;30qpl;a683>6<62:qG?k<51zJ2b5=zD:l86{#;k:1=k;4ief94?=n;ki1<75`34g94?=e?3:1?7>50z&0f5>{tlm0;6?uQde9>3?e13ty9:h4?:3y>3?40=2T89h5rs34e>5<5sW9io638:00:?x{e<:l1<7=51;1xH6`528qC=k>4}M1e7?7|,=o>6;5r$2`3>4`23`no6=44i2``>5<6<729q/?o>58e9K7c6?1<75rsef94?4|Vmn01:4l6:p63c=838p1:4=749]70c52z\0ff=:?3;956srb5:2>5<42808wA=i2;3xL4`73tF8j>4>{%6f1?05;n16a?6=3k=1<7=50;2x 6d721n0D>h?;h31=?6=3`i=6=44o356>5<?0R>;j;|q12c<72;qU?om4=6826<=zuk>i87>53;397~J4n;0:wE?i0:O7c5=9r.?i849;|&0f5<6n<1bhi4?::k0ff<722e89h4?::`4>5<4290;w)=m0;:g?M5a82c:>44?::k`2?6=3f8<97>5;|qg`?6=:rToh638:b48yv41m3:1>v38:356?[52m2wx>;h50;0xZ6dd34=1=?74}|`7g1<72:0:6>uC3g095~N6n91v@>h<:0y'0`3=>2w/?o>51g78mab=831b?om50;9l70c=831i;7>53;294~"4j903h6F7}:?38<96P<5d9~w70a2909wS=mc:?4>44>3twi8>950;094?6|,:h;6<=:;I1e4>o6:00;66a=7483>>{e<:h1<7<50;2x 6d7289>7E=i0:k26<<722e9;84?::a01?=8381<7>t$2`3>4523A9m<6g>2883>>i5?<0;66sm42;94?5=83:p(>l?:355?M5a82c:>l4?::k26g<722e9;84?::a0d?=8381<7>t$2`3>4523A9m<6g>2883>>i5?<0;66s|4`594?2|V=k<70:<0;6b3>;3;=0?m:524`5970c=;7>53z\722=:1013ty??i4?:5y]06b<5=o969=l;<601?24k27??>4;3b9~w1ec2909wS:ld:?776<3kj1v9l=:180[2e:27?i?4;b09>063=n>7:n1:p01c=838pR9:j;<600?23i2wx84h50;0xZ1?a34>n>7:7d:p00d=838pR9;m;<6f6?22j2wx88>50;0xZ13734>9i7::0:pg3<72:qUo;524269g3=:<;o1o;5rsd094?0|Vl8019=?:84891c520<019=::848914b20<019=<:d08yv2103:1>vP;699>062=h534g8yv2303:1>vP;499>01?=:>?0q~:8c;296~X3?j168?k546a8yv2f83:1>vP;a19>0`4=:54ba8yv2e;3:1>vP;b29>0g2=;52z\:4>;3;=02<6s|42494?4|V=9=70:<7;041>{t<:k1<7;3;<0?;h5rs5ca>5<5sW>jn63;e387eg=z{=;3;=0?:i5rs5:3>5<5sW>3<63;80801`=z{=i86=4={_6`7>;3k=089h5rs553>5<5sW><<63;348735=z{==?6=4={_640>;3;=0?;95rs5f7>5<2sW>o863;3187`6=:1b434>9i7:k3:p01d=838pR9:m;<601?23j2wx?8950;0x91c52:?370:=e;163>{t;;<1<764134>8?7==5:p510=838p19=::065?824<3;?:6s|19`94?5|5=9;6<6m;<601?7?j27??>4>8c9~w4512909w0:<5;302>;3;=0:?;5rs5c;>5<5s4>j;7jk;<6b=?40=2wx=5m50;0x9152282h70:<3;3;g>{t:k31<7:t=513>7d>34>n>7=52c:8yv50l3:1>v3;31803a=:<;o1?:j4}r6`>5<5s4>887:m;<60=?75j2wx8i4?:3y>063=7513c8yv2?:3:1>v3;35873`=:<1;1?om4}r164?6=:r7?i?4<509>07c=;<:0q~6n:186824832j70:j2;:b?824=32j70:=e;:b?824;32j7p}<9483>7}:<::1?4;4=50f>6?23ty::54?:3y>063=9?h019=;:04;?xu60l0;6?u242795=c<5=9?6<6j;|q7ed<72;q68?k54`c891g>28827p}70;293~;3;=03<63;2d8;4>;3;o0oh63;808g`>;3j=0oh63;c58g`>;3<00:>45rs06a>5<5s4>8<7?;b:?770<6i=63;b580ff=z{=936=4={<603?75127??44=749~w7?c2909w0:=e;0:`>;3;:095i5rs04g>5<5s4>897?9d:?771<60>1v?l7:181824838i463;e381f==z{8n?6=4={<601?7c<27??94>d59~w1272909w0:<4;675>;3;o08nn5rs206>5<4s4>8<7==5:?7a7<4:<168>;53378yv74:3:1>v3;318277=:<:91=><4}r6`1?6=:r7??94;c39>0f2=;ki0q~52z?770<601168>=519:8yv7ej3:1>v3;3482fg=:<:>1=i=4}r77>5<5s4>897;;;<60f?7512wx>;k50;0x96`6288<70:n7;1ag>{t:hn1<7:1<6s|2``94?4|V;ki70:j2;0bf>"4?809mn5a36295>{t:k<1<7:1>6s|2c794?4|V;h>70:j2;0a1>"4?809mn5a36297>{t:k>1<7:186s|2c194?4|V;h870:j2;0a7>"4?809mn5a36291>{t:k81<76*<7081ef=i;>:1:6s|2c394?4|V;h:70:j2;0a5>"4?809mn5a36293>{t:k:1<7:146s|2`d94?4|V;km70:j2;0bb>"4?809mn5a3629=>{t:ho1<7:1m6s|2`c94?4|V;kj70:j2;0be>"4?809mn5a3629f>{t??0;6?uQ779>066=<;1/?:?5749m726=82wx;94?:3y]31=:<::18=5+363930=i;>:1=6s|7283>6}Y?:168>>53g9>0`4=;o1/?:?5749m726=:2wx;?4?:2y]37=:<::1?i524d097a=#;>;1;85a36297>{t?90;6>uQ719>066=;k168h<53c9'727=?<1e?:>54:p2c<72:qU:k5242297d=::196s|6d83>6}Y>l168>>5389>0`4=;01/?:?5749m726=>2wx:i4?:2y]2a=:<::1?5524d097==#;>;1;85a36293>{t>j0;6>uQ6b9>066=;>168h<5369'727=?<1e?:>58:p2g<72:qU:o52422973=::156s|6`83>6}Y>h168>>5349>0`4=;<1/?:?5749m726=i2wx:44?:2y]2<=:<::1?9524d0971=#;>;1;85a3629f>{t>10;6>uQ699>066=;:168h<5329'727=?<1e?:>5c:p22<72:qU::52422977=::1h6s|7d83>6}Y?l168>>54`9>0`4=

    ;1;85a3629b>{t?j0;6?uQ7b9>0`4=<11/?:?5749m726=991v:l50;0xZ2d<5=o96994$252>235<5sW=j70:j2;65?!5093=>7c=80;31?xu013:1>vP89:?7a7<3=2.8;<485:l035<6;2wx;54?:3y]3==::1=95rs6594?4|V>=019k=:518 6162>?0b>9?:078yv162909wS9>;<6f6?5d3-9<=79:;o144?713twvqpNOCz3b25<28kk>849r@A@x4xFGXrwKL \ No newline at end of file diff --git a/cpld/XC95144XL/WarpSE.pad b/cpld/XC95144XL/WarpSE.pad index c1c57e1..c08a83d 100644 --- a/cpld/XC95144XL/WarpSE.pad +++ b/cpld/XC95144XL/WarpSE.pad @@ -1,7 +1,7 @@ Release 8.1i - Fit P.20131013 Copyright(c) 1995-2003 Xilinx Inc. All rights reserved - 4-10-2023 4:05AM + 4-15-2023 5:21AM NOTE: This file is designed to be imported into a spreadsheet program such as Microsoft Excel for viewing, printing and sorting. The pipe '|' diff --git a/cpld/XC95144XL/WarpSE.pnx b/cpld/XC95144XL/WarpSE.pnx index 64c8360..09f75b8 100644 --- a/cpld/XC95144XL/WarpSE.pnx +++ b/cpld/XC95144XL/WarpSE.pnx @@ -15,4 +15,4 @@ sr (SLOW|FAST|slow|fast) "SLOW" dir (BIDIR|bidir|INPUT|input|OUTPUT|output) "BIDIR"> ]> - + diff --git a/cpld/XC95144XL/WarpSE.rpt b/cpld/XC95144XL/WarpSE.rpt index 22239e4..6dd1704 100644 --- a/cpld/XC95144XL/WarpSE.rpt +++ b/cpld/XC95144XL/WarpSE.rpt @@ -1,7 +1,7 @@ cpldfit: version P.20131013 Xilinx Inc. Fitter Report -Design Name: WarpSE Date: 4-10-2023, 4:05AM +Design Name: WarpSE Date: 4-15-2023, 5:21AM Device Used: XC95144XL-10-TQ100 Fitting Status: Successful @@ -9,22 +9,22 @@ Fitting Status: Successful Macrocells Product Terms Function Block Registers Pins Used/Tot Used/Tot Inps Used/Tot Used/Tot Used/Tot -125/144 ( 87%) 469 /720 ( 65%) 283/432 ( 65%) 100/144 ( 69%) 70 /81 ( 86%) +124/144 ( 86%) 477 /720 ( 66%) 294/432 ( 68%) 100/144 ( 69%) 70 /81 ( 86%) ** Function Block Resources ** Function Mcells FB Inps Pterms IO Block Used/Tot Used/Tot Used/Tot Used/Tot -FB1 18/18* 25/54 29/90 11/11* -FB2 16/18 17/54 17/90 8/10 -FB3 13/18 35/54 81/90 10/10* -FB4 14/18 47/54 74/90 10/10* -FB5 16/18 47/54 75/90 8/10 +FB1 18/18* 33/54 47/90 11/11* +FB2 15/18 18/54 17/90 8/10 +FB3 16/18 43/54 81/90 10/10* +FB4 13/18 43/54 50/90 10/10* +FB5 14/18 39/54 81/90 8/10 FB6 18/18* 36/54 68/90 10/10* -FB7 18/18* 35/54 44/90 7/10 +FB7 18/18* 41/54 52/90 7/10 FB8 12/18 41/54 81/90 6/10 ----- ----- ----- ----- - 125/144 283/432 469/720 70/81 + 124/144 294/432 477/720 70/81 * - Resource is exhausted @@ -51,7 +51,7 @@ GSR : 0 0 | ** Power Data ** -There are 125 macrocells in high performance mode (MCHP). +There are 124 macrocells in high performance mode (MCHP). There are 0 macrocells in low power mode (MCLP). End of Mapped Resource Summary ************************** Errors and Warnings *************************** @@ -97,8 +97,8 @@ nDinOE 3 6 FB4_6 90 I/O O nRES 1 1 FB4_8 91 I/O I/O STD FAST nVPA_FSB 3 11 FB4_11 93 I/O O STD FAST RESET nROMCS 2 5 FB5_2 35 I/O O STD FAST -nCAS 1 1 FB5_5 36 I/O O STD FAST RESET -nOE 1 2 FB5_6 37 I/O O STD FAST +nCAS 15 17 FB5_5 36 I/O O STD FAST RESET +nOE 3 5 FB5_6 37 I/O O STD FAST RESET RA<4> 2 3 FB5_9 40 I/O O STD FAST RA<3> 2 3 FB5_11 41 I/O O STD FAST RA<5> 2 3 FB5_12 42 I/O O STD FAST @@ -125,75 +125,74 @@ nRAMUWE 1 3 FB8_8 66 I/O O nBERR_FSB 3 5 FB8_12 70 I/O O STD FAST RESET nBR_IOB 2 4 FB8_15 72 I/O O STD FAST RESET -** 90 Buried Nodes ** +** 89 Buried Nodes ** Signal Total Total Loc Pwr Reg Init Name Pts Inps Mode State -ram/BACTr 1 2 FB1_1 STD RESET -nRESout 1 2 FB1_2 STD RESET -iobs/Clear1 1 2 FB1_3 STD RESET -iobm/Er 1 1 FB1_4 STD RESET -fsb/ASrf 1 1 FB1_5 STD RESET -cnt/nIPL2r 1 1 FB1_6 STD RESET -cnt/Er<1> 1 1 FB1_7 STD RESET -cnt/Er<0> 1 1 FB1_8 STD RESET -ALE0S 1 1 FB1_9 STD RESET -$OpTx$$OpTx$FX_DC$348_INV$535 1 2 FB1_10 STD -iobs/TS_FSM_FFd1 2 3 FB1_11 STD RESET -iobs/IOU1 2 2 FB1_12 STD RESET -iobs/IOL1 2 2 FB1_13 STD RESET -cnt/Timer<0> 2 4 FB1_14 STD RESET -RefUrg 2 5 FB1_15 STD RESET -IOBERR 2 2 FB1_16 STD RESET -iobm/ES<2> 3 5 FB1_17 STD RESET -cnt/Timer<1> 4 5 FB1_18 STD RESET -ram/RS_FSM_FFd6 1 1 FB2_3 STD RESET -ram/RS_FSM_FFd4 1 1 FB2_4 STD RESET -ram/RS_FSM_FFd3 1 1 FB2_5 STD RESET -ram/RS_FSM_FFd2 1 1 FB2_6 STD RESET -ram/RS_FSM_FFd1 1 1 FB2_7 STD RESET -ram/RASrf 1 1 FB2_8 STD RESET -iobs/IODONEr 1 1 FB2_9 STD RESET -iobs/IOACTr 1 1 FB2_10 STD RESET -iobm/VPAr 1 1 FB2_11 STD RESET -iobm/IOWRREQr 1 1 FB2_12 STD RESET -iobm/IOS_FSM_FFd5 1 1 FB2_13 STD RESET -iobm/IOS_FSM_FFd4 1 1 FB2_14 STD RESET -iobm/IOS_FSM_FFd1 1 1 FB2_15 STD RESET -iobm/IORDREQr 1 1 FB2_16 STD RESET -iobm/C8Mr 1 1 FB2_17 STD RESET -iobm/IOS_FSM_FFd2 2 4 FB2_18 STD RESET -cnt/WS<0> 1 3 FB3_2 STD RESET -ram/RefDone 2 8 FB3_3 STD RESET -ram/RS_FSM_FFd7 2 7 FB3_4 STD RESET -ram/RS_FSM_FFd5 2 4 FB3_5 STD RESET -cnt/WS<2> 3 5 FB3_6 STD RESET -cnt/WS<1> 3 4 FB3_7 STD RESET +ram/RS_FSM_FFd3 1 1 FB1_1 STD RESET +ram/RS_FSM_FFd2 1 1 FB1_2 STD RESET +ram/RS_FSM_FFd1 1 1 FB1_3 STD RESET +cnt/Er<1> 1 1 FB1_4 STD RESET +cnt/Er<0> 1 1 FB1_5 STD RESET +ram/RefDone 2 7 FB1_6 STD RESET +iobs/IOU1 2 2 FB1_7 STD RESET +iobs/IOL1 2 2 FB1_8 STD RESET +cnt/TimerTC 2 6 FB1_9 STD RESET +cnt/Timer<0> 2 4 FB1_10 STD RESET +cnt/IS_FSM_FFd2 2 6 FB1_11 STD RESET +RefUrg 2 5 FB1_12 STD RESET +RefReq 2 6 FB1_13 STD RESET +iobm/ES<2> 3 5 FB1_14 STD RESET +cnt/Timer<1> 4 5 FB1_15 STD RESET +cnt/Timer<3> 5 7 FB1_16 STD RESET +cnt/Timer<2> 5 6 FB1_17 STD RESET +ram/RS_FSM_FFd7 9 11 FB1_18 STD RESET +ram/RASrf 1 1 FB2_4 STD RESET +ram/DTACKr 1 1 FB2_5 STD RESET +iobs/IODONEr 1 1 FB2_6 STD RESET +iobs/IOACTr 1 1 FB2_7 STD RESET +iobm/VPAr 1 1 FB2_8 STD RESET +iobm/IOWRREQr 1 1 FB2_9 STD RESET +iobm/IOS_FSM_FFd5 1 1 FB2_10 STD RESET +iobm/IOS_FSM_FFd4 1 1 FB2_11 STD RESET +iobm/IOS_FSM_FFd1 1 1 FB2_12 STD RESET +iobm/IORDREQr 1 1 FB2_13 STD RESET +iobm/Er 1 1 FB2_14 STD RESET +iobm/C8Mr 1 1 FB2_15 STD RESET +cnt/nIPL2r 1 1 FB2_16 STD RESET +iobm/IOS_FSM_FFd2 2 4 FB2_17 STD RESET +IOBERR 2 2 FB2_18 STD RESET +QoSReady 18 25 FB3_2 STD RESET +cnt/WS<2> 3 5 FB3_3 STD RESET +ram/RS_FSM_FFd6 2 7 FB3_4 STD RESET +ram/RS_FSM_FFd5 2 3 FB3_5 STD RESET +iobs/TS_FSM_FFd1 2 3 FB3_6 STD RESET +ram/RASEL 3 8 FB3_7 STD RESET +cs/nOverlay 3 8 FB3_8 STD RESET Signal Total Total Loc Pwr Reg Init Name Pts Inps Mode State -ram/RS_FSM_FFd8 9 11 FB3_10 STD RESET -ram/RASEN 10 18 FB3_12 STD RESET -ram/CAS 13 14 FB3_14 STD RESET -ram/RS_FSM_FFd9 13 13 FB3_15 STD SET -RAMReady 13 18 FB3_18 STD RESET -cnt/LTimer<9> 3 15 FB4_3 STD RESET -cnt/LTimer<8> 3 14 FB4_4 STD RESET -cnt/LTimer<7> 3 13 FB4_7 STD RESET -cnt/LTimer<11> 3 17 FB4_9 STD RESET -cnt/LTimer<10> 3 16 FB4_10 STD RESET -IONPReady 5 16 FB4_12 STD RESET -cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 10 36 FB4_14 STD +cnt/WS<3> 3 6 FB3_10 STD RESET +cnt/WS<1> 3 4 FB3_11 STD RESET +iobs/Load1 8 17 FB3_12 STD RESET +iobs/Clear1 1 2 FB3_13 STD RESET +IORDREQ 9 15 FB3_15 STD RESET +iobs/Sent 13 18 FB3_16 STD RESET +ram/RS_FSM_FFd4 1 2 FB3_18 STD RESET +ram/BACTr 1 2 FB4_7 STD RESET +nRESout 1 2 FB4_9 STD RESET +fsb/ASrf 1 1 FB4_10 STD RESET +cnt/LTimerTC 1 12 FB4_12 STD RESET +ALE0S 1 1 FB4_13 STD RESET +$OpTx$$OpTx$FX_DC$350_INV$537 1 2 FB4_14 STD cnt/LTimer<1> 11 24 FB4_16 STD RESET cnt/LTimer<0> 22 34 FB4_18 STD RESET -QoSReady 18 25 FB5_1 STD RESET -ram/RASEL 3 8 FB5_3 STD RESET -cs/nOverlay 3 8 FB5_4 STD RESET -cnt/WS<3> 3 6 FB5_7 STD RESET -ram/RASrr 4 9 FB5_8 STD RESET -iobs/Load1 8 17 FB5_10 STD RESET -iobs/Sent 13 18 FB5_13 STD RESET -IORDREQ 9 15 FB5_17 STD RESET +ram/RS_FSM_FFd8 13 13 FB5_1 STD SET +cnt/WS<0> 1 3 FB5_8 STD RESET +ram/RASEN 10 12 FB5_10 STD RESET +RAMReady 10 14 FB5_13 STD RESET +IONPReady 5 16 FB5_16 STD RESET +ram/RASrr 12 13 FB5_18 STD RESET iobm/IOS_FSM_FFd6 2 5 FB6_1 STD RESET iobm/IOS_FSM_FFd7 3 6 FB6_3 STD SET iobm/IOS_FSM_FFd3 3 5 FB6_4 STD RESET @@ -205,20 +204,20 @@ IODONE 4 8 FB6_10 STD RESET iobm/IOS0 5 12 FB6_13 STD RESET ALE0M 5 11 FB6_16 STD RESET IOACT 8 14 FB6_18 STD RESET -cnt/LTimerTC 1 12 FB7_1 STD RESET -cnt/TimerTC 2 6 FB7_3 STD RESET -cnt/IS_FSM_FFd2 2 6 FB7_4 STD RESET -RefReq 2 6 FB7_7 STD RESET -cnt/LTimer<6> 3 12 FB7_10 STD RESET -cnt/LTimer<5> 3 11 FB7_13 STD RESET -cnt/LTimer<4> 3 10 FB7_14 STD RESET +cnt/LTimer<9> 3 15 FB7_1 STD RESET +cnt/LTimer<8> 3 14 FB7_3 STD RESET +cnt/LTimer<7> 3 13 FB7_4 STD RESET +cnt/LTimer<6> 3 12 FB7_7 STD RESET +cnt/LTimer<5> 3 11 FB7_10 STD RESET +cnt/LTimer<4> 3 10 FB7_13 STD RESET +cnt/LTimer<3> 3 9 FB7_14 STD RESET +cnt/LTimer<2> 3 8 FB7_15 STD RESET Signal Total Total Loc Pwr Reg Init Name Pts Inps Mode State -cnt/LTimer<3> 3 9 FB7_15 STD RESET -cnt/LTimer<2> 3 8 FB7_16 STD RESET -cnt/Timer<3> 5 7 FB7_17 STD RESET -cnt/Timer<2> 5 6 FB7_18 STD RESET +cnt/LTimer<11> 3 17 FB7_16 STD RESET +cnt/LTimer<10> 3 16 FB7_17 STD RESET +cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 10 36 FB7_18 STD IOL0 16 21 FB8_1 STD RESET iobs/TS_FSM_FFd2 13 19 FB8_3 STD RESET IOWRREQ 15 21 FB8_9 STD RESET @@ -282,295 +281,293 @@ Pin Type/Use - I - Input GCK - Global Clock X - Signal used as input to the macrocell logic. Pin No. - ~ - User Assigned *********************************** FB1 *********************************** -Number of function block inputs used/remaining: 25/29 -Number of signals used by logic mapping into function block: 25 +Number of function block inputs used/remaining: 33/21 +Number of signals used by logic mapping into function block: 33 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use -ram/BACTr 1 0 0 4 FB1_1 (b) (b) -nRESout 1 0 0 4 FB1_2 11 I/O I -iobs/Clear1 1 0 0 4 FB1_3 12 I/O I -iobm/Er 1 0 0 4 FB1_4 (b) (b) -fsb/ASrf 1 0 0 4 FB1_5 13 I/O I -cnt/nIPL2r 1 0 0 4 FB1_6 14 I/O I -cnt/Er<1> 1 0 0 4 FB1_7 (b) (b) -cnt/Er<0> 1 0 0 4 FB1_8 15 I/O I -ALE0S 1 0 0 4 FB1_9 16 I/O I -$OpTx$$OpTx$FX_DC$348_INV$535 - 1 0 0 4 FB1_10 (b) (b) -iobs/TS_FSM_FFd1 2 0 0 3 FB1_11 17 I/O I -iobs/IOU1 2 0 0 3 FB1_12 18 I/O I -iobs/IOL1 2 0 0 3 FB1_13 (b) (b) -cnt/Timer<0> 2 0 0 3 FB1_14 19 I/O I -RefUrg 2 0 0 3 FB1_15 20 I/O I -IOBERR 2 0 0 3 FB1_16 (b) (b) -iobm/ES<2> 3 0 0 2 FB1_17 22 GCK/I/O GCK -cnt/Timer<1> 4 0 0 1 FB1_18 (b) (b) +ram/RS_FSM_FFd3 1 0 /\4 0 FB1_1 (b) (b) +ram/RS_FSM_FFd2 1 0 0 4 FB1_2 11 I/O I +ram/RS_FSM_FFd1 1 0 0 4 FB1_3 12 I/O I +cnt/Er<1> 1 0 0 4 FB1_4 (b) (b) +cnt/Er<0> 1 0 0 4 FB1_5 13 I/O I +ram/RefDone 2 0 0 3 FB1_6 14 I/O I +iobs/IOU1 2 0 0 3 FB1_7 (b) (b) +iobs/IOL1 2 0 0 3 FB1_8 15 I/O I +cnt/TimerTC 2 0 0 3 FB1_9 16 I/O I +cnt/Timer<0> 2 0 0 3 FB1_10 (b) (b) +cnt/IS_FSM_FFd2 2 0 0 3 FB1_11 17 I/O I +RefUrg 2 0 0 3 FB1_12 18 I/O I +RefReq 2 0 0 3 FB1_13 (b) (b) +iobm/ES<2> 3 0 0 2 FB1_14 19 I/O I +cnt/Timer<1> 4 0 0 1 FB1_15 20 I/O I +cnt/Timer<3> 5 0 0 0 FB1_16 (b) (b) +cnt/Timer<2> 5 0 0 0 FB1_17 22 GCK/I/O GCK +ram/RS_FSM_FFd7 9 4<- 0 0 FB1_18 (b) (b) Signals Used by Logic in Function Block - 1: E 10: cnt/TimerTC 18: iobs/TS_FSM_FFd1 - 2: cnt/Er<0> 11: fsb/ASrf 19: iobs/TS_FSM_FFd2 - 3: cnt/Er<1> 12: iobm/ES<0> 20: nAS_FSB - 4: cnt/IS_FSM_FFd1 13: iobm/ES<1> 21: nAS_IOB - 5: cnt/IS_FSM_FFd2 14: iobm/ES<2> 22: nBERR_IOB - 6: cnt/Timer<0> 15: iobm/Er 23: nIPL2 - 7: cnt/Timer<1> 16: iobs/IOACTr 24: nLDS_FSB - 8: cnt/Timer<2> 17: iobs/Load1 25: nUDS_FSB - 9: cnt/Timer<3> + 1: A_FSB<22> 12: cnt/Timer<1> 23: nLDS_FSB + 2: A_FSB<23> 13: cnt/Timer<2> 24: nUDS_FSB + 3: E 14: cnt/Timer<3> 25: ram/BACTr + 4: RefReq 15: cnt/TimerTC 26: ram/RASEN + 5: RefUrg 16: fsb/ASrf 27: ram/RS_FSM_FFd1 + 6: cnt/Er<0> 17: iobm/ES<0> 28: ram/RS_FSM_FFd2 + 7: cnt/Er<1> 18: iobm/ES<1> 29: ram/RS_FSM_FFd3 + 8: cnt/IS_FSM_FFd1 19: iobm/ES<2> 30: ram/RS_FSM_FFd4 + 9: cnt/IS_FSM_FFd2 20: iobm/Er 31: ram/RS_FSM_FFd7 + 10: cnt/LTimerTC 21: iobs/Load1 32: ram/RS_FSM_FFd8 + 11: cnt/Timer<0> 22: nAS_FSB 33: ram/RefDone Signal 1 2 3 4 FB Name 0----+----0----+----0----+----0----+----0 Inputs -ram/BACTr ..........X........X.................... 2 -nRESout ...XX................................... 2 -iobs/Clear1 .................XX..................... 2 -iobm/Er X....................................... 1 -fsb/ASrf ...................X.................... 1 -cnt/nIPL2r ......................X................. 1 -cnt/Er<1> .X...................................... 1 -cnt/Er<0> X....................................... 1 -ALE0S ..................X..................... 1 -$OpTx$$OpTx$FX_DC$348_INV$535 - ..........X........X.................... 2 -iobs/TS_FSM_FFd1 ...............X.XX..................... 3 -iobs/IOU1 ................X.......X............... 2 -iobs/IOL1 ................X......X................ 2 -cnt/Timer<0> .XX..X...X.............................. 4 -RefUrg .XX...XXX............................... 5 -IOBERR ....................XX.................. 2 -iobm/ES<2> X..........XXXX......................... 5 -cnt/Timer<1> .XX..XX..X.............................. 5 +ram/RS_FSM_FFd3 ..............................X......... 1 +ram/RS_FSM_FFd2 ............................X........... 1 +ram/RS_FSM_FFd1 ...........................X............ 1 +cnt/Er<1> .....X.................................. 1 +cnt/Er<0> ..X..................................... 1 +ram/RefDone ...XX.....................XXX.X.X....... 7 +iobs/IOU1 ....................X..X................ 2 +iobs/IOL1 ....................X.X................. 2 +cnt/TimerTC .....XX...XXXX.......................... 6 +cnt/Timer<0> .....XX...X...X......................... 4 +cnt/IS_FSM_FFd2 .....XXXXX....X......................... 6 +RefUrg .....XX....XXX.......................... 5 +RefReq .....XX...XXXX.......................... 6 +iobm/ES<2> ..X.............XXXX.................... 5 +cnt/Timer<1> .....XX...XX..X......................... 5 +cnt/Timer<3> .....XX...XXXXX......................... 7 +cnt/Timer<2> .....XX...XXX.X......................... 6 +ram/RS_FSM_FFd7 XX.XX..........X.....X..XX...X.XX....... 11 0----+----1----+----2----+----3----+----4 0 0 0 0 *********************************** FB2 *********************************** -Number of function block inputs used/remaining: 17/37 -Number of signals used by logic mapping into function block: 17 +Number of function block inputs used/remaining: 18/36 +Number of signals used by logic mapping into function block: 18 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use (unused) 0 0 0 5 FB2_1 (b) (unused) 0 0 0 5 FB2_2 99 GSR/I/O -ram/RS_FSM_FFd6 1 0 0 4 FB2_3 (b) (b) -ram/RS_FSM_FFd4 1 0 0 4 FB2_4 (b) (b) -ram/RS_FSM_FFd3 1 0 0 4 FB2_5 1 GTS/I/O (b) -ram/RS_FSM_FFd2 1 0 0 4 FB2_6 2 GTS/I/O I -ram/RS_FSM_FFd1 1 0 0 4 FB2_7 (b) (b) -ram/RASrf 1 0 0 4 FB2_8 3 GTS/I/O I -iobs/IODONEr 1 0 0 4 FB2_9 4 GTS/I/O I -iobs/IOACTr 1 0 0 4 FB2_10 (b) (b) -iobm/VPAr 1 0 0 4 FB2_11 6 I/O I -iobm/IOWRREQr 1 0 0 4 FB2_12 7 I/O I -iobm/IOS_FSM_FFd5 1 0 0 4 FB2_13 (b) (b) -iobm/IOS_FSM_FFd4 1 0 0 4 FB2_14 8 I/O I -iobm/IOS_FSM_FFd1 1 0 0 4 FB2_15 9 I/O I -iobm/IORDREQr 1 0 0 4 FB2_16 (b) (b) -iobm/C8Mr 1 0 0 4 FB2_17 10 I/O I -iobm/IOS_FSM_FFd2 2 0 0 3 FB2_18 (b) (b) +(unused) 0 0 0 5 FB2_3 (b) +ram/RASrf 1 0 0 4 FB2_4 (b) (b) +ram/DTACKr 1 0 0 4 FB2_5 1 GTS/I/O (b) +iobs/IODONEr 1 0 0 4 FB2_6 2 GTS/I/O I +iobs/IOACTr 1 0 0 4 FB2_7 (b) (b) +iobm/VPAr 1 0 0 4 FB2_8 3 GTS/I/O I +iobm/IOWRREQr 1 0 0 4 FB2_9 4 GTS/I/O I +iobm/IOS_FSM_FFd5 1 0 0 4 FB2_10 (b) (b) +iobm/IOS_FSM_FFd4 1 0 0 4 FB2_11 6 I/O I +iobm/IOS_FSM_FFd1 1 0 0 4 FB2_12 7 I/O I +iobm/IORDREQr 1 0 0 4 FB2_13 (b) (b) +iobm/Er 1 0 0 4 FB2_14 8 I/O I +iobm/C8Mr 1 0 0 4 FB2_15 9 I/O I +cnt/nIPL2r 1 0 0 4 FB2_16 (b) (b) +iobm/IOS_FSM_FFd2 2 0 0 3 FB2_17 10 I/O I +IOBERR 2 0 0 3 FB2_18 (b) (b) Signals Used by Logic in Function Block - 1: C8M 7: iobm/C8Mr 13: ram/RS_FSM_FFd2 - 2: IOACT 8: iobm/IOS_FSM_FFd2 14: ram/RS_FSM_FFd3 - 3: IOBERR 9: iobm/IOS_FSM_FFd3 15: ram/RS_FSM_FFd4 - 4: IODONE 10: iobm/IOS_FSM_FFd5 16: ram/RS_FSM_FFd7 - 5: IORDREQ 11: iobm/IOS_FSM_FFd6 17: ram/RS_FSM_FFd8 - 6: IOWRREQ 12: nVPA_IOB + 1: C8M 7: IOWRREQ 13: nAS_IOB + 2: E 8: iobm/C8Mr 14: nBERR_IOB + 3: IOACT 9: iobm/IOS_FSM_FFd2 15: nDTACK_FSB + 4: IOBERR 10: iobm/IOS_FSM_FFd3 16: nIPL2 + 5: IODONE 11: iobm/IOS_FSM_FFd5 17: nVPA_IOB + 6: IORDREQ 12: iobm/IOS_FSM_FFd6 18: ram/RS_FSM_FFd6 Signal 1 2 3 4 FB Name 0----+----0----+----0----+----0----+----0 Inputs -ram/RS_FSM_FFd6 ...............X........................ 1 -ram/RS_FSM_FFd4 ................X....................... 1 -ram/RS_FSM_FFd3 ..............X......................... 1 -ram/RS_FSM_FFd2 .............X.......................... 1 -ram/RS_FSM_FFd1 ............X........................... 1 -ram/RASrf ...............X........................ 1 -iobs/IODONEr ...X.................................... 1 -iobs/IOACTr .X...................................... 1 -iobm/VPAr ...........X............................ 1 -iobm/IOWRREQr .....X.................................. 1 -iobm/IOS_FSM_FFd5 ..........X............................. 1 -iobm/IOS_FSM_FFd4 .........X.............................. 1 -iobm/IOS_FSM_FFd1 .......X................................ 1 -iobm/IORDREQr ....X................................... 1 +ram/RASrf .................X...................... 1 +ram/DTACKr ..............X......................... 1 +iobs/IODONEr ....X................................... 1 +iobs/IOACTr ..X..................................... 1 +iobm/VPAr ................X....................... 1 +iobm/IOWRREQr ......X................................. 1 +iobm/IOS_FSM_FFd5 ...........X............................ 1 +iobm/IOS_FSM_FFd4 ..........X............................. 1 +iobm/IOS_FSM_FFd1 ........X............................... 1 +iobm/IORDREQr .....X.................................. 1 +iobm/Er .X...................................... 1 iobm/C8Mr X....................................... 1 -iobm/IOS_FSM_FFd2 ..XX..X.X............................... 4 +cnt/nIPL2r ...............X........................ 1 +iobm/IOS_FSM_FFd2 ...XX..X.X.............................. 4 +IOBERR ............XX.......................... 2 0----+----1----+----2----+----3----+----4 0 0 0 0 *********************************** FB3 *********************************** -Number of function block inputs used/remaining: 35/19 -Number of signals used by logic mapping into function block: 35 +Number of function block inputs used/remaining: 43/11 +Number of signals used by logic mapping into function block: 43 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use -(unused) 0 0 /\5 0 FB3_1 (b) (b) -cnt/WS<0> 1 0 /\4 0 FB3_2 23 GCK/I/O GCK/I -ram/RefDone 2 0 0 3 FB3_3 (b) (b) -ram/RS_FSM_FFd7 2 0 0 3 FB3_4 (b) (b) +(unused) 0 0 \/5 0 FB3_1 (b) (b) +QoSReady 18 13<- 0 0 FB3_2 23 GCK/I/O GCK/I +cnt/WS<2> 3 2<- /\4 0 FB3_3 (b) (b) +ram/RS_FSM_FFd6 2 0 /\2 1 FB3_4 (b) (b) ram/RS_FSM_FFd5 2 0 0 3 FB3_5 24 I/O I -cnt/WS<2> 3 0 \/2 0 FB3_6 25 I/O I -cnt/WS<1> 3 2<- \/4 0 FB3_7 (b) (b) -(unused) 0 0 \/5 0 FB3_8 27 GCK/I/O GCK -nDTACK_FSB 9 9<- \/5 0 FB3_9 28 I/O O -ram/RS_FSM_FFd8 9 5<- \/1 0 FB3_10 (b) (b) -(unused) 0 0 \/5 0 FB3_11 29 I/O I -ram/RASEN 10 6<- \/1 0 FB3_12 30 I/O I -(unused) 0 0 \/5 0 FB3_13 (b) (b) -ram/CAS 13 8<- 0 0 FB3_14 32 I/O I -ram/RS_FSM_FFd9 13 10<- /\2 0 FB3_15 33 I/O I -(unused) 0 0 /\5 0 FB3_16 (b) (b) -nROMWE 1 1<- /\5 0 FB3_17 34 I/O O -RAMReady 13 9<- /\1 0 FB3_18 (b) (b) +iobs/TS_FSM_FFd1 2 0 0 3 FB3_6 25 I/O I +ram/RASEL 3 0 \/2 0 FB3_7 (b) (b) +cs/nOverlay 3 2<- \/4 0 FB3_8 27 GCK/I/O GCK +nDTACK_FSB 9 4<- 0 0 FB3_9 28 I/O O +cnt/WS<3> 3 0 \/1 1 FB3_10 (b) (b) +cnt/WS<1> 3 1<- \/3 0 FB3_11 29 I/O I +iobs/Load1 8 3<- 0 0 FB3_12 30 I/O I +iobs/Clear1 1 0 \/3 1 FB3_13 (b) (b) +(unused) 0 0 \/5 0 FB3_14 32 I/O I +IORDREQ 9 8<- \/4 0 FB3_15 33 I/O I +iobs/Sent 13 8<- 0 0 FB3_16 (b) (b) +nROMWE 1 0 /\4 0 FB3_17 34 I/O O +ram/RS_FSM_FFd4 1 0 \/4 0 FB3_18 (b) (b) Signals Used by Logic in Function Block - 1: A_FSB<13> 13: RAMReady 25: ram/RASEN - 2: A_FSB<14> 14: RefReq 26: ram/RS_FSM_FFd1 - 3: A_FSB<16> 15: RefUrg 27: ram/RS_FSM_FFd2 - 4: A_FSB<17> 16: cnt/WS<0> 28: ram/RS_FSM_FFd3 - 5: A_FSB<18> 17: cnt/WS<1> 29: ram/RS_FSM_FFd4 - 6: A_FSB<19> 18: cnt/WS<2> 30: ram/RS_FSM_FFd5 - 7: A_FSB<20> 19: cs/nOverlay 31: ram/RS_FSM_FFd6 - 8: A_FSB<21> 20: fsb/ASrf 32: ram/RS_FSM_FFd7 - 9: A_FSB<22> 21: nADoutLE1 33: ram/RS_FSM_FFd8 - 10: A_FSB<23> 22: nAS_FSB 34: ram/RS_FSM_FFd9 - 11: IONPReady 23: nWE_FSB 35: ram/RefDone - 12: QoSReady 24: ram/BACTr + 1: $OpTx$$OpTx$FX_DC$350_INV$537 16: A_FSB<8> 30: fsb/ASrf + 2: A_FSB<10> 17: A_FSB<9> 31: iobs/IOACTr + 3: A_FSB<11> 18: IONPReady 32: iobs/IORW1 + 4: A_FSB<12> 19: IORDREQ 33: iobs/Sent + 5: A_FSB<13> 20: nRES.PIN 34: iobs/TS_FSM_FFd1 + 6: A_FSB<14> 21: QoSReady 35: iobs/TS_FSM_FFd2 + 7: A_FSB<15> 22: RAMReady 36: nADoutLE1 + 8: A_FSB<16> 23: cnt/LTimer<0> 37: nAS_FSB + 9: A_FSB<17> 24: cnt/LTimer<1> 38: nWE_FSB + 10: A_FSB<18> 25: cnt/WS<0> 39: ram/DTACKr + 11: A_FSB<19> 26: cnt/WS<1> 40: ram/RASEN + 12: A_FSB<20> 27: cnt/WS<2> 41: ram/RS_FSM_FFd5 + 13: A_FSB<21> 28: cnt/WS<3> 42: ram/RS_FSM_FFd6 + 14: A_FSB<22> 29: cs/nOverlay 43: ram/RS_FSM_FFd8 + 15: A_FSB<23> -Signal 1 2 3 4 FB -Name 0----+----0----+----0----+----0----+----0 Inputs -cnt/WS<0> ...............X...X.X.................. 3 -ram/RefDone .............XX..........XXXX...X.X..... 8 -ram/RS_FSM_FFd7 ........XX........XX.X..X........X...... 7 -ram/RS_FSM_FFd5 ...................X.X.......XX......... 4 -cnt/WS<2> ...............XXX.X.X.................. 5 -cnt/WS<1> ...............XX..X.X.................. 4 -nDTACK_FSB XXXXXXXXXXXXX......XXXX................. 17 -ram/RS_FSM_FFd8 ........XX...XX....X.X.XX....X...XX..... 11 -ram/RASEN ........XX...XX....X.X.XXXXXXXXXXXX..... 18 -ram/CAS ........XX...XX...XX.X.XX....X.XXXX..... 14 -ram/RS_FSM_FFd9 ........XX...XX...XX.X.XXX...X...XX..... 13 -nROMWE .....................XX................. 2 -RAMReady ........XX...XX....X.X.XXXXXXXXXXXX..... 18 - 0----+----1----+----2----+----3----+----4 - 0 0 0 0 +Signal 1 2 3 4 5 FB +Name 0----+----0----+----0----+----0----+----0----+----0 Inputs +QoSReady XXXXXXXXXXXXXXXXX...X.XXXXXX.........X............ 25 +cnt/WS<2> ........................XXX..X......X............. 5 +ram/RS_FSM_FFd6 .............XX.............XX......X..X..X....... 7 +ram/RS_FSM_FFd5 ......................................X.XX........ 3 +iobs/TS_FSM_FFd1 ..............................X..XX............... 3 +ram/RASEL .............XX.............XX......X..X.XX....... 8 +cs/nOverlay ...........XXXX....X........XX......X............. 8 +nDTACK_FSB ....XX.XXXXXXXX..X..XX.......X.....XXX............ 17 +cnt/WS<3> ........................XXXX.X......X............. 6 +cnt/WS<1> ........................XX...X......X............. 4 +iobs/Load1 ....XX.XXXXXXXX..............X..XXXXXX............ 17 +iobs/Clear1 .................................XX............... 2 +IORDREQ ...........XXXX...X.........XXXXXXXXXX............ 15 +iobs/Sent ....XX.XXXXXXXX.............XX..XXXXXX............ 18 +nROMWE ....................................XX............ 2 +ram/RS_FSM_FFd4 ......................................X.X......... 2 + 0----+----1----+----2----+----3----+----4----+----5 + 0 0 0 0 0 *********************************** FB4 *********************************** -Number of function block inputs used/remaining: 47/7 -Number of signals used by logic mapping into function block: 47 +Number of function block inputs used/remaining: 43/11 +Number of signals used by logic mapping into function block: 43 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use (unused) 0 0 /\5 0 FB4_1 (b) (b) nAoutOE 2 2<- /\5 0 FB4_2 87 I/O O -cnt/LTimer<9> 3 0 /\2 0 FB4_3 (b) (b) -cnt/LTimer<8> 3 0 0 2 FB4_4 (b) (b) +(unused) 0 0 /\2 3 FB4_3 (b) (b) +(unused) 0 0 0 5 FB4_4 (b) nDoutOE 2 0 0 3 FB4_5 89 I/O O nDinOE 3 0 0 2 FB4_6 90 I/O O -cnt/LTimer<7> 3 0 0 2 FB4_7 (b) (b) +ram/BACTr 1 0 0 4 FB4_7 (b) (b) nRES 1 0 0 4 FB4_8 91 I/O I/O -cnt/LTimer<11> 3 0 0 2 FB4_9 92 I/O I -cnt/LTimer<10> 3 0 \/1 1 FB4_10 (b) (b) -nVPA_FSB 3 1<- \/3 0 FB4_11 93 I/O O -IONPReady 5 3<- \/3 0 FB4_12 94 I/O I -(unused) 0 0 \/5 0 FB4_13 (b) (b) -cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 - 10 8<- \/3 0 FB4_14 95 I/O I +nRESout 1 0 0 4 FB4_9 92 I/O I +fsb/ASrf 1 0 0 4 FB4_10 (b) (b) +nVPA_FSB 3 0 0 2 FB4_11 93 I/O O +cnt/LTimerTC 1 0 0 4 FB4_12 94 I/O I +ALE0S 1 0 0 4 FB4_13 (b) (b) +$OpTx$$OpTx$FX_DC$350_INV$537 + 1 0 \/3 1 FB4_14 95 I/O I (unused) 0 0 \/5 0 FB4_15 96 I/O I cnt/LTimer<1> 11 8<- \/2 0 FB4_16 (b) (b) (unused) 0 0 \/5 0 FB4_17 97 I/O I cnt/LTimer<0> 22 17<- 0 0 FB4_18 (b) (b) Signals Used by Logic in Function Block - 1: A_FSB<10> 17: IONPReady 33: cnt/LTimer<9> - 2: A_FSB<11> 18: cnt/Er<0> 34: cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 - 3: A_FSB<12> 19: cnt/Er<1> 35: cnt/TimerTC - 4: A_FSB<13> 20: cnt/IS_FSM_FFd1 36: fsb/ASrf - 5: A_FSB<14> 21: cnt/IS_FSM_FFd2 37: iobm/DoutOE - 6: A_FSB<15> 22: cnt/LTimer<0> 38: iobm/IORDREQr - 7: A_FSB<16> 23: cnt/LTimer<10> 39: iobm/IOS0 - 8: A_FSB<17> 24: cnt/LTimer<11> 40: iobm/IOWRREQr - 9: A_FSB<18> 25: cnt/LTimer<1> 41: iobs/IODONEr - 10: A_FSB<19> 26: cnt/LTimer<2> 42: iobs/Sent - 11: A_FSB<20> 27: cnt/LTimer<3> 43: nAS_FSB - 12: A_FSB<21> 28: cnt/LTimer<4> 44: nAoutOE - 13: A_FSB<22> 29: cnt/LTimer<5> 45: nBR_IOB - 14: A_FSB<23> 30: cnt/LTimer<6> 46: nRESout - 15: A_FSB<8> 31: cnt/LTimer<7> 47: nWE_FSB - 16: A_FSB<9> 32: cnt/LTimer<8> + 1: A_FSB<10> 16: A_FSB<9> 30: cnt/LTimer<8> + 2: A_FSB<11> 17: IONPReady 31: cnt/LTimer<9> + 3: A_FSB<12> 18: cnt/IS_FSM_FFd1 32: cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 + 4: A_FSB<13> 19: cnt/IS_FSM_FFd2 33: fsb/ASrf + 5: A_FSB<14> 20: cnt/LTimer<0> 34: iobm/DoutOE + 6: A_FSB<15> 21: cnt/LTimer<10> 35: iobm/IORDREQr + 7: A_FSB<16> 22: cnt/LTimer<11> 36: iobm/IOS0 + 8: A_FSB<17> 23: cnt/LTimer<1> 37: iobm/IOWRREQr + 9: A_FSB<18> 24: cnt/LTimer<2> 38: iobs/TS_FSM_FFd2 + 10: A_FSB<19> 25: cnt/LTimer<3> 39: nAS_FSB + 11: A_FSB<20> 26: cnt/LTimer<4> 40: nAoutOE + 12: A_FSB<21> 27: cnt/LTimer<5> 41: nBR_IOB + 13: A_FSB<22> 28: cnt/LTimer<6> 42: nRESout + 14: A_FSB<23> 29: cnt/LTimer<7> 43: nWE_FSB + 15: A_FSB<8> Signal 1 2 3 4 5 FB Name 0----+----0----+----0----+----0----+----0----+----0 Inputs -nAoutOE ...................XX......................XX..... 4 -cnt/LTimer<9> .................XXXXX..XXXXXXXXX.X............... 15 -cnt/LTimer<8> .................XXXXX..XXXXXXXX..X............... 14 -nDoutOE ....................................XXXX...X...... 5 -nDinOE ..........XXXX............................X...X... 6 -cnt/LTimer<7> .................XXXXX..XXXXXXX...X............... 13 -nRES .............................................X.... 1 -cnt/LTimer<11> .................XXXXXXXXXXXXXXXX.X............... 17 -cnt/LTimer<10> .................XXXXXX.XXXXXXXXX.X............... 16 -nVPA_FSB ......XXXXXXXX..X..................X......X....... 11 -IONPReady ...XX.XXXXXXXX..X..................X....XXX...X... 16 -cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 - XXXXXXXXXXXXXXXX.XXXXXXXXXXXXXXXX.XX......X...X... 36 -cnt/LTimer<1> XXXXXXXXXXXXXXXX...XXX..X........X.X......X...X... 24 -cnt/LTimer<0> XXXXXXXXXXXXXXXX...XXXXXXXXXXXXXXX.X......X...X... 34 +nAoutOE .................XX....................XX......... 4 +nDoutOE .................................XXXX..X.......... 5 +nDinOE ..........XXXX........................X...X....... 6 +ram/BACTr ................................X.....X........... 2 +nRES .........................................X........ 1 +nRESout .................XX............................... 2 +fsb/ASrf ......................................X........... 1 +nVPA_FSB ......XXXXXXXX..X...............X.....X........... 11 +cnt/LTimerTC ...................XXXXXXXXXXXX................... 12 +ALE0S .....................................X............ 1 +$OpTx$$OpTx$FX_DC$350_INV$537 + ................................X.....X........... 2 +cnt/LTimer<1> XXXXXXXXXXXXXXXX.XXX..X........XX.....X...X....... 24 +cnt/LTimer<0> XXXXXXXXXXXXXXXX.XXXXXXXXXXXXXXXX.....X...X....... 34 0----+----1----+----2----+----3----+----4----+----5 0 0 0 0 0 *********************************** FB5 *********************************** -Number of function block inputs used/remaining: 47/7 -Number of signals used by logic mapping into function block: 47 +Number of function block inputs used/remaining: 39/15 +Number of signals used by logic mapping into function block: 39 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use -QoSReady 18 13<- 0 0 FB5_1 (b) (b) +ram/RS_FSM_FFd8 13 8<- 0 0 FB5_1 (b) (b) nROMCS 2 2<- /\5 0 FB5_2 35 I/O O -ram/RASEL 3 0 /\2 0 FB5_3 (b) (b) -cs/nOverlay 3 0 0 2 FB5_4 (b) (b) -nCAS 1 0 0 4 FB5_5 36 I/O O -nOE 1 0 0 4 FB5_6 37 I/O O -cnt/WS<3> 3 0 0 2 FB5_7 (b) (b) -ram/RASrr 4 0 0 1 FB5_8 39 I/O (b) -RA<4> 2 0 \/3 0 FB5_9 40 I/O O -iobs/Load1 8 3<- 0 0 FB5_10 (b) (b) +(unused) 0 0 /\2 3 FB5_3 (b) (b) +(unused) 0 0 \/5 0 FB5_4 (b) (b) +nCAS 15 10<- 0 0 FB5_5 36 I/O O +nOE 3 3<- /\5 0 FB5_6 37 I/O O +(unused) 0 0 /\3 2 FB5_7 (b) (b) +cnt/WS<0> 1 0 \/2 2 FB5_8 39 I/O (b) +RA<4> 2 2<- \/5 0 FB5_9 40 I/O O +ram/RASEN 10 5<- 0 0 FB5_10 (b) (b) RA<3> 2 0 \/2 1 FB5_11 41 I/O O RA<5> 2 2<- \/5 0 FB5_12 42 I/O O -iobs/Sent 13 8<- 0 0 FB5_13 (b) (b) -RA<2> 2 0 /\3 0 FB5_14 43 I/O O -RA<6> 2 0 \/2 1 FB5_15 46 I/O O -(unused) 0 0 \/5 0 FB5_16 (b) (b) -IORDREQ 9 7<- \/3 0 FB5_17 49 I/O (b) -(unused) 0 0 \/5 0 FB5_18 (b) (b) +RAMReady 10 5<- 0 0 FB5_13 (b) (b) +RA<2> 2 0 \/2 1 FB5_14 43 I/O O +RA<6> 2 2<- \/5 0 FB5_15 46 I/O O +IONPReady 5 5<- \/5 0 FB5_16 (b) (b) +(unused) 0 0 \/5 0 FB5_17 49 I/O (b) +ram/RASrr 12 10<- \/3 0 FB5_18 (b) (b) Signals Used by Logic in Function Block - 1: $OpTx$$OpTx$FX_DC$348_INV$535 17: A_FSB<4> 33: iobs/IOACTr - 2: A_FSB<10> 18: A_FSB<5> 34: iobs/IORW1 - 3: A_FSB<11> 19: A_FSB<7> 35: iobs/Sent - 4: A_FSB<12> 20: A_FSB<8> 36: iobs/TS_FSM_FFd1 - 5: A_FSB<13> 21: A_FSB<9> 37: iobs/TS_FSM_FFd2 - 6: A_FSB<14> 22: IORDREQ 38: nADoutLE1 - 7: A_FSB<15> 23: nRES.PIN 39: nAS_FSB - 8: A_FSB<16> 24: QoSReady 40: nWE_FSB - 9: A_FSB<17> 25: cnt/LTimer<0> 41: ram/CAS - 10: A_FSB<18> 26: cnt/LTimer<1> 42: ram/RASEL - 11: A_FSB<19> 27: cnt/WS<0> 43: ram/RASEN - 12: A_FSB<20> 28: cnt/WS<1> 44: ram/RS_FSM_FFd4 - 13: A_FSB<21> 29: cnt/WS<2> 45: ram/RS_FSM_FFd7 - 14: A_FSB<22> 30: cnt/WS<3> 46: ram/RS_FSM_FFd8 - 15: A_FSB<23> 31: cs/nOverlay 47: ram/RS_FSM_FFd9 - 16: A_FSB<3> 32: fsb/ASrf + 1: A_FSB<11> 14: A_FSB<4> 27: ram/BACTr + 2: A_FSB<12> 15: A_FSB<5> 28: ram/DTACKr + 3: A_FSB<13> 16: A_FSB<7> 29: ram/RASEL + 4: A_FSB<14> 17: IONPReady 30: ram/RASEN + 5: A_FSB<16> 18: RefReq 31: ram/RS_FSM_FFd1 + 6: A_FSB<17> 19: RefUrg 32: ram/RS_FSM_FFd2 + 7: A_FSB<18> 20: cnt/WS<0> 33: ram/RS_FSM_FFd3 + 8: A_FSB<19> 21: cs/nOverlay 34: ram/RS_FSM_FFd4 + 9: A_FSB<20> 22: fsb/ASrf 35: ram/RS_FSM_FFd5 + 10: A_FSB<21> 23: iobs/IODONEr 36: ram/RS_FSM_FFd6 + 11: A_FSB<22> 24: iobs/Sent 37: ram/RS_FSM_FFd7 + 12: A_FSB<23> 25: nAS_FSB 38: ram/RS_FSM_FFd8 + 13: A_FSB<3> 26: nWE_FSB 39: ram/RefDone -Signal 1 2 3 4 5 FB -Name 0----+----0----+----0----+----0----+----0----+----0 Inputs -QoSReady XXXXXXXXXXXXXXX....XX..XXXXXXX.........X.......... 25 -nROMCS ...........XXXX...............X................... 5 -ram/RASEL .............XX...............XX......X...X.X.X... 8 -cs/nOverlay ...........XXXX.......X.......XX......X........... 8 -nCAS ........................................X......... 1 -nOE ......................................XX.......... 2 -cnt/WS<3> ..........................XXXX.X......X........... 6 -ram/RASrr .............XX...............XX......X...XX.XX... 9 -RA<4> ..X............X.........................X........ 3 -iobs/Load1 ....XX.XXXXXXXX................X..XXXXXX.......... 17 -RA<3> ..........XX.............................X........ 3 -RA<5> ...X............X........................X........ 3 -iobs/Sent ....XX.XXXXXXXX...............XX..XXXXXX.......... 18 -RA<2> .......X..........X......................X........ 3 -RA<6> ....X............X.......................X........ 3 -IORDREQ ...........XXXX......X........XXXXXXXXXX.......... 15 - 0----+----1----+----2----+----3----+----4----+----5 - 0 0 0 0 0 +Signal 1 2 3 4 FB +Name 0----+----0----+----0----+----0----+----0 Inputs +ram/RS_FSM_FFd8 ..........XX.....XX.XX..X.X..XX..X...XX. 13 +nROMCS ........XXXX........X................... 5 +nCAS ..........XX.....XX..X..X.XX.XXXXXX.XXX. 17 +nOE .....................X..XXXX............ 5 +cnt/WS<0> ...................X.X..X............... 3 +RA<4> X...........X...............X........... 3 +ram/RASEN ..........XX.....XX..X..X.X..XX..X...XX. 12 +RA<3> .......XX...................X........... 3 +RA<5> .X...........X..............X........... 3 +RAMReady ..........XX.....XX..X..X.X..XX..XXX.XX. 14 +RA<2> ....X..........X............X........... 3 +RA<6> ..X...........X.............X........... 3 +IONPReady ..XXXXXXXXXX....X....XXXXX.............. 16 +ram/RASrr ..........XX.....XX.XX..X.X..X...X..XXX. 13 + 0----+----1----+----2----+----3----+----4 + 0 0 0 0 *********************************** FB6 *********************************** Number of function block inputs used/remaining: 36/18 Number of signals used by logic mapping into function block: 36 @@ -632,65 +629,69 @@ IOACT ...XXX...X......X.XXXXXXXX.....X........ 14 0----+----1----+----2----+----3----+----4 0 0 0 0 *********************************** FB7 *********************************** -Number of function block inputs used/remaining: 35/19 -Number of signals used by logic mapping into function block: 35 +Number of function block inputs used/remaining: 41/13 +Number of signals used by logic mapping into function block: 41 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use -cnt/LTimerTC 1 0 0 4 FB7_1 (b) (b) -RA<1> 2 0 0 3 FB7_2 50 I/O O -cnt/TimerTC 2 0 0 3 FB7_3 (b) (b) -cnt/IS_FSM_FFd2 2 0 0 3 FB7_4 (b) (b) +cnt/LTimer<9> 3 1<- /\3 0 FB7_1 (b) (b) +RA<1> 2 0 /\1 2 FB7_2 50 I/O O +cnt/LTimer<8> 3 0 0 2 FB7_3 (b) (b) +cnt/LTimer<7> 3 0 0 2 FB7_4 (b) (b) RA<7> 2 0 0 3 FB7_5 52 I/O O RA<0> 2 0 0 3 FB7_6 53 I/O O -RefReq 2 0 0 3 FB7_7 (b) (b) +cnt/LTimer<6> 3 0 0 2 FB7_7 (b) (b) RA<8> 2 0 0 3 FB7_8 54 I/O O RA<10> 2 0 0 3 FB7_9 55 I/O O -cnt/LTimer<6> 3 0 0 2 FB7_10 (b) (b) +cnt/LTimer<5> 3 0 0 2 FB7_10 (b) (b) RA<9> 2 0 0 3 FB7_11 56 I/O O C25MEN 0 0 0 5 FB7_12 58 I/O O -cnt/LTimer<5> 3 0 0 2 FB7_13 (b) (b) -cnt/LTimer<4> 3 0 0 2 FB7_14 59 I/O (b) -cnt/LTimer<3> 3 0 0 2 FB7_15 60 I/O (b) -cnt/LTimer<2> 3 0 0 2 FB7_16 (b) (b) -cnt/Timer<3> 5 0 0 0 FB7_17 61 I/O (b) -cnt/Timer<2> 5 0 0 0 FB7_18 (b) (b) +cnt/LTimer<4> 3 0 0 2 FB7_13 (b) (b) +cnt/LTimer<3> 3 0 0 2 FB7_14 59 I/O (b) +cnt/LTimer<2> 3 0 0 2 FB7_15 60 I/O (b) +cnt/LTimer<11> 3 0 0 2 FB7_16 (b) (b) +cnt/LTimer<10> 3 0 \/2 0 FB7_17 61 I/O (b) +cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 + 10 5<- 0 0 FB7_18 (b) (b) Signals Used by Logic in Function Block - 1: A_FSB<10> 13: cnt/Er<0> 25: cnt/LTimer<6> - 2: A_FSB<14> 14: cnt/Er<1> 26: cnt/LTimer<7> - 3: A_FSB<15> 15: cnt/IS_FSM_FFd1 27: cnt/LTimer<8> - 4: A_FSB<17> 16: cnt/IS_FSM_FFd2 28: cnt/LTimer<9> - 5: A_FSB<18> 17: cnt/LTimer<0> 29: cnt/LTimerTC - 6: A_FSB<1> 18: cnt/LTimer<10> 30: cnt/Timer<0> - 7: A_FSB<21> 19: cnt/LTimer<11> 31: cnt/Timer<1> - 8: A_FSB<2> 20: cnt/LTimer<1> 32: cnt/Timer<2> - 9: A_FSB<6> 21: cnt/LTimer<2> 33: cnt/Timer<3> - 10: A_FSB<7> 22: cnt/LTimer<3> 34: cnt/TimerTC - 11: A_FSB<8> 23: cnt/LTimer<4> 35: ram/RASEL - 12: A_FSB<9> 24: cnt/LTimer<5> + 1: A_FSB<10> 15: A_FSB<23> 29: cnt/LTimer<2> + 2: A_FSB<11> 16: A_FSB<2> 30: cnt/LTimer<3> + 3: A_FSB<12> 17: A_FSB<6> 31: cnt/LTimer<4> + 4: A_FSB<13> 18: A_FSB<7> 32: cnt/LTimer<5> + 5: A_FSB<14> 19: A_FSB<8> 33: cnt/LTimer<6> + 6: A_FSB<15> 20: A_FSB<9> 34: cnt/LTimer<7> + 7: A_FSB<16> 21: cnt/Er<0> 35: cnt/LTimer<8> + 8: A_FSB<17> 22: cnt/Er<1> 36: cnt/LTimer<9> + 9: A_FSB<18> 23: cnt/IS_FSM_FFd1 37: cnt/TimerTC + 10: A_FSB<19> 24: cnt/IS_FSM_FFd2 38: fsb/ASrf + 11: A_FSB<1> 25: cnt/LTimer<0> 39: nAS_FSB + 12: A_FSB<20> 26: cnt/LTimer<10> 40: nWE_FSB + 13: A_FSB<21> 27: cnt/LTimer<11> 41: ram/RASEL + 14: A_FSB<22> 28: cnt/LTimer<1> -Signal 1 2 3 4 FB -Name 0----+----0----+----0----+----0----+----0 Inputs -cnt/LTimerTC ................XXXXXXXXXXXX............ 12 -RA<1> X......X..........................X..... 3 -cnt/TimerTC ............XX...............XXXX....... 6 -cnt/IS_FSM_FFd2 ............XXXX............X....X...... 6 -RA<7> .X......X.........................X..... 3 -RA<0> .....X.....X......................X..... 3 -RefReq ............XX...............XXXX....... 6 -RA<8> ....X.X...........................X..... 3 -RA<10> ...X.....X........................X..... 3 -cnt/LTimer<6> ............XXXXX..XXXXXX........X...... 12 -RA<9> ..X.......X.......................X..... 3 -C25MEN ........................................ 0 -cnt/LTimer<5> ............XXXXX..XXXXX.........X...... 11 -cnt/LTimer<4> ............XXXXX..XXXX..........X...... 10 -cnt/LTimer<3> ............XXXXX..XXX...........X...... 9 -cnt/LTimer<2> ............XXXXX..XX............X...... 8 -cnt/Timer<3> ............XX...............XXXXX...... 7 -cnt/Timer<2> ............XX...............XXX.X...... 6 - 0----+----1----+----2----+----3----+----4 - 0 0 0 0 +Signal 1 2 3 4 5 FB +Name 0----+----0----+----0----+----0----+----0----+----0 Inputs +cnt/LTimer<9> ....................XXXXX..XXXXXXXXXX............. 15 +RA<1> X..............X........................X......... 3 +cnt/LTimer<8> ....................XXXXX..XXXXXXXX.X............. 14 +cnt/LTimer<7> ....................XXXXX..XXXXXXX..X............. 13 +RA<7> ....X...........X.......................X......... 3 +RA<0> ..........X........X....................X......... 3 +cnt/LTimer<6> ....................XXXXX..XXXXXX...X............. 12 +RA<8> ........X...X...........................X......... 3 +RA<10> .......X.........X......................X......... 3 +cnt/LTimer<5> ....................XXXXX..XXXXX....X............. 11 +RA<9> .....X............X.....................X......... 3 +C25MEN .................................................. 0 +cnt/LTimer<4> ....................XXXXX..XXXX.....X............. 10 +cnt/LTimer<3> ....................XXXXX..XXX......X............. 9 +cnt/LTimer<2> ....................XXXXX..XX.......X............. 8 +cnt/LTimer<11> ....................XXXXXXXXXXXXXXXXX............. 17 +cnt/LTimer<10> ....................XXXXXX.XXXXXXXXXX............. 16 +cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 + XXXXXXXXXX.XXXX...XXXXXXXXXXXXXXXXXXXXXX.......... 36 + 0----+----1----+----2----+----3----+----4----+----5 + 0 0 0 0 0 *********************************** FB8 *********************************** Number of function block inputs used/remaining: 41/13 Number of signals used by logic mapping into function block: 41 @@ -752,7 +753,7 @@ iobs/IORW1 XXXXXXXXXX............X..X.XXXXX....X............. 18 ********** Mapped Logic ********** -$OpTx$$OpTx$FX_DC$348_INV$535 <= (nAS_FSB AND NOT fsb/ASrf); +$OpTx$$OpTx$FX_DC$350_INV$537 <= (nAS_FSB AND NOT fsb/ASrf); FDCPE_ALE0M: FDCPE port map (ALE0M,ALE0M_D,C16M,'0','0'); ALE0M_D <= ((iobm/IOS_FSM_FFd7 AND NOT iobm/IOS_FSM_FFd3 AND @@ -803,8 +804,6 @@ C25MEN <= '1'; - - @@ -866,15 +865,13 @@ IONPReady_D <= ((NOT iobs/Sent AND NOT IONPReady) NOT nWE_FSB AND NOT IONPReady)); FDCPE_IORDREQ: FDCPE port map (IORDREQ,IORDREQ_D,FCLK,'0','0'); -IORDREQ_D <= ((RA_6_OBUF.EXP) +IORDREQ_D <= ((iobs/Clear1.EXP) + OR (iobs/TS_FSM_FFd1 AND iobs/IOACTr) OR (iobs/TS_FSM_FFd2 AND NOT IORDREQ) OR (iobs/Sent AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) OR (NOT nWE_FSB AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) OR (NOT iobs/IORW1 AND NOT iobs/TS_FSM_FFd2 AND NOT nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT iobs/TS_FSM_FFd2 AND - nADoutLE1) - OR (iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2) - OR (iobs/TS_FSM_FFd1 AND iobs/IOACTr)); + OR (iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2)); FTCPE_IOU0: FTCPE port map (IOU0,IOU0_T,FCLK,'0','0'); IOU0_T <= ((iobs/TS_FSM_FFd1) @@ -934,33 +931,31 @@ IOWRREQ_D <= ((A_FSB(22) AND A_FSB(21) AND NOT iobs/Sent AND NOT nWE_FSB AND NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND fsb/ASrf AND nADoutLE1)); FDCPE_QoSReady: FDCPE port map (QoSReady,QoSReady_D,FCLK,'0','0'); -QoSReady_D <= ((A_FSB(22) AND NOT A_FSB(17) AND - NOT $OpTx$$OpTx$FX_DC$348_INV$535) - OR (A_FSB(22) AND NOT A_FSB(16) AND - NOT $OpTx$$OpTx$FX_DC$348_INV$535) - OR (A_FSB(22) AND NOT A_FSB(13) AND - NOT $OpTx$$OpTx$FX_DC$348_INV$535) - OR (A_FSB(22) AND NOT A_FSB(14) AND - NOT $OpTx$$OpTx$FX_DC$348_INV$535) - OR (A_FSB(22) AND NOT A_FSB(10) AND - NOT $OpTx$$OpTx$FX_DC$348_INV$535) - OR (IORDREQ.EXP) +QoSReady_D <= ((ram/RS_FSM_FFd4.EXP) OR (A_FSB(22) AND A_FSB(21) AND - NOT $OpTx$$OpTx$FX_DC$348_INV$535) + NOT $OpTx$$OpTx$FX_DC$350_INV$537) OR (A_FSB(22) AND A_FSB(19) AND - NOT $OpTx$$OpTx$FX_DC$348_INV$535) + NOT $OpTx$$OpTx$FX_DC$350_INV$537) OR (A_FSB(22) AND A_FSB(18) AND - NOT $OpTx$$OpTx$FX_DC$348_INV$535) + NOT $OpTx$$OpTx$FX_DC$350_INV$537) OR (A_FSB(22) AND A_FSB(15) AND - NOT $OpTx$$OpTx$FX_DC$348_INV$535) + NOT $OpTx$$OpTx$FX_DC$350_INV$537) OR (A_FSB(22) AND A_FSB(12) AND - NOT $OpTx$$OpTx$FX_DC$348_INV$535) - OR (A_FSB(23) AND NOT $OpTx$$OpTx$FX_DC$348_INV$535) + NOT $OpTx$$OpTx$FX_DC$350_INV$537) + OR (A_FSB(22) AND NOT A_FSB(17) AND + NOT $OpTx$$OpTx$FX_DC$350_INV$537) + OR (A_FSB(22) AND NOT A_FSB(16) AND + NOT $OpTx$$OpTx$FX_DC$350_INV$537) + OR (A_FSB(22) AND NOT A_FSB(13) AND + NOT $OpTx$$OpTx$FX_DC$350_INV$537) + OR (A_FSB(22) AND NOT A_FSB(14) AND + NOT $OpTx$$OpTx$FX_DC$350_INV$537) + OR (A_FSB(23) AND NOT $OpTx$$OpTx$FX_DC$350_INV$537) OR (NOT cnt/LTimer(0) AND NOT cnt/LTimer(1)) - OR (NOT nWE_FSB AND NOT $OpTx$$OpTx$FX_DC$348_INV$535) - OR (QoSReady AND NOT $OpTx$$OpTx$FX_DC$348_INV$535) + OR (NOT nWE_FSB AND NOT $OpTx$$OpTx$FX_DC$350_INV$537) + OR (QoSReady AND NOT $OpTx$$OpTx$FX_DC$350_INV$537) OR (A_FSB(22) AND A_FSB(20) AND - NOT $OpTx$$OpTx$FX_DC$348_INV$535)); + NOT $OpTx$$OpTx$FX_DC$350_INV$537)); RA(0) <= ((ram/RASEL AND A_FSB(1)) @@ -1011,33 +1006,30 @@ RA(11) <= ((A_FSB(20) AND ram/RASEL) OR (A_FSB(19) AND NOT ram/RASEL)); FDCPE_RAMReady: FDCPE port map (RAMReady,RAMReady_D,FCLK,'0','0'); -RAMReady_D <= ((cnt/WS(0).EXP) - OR (NOT ram/RS_FSM_FFd9 AND NOT ram/RS_FSM_FFd5 AND - NOT ram/RS_FSM_FFd7 AND NOT ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd2 AND - NOT ram/RS_FSM_FFd6) - OR (NOT ram/RS_FSM_FFd9 AND NOT ram/RS_FSM_FFd5 AND - NOT ram/RS_FSM_FFd7 AND NOT ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd3 AND - NOT ram/RS_FSM_FFd6) +RAMReady_D <= ((RefUrg AND NOT ram/RefDone AND nAS_FSB AND + NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd5 AND NOT ram/RS_FSM_FFd6 AND NOT fsb/ASrf) + OR (A_FSB(23) AND RefReq AND NOT ram/RefDone AND NOT nAS_FSB AND + NOT ram/RS_FSM_FFd4 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd5 AND + NOT ram/RS_FSM_FFd6 AND NOT ram/BACTr) + OR (A_FSB(23) AND RefReq AND NOT ram/RefDone AND + NOT ram/RS_FSM_FFd4 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd5 AND + NOT ram/RS_FSM_FFd6 AND NOT ram/BACTr AND fsb/ASrf) + OR (A_FSB(22) AND RefReq AND NOT ram/RefDone AND NOT nAS_FSB AND + NOT ram/RS_FSM_FFd4 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd5 AND + NOT ram/RS_FSM_FFd6 AND NOT ram/BACTr) + OR (A_FSB(22) AND RefReq AND NOT ram/RefDone AND + NOT ram/RS_FSM_FFd4 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd5 AND + NOT ram/RS_FSM_FFd6 AND NOT ram/BACTr AND fsb/ASrf) + OR (NOT ram/RS_FSM_FFd8 AND NOT ram/RS_FSM_FFd4 AND + NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd5 AND NOT ram/RS_FSM_FFd6) OR (A_FSB(23) AND RefUrg AND NOT ram/RefDone AND - ram/RS_FSM_FFd9 AND NOT ram/RS_FSM_FFd5 AND NOT ram/RS_FSM_FFd7 AND - NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd6) + NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd5 AND NOT ram/RS_FSM_FFd6) OR (A_FSB(22) AND RefUrg AND NOT ram/RefDone AND - ram/RS_FSM_FFd9 AND NOT ram/RS_FSM_FFd5 AND NOT ram/RS_FSM_FFd7 AND - NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd6) - OR (RefUrg AND NOT ram/RefDone AND nAS_FSB AND - ram/RS_FSM_FFd5 AND NOT ram/RS_FSM_FFd7 AND NOT ram/RS_FSM_FFd1 AND - NOT ram/RS_FSM_FFd6 AND NOT fsb/ASrf) - OR (ram/RS_FSM_FFd9 AND NOT ram/RS_FSM_FFd5 AND NOT ram/RASEN AND - NOT ram/RS_FSM_FFd7 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd6) - OR (NOT ram/RS_FSM_FFd9 AND NOT ram/RS_FSM_FFd5 AND - NOT ram/RS_FSM_FFd7 AND NOT ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd8 AND - NOT ram/RS_FSM_FFd6) - OR (NOT ram/RS_FSM_FFd9 AND NOT ram/RS_FSM_FFd5 AND - NOT ram/RS_FSM_FFd7 AND NOT ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd4 AND - NOT ram/RS_FSM_FFd6) - OR (RefUrg AND NOT ram/RefDone AND nAS_FSB AND - ram/RS_FSM_FFd9 AND NOT ram/RS_FSM_FFd7 AND NOT ram/RS_FSM_FFd1 AND - NOT ram/RS_FSM_FFd6 AND NOT fsb/ASrf)); + NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd5 AND NOT ram/RS_FSM_FFd6) + OR (RefUrg AND NOT ram/RefDone AND NOT ram/RS_FSM_FFd8 AND + NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd5 AND NOT ram/RS_FSM_FFd6) + OR (RefUrg AND NOT ram/RefDone AND NOT ram/RASEN AND + NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd5 AND NOT ram/RS_FSM_FFd6)); FDCPE_RefReq: FDCPE port map (RefReq,RefReq_D,FCLK,'0','0',RefReq_CE); RefReq_D <= (NOT cnt/Timer(0) AND cnt/Timer(1) AND NOT cnt/Timer(2) AND @@ -1100,7 +1092,7 @@ cnt/LTimer_D(0) <= ((nAoutOE_OBUF.EXP) cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2)); FDCPE_cnt/LTimer1: FDCPE port map (cnt/LTimer(1),cnt/LTimer_D(1),FCLK,'0','0'); -cnt/LTimer_D(1) <= ((cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.EXP) +cnt/LTimer_D(1) <= (($OpTx$$OpTx$FX_DC$350_INV$537.EXP) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND A_FSB(13) AND A_FSB(12) AND A_FSB(14) AND A_FSB(11) AND A_FSB(10) AND @@ -1201,15 +1193,15 @@ cnt/LTimer_T(8) <= ((cnt/LTimer(8) AND cnt/IS_FSM_FFd1 AND cnt/Er(1))); FTCPE_cnt/LTimer9: FTCPE port map (cnt/LTimer(9),cnt/LTimer_T(9),FCLK,'0','0'); -cnt/LTimer_T(9) <= ((cnt/LTimer(9) AND cnt/IS_FSM_FFd1 AND +cnt/LTimer_T(9) <= ((cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND + cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND cnt/LTimer(6) AND + cnt/LTimer(7) AND cnt/LTimer(8) AND cnt/TimerTC AND cnt/IS_FSM_FFd2 AND + NOT cnt/Er(0) AND cnt/Er(1)) + OR (cnt/LTimer(9) AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2) OR (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND cnt/LTimer(6) AND cnt/LTimer(7) AND cnt/LTimer(8) AND cnt/TimerTC AND NOT cnt/IS_FSM_FFd1 AND - NOT cnt/Er(0) AND cnt/Er(1)) - OR (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(2) AND - cnt/LTimer(3) AND cnt/LTimer(4) AND cnt/LTimer(5) AND cnt/LTimer(6) AND - cnt/LTimer(7) AND cnt/LTimer(8) AND cnt/TimerTC AND cnt/IS_FSM_FFd2 AND NOT cnt/Er(0) AND cnt/Er(1))); FTCPE_cnt/LTimer10: FTCPE port map (cnt/LTimer(10),cnt/LTimer_T(10),FCLK,'0','0'); @@ -1243,17 +1235,21 @@ cnt/LTimerTC_D <= (NOT cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer(10) AND cnt/LTimer(11)); -cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 <= ((IONPReady.EXP) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND +cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND A_FSB(13) AND A_FSB(12) AND A_FSB(14) AND A_FSB(11) AND A_FSB(10) AND - NOT nWE_FSB AND NOT nAS_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND - A_FSB(9)) + NOT nWE_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND A_FSB(9) AND + fsb/ASrf) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND - A_FSB(13) AND A_FSB(12) AND A_FSB(14) AND A_FSB(11) AND A_FSB(10) AND - NOT nWE_FSB AND NOT nAS_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND - A_FSB(8)) + A_FSB(13) AND NOT A_FSB(12) AND NOT A_FSB(14) AND NOT A_FSB(11) AND NOT A_FSB(10) AND + NOT nWE_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND A_FSB(9) AND + fsb/ASrf) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND + A_FSB(13) AND NOT A_FSB(12) AND NOT A_FSB(14) AND NOT A_FSB(11) AND NOT A_FSB(10) AND + NOT nWE_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND A_FSB(8) AND + fsb/ASrf) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND A_FSB(13) AND A_FSB(12) AND A_FSB(14) AND A_FSB(11) AND A_FSB(10) AND @@ -1264,16 +1260,26 @@ cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 <= ((IONPReady.EXP) A_FSB(13) AND NOT A_FSB(12) AND NOT A_FSB(14) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT nWE_FSB AND NOT nAS_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND A_FSB(9)) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND - A_FSB(13) AND NOT A_FSB(12) AND NOT A_FSB(14) AND NOT A_FSB(11) AND NOT A_FSB(10) AND - NOT nWE_FSB AND NOT nAS_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND - A_FSB(8)) OR (cnt/TimerTC AND NOT cnt/Er(0) AND cnt/Er(1)) OR (NOT cnt/LTimer(0) AND NOT cnt/LTimer(1) AND NOT cnt/LTimer(10) AND NOT cnt/LTimer(2) AND NOT cnt/LTimer(3) AND NOT cnt/LTimer(4) AND NOT cnt/LTimer(5) AND NOT cnt/LTimer(6) AND NOT cnt/LTimer(7) AND NOT cnt/LTimer(8) AND NOT cnt/LTimer(9) AND - NOT cnt/LTimer(11) AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2)); + NOT cnt/LTimer(11) AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND + A_FSB(13) AND A_FSB(12) AND A_FSB(14) AND A_FSB(11) AND A_FSB(10) AND + NOT nWE_FSB AND NOT nAS_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND + A_FSB(9)) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND + A_FSB(13) AND A_FSB(12) AND A_FSB(14) AND A_FSB(11) AND A_FSB(10) AND + NOT nWE_FSB AND NOT nAS_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND + A_FSB(8)) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND + A_FSB(13) AND NOT A_FSB(12) AND NOT A_FSB(14) AND NOT A_FSB(11) AND NOT A_FSB(10) AND + NOT nWE_FSB AND NOT nAS_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND + A_FSB(8))); FTCPE_cnt/Timer0: FTCPE port map (cnt/Timer(0),cnt/Timer_T(0),FCLK,'0','0',cnt/Timer_CE(0)); cnt/Timer_T(0) <= (NOT cnt/Timer(0) AND cnt/TimerTC AND NOT cnt/Er(0) AND @@ -1314,13 +1320,13 @@ cnt/WS_T(0) <= (nAS_FSB AND NOT cnt/WS(0) AND NOT fsb/ASrf); FDCPE_cnt/WS1: FDCPE port map (cnt/WS(1),cnt/WS_D(1),FCLK,'0','0'); cnt/WS_D(1) <= ((nAS_FSB AND NOT fsb/ASrf) - OR (NOT cnt/WS(0) AND NOT cnt/WS(1)) - OR (cnt/WS(0) AND cnt/WS(1))); + OR (cnt/WS(0) AND cnt/WS(1)) + OR (NOT cnt/WS(0) AND NOT cnt/WS(1))); FTCPE_cnt/WS2: FTCPE port map (cnt/WS(2),cnt/WS_T(2),FCLK,'0','0'); cnt/WS_T(2) <= ((nAS_FSB AND cnt/WS(2) AND NOT fsb/ASrf) - OR (NOT nAS_FSB AND cnt/WS(0) AND cnt/WS(1)) - OR (cnt/WS(0) AND cnt/WS(1) AND fsb/ASrf)); + OR (cnt/WS(0) AND cnt/WS(1) AND fsb/ASrf) + OR (NOT nAS_FSB AND cnt/WS(0) AND cnt/WS(1))); FTCPE_cnt/WS3: FTCPE port map (cnt/WS(3),cnt/WS_T(3),FCLK,'0','0'); cnt/WS_T(3) <= ((nAS_FSB AND cnt/WS(3) AND NOT fsb/ASrf) @@ -1330,11 +1336,11 @@ cnt/WS_T(3) <= ((nAS_FSB AND cnt/WS(3) AND NOT fsb/ASrf) FDCPE_cnt/nIPL2r: FDCPE port map (cnt/nIPL2r,nIPL2,FCLK,'0','0'); FTCPE_cs/nOverlay: FTCPE port map (cs/nOverlay,cs/nOverlay_T,FCLK,'0','0'); -cs/nOverlay_T <= ((NOT nRES.PIN AND cs/nOverlay AND nAS_FSB AND NOT fsb/ASrf) - OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20) AND +cs/nOverlay_T <= ((NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20) AND NOT cs/nOverlay AND NOT nAS_FSB) OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20) AND - NOT cs/nOverlay AND fsb/ASrf)); + NOT cs/nOverlay AND fsb/ASrf) + OR (NOT nRES.PIN AND cs/nOverlay AND nAS_FSB AND NOT fsb/ASrf)); FDCPE_fsb/ASrf: FDCPE port map (fsb/ASrf,NOT nAS_FSB,NOT FCLK,'0','0'); @@ -1512,9 +1518,6 @@ iobs/Sent_T <= ((A_FSB(22) AND A_FSB(21) AND NOT iobs/Sent AND OR (A_FSB(22) AND NOT iobs/Sent AND NOT cs/nOverlay AND NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND fsb/ASrf AND nADoutLE1) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(14) AND - NOT iobs/Sent AND NOT nWE_FSB AND NOT nAS_FSB AND nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(13) AND NOT iobs/Sent AND NOT nWE_FSB AND NOT nAS_FSB AND nADoutLE1) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND @@ -1522,6 +1525,9 @@ iobs/Sent_T <= ((A_FSB(22) AND A_FSB(21) AND NOT iobs/Sent AND NOT iobs/Sent AND NOT nWE_FSB AND fsb/ASrf AND nADoutLE1) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(14) AND + NOT iobs/Sent AND NOT nWE_FSB AND NOT nAS_FSB AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(14) AND NOT iobs/Sent AND NOT nWE_FSB AND fsb/ASrf AND nADoutLE1) OR (iobs/Sent AND nAS_FSB AND NOT fsb/ASrf) OR (A_FSB(23) AND NOT iobs/Sent AND NOT nAS_FSB AND @@ -1585,10 +1591,36 @@ nBR_IOB_T <= ((nBR_IOB AND NOT cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2) OR (NOT nBR_IOB AND NOT cnt/IS_FSM_FFd1 AND cnt/IS_FSM_FFd2 AND NOT cnt/nIPL2r)); -FDCPE_nCAS: FDCPE port map (nCAS,NOT ram/CAS,NOT FCLK,'0','0'); +FDCPE_nCAS: FDCPE port map (nCAS,nCAS_D,NOT FCLK,'0','0'); +nCAS_D <= ((ram/RS_FSM_FFd1) + OR (ram/RS_FSM_FFd2) + OR (ram/RS_FSM_FFd3) + OR (NOT RefUrg AND ram/RS_FSM_FFd4) + OR (ram/RefDone AND ram/RS_FSM_FFd8) + OR (ram/RefDone AND ram/RS_FSM_FFd4) + OR (ram/RefDone AND ram/RS_FSM_FFd7) + OR (NOT RefUrg AND NOT RefReq AND ram/RS_FSM_FFd8) + OR (NOT RefUrg AND ram/RS_FSM_FFd8 AND ram/BACTr) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT RefUrg AND + ram/RS_FSM_FFd8) + OR (NOT RefUrg AND nAS_FSB AND ram/RS_FSM_FFd8 AND NOT fsb/ASrf) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT nAS_FSB AND + ram/RS_FSM_FFd8 AND ram/RASEN) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND ram/RS_FSM_FFd8 AND + ram/RASEN AND fsb/ASrf) + OR (NOT RefUrg AND ram/RS_FSM_FFd7) + OR (ram/DTACKr AND ram/RS_FSM_FFd5)); FDCPE_nDTACK_FSB: FDCPE port map (nDTACK_FSB,nDTACK_FSB_D,FCLK,'0','0'); -nDTACK_FSB_D <= ((cnt/WS(1).EXP) +nDTACK_FSB_D <= ((NOT A_FSB(22) AND NOT IONPReady AND NOT RAMReady) + OR (A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16)) + OR (A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND + A_FSB(17) AND A_FSB(16) AND A_FSB(13) AND NOT nWE_FSB AND NOT IONPReady AND + NOT nADoutLE1) + OR (A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND + A_FSB(17) AND A_FSB(16) AND A_FSB(14) AND NOT nWE_FSB AND NOT IONPReady AND + NOT nADoutLE1) OR (A_FSB(23) AND NOT IONPReady) OR (NOT IONPReady AND NOT QoSReady) OR (nAS_FSB AND NOT fsb/ASrf) @@ -1618,8 +1650,10 @@ nLDS_IOB <= ((iobm/IOS_FSM_FFd7 AND NOT iobm/C8Mr AND IOL0 AND nLDS_IOB <= nLDS_IOB_I when nLDS_IOB_OE = '1' else 'Z'; nLDS_IOB_OE <= NOT nAoutOE; - -nOE <= NOT ((nWE_FSB AND NOT nAS_FSB)); +FDCPE_nOE: FDCPE port map (nOE,nOE_D,FCLK,'0','0'); +nOE_D <= ((NOT nWE_FSB) + OR (nAS_FSB AND NOT fsb/ASrf) + OR (ram/DTACKr AND ram/BACTr)); nRAMLWE <= NOT ((NOT nWE_FSB AND NOT nLDS_FSB AND ram/RASEL)); @@ -1669,133 +1703,132 @@ nVMA_IOB_OE <= NOT nAoutOE; FDCPE_nVPA_FSB: FDCPE port map (nVPA_FSB,nVPA_FSB_D,FCLK,'0',nAS_FSB); nVPA_FSB_D <= ((A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND IONPReady AND - fsb/ASrf) + NOT nAS_FSB) OR (A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND IONPReady AND - NOT nAS_FSB)); + fsb/ASrf)); FDCPE_ram/BACTr: FDCPE port map (ram/BACTr,ram/BACTr_D,FCLK,'0','0'); ram/BACTr_D <= (nAS_FSB AND NOT fsb/ASrf); -FDCPE_ram/CAS: FDCPE port map (ram/CAS,ram/CAS_D,FCLK,'0','0'); -ram/CAS_D <= ((ram/RS_FSM_FFd7) - OR (ram/RS_FSM_FFd8) - OR (ram/RASEN.EXP) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND NOT nAS_FSB AND - ram/RS_FSM_FFd9) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND - ram/RS_FSM_FFd9 AND fsb/ASrf) - OR (RefUrg AND NOT ram/RefDone AND nAS_FSB AND - ram/RS_FSM_FFd9 AND NOT fsb/ASrf) - OR (RefUrg AND NOT ram/RefDone AND nAS_FSB AND - ram/RS_FSM_FFd5 AND NOT fsb/ASrf) - OR (A_FSB(22) AND RefReq AND NOT ram/RefDone AND NOT nAS_FSB AND - ram/RS_FSM_FFd9 AND NOT ram/BACTr) - OR (A_FSB(23) AND RefReq AND NOT ram/RefDone AND NOT nAS_FSB AND - ram/RS_FSM_FFd9 AND NOT ram/BACTr) - OR (A_FSB(22) AND RefReq AND NOT ram/RefDone AND - ram/RS_FSM_FFd9 AND NOT ram/BACTr AND fsb/ASrf) - OR (ram/RS_FSM_FFd9 AND NOT ram/RASEN) - OR (A_FSB(23) AND RefUrg AND NOT ram/RefDone AND - ram/RS_FSM_FFd9) - OR (A_FSB(22) AND RefUrg AND NOT ram/RefDone AND - ram/RS_FSM_FFd9)); +FDCPE_ram/DTACKr: FDCPE port map (ram/DTACKr,NOT nDTACK_FSB,FCLK,'0','0'); FDCPE_ram/RASEL: FDCPE port map (ram/RASEL,ram/RASEL_D,FCLK,'0','0'); -ram/RASEL_D <= ((ram/RS_FSM_FFd7) +ram/RASEL_D <= ((ram/RS_FSM_FFd6) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND NOT nAS_FSB AND - ram/RS_FSM_FFd9 AND ram/RASEN) + ram/RS_FSM_FFd8 AND ram/RASEN) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND - ram/RS_FSM_FFd9 AND ram/RASEN AND fsb/ASrf)); + ram/RS_FSM_FFd8 AND ram/RASEN AND fsb/ASrf)); FDCPE_ram/RASEN: FDCPE port map (ram/RASEN,ram/RASEN_D,FCLK,'0','0'); -ram/RASEN_D <= ((ram/RS_FSM_FFd1) - OR (ram/RS_FSM_FFd8.EXP) - OR (NOT RefUrg AND NOT RefReq AND ram/RS_FSM_FFd9 AND ram/RASEN) - OR (NOT RefUrg AND ram/RS_FSM_FFd9 AND ram/RASEN AND - ram/BACTr) - OR (ram/RefDone AND nAS_FSB AND ram/RS_FSM_FFd5 AND - NOT fsb/ASrf) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT nAS_FSB AND - ram/RS_FSM_FFd9 AND ram/RASEN) - OR (NOT RefUrg AND nAS_FSB AND ram/RS_FSM_FFd9 AND ram/RASEN AND - NOT fsb/ASrf) - OR (ram/RefDone AND ram/RS_FSM_FFd9 AND ram/RASEN) - OR (NOT RefUrg AND nAS_FSB AND ram/RS_FSM_FFd5 AND NOT fsb/ASrf) - OR (NOT ram/RS_FSM_FFd9 AND NOT ram/RS_FSM_FFd5 AND - NOT ram/RS_FSM_FFd7 AND NOT ram/RS_FSM_FFd8 AND NOT ram/RS_FSM_FFd4 AND - NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND NOT ram/RS_FSM_FFd6)); +ram/RASEN_D <= ((RefUrg AND NOT ram/RefDone AND nAS_FSB AND + NOT ram/RS_FSM_FFd1 AND NOT fsb/ASrf) + OR (A_FSB(23) AND RefReq AND NOT ram/RefDone AND NOT nAS_FSB AND + NOT ram/RS_FSM_FFd4 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) + OR (A_FSB(23) AND RefReq AND NOT ram/RefDone AND + NOT ram/RS_FSM_FFd4 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) + OR (A_FSB(22) AND RefReq AND NOT ram/RefDone AND NOT nAS_FSB AND + NOT ram/RS_FSM_FFd4 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) + OR (A_FSB(22) AND RefReq AND NOT ram/RefDone AND + NOT ram/RS_FSM_FFd4 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) + OR (NOT ram/RS_FSM_FFd8 AND NOT ram/RS_FSM_FFd4 AND + NOT ram/RS_FSM_FFd1) + OR (A_FSB(23) AND RefUrg AND NOT ram/RefDone AND + NOT ram/RS_FSM_FFd1) + OR (A_FSB(22) AND RefUrg AND NOT ram/RefDone AND + NOT ram/RS_FSM_FFd1) + OR (RefUrg AND NOT ram/RefDone AND NOT ram/RS_FSM_FFd8 AND + NOT ram/RS_FSM_FFd1) + OR (RefUrg AND NOT ram/RefDone AND NOT ram/RASEN AND + NOT ram/RS_FSM_FFd1)); -FDCPE_ram/RASrf: FDCPE port map (ram/RASrf,ram/RS_FSM_FFd7,NOT FCLK,'0','0'); +FDCPE_ram/RASrf: FDCPE port map (ram/RASrf,ram/RS_FSM_FFd6,NOT FCLK,'0','0'); FDCPE_ram/RASrr: FDCPE port map (ram/RASrr,ram/RASrr_D,FCLK,'0','0'); -ram/RASrr_D <= ((ram/RS_FSM_FFd8) - OR (ram/RS_FSM_FFd4) +ram/RASrr_D <= ((ram/RS_FSM_FFd7) + OR (IONPReady.EXP) + OR (A_FSB(23) AND RefUrg AND NOT ram/RefDone AND + ram/RS_FSM_FFd8) + OR (A_FSB(22) AND RefUrg AND NOT ram/RefDone AND + ram/RS_FSM_FFd8) + OR (RefUrg AND NOT ram/RefDone AND ram/RS_FSM_FFd8 AND + NOT ram/RASEN) + OR (RefUrg AND NOT ram/RefDone AND nAS_FSB AND + ram/RS_FSM_FFd8 AND NOT fsb/ASrf) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND NOT nAS_FSB AND - ram/RS_FSM_FFd9 AND ram/RASEN) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND - ram/RS_FSM_FFd9 AND ram/RASEN AND fsb/ASrf)); + ram/RS_FSM_FFd8 AND ram/RASEN) + OR (RefUrg AND NOT ram/RefDone AND ram/RS_FSM_FFd4)); FDCPE_ram/RS_FSM_FFd1: FDCPE port map (ram/RS_FSM_FFd1,ram/RS_FSM_FFd2,FCLK,'0','0'); FDCPE_ram/RS_FSM_FFd2: FDCPE port map (ram/RS_FSM_FFd2,ram/RS_FSM_FFd3,FCLK,'0','0'); -FDCPE_ram/RS_FSM_FFd3: FDCPE port map (ram/RS_FSM_FFd3,ram/RS_FSM_FFd4,FCLK,'0','0'); +FDCPE_ram/RS_FSM_FFd3: FDCPE port map (ram/RS_FSM_FFd3,ram/RS_FSM_FFd7,FCLK,'0','0'); -FDCPE_ram/RS_FSM_FFd4: FDCPE port map (ram/RS_FSM_FFd4,ram/RS_FSM_FFd8,FCLK,'0','0'); +FDCPE_ram/RS_FSM_FFd4: FDCPE port map (ram/RS_FSM_FFd4,ram/RS_FSM_FFd4_D,FCLK,'0','0'); +ram/RS_FSM_FFd4_D <= (ram/DTACKr AND ram/RS_FSM_FFd5); FDCPE_ram/RS_FSM_FFd5: FDCPE port map (ram/RS_FSM_FFd5,ram/RS_FSM_FFd5_D,FCLK,'0','0'); -ram/RS_FSM_FFd5_D <= ((NOT ram/RS_FSM_FFd5 AND NOT ram/RS_FSM_FFd6) - OR (nAS_FSB AND NOT ram/RS_FSM_FFd6 AND NOT fsb/ASrf)); +ram/RS_FSM_FFd5_D <= ((ram/RS_FSM_FFd6) + OR (NOT ram/DTACKr AND ram/RS_FSM_FFd5)); -FDCPE_ram/RS_FSM_FFd6: FDCPE port map (ram/RS_FSM_FFd6,ram/RS_FSM_FFd7,FCLK,'0','0'); +FDCPE_ram/RS_FSM_FFd6: FDCPE port map (ram/RS_FSM_FFd6,ram/RS_FSM_FFd6_D,FCLK,'0','0'); +ram/RS_FSM_FFd6_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND NOT nAS_FSB AND + ram/RS_FSM_FFd8 AND ram/RASEN) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND + ram/RS_FSM_FFd8 AND ram/RASEN AND fsb/ASrf)); FDCPE_ram/RS_FSM_FFd7: FDCPE port map (ram/RS_FSM_FFd7,ram/RS_FSM_FFd7_D,FCLK,'0','0'); -ram/RS_FSM_FFd7_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND NOT nAS_FSB AND - ram/RS_FSM_FFd9 AND ram/RASEN) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND - ram/RS_FSM_FFd9 AND ram/RASEN AND fsb/ASrf)); +ram/RS_FSM_FFd7_D <= ((A_FSB(23) AND RefReq AND NOT ram/RefDone AND NOT nAS_FSB AND + ram/RS_FSM_FFd8 AND NOT ram/BACTr) + OR (A_FSB(23) AND RefReq AND NOT ram/RefDone AND + ram/RS_FSM_FFd8 AND NOT ram/BACTr AND fsb/ASrf) + OR (A_FSB(22) AND RefReq AND NOT ram/RefDone AND NOT nAS_FSB AND + ram/RS_FSM_FFd8 AND NOT ram/BACTr) + OR (A_FSB(22) AND RefReq AND NOT ram/RefDone AND + ram/RS_FSM_FFd8 AND NOT ram/BACTr AND fsb/ASrf) + OR (RefUrg AND NOT ram/RefDone AND ram/RS_FSM_FFd4) + OR (A_FSB(23) AND RefUrg AND NOT ram/RefDone AND + ram/RS_FSM_FFd8) + OR (A_FSB(22) AND RefUrg AND NOT ram/RefDone AND + ram/RS_FSM_FFd8) + OR (RefUrg AND NOT ram/RefDone AND ram/RS_FSM_FFd8 AND + NOT ram/RASEN) + OR (RefUrg AND NOT ram/RefDone AND nAS_FSB AND + ram/RS_FSM_FFd8 AND NOT fsb/ASrf)); FDCPE_ram/RS_FSM_FFd8: FDCPE port map (ram/RS_FSM_FFd8,ram/RS_FSM_FFd8_D,FCLK,'0','0'); -ram/RS_FSM_FFd8_D <= ((RefUrg AND NOT ram/RefDone AND nAS_FSB AND - ram/RS_FSM_FFd5 AND NOT fsb/ASrf) - OR (A_FSB(23) AND RefReq AND NOT ram/RefDone AND NOT nAS_FSB AND - ram/RS_FSM_FFd9 AND NOT ram/BACTr) +ram/RS_FSM_FFd8_D <= ((A_FSB(23) AND RefReq AND NOT ram/RefDone AND NOT nAS_FSB AND + NOT ram/RS_FSM_FFd4 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) OR (A_FSB(23) AND RefReq AND NOT ram/RefDone AND - ram/RS_FSM_FFd9 AND NOT ram/BACTr AND fsb/ASrf) + NOT ram/RS_FSM_FFd4 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND + NOT ram/RS_FSM_FFd4 AND ram/RASEN AND NOT ram/RS_FSM_FFd1 AND fsb/ASrf) OR (A_FSB(22) AND RefReq AND NOT ram/RefDone AND NOT nAS_FSB AND - ram/RS_FSM_FFd9 AND NOT ram/BACTr) + NOT ram/RS_FSM_FFd4 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) OR (A_FSB(22) AND RefReq AND NOT ram/RefDone AND - ram/RS_FSM_FFd9 AND NOT ram/BACTr AND fsb/ASrf) - OR (ram/RS_FSM_FFd9 AND NOT ram/RASEN) - OR (A_FSB(23) AND RefUrg AND NOT ram/RefDone AND - ram/RS_FSM_FFd9) - OR (A_FSB(22) AND RefUrg AND NOT ram/RefDone AND - ram/RS_FSM_FFd9) + NOT ram/RS_FSM_FFd4 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) + OR (RefUrg AND NOT ram/RefDone AND NOT ram/RS_FSM_FFd8 AND + NOT ram/RS_FSM_FFd1) OR (RefUrg AND NOT ram/RefDone AND nAS_FSB AND - ram/RS_FSM_FFd9 AND NOT fsb/ASrf)); - -FDCPE_ram/RS_FSM_FFd9: FDCPE port map (ram/RS_FSM_FFd9,ram/RS_FSM_FFd9_D,FCLK,'0','0'); -ram/RS_FSM_FFd9_D <= ((ram/RS_FSM_FFd1) - OR (nROMWE_OBUF.EXP) - OR (NOT RefUrg AND nAS_FSB AND ram/RS_FSM_FFd5 AND NOT fsb/ASrf) - OR (ram/RefDone AND nAS_FSB AND ram/RS_FSM_FFd5 AND - NOT fsb/ASrf) - OR (A_FSB(22) AND NOT RefUrg AND NOT RefReq AND ram/RS_FSM_FFd9 AND - ram/RASEN) - OR (NOT RefUrg AND nAS_FSB AND ram/RS_FSM_FFd9 AND ram/RASEN AND - NOT fsb/ASrf) - OR (ram/RefDone AND nAS_FSB AND ram/RS_FSM_FFd9 AND - ram/RASEN AND NOT fsb/ASrf) - OR (A_FSB(23) AND ram/RefDone AND ram/RS_FSM_FFd9 AND - ram/RASEN) - OR (A_FSB(22) AND ram/RefDone AND ram/RS_FSM_FFd9 AND - ram/RASEN)); + NOT ram/RS_FSM_FFd1 AND NOT fsb/ASrf) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND NOT nAS_FSB AND + NOT ram/RS_FSM_FFd4 AND ram/RASEN AND NOT ram/RS_FSM_FFd1) + OR (NOT ram/RS_FSM_FFd8 AND NOT ram/RS_FSM_FFd4 AND + NOT ram/RS_FSM_FFd1) + OR (A_FSB(23) AND RefUrg AND NOT ram/RefDone AND + NOT ram/RS_FSM_FFd1) + OR (A_FSB(22) AND RefUrg AND NOT ram/RefDone AND + NOT ram/RS_FSM_FFd1) + OR (RefUrg AND cs/nOverlay AND NOT ram/RefDone AND + NOT ram/RS_FSM_FFd1) + OR (RefUrg AND NOT ram/RefDone AND NOT ram/RASEN AND + NOT ram/RS_FSM_FFd1)); FDCPE_ram/RefDone: FDCPE port map (ram/RefDone,ram/RefDone_D,FCLK,'0','0'); ram/RefDone_D <= ((NOT RefUrg AND NOT RefReq) - OR (NOT ram/RefDone AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd8 AND - NOT ram/RS_FSM_FFd4 AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3)); + OR (NOT ram/RefDone AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd7 AND + NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3)); Register Legend: FDCPE (Q,D,C,CLR,PRE,CE); diff --git a/cpld/XC95144XL/WarpSE.syr b/cpld/XC95144XL/WarpSE.syr index 3668894..c97d57b 100644 --- a/cpld/XC95144XL/WarpSE.syr +++ b/cpld/XC95144XL/WarpSE.syr @@ -3,14 +3,14 @@ Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved. --> Parameter TMPDIR set to xst/projnav.tmp -Total REAL time to Xst completion: 0.00 secs -Total CPU time to Xst completion: 0.10 secs +Total REAL time to Xst completion: 1.00 secs +Total CPU time to Xst completion: 0.31 secs --> Parameter xsthdpdir set to xst -Total REAL time to Xst completion: 0.00 secs -Total CPU time to Xst completion: 0.10 secs +Total REAL time to Xst completion: 1.00 secs +Total CPU time to Xst completion: 0.33 secs --> Reading design: WarpSE.prj @@ -152,19 +152,20 @@ Synthesizing Unit . Related source file is "../RAM.v". Found finite state machine for signal . ----------------------------------------------------------------------- - | States | 9 | - | Transitions | 21 | - | Inputs | 7 | - | Outputs | 9 | + | States | 8 | + | Transitions | 14 | + | Inputs | 6 | + | Outputs | 8 | | Clock | CLK (rising_edge) | - | Power Up State | 0000 | + | Power Up State | 000 | | Encoding | automatic | | Implementation | automatic | ----------------------------------------------------------------------- Found 1-bit register for signal . + Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . - Found 1-bit register for signal . + Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . @@ -172,7 +173,7 @@ Synthesizing Unit . Found 1-bit register for signal . Summary: inferred 1 Finite State Machine(s). - inferred 8 D-type flip-flop(s). + inferred 9 D-type flip-flop(s). Unit synthesized. @@ -318,8 +319,8 @@ Macro Statistics 2-bit adder : 1 # Counters : 3 4-bit up counter : 3 -# Registers : 67 - 1-bit register : 66 +# Registers : 68 + 1-bit register : 67 2-bit register : 1 # Tristates : 5 1-bit tristate buffer : 5 @@ -364,20 +365,19 @@ Optimizing FSM on signal with johnson encoding. 01 | 10 ------------------- Analyzing FSM for best encoding. -Optimizing FSM on signal with one-hot encoding. --------------------- +Optimizing FSM on signal with one-hot encoding. +------------------- State | Encoding --------------------- - 0000 | 000000001 - 1000 | 000000010 - 0001 | 000000100 - 0010 | 000001000 - 0011 | 000010000 - 1001 | 000100000 - 1010 | 001000000 - 1011 | 010000000 - 1111 | 100000000 --------------------- +------------------- + 000 | 00000001 + 100 | 00000010 + 001 | 00000100 + 010 | 00001000 + 011 | 00010000 + 101 | 00100000 + 110 | 01000000 + 111 | 10000000 +------------------- ========================================================================= Advanced HDL Synthesis Report @@ -389,8 +389,8 @@ Macro Statistics 2-bit adder : 1 # Counters : 3 4-bit up counter : 3 -# Registers : 47 - Flip-Flops : 47 +# Registers : 48 + Flip-Flops : 48 ========================================================================= @@ -404,9 +404,8 @@ Optimizing unit ... implementation constraint: INIT=r : nOverlay Optimizing unit ... - implementation constraint: INIT=s : RS_FSM_FFd9 + implementation constraint: INIT=s : RS_FSM_FFd8 implementation constraint: INIT=r : RASEL - implementation constraint: INIT=r : CAS implementation constraint: INIT=r : RASrr implementation constraint: INIT=r : RASEN implementation constraint: INIT=r : RS_FSM_FFd1 @@ -416,7 +415,6 @@ Optimizing unit ... implementation constraint: INIT=r : RS_FSM_FFd5 implementation constraint: INIT=r : RS_FSM_FFd6 implementation constraint: INIT=r : RS_FSM_FFd7 - implementation constraint: INIT=r : RS_FSM_FFd8 implementation constraint: INIT=r : RASrf Optimizing unit ... @@ -480,17 +478,17 @@ Design Statistics # IOs : 75 Cell Usage : -# BELS : 710 -# AND2 : 222 -# AND3 : 30 +# BELS : 672 +# AND2 : 211 +# AND3 : 29 # AND4 : 12 # AND5 : 2 # AND7 : 2 -# AND8 : 5 +# AND8 : 4 # GND : 6 -# INV : 277 -# OR2 : 119 -# OR3 : 10 +# INV : 262 +# OR2 : 105 +# OR3 : 14 # OR4 : 4 # VCC : 1 # XOR2 : 20 @@ -507,12 +505,12 @@ Cell Usage : ========================================================================= -Total REAL time to Xst completion: 5.00 secs -Total CPU time to Xst completion: 5.14 secs +Total REAL time to Xst completion: 6.00 secs +Total CPU time to Xst completion: 5.44 secs --> -Total memory usage is 267972 kilobytes +Total memory usage is 266948 kilobytes Number of errors : 0 ( 0 filtered) Number of warnings : 3 ( 0 filtered) diff --git a/cpld/XC95144XL/WarpSE.tim b/cpld/XC95144XL/WarpSE.tim index 47dc29d..384d3fc 100644 --- a/cpld/XC95144XL/WarpSE.tim +++ b/cpld/XC95144XL/WarpSE.tim @@ -5,7 +5,7 @@ Design: WarpSE Device: XC95144XL-10-TQ100 Speed File: Version 3.0 Program: Timing Report Generator: version P.20131013 -Date: Mon Apr 10 04:08:07 2023 +Date: Mon Apr 10 20:34:36 2023 Performance Summary: @@ -17,16 +17,16 @@ Clock net 'FCLK' path delays: Clock Pad to Output Pad (tCO) : 14.5ns (2 macrocell levels) Clock Pad 'FCLK' to Output Pad 'nRES' (GCK) -Clock to Setup (tCYC) : 20.5ns (2 macrocell levels) +Clock to Setup (tCYC) : 20.1ns (2 macrocell levels) Clock to Q, net 'cnt/IS_FSM_FFd1.Q' to DFF Setup(D) at 'cnt/LTimer<0>.D' (GCK) Target FF drives output net 'cnt/LTimer<0>' -Setup to Clock at the Pad (tSU) : 17.0ns (1 macrocell levels) +Setup to Clock at the Pad (tSU) : 16.6ns (1 macrocell levels) Data signal 'A_FSB<23>' to DFF D input Pin at 'cnt/LTimer<0>.D' Clock pad 'FCLK' (GCK) - Minimum Clock Period: 20.5ns - Maximum Internal Clock Speed: 48.7Mhz + Minimum Clock Period: 20.1ns + Maximum Internal Clock Speed: 49.7Mhz (Limited by Cycle Time) Clock net 'C16M' path delays: @@ -84,13 +84,12 @@ RA<1> 10.0 RA<2> 10.0 RA<3> 10.0 RA<4> 10.0 -RA<5> 11.0 +RA<5> 10.0 RA<6> 10.0 RA<7> 10.0 RA<8> 10.0 RA<9> 10.0 nDinOE -nOE nRAMLWE nRAMUWE nRAS @@ -118,17 +117,16 @@ RA<1> 10.0 RA<2> 10.0 RA<3> 10.0 RA<4> 10.0 -RA<5> 11.0 +RA<5> 10.0 RA<6> 10.0 RA<7> 10.0 RA<8> 10.0 RA<9> 10.0 nDinOE 10.0 10.0 10.0 10.0 -nOE nRAMLWE nRAMUWE nRAS 11.0 11.0 -nROMCS 11.0 11.0 11.0 11.0 +nROMCS 10.0 10.0 10.0 10.0 nROMWE -------------------------------------------------------------------------------- @@ -158,12 +156,11 @@ RA<7> RA<8> RA<9> nDinOE 10.0 10.0 -nOE 10.0 10.0 nRAMLWE 10.0 10.0 nRAMUWE 11.0 11.0 nRAS 11.0 nROMCS -nROMWE 11.0 11.0 +nROMWE 10.0 10.0 -------------------------------------------------------------------------------- Clock Pad to Output Pad (tCO) (nsec) @@ -187,7 +184,7 @@ RA<1> 13.5 RA<2> 13.5 RA<3> 13.5 RA<4> 13.5 -RA<5> 14.5 +RA<5> 13.5 RA<6> 13.5 RA<7> 13.5 RA<8> 13.5 @@ -203,11 +200,12 @@ nDTACK_FSB 5.8 nDinLE 5.8 nDoutOE 13.5 13.5 nLDS_IOB 5.8 14.5 +nOE 5.8 nRAMLWE 13.5 nRAMUWE 14.5 nRAS 14.5 nRES 14.5 -nROMCS 14.5 +nROMCS 13.5 nUDS_IOB 5.8 14.5 nVMA_IOB 5.8 14.5 nVPA_FSB 5.8 @@ -227,22 +225,22 @@ nVPA_FSB 5.8 \ To \------------------ -A_FSB<10> 17.0 -A_FSB<11> 17.0 -A_FSB<12> 17.0 -A_FSB<13> 17.0 -A_FSB<14> 17.0 -A_FSB<15> 17.0 -A_FSB<16> 17.0 -A_FSB<17> 17.0 -A_FSB<18> 17.0 -A_FSB<19> 17.0 -A_FSB<20> 17.0 -A_FSB<21> 17.0 -A_FSB<22> 17.0 -A_FSB<23> 17.0 -A_FSB<8> 17.0 -A_FSB<9> 17.0 +A_FSB<10> 16.6 +A_FSB<11> 16.6 +A_FSB<12> 16.6 +A_FSB<13> 16.6 +A_FSB<14> 16.6 +A_FSB<15> 16.6 +A_FSB<16> 16.6 +A_FSB<17> 16.6 +A_FSB<18> 16.6 +A_FSB<19> 16.6 +A_FSB<20> 16.6 +A_FSB<21> 16.6 +A_FSB<22> 16.6 +A_FSB<23> 16.6 +A_FSB<8> 16.6 +A_FSB<9> 16.6 C8M 6.5 E 6.5 6.5 nAS_FSB 16.6 @@ -253,7 +251,7 @@ nLDS_FSB 6.5 nRES 6.5 6.5 nUDS_FSB 6.5 nVPA_IOB 6.5 -nWE_FSB 17.0 +nWE_FSB 16.6 -------------------------------------------------------------------------------- Clock to Setup (tCYC) (nsec) @@ -282,11 +280,11 @@ nWE_FSB 17.0 ALE0S.D IOL0.D 11.0 IONPReady.D 11.0 -IORDREQ.D 11.0 +IORDREQ.D 10.0 IOU0.D 11.0 IOWRREQ.D 10.0 QoSReady.D 10.0 -RAMReady.D 11.4 11.0 +RAMReady.D 11.0 11.0 RefReq.CE 10.0 RefReq.D RefUrg.CE 10.0 @@ -305,7 +303,7 @@ cnt/LTimer<5>.D 10.0 cnt/LTimer<6>.D 10.0 cnt/LTimer<7>.D 10.0 cnt/LTimer<8>.D 10.0 -cnt/LTimer<9>.D 10.0 +cnt/LTimer<9>.D 11.0 cnt/LTimerTC.D cnt/Timer<0>.CE 10.0 cnt/Timer<0>.D 10.0 @@ -334,25 +332,25 @@ nADoutLE1.D nAoutOE.D nBERR_FSB.D nBR_IOB.D -nCAS.D -nDTACK_FSB.D 11.4 11.0 11.4 +nCAS.D 11.0 11.0 +nDTACK_FSB.D 11.0 10.0 11.0 +nOE.D nRESout.D -nVPA_FSB.D 11.0 +nVPA_FSB.D 10.0 ram/BACTr.D -ram/CAS.D 11.4 11.0 +ram/DTACKr.D ram/RASEL.D ram/RASEN.D 11.0 11.0 ram/RASrf.D -ram/RASrr.D +ram/RASrr.D 10.0 ram/RS_FSM_FFd1.D ram/RS_FSM_FFd2.D ram/RS_FSM_FFd3.D ram/RS_FSM_FFd4.D ram/RS_FSM_FFd5.D ram/RS_FSM_FFd6.D -ram/RS_FSM_FFd7.D +ram/RS_FSM_FFd7.D 11.0 10.0 ram/RS_FSM_FFd8.D 11.0 11.0 -ram/RS_FSM_FFd9.D 11.4 11.4 ram/RefDone.D 10.0 10.0 -------------------------------------------------------------------------------- @@ -394,10 +392,10 @@ RefUrg.D cnt/Er<1>.D cnt/IS_FSM_FFd1.D 10.0 10.0 10.0 cnt/IS_FSM_FFd2.D 10.0 10.0 10.0 -cnt/LTimer<0>.D 19.1 20.5 20.5 19.1 19.1 19.1 19.1 19.1 19.1 19.1 +cnt/LTimer<0>.D 19.1 20.1 20.1 19.1 19.1 19.1 19.1 19.1 19.1 19.1 cnt/LTimer<10>.D 10.0 10.0 10.0 10.0 10.0 10.0 10.0 10.0 10.0 cnt/LTimer<11>.D 10.0 10.0 10.0 10.0 10.0 10.0 10.0 10.0 10.0 10.0 -cnt/LTimer<1>.D 19.1 20.5 20.5 19.1 19.1 19.1 19.1 19.1 19.1 19.1 +cnt/LTimer<1>.D 19.1 20.1 20.1 19.1 19.1 19.1 19.1 19.1 19.1 19.1 cnt/LTimer<2>.D 10.0 10.0 10.0 10.0 10.0 10.0 cnt/LTimer<3>.D 10.0 10.0 10.0 10.0 10.0 10.0 10.0 cnt/LTimer<4>.D 10.0 10.0 10.0 10.0 10.0 10.0 10.0 10.0 @@ -405,7 +403,7 @@ cnt/LTimer<5>.D 10.0 10.0 10.0 10.0 10.0 10.0 10.0 10.0 cnt/LTimer<6>.D 10.0 10.0 10.0 10.0 10.0 10.0 10.0 10.0 cnt/LTimer<7>.D 10.0 10.0 10.0 10.0 10.0 10.0 10.0 10.0 cnt/LTimer<8>.D 10.0 10.0 10.0 10.0 10.0 10.0 10.0 10.0 -cnt/LTimer<9>.D 10.0 10.0 10.0 10.0 10.0 10.0 10.0 10.0 +cnt/LTimer<9>.D 11.0 10.0 11.0 11.0 11.0 11.0 11.0 11.0 cnt/LTimerTC.D 10.0 10.0 10.0 10.0 10.0 10.0 10.0 cnt/Timer<0>.CE 10.0 cnt/Timer<0>.D 10.0 @@ -436,10 +434,11 @@ nBERR_FSB.D nBR_IOB.D 10.0 10.0 nCAS.D nDTACK_FSB.D +nOE.D nRESout.D 10.0 10.0 nVPA_FSB.D ram/BACTr.D -ram/CAS.D +ram/DTACKr.D ram/RASEL.D ram/RASEN.D ram/RASrf.D @@ -452,7 +451,6 @@ ram/RS_FSM_FFd5.D ram/RS_FSM_FFd6.D ram/RS_FSM_FFd7.D ram/RS_FSM_FFd8.D -ram/RS_FSM_FFd9.D ram/RefDone.D -------------------------------------------------------------------------------- @@ -505,7 +503,7 @@ cnt/LTimer<5>.D 10.0 cnt/LTimer<6>.D 10.0 10.0 cnt/LTimer<7>.D 10.0 10.0 10.0 cnt/LTimer<8>.D 10.0 10.0 10.0 10.0 -cnt/LTimer<9>.D 10.0 10.0 10.0 10.0 10.0 +cnt/LTimer<9>.D 11.0 11.0 11.0 11.0 10.0 cnt/LTimerTC.D 10.0 10.0 10.0 10.0 10.0 cnt/Timer<0>.CE cnt/Timer<0>.D 10.0 @@ -536,10 +534,11 @@ nBERR_FSB.D nBR_IOB.D nCAS.D nDTACK_FSB.D +nOE.D nRESout.D nVPA_FSB.D ram/BACTr.D -ram/CAS.D +ram/DTACKr.D ram/RASEL.D ram/RASEN.D ram/RASrf.D @@ -552,7 +551,6 @@ ram/RS_FSM_FFd5.D ram/RS_FSM_FFd6.D ram/RS_FSM_FFd7.D ram/RS_FSM_FFd8.D -ram/RS_FSM_FFd9.D ram/RefDone.D -------------------------------------------------------------------------------- @@ -582,11 +580,11 @@ ram/RefDone.D ALE0S.D IOL0.D 11.0 11.0 IONPReady.D 11.0 -IORDREQ.D 11.4 11.4 10.0 +IORDREQ.D 11.0 11.0 10.0 IOU0.D 11.4 11.0 IOWRREQ.D 11.0 11.0 10.0 QoSReady.D 11.4 11.4 11.4 11.4 19.1 -RAMReady.D 11.4 +RAMReady.D 11.0 RefReq.CE RefReq.D RefUrg.CE @@ -594,10 +592,10 @@ RefUrg.D cnt/Er<1>.D cnt/IS_FSM_FFd1.D 10.0 10.0 cnt/IS_FSM_FFd2.D 10.0 -cnt/LTimer<0>.D 19.1 20.5 +cnt/LTimer<0>.D 19.1 20.1 cnt/LTimer<10>.D 10.0 cnt/LTimer<11>.D 10.0 -cnt/LTimer<1>.D 19.1 20.5 +cnt/LTimer<1>.D 19.1 20.1 cnt/LTimer<2>.D 10.0 cnt/LTimer<3>.D 10.0 cnt/LTimer<4>.D 10.0 @@ -605,7 +603,7 @@ cnt/LTimer<5>.D 10.0 cnt/LTimer<6>.D 10.0 cnt/LTimer<7>.D 10.0 cnt/LTimer<8>.D 10.0 -cnt/LTimer<9>.D 10.0 +cnt/LTimer<9>.D 11.0 cnt/LTimerTC.D cnt/Timer<0>.CE cnt/Timer<0>.D 10.0 @@ -618,7 +616,7 @@ cnt/Timer<3>.D 10.0 cnt/TimerTC.CE cnt/TimerTC.D cnt/WS<0>.D 10.0 10.0 -cnt/WS<1>.D 11.0 11.0 11.0 +cnt/WS<1>.D 10.0 10.0 11.0 cnt/WS<2>.D 10.0 10.0 10.0 10.0 cnt/WS<3>.D 10.0 10.0 10.0 10.0 10.0 cs/nOverlay.D 10.0 10.0 @@ -627,32 +625,32 @@ iobs/IOL1.CE iobs/IORW1.D 11.0 iobs/IOU1.CE iobs/Load1.D 11.0 -iobs/Sent.D 11.0 11.0 +iobs/Sent.D 11.4 11.4 iobs/TS_FSM_FFd1.D 10.0 -iobs/TS_FSM_FFd2.D 11.4 11.0 10.0 +iobs/TS_FSM_FFd2.D 11.0 10.0 10.0 nADoutLE1.D 10.0 nAoutOE.D nBERR_FSB.D 10.0 nBR_IOB.D 10.0 -nCAS.D -nDTACK_FSB.D 11.0 +nCAS.D 11.0 +nDTACK_FSB.D 10.0 +nOE.D 11.0 nRESout.D -nVPA_FSB.D 11.0 +nVPA_FSB.D 10.0 ram/BACTr.D 10.0 -ram/CAS.D 11.0 11.4 +ram/DTACKr.D ram/RASEL.D 10.0 10.0 -ram/RASEN.D 11.4 +ram/RASEN.D 11.0 ram/RASrf.D ram/RASrr.D 10.0 10.0 ram/RS_FSM_FFd1.D ram/RS_FSM_FFd2.D ram/RS_FSM_FFd3.D ram/RS_FSM_FFd4.D -ram/RS_FSM_FFd5.D 10.0 -ram/RS_FSM_FFd6.D -ram/RS_FSM_FFd7.D 10.0 10.0 -ram/RS_FSM_FFd8.D 11.0 -ram/RS_FSM_FFd9.D 11.4 11.4 +ram/RS_FSM_FFd5.D +ram/RS_FSM_FFd6.D 10.0 10.0 +ram/RS_FSM_FFd7.D 11.0 +ram/RS_FSM_FFd8.D 11.0 11.0 ram/RefDone.D -------------------------------------------------------------------------------- @@ -682,7 +680,7 @@ ram/RefDone.D ALE0S.D 10.0 IOL0.D 11.0 10.0 10.0 11.4 11.4 IONPReady.D 11.0 11.0 -IORDREQ.D 11.0 11.0 10.0 11.4 11.4 +IORDREQ.D 11.0 10.0 10.0 11.0 11.0 IOU0.D 11.0 10.0 10.0 11.4 11.4 IOWRREQ.D 10.0 11.0 11.0 11.0 11.0 QoSReady.D @@ -727,19 +725,20 @@ iobs/IOL1.CE 10.0 iobs/IORW1.D 11.0 11.0 11.0 11.0 11.0 iobs/IOU1.CE 10.0 iobs/Load1.D 11.0 11.0 11.0 11.0 -iobs/Sent.D 11.0 11.0 11.0 11.0 +iobs/Sent.D 11.4 11.4 11.4 11.4 iobs/TS_FSM_FFd1.D 10.0 10.0 -iobs/TS_FSM_FFd2.D 10.0 10.0 11.4 11.4 +iobs/TS_FSM_FFd2.D 10.0 10.0 11.0 11.0 nADoutLE1.D 10.0 10.0 nAoutOE.D 11.0 nBERR_FSB.D 10.0 nBR_IOB.D nCAS.D -nDTACK_FSB.D 11.4 +nDTACK_FSB.D 11.0 +nOE.D nRESout.D nVPA_FSB.D ram/BACTr.D -ram/CAS.D +ram/DTACKr.D ram/RASEL.D ram/RASEN.D ram/RASrf.D @@ -752,30 +751,29 @@ ram/RS_FSM_FFd5.D ram/RS_FSM_FFd6.D ram/RS_FSM_FFd7.D ram/RS_FSM_FFd8.D -ram/RS_FSM_FFd9.D ram/RefDone.D -------------------------------------------------------------------------------- Clock to Setup (tCYC) (nsec) (Clock: FCLK) -\ From n n r r r r r r r r - \ B B a a a a a a a a - \ E R m m m m m m m m - \ R _ / / / / / / / / - \ R I B C R R R R R R - \ _ O A A A S S S S S - \ F B C S S _ _ _ _ _ - \ S . T . E F F F F F - \ B Q r Q N S S S S S - \ . . . M M M M M - \ Q Q Q _ _ _ _ _ - \ F F F F F - \ F F F F F - \ d d d d d - \ 1 2 3 4 5 - \ . . . . . - \ Q Q Q Q Q +\ From n n n r r r r r r r + \ B B D a a a a a a a + \ E R T m m m m m m m + \ R _ A / / / / / / / + \ R I C B D R R R R R + \ _ O K A T A A S S S + \ F B _ C A S S _ _ _ + \ S . F T C E r F F F + \ B Q S r K N r S S S + \ . B . r . . M M M + \ Q . Q . Q Q _ _ _ + \ Q Q F F F + \ F F F + \ d d d + \ 1 2 3 + \ . . . + \ Q Q Q \ To \------------------------------------------------------------ @@ -786,7 +784,7 @@ IORDREQ.D IOU0.D IOWRREQ.D QoSReady.D -RAMReady.D 11.4 10.0 11.4 11.0 11.0 10.0 11.4 +RAMReady.D 11.0 10.0 11.0 RefReq.CE RefReq.D RefUrg.CE @@ -834,126 +832,126 @@ nADoutLE1.D nAoutOE.D 11.0 nBERR_FSB.D 11.0 nBR_IOB.D 10.0 -nCAS.D 10.0 +nCAS.D 11.0 10.0 11.0 10.0 10.0 10.0 nDTACK_FSB.D +nOE.D 11.0 11.0 nRESout.D nVPA_FSB.D ram/BACTr.D -ram/CAS.D 11.4 10.0 11.0 -ram/RASEL.D 10.0 -ram/RASEN.D 11.0 11.4 10.0 10.0 10.0 10.0 11.0 +ram/DTACKr.D 10.0 +ram/RASEL.D 10.0 10.0 +ram/RASEN.D 11.0 10.0 11.0 ram/RASrf.D -ram/RASrr.D 10.0 10.0 -ram/RS_FSM_FFd1.D 10.0 -ram/RS_FSM_FFd2.D 10.0 -ram/RS_FSM_FFd3.D 10.0 -ram/RS_FSM_FFd4.D -ram/RS_FSM_FFd5.D 10.0 -ram/RS_FSM_FFd6.D -ram/RS_FSM_FFd7.D 10.0 -ram/RS_FSM_FFd8.D 11.0 10.0 11.0 -ram/RS_FSM_FFd9.D 11.4 11.4 10.0 11.0 -ram/RefDone.D 10.0 10.0 10.0 10.0 +ram/RASrr.D 10.0 10.0 +ram/RS_FSM_FFd1.D 10.0 10.0 +ram/RS_FSM_FFd2.D 10.0 10.0 10.0 +ram/RS_FSM_FFd3.D +ram/RS_FSM_FFd4.D 10.0 +ram/RS_FSM_FFd5.D 10.0 +ram/RS_FSM_FFd6.D 10.0 +ram/RS_FSM_FFd7.D 11.0 10.0 +ram/RS_FSM_FFd8.D 11.0 11.0 11.0 +ram/RefDone.D 10.0 10.0 10.0 -------------------------------------------------------------------------------- Clock to Setup (tCYC) (nsec) (Clock: FCLK) -\ From r r r r r - \ a a a a a - \ m m m m m - \ / / / / / - \ R R R R R - \ S S S S e - \ _ _ _ _ f - \ F F F F D - \ S S S S o - \ M M M M n - \ _ _ _ _ e - \ F F F F . - \ F F F F Q - \ d d d d - \ 6 7 8 9 - \ . . . . - \ Q Q Q Q - \ - To \------------------------------ +\ From r r r r r r + \ a a a a a a + \ m m m m m m + \ / / / / / / + \ R R R R R R + \ S S S S S e + \ _ _ _ _ _ f + \ F F F F F D + \ S S S S S o + \ M M M M M n + \ _ _ _ _ _ e + \ F F F F F . + \ F F F F F Q + \ d d d d d + \ 4 5 6 7 8 + \ . . . . . + \ Q Q Q Q Q + \ + To \------------------------------------ -ALE0S.D -IOL0.D -IONPReady.D -IORDREQ.D -IOU0.D -IOWRREQ.D -QoSReady.D -RAMReady.D 11.4 11.4 10.0 11.4 11.4 -RefReq.CE -RefReq.D -RefUrg.CE -RefUrg.D -cnt/Er<1>.D -cnt/IS_FSM_FFd1.D -cnt/IS_FSM_FFd2.D -cnt/LTimer<0>.D -cnt/LTimer<10>.D -cnt/LTimer<11>.D -cnt/LTimer<1>.D -cnt/LTimer<2>.D -cnt/LTimer<3>.D -cnt/LTimer<4>.D -cnt/LTimer<5>.D -cnt/LTimer<6>.D -cnt/LTimer<7>.D -cnt/LTimer<8>.D -cnt/LTimer<9>.D -cnt/LTimerTC.D -cnt/Timer<0>.CE -cnt/Timer<0>.D -cnt/Timer<1>.CE -cnt/Timer<1>.D -cnt/Timer<2>.CE -cnt/Timer<2>.D -cnt/Timer<3>.CE -cnt/Timer<3>.D -cnt/TimerTC.CE -cnt/TimerTC.D -cnt/WS<0>.D -cnt/WS<1>.D -cnt/WS<2>.D -cnt/WS<3>.D -cs/nOverlay.D -iobs/Clear1.D -iobs/IOL1.CE -iobs/IORW1.D -iobs/IOU1.CE -iobs/Load1.D -iobs/Sent.D -iobs/TS_FSM_FFd1.D -iobs/TS_FSM_FFd2.D -nADoutLE1.D -nAoutOE.D -nBERR_FSB.D -nBR_IOB.D -nCAS.D -nDTACK_FSB.D -nRESout.D -nVPA_FSB.D -ram/BACTr.D -ram/CAS.D 10.0 10.0 11.4 11.4 -ram/RASEL.D 10.0 10.0 -ram/RASEN.D 10.0 10.0 10.0 11.4 11.0 -ram/RASrf.D 10.0 -ram/RASrr.D 10.0 10.0 -ram/RS_FSM_FFd1.D -ram/RS_FSM_FFd2.D -ram/RS_FSM_FFd3.D -ram/RS_FSM_FFd4.D 10.0 -ram/RS_FSM_FFd5.D 10.0 -ram/RS_FSM_FFd6.D 10.0 -ram/RS_FSM_FFd7.D 10.0 -ram/RS_FSM_FFd8.D 11.0 11.0 -ram/RS_FSM_FFd9.D 11.4 11.0 -ram/RefDone.D 10.0 10.0 +ALE0S.D +IOL0.D +IONPReady.D +IORDREQ.D +IOU0.D +IOWRREQ.D +QoSReady.D +RAMReady.D 11.0 11.0 11.0 10.0 11.0 +RefReq.CE +RefReq.D +RefUrg.CE +RefUrg.D +cnt/Er<1>.D +cnt/IS_FSM_FFd1.D +cnt/IS_FSM_FFd2.D +cnt/LTimer<0>.D +cnt/LTimer<10>.D +cnt/LTimer<11>.D +cnt/LTimer<1>.D +cnt/LTimer<2>.D +cnt/LTimer<3>.D +cnt/LTimer<4>.D +cnt/LTimer<5>.D +cnt/LTimer<6>.D +cnt/LTimer<7>.D +cnt/LTimer<8>.D +cnt/LTimer<9>.D +cnt/LTimerTC.D +cnt/Timer<0>.CE +cnt/Timer<0>.D +cnt/Timer<1>.CE +cnt/Timer<1>.D +cnt/Timer<2>.CE +cnt/Timer<2>.D +cnt/Timer<3>.CE +cnt/Timer<3>.D +cnt/TimerTC.CE +cnt/TimerTC.D +cnt/WS<0>.D +cnt/WS<1>.D +cnt/WS<2>.D +cnt/WS<3>.D +cs/nOverlay.D +iobs/Clear1.D +iobs/IOL1.CE +iobs/IORW1.D +iobs/IOU1.CE +iobs/Load1.D +iobs/Sent.D +iobs/TS_FSM_FFd1.D +iobs/TS_FSM_FFd2.D +nADoutLE1.D +nAoutOE.D +nBERR_FSB.D +nBR_IOB.D +nCAS.D 11.0 10.0 11.0 11.0 11.0 +nDTACK_FSB.D +nOE.D +nRESout.D +nVPA_FSB.D +ram/BACTr.D +ram/DTACKr.D +ram/RASEL.D 10.0 10.0 10.0 +ram/RASEN.D 11.0 10.0 11.0 +ram/RASrf.D 10.0 +ram/RASrr.D 10.0 10.0 10.0 10.0 +ram/RS_FSM_FFd1.D +ram/RS_FSM_FFd2.D +ram/RS_FSM_FFd3.D 10.0 +ram/RS_FSM_FFd4.D 10.0 +ram/RS_FSM_FFd5.D 10.0 10.0 +ram/RS_FSM_FFd6.D 10.0 +ram/RS_FSM_FFd7.D 10.0 11.0 11.0 +ram/RS_FSM_FFd8.D 11.0 11.0 11.0 +ram/RefDone.D 10.0 10.0 -------------------------------------------------------------------------------- Clock to Setup (tCYC) (nsec) diff --git a/cpld/XC95144XL/WarpSE.vm6 b/cpld/XC95144XL/WarpSE.vm6 index b0d8e7b..01289a3 100644 --- a/cpld/XC95144XL/WarpSE.vm6 +++ b/cpld/XC95144XL/WarpSE.vm6 @@ -8,519 +8,471 @@ NETWORK | WarpSE | 0 | 0 | 16391 INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_23_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<23> | 11337 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<23> | 10909 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_23_IBUF | 11124 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_22_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<22> | 11338 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<22> | 10910 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_22_IBUF | 11125 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_21_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<21> | 11339 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<21> | 10911 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_21_IBUF | 11126 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_20_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<20> | 11340 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<20> | 10912 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_20_IBUF | 11127 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_19_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<19> | 11341 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<19> | 10913 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_19_IBUF | 11128 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 10700 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_18_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<18> | 11342 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<18> | 10914 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_18_IBUF | 11129 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 10701 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_17_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<17> | 11343 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<17> | 10915 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_17_IBUF | 11130 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 10702 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_16_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<16> | 11344 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<16> | 10916 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_16_IBUF | 11131 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 10703 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_15_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<15> | 11345 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<15> | 10917 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_15_IBUF | 11132 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_15_IBUF | 10704 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_13_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<13> | 11346 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<13> | 10918 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_13_IBUF | 11133 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 10705 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_12_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<12> | 11347 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<12> | 10919 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_12_IBUF | 11134 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_12_IBUF | 10706 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | C8M_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 3 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | C8M | 11348 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | C8M | 10920 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | C8M_IBUF | 11135 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX +NODE | C8M_IBUF | 10707 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 3 | 5 | II_FCLK -NODE | C8M_IBUF/FCLK | 11136 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 3 | 5 | II_FCLK +NODE | C8M_IBUF/FCLK | 10708 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 7 | 5 | II_FCLKINV -NODE | C8M_IBUF/FCLK- | 11137 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 10709 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV MACROCELL_INSTANCE | PrldLow+Tff+PinTrst+OptxMapped | nVMA_IOBout | WarpSE_COPY_0_COPY_0 | 2155893760 | 9 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nVMA_IOBout | 11139 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.Q | nVMA_IOBout | 1 | 0 | MC_UIM +NODE | nVMA_IOBout | 10711 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.Q | nVMA_IOBout | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 11206 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 10779 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 11208 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 10781 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 11213 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 10787 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 11214 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +NODE | iobm/ES<3> | 10788 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOACT | 11220 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM +NODE | IOACT | 10792 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/VPAr | 11250 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/VPAr.Q | iobm/VPAr | 1 | 0 | MC_UIM +NODE | iobm/VPAr | 10823 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/VPAr.Q | iobm/VPAr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 11293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 10865 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF/FCLK | 11136 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 3 | 5 | II_FCLK +NODE | C8M_IBUF/FCLK | 10708 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nVMA_IOBout$Q | 11138 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.Q | nVMA_IOBout | 0 | 0 | MC_Q +NODE | nVMA_IOBout$Q | 10710 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.Q | nVMA_IOBout | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | nVMA_IOBout | 11139 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.Q | nVMA_IOBout | 1 | 0 | MC_UIM +NODE | nVMA_IOBout | 10711 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.Q | nVMA_IOBout | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 2 | 0 | MC_OE -NODE | nVMA_IOBout$OE | 11140 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.BUFOE.OUT | nVMA_IOBout | 2 | 0 | MC_OE +NODE | nVMA_IOBout$OE | 10712 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.BUFOE.OUT | nVMA_IOBout | 2 | 0 | MC_OE SIGNAL_INSTANCE | nVMA_IOBout.SI | nVMA_IOBout | 0 | 8 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nVMA_IOBout | 11139 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.Q | nVMA_IOBout | 1 | 0 | MC_UIM +NODE | nVMA_IOBout | 10711 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.Q | nVMA_IOBout | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 11206 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 10779 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 11208 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 10781 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 11213 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 10787 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 11214 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +NODE | iobm/ES<3> | 10788 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOACT | 11220 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM +NODE | IOACT | 10792 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/VPAr | 11250 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/VPAr.Q | iobm/VPAr | 1 | 0 | MC_UIM +NODE | iobm/VPAr | 10823 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/VPAr.Q | iobm/VPAr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 11293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 10865 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nVMA_IOBout.D1 | 11373 | ? | 0 | 4096 | nVMA_IOBout | NULL | NULL | nVMA_IOBout.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nVMA_IOBout.D1 | 10945 | ? | 0 | 4096 | nVMA_IOBout | NULL | NULL | nVMA_IOBout.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nVMA_IOBout.D2 | 11374 | ? | 0 | 4096 | nVMA_IOBout | NULL | NULL | nVMA_IOBout.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nVMA_IOBout.D2 | 10946 | ? | 0 | 4096 | nVMA_IOBout | NULL | NULL | nVMA_IOBout.SI | 2 | 9 | MC_SI_D2 SPPTERM | 5 | IV_FALSE | nVMA_IOBout | IV_FALSE | iobm/ES<0> | IV_FALSE | iobm/ES<2> | IV_FALSE | iobm/ES<1> | IV_FALSE | iobm/ES<3> SPPTERM | 7 | IV_TRUE | nVMA_IOBout | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<2> | IV_FALSE | iobm/ES<1> | IV_FALSE | iobm/ES<3> | IV_TRUE | IOACT | IV_TRUE | iobm/VPAr OUTPUT_NODE_TYPE | 4 | 9 | MC_SI_TRST -SIGNAL | NODE | nVMA_IOBout.TRST | 11376 | ? | 0 | 4096 | nVMA_IOBout | NULL | NULL | nVMA_IOBout.SI | 4 | 9 | MC_SI_TRST +SIGNAL | NODE | nVMA_IOBout.TRST | 10948 | ? | 0 | 4096 | nVMA_IOBout | NULL | NULL | nVMA_IOBout.SI | 4 | 9 | MC_SI_TRST SPPTERM | 1 | IV_FALSE | AoutOE SRFF_INSTANCE | nVMA_IOBout.REG | nVMA_IOBout | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nVMA_IOBout.D | 11372 | ? | 0 | 0 | nVMA_IOBout | NULL | NULL | nVMA_IOBout.XOR | 0 | 7 | ALU_F +NODE | nVMA_IOBout.D | 10944 | ? | 0 | 0 | nVMA_IOBout | NULL | NULL | nVMA_IOBout.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C8M_IBUF/FCLK | 11136 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 3 | 5 | II_FCLK +NODE | C8M_IBUF/FCLK | 10708 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nVMA_IOBout.Q | 11377 | ? | 0 | 0 | nVMA_IOBout | NULL | NULL | nVMA_IOBout.REG | 0 | 8 | SRFF_Q +NODE | nVMA_IOBout.Q | 10949 | ? | 0 | 0 | nVMA_IOBout | NULL | NULL | nVMA_IOBout.REG | 0 | 8 | SRFF_Q BUF_INSTANCE | nVMA_IOBout.BUFOE | nVMA_IOBout | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 10 | CTOR_UNKNOWN -SIGNAL | NODE | nVMA_IOBout.TRST | 11376 | ? | 0 | 4096 | nVMA_IOBout | NULL | NULL | nVMA_IOBout.SI | 4 | 9 | MC_SI_TRST +SIGNAL | NODE | nVMA_IOBout.TRST | 10948 | ? | 0 | 4096 | nVMA_IOBout | NULL | NULL | nVMA_IOBout.SI | 4 | 9 | MC_SI_TRST SPPTERM | 1 | IV_FALSE | AoutOE OUTPUT_NODE_TYPE | 0 | 10 | BUF_OUT -NODE | nVMA_IOBout.BUFOE.OUT | 11375 | ? | 0 | 0 | nVMA_IOBout | NULL | NULL | nVMA_IOBout.BUFOE | 0 | 10 | BUF_OUT +NODE | nVMA_IOBout.BUFOE.OUT | 10947 | ? | 0 | 0 | nVMA_IOBout | NULL | NULL | nVMA_IOBout.BUFOE | 0 | 10 | BUF_OUT INPUT_INSTANCE | 0 | 0 | NULL | C16M_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 2 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | C16M | 11349 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | C16M | 10921 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 3 | 5 | II_FCLK -NODE | C16M_IBUF/FCLK | 11141 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10713 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 7 | 5 | II_FCLKINV -NODE | C16M_IBUF/FCLK- | 11142 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV +NODE | C16M_IBUF/FCLK- | 10714 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV MACROCELL_INSTANCE | PrldLow+PinTrst+OptxMapped+Ce | nAS_IOBout | WarpSE_COPY_0_COPY_0 | 2424325120 | 10 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 11204 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 10777 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/C8Mr | 11205 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM +NODE | iobm/C8Mr | 10778 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 11207 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 10780 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 11209 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 10782 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 11210 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd6 | 10783 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 11203 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 10776 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IORDREQr | 11232 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM +NODE | iobm/IORDREQr | 10806 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOWRREQr | 11248 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM +NODE | iobm/IOWRREQr | 10821 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 11293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 10865 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK- | 11142 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV +NODE | C16M_IBUF/FCLK- | 10714 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nAS_IOBout$Q | 11143 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAS_IOBout.Q | nAS_IOBout | 0 | 0 | MC_Q +NODE | nAS_IOBout$Q | 10715 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAS_IOBout.Q | nAS_IOBout | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | nAS_IOBout | 11144 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAS_IOBout.Q | nAS_IOBout | 1 | 0 | MC_UIM +NODE | nAS_IOBout | 10716 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAS_IOBout.Q | nAS_IOBout | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 2 | 0 | MC_OE -NODE | nAS_IOBout$OE | 11145 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAS_IOBout.BUFOE.OUT | nAS_IOBout | 2 | 0 | MC_OE +NODE | nAS_IOBout$OE | 10717 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAS_IOBout.BUFOE.OUT | nAS_IOBout | 2 | 0 | MC_OE SIGNAL_INSTANCE | nAS_IOBout.SI | nAS_IOBout | 0 | 9 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 11204 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 10777 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/C8Mr | 11205 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM +NODE | iobm/C8Mr | 10778 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 11207 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 10780 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 11209 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 10782 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 11210 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd6 | 10783 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 11203 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 10776 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IORDREQr | 11232 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM +NODE | iobm/IORDREQr | 10806 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOWRREQr | 11248 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM +NODE | iobm/IOWRREQr | 10821 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 11293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 10865 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nAS_IOBout.D1 | 11379 | ? | 0 | 4096 | nAS_IOBout | NULL | NULL | nAS_IOBout.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nAS_IOBout.D1 | 10951 | ? | 0 | 4096 | nAS_IOBout | NULL | NULL | nAS_IOBout.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nAS_IOBout.D2 | 11380 | ? | 0 | 4096 | nAS_IOBout | NULL | NULL | nAS_IOBout.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nAS_IOBout.D2 | 10952 | ? | 0 | 4096 | nAS_IOBout | NULL | NULL | nAS_IOBout.SI | 2 | 9 | MC_SI_D2 SPPTERM | 5 | IV_FALSE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 SPPTERM | 5 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/C8Mr | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 SPPTERM | 6 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 | IV_FALSE | iobm/IORDREQr | IV_FALSE | iobm/IOWRREQr OUTPUT_NODE_TYPE | 4 | 9 | MC_SI_TRST -SIGNAL | NODE | nAS_IOBout.TRST | 11382 | ? | 0 | 4096 | nAS_IOBout | NULL | NULL | nAS_IOBout.SI | 4 | 9 | MC_SI_TRST +SIGNAL | NODE | nAS_IOBout.TRST | 10954 | ? | 0 | 4096 | nAS_IOBout | NULL | NULL | nAS_IOBout.SI | 4 | 9 | MC_SI_TRST SPPTERM | 1 | IV_FALSE | AoutOE SRFF_INSTANCE | nAS_IOBout.REG | nAS_IOBout | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nAS_IOBout.D | 11378 | ? | 0 | 0 | nAS_IOBout | NULL | NULL | nAS_IOBout.XOR | 0 | 7 | ALU_F +NODE | nAS_IOBout.D | 10950 | ? | 0 | 0 | nAS_IOBout | NULL | NULL | nAS_IOBout.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK- | 11142 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV +NODE | C16M_IBUF/FCLK- | 10714 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nAS_IOBout.Q | 11383 | ? | 0 | 0 | nAS_IOBout | NULL | NULL | nAS_IOBout.REG | 0 | 8 | SRFF_Q +NODE | nAS_IOBout.Q | 10955 | ? | 0 | 0 | nAS_IOBout | NULL | NULL | nAS_IOBout.REG | 0 | 8 | SRFF_Q BUF_INSTANCE | nAS_IOBout.BUFOE | nAS_IOBout | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 10 | CTOR_UNKNOWN -SIGNAL | NODE | nAS_IOBout.TRST | 11382 | ? | 0 | 4096 | nAS_IOBout | NULL | NULL | nAS_IOBout.SI | 4 | 9 | MC_SI_TRST +SIGNAL | NODE | nAS_IOBout.TRST | 10954 | ? | 0 | 4096 | nAS_IOBout | NULL | NULL | nAS_IOBout.SI | 4 | 9 | MC_SI_TRST SPPTERM | 1 | IV_FALSE | AoutOE OUTPUT_NODE_TYPE | 0 | 10 | BUF_OUT -NODE | nAS_IOBout.BUFOE.OUT | 11381 | ? | 0 | 0 | nAS_IOBout | NULL | NULL | nAS_IOBout.BUFOE | 0 | 10 | BUF_OUT +NODE | nAS_IOBout.BUFOE.OUT | 10953 | ? | 0 | 0 | nAS_IOBout | NULL | NULL | nAS_IOBout.BUFOE | 0 | 10 | BUF_OUT MACROCELL_INSTANCE | Inv+PrldLow+PinTrst+OptxMapped+Ce | nLDS_IOBout | WarpSE_COPY_0_COPY_0 | 2424325376 | 9 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 11204 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 10782 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOL0 | 11228 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM +NODE | IOL0 | 10802 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 11209 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 10780 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 11207 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 10777 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nLDS_IOBout | 11147 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nLDS_IOBout.Q | nLDS_IOBout | 1 | 0 | MC_UIM +NODE | nLDS_IOBout | 10719 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nLDS_IOBout.Q | nLDS_IOBout | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 11210 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd6 | 10783 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DoutOE.EXP | 11967 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DoutOE.EXP | iobm/DoutOE | 4 | 0 | MC_EXPORT +NODE | iobm/DoutOE.EXP | 11533 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DoutOE.EXP | iobm/DoutOE | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 11293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 10865 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK- | 11142 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV +NODE | C16M_IBUF/FCLK- | 10714 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nLDS_IOBout$Q | 11146 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nLDS_IOBout.Q | nLDS_IOBout | 0 | 0 | MC_Q +NODE | nLDS_IOBout$Q | 10718 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nLDS_IOBout.Q | nLDS_IOBout | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | nLDS_IOBout | 11147 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nLDS_IOBout.Q | nLDS_IOBout | 1 | 0 | MC_UIM +NODE | nLDS_IOBout | 10719 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nLDS_IOBout.Q | nLDS_IOBout | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 2 | 0 | MC_OE -NODE | nLDS_IOBout$OE | 11148 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nLDS_IOBout.BUFOE.OUT | nLDS_IOBout | 2 | 0 | MC_OE +NODE | nLDS_IOBout$OE | 10720 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nLDS_IOBout.BUFOE.OUT | nLDS_IOBout | 2 | 0 | MC_OE SIGNAL_INSTANCE | nLDS_IOBout.SI | nLDS_IOBout | 0 | 8 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 11204 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 10782 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOL0 | 11228 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM +NODE | IOL0 | 10802 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 11209 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 10780 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 11207 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 10777 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nLDS_IOBout | 11147 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nLDS_IOBout.Q | nLDS_IOBout | 1 | 0 | MC_UIM +NODE | nLDS_IOBout | 10719 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nLDS_IOBout.Q | nLDS_IOBout | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 11210 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd6 | 10783 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DoutOE.EXP | 11967 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DoutOE.EXP | iobm/DoutOE | 4 | 0 | MC_EXPORT +NODE | iobm/DoutOE.EXP | 11533 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DoutOE.EXP | iobm/DoutOE | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 11293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 10865 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nLDS_IOBout.D1 | 11385 | ? | 0 | 4096 | nLDS_IOBout | NULL | NULL | nLDS_IOBout.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nLDS_IOBout.D1 | 10957 | ? | 0 | 4096 | nLDS_IOBout | NULL | NULL | nLDS_IOBout.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nLDS_IOBout.D2 | 11386 | ? | 0 | 4096 | nLDS_IOBout | NULL | NULL | nLDS_IOBout.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nLDS_IOBout.D2 | 10958 | ? | 0 | 4096 | nLDS_IOBout | NULL | NULL | nLDS_IOBout.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | iobm/DoutOE.EXP SPPTERM | 2 | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | IOL0 SPPTERM | 2 | IV_TRUE | iobm/IOS_FSM_FFd4 | IV_TRUE | IOL0 SPPTERM | 2 | IV_TRUE | iobm/IOS_FSM_FFd5 | IV_TRUE | IOL0 SPPTERM | 3 | IV_FALSE | nLDS_IOBout | IV_TRUE | iobm/IOS_FSM_FFd6 | IV_TRUE | IOL0 OUTPUT_NODE_TYPE | 4 | 9 | MC_SI_TRST -SIGNAL | NODE | nLDS_IOBout.TRST | 11388 | ? | 0 | 4096 | nLDS_IOBout | NULL | NULL | nLDS_IOBout.SI | 4 | 9 | MC_SI_TRST +SIGNAL | NODE | nLDS_IOBout.TRST | 10960 | ? | 0 | 4096 | nLDS_IOBout | NULL | NULL | nLDS_IOBout.SI | 4 | 9 | MC_SI_TRST SPPTERM | 1 | IV_FALSE | AoutOE SRFF_INSTANCE | nLDS_IOBout.REG | nLDS_IOBout | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nLDS_IOBout.D | 11384 | ? | 0 | 0 | nLDS_IOBout | NULL | NULL | nLDS_IOBout.XOR | 0 | 7 | ALU_F +NODE | nLDS_IOBout.D | 10956 | ? | 0 | 0 | nLDS_IOBout | NULL | NULL | nLDS_IOBout.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK- | 11142 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV +NODE | C16M_IBUF/FCLK- | 10714 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nLDS_IOBout.Q | 11389 | ? | 0 | 0 | nLDS_IOBout | NULL | NULL | nLDS_IOBout.REG | 0 | 8 | SRFF_Q +NODE | nLDS_IOBout.Q | 10961 | ? | 0 | 0 | nLDS_IOBout | NULL | NULL | nLDS_IOBout.REG | 0 | 8 | SRFF_Q BUF_INSTANCE | nLDS_IOBout.BUFOE | nLDS_IOBout | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 10 | CTOR_UNKNOWN -SIGNAL | NODE | nLDS_IOBout.TRST | 11388 | ? | 0 | 4096 | nLDS_IOBout | NULL | NULL | nLDS_IOBout.SI | 4 | 9 | MC_SI_TRST +SIGNAL | NODE | nLDS_IOBout.TRST | 10960 | ? | 0 | 4096 | nLDS_IOBout | NULL | NULL | nLDS_IOBout.SI | 4 | 9 | MC_SI_TRST SPPTERM | 1 | IV_FALSE | AoutOE OUTPUT_NODE_TYPE | 0 | 10 | BUF_OUT -NODE | nLDS_IOBout.BUFOE.OUT | 11387 | ? | 0 | 0 | nLDS_IOBout | NULL | NULL | nLDS_IOBout.BUFOE | 0 | 10 | BUF_OUT +NODE | nLDS_IOBout.BUFOE.OUT | 10959 | ? | 0 | 0 | nLDS_IOBout | NULL | NULL | nLDS_IOBout.BUFOE | 0 | 10 | BUF_OUT MACROCELL_INSTANCE | Inv+PrldLow+PinTrst+OptxMapped+Ce | nUDS_IOBout | WarpSE_COPY_0_COPY_0 | 2424325376 | 9 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 11204 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 10777 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOU0 | 11229 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM +NODE | IOU0 | 10803 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 11209 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 10782 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 11207 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 10780 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nUDS_IOBout | 11150 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nUDS_IOBout.Q | nUDS_IOBout | 1 | 0 | MC_UIM +NODE | nUDS_IOBout | 10722 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nUDS_IOBout.Q | nUDS_IOBout | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 11210 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd6 | 10783 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IODONE.EXP | 11968 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.EXP | IODONE | 4 | 0 | MC_EXPORT +NODE | IODONE.EXP | 11534 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.EXP | IODONE | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 11293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 10865 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK- | 11142 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV +NODE | C16M_IBUF/FCLK- | 10714 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nUDS_IOBout$Q | 11149 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nUDS_IOBout.Q | nUDS_IOBout | 0 | 0 | MC_Q +NODE | nUDS_IOBout$Q | 10721 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nUDS_IOBout.Q | nUDS_IOBout | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | nUDS_IOBout | 11150 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nUDS_IOBout.Q | nUDS_IOBout | 1 | 0 | MC_UIM +NODE | nUDS_IOBout | 10722 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nUDS_IOBout.Q | nUDS_IOBout | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 2 | 0 | MC_OE -NODE | nUDS_IOBout$OE | 11151 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nUDS_IOBout.BUFOE.OUT | nUDS_IOBout | 2 | 0 | MC_OE +NODE | nUDS_IOBout$OE | 10723 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nUDS_IOBout.BUFOE.OUT | nUDS_IOBout | 2 | 0 | MC_OE SIGNAL_INSTANCE | nUDS_IOBout.SI | nUDS_IOBout | 0 | 8 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 11204 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 10777 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOU0 | 11229 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM +NODE | IOU0 | 10803 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 11209 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 10782 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 11207 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 10780 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nUDS_IOBout | 11150 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nUDS_IOBout.Q | nUDS_IOBout | 1 | 0 | MC_UIM +NODE | nUDS_IOBout | 10722 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nUDS_IOBout.Q | nUDS_IOBout | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 11210 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd6 | 10783 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IODONE.EXP | 11968 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.EXP | IODONE | 4 | 0 | MC_EXPORT +NODE | IODONE.EXP | 11534 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.EXP | IODONE | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 11293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 10865 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nUDS_IOBout.D1 | 11391 | ? | 0 | 4096 | nUDS_IOBout | NULL | NULL | nUDS_IOBout.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nUDS_IOBout.D1 | 10963 | ? | 0 | 4096 | nUDS_IOBout | NULL | NULL | nUDS_IOBout.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nUDS_IOBout.D2 | 11392 | ? | 0 | 4096 | nUDS_IOBout | NULL | NULL | nUDS_IOBout.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nUDS_IOBout.D2 | 10964 | ? | 0 | 4096 | nUDS_IOBout | NULL | NULL | nUDS_IOBout.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | IODONE.EXP SPPTERM | 2 | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | IOU0 SPPTERM | 2 | IV_TRUE | iobm/IOS_FSM_FFd4 | IV_TRUE | IOU0 SPPTERM | 2 | IV_TRUE | iobm/IOS_FSM_FFd5 | IV_TRUE | IOU0 SPPTERM | 3 | IV_FALSE | nUDS_IOBout | IV_TRUE | iobm/IOS_FSM_FFd6 | IV_TRUE | IOU0 OUTPUT_NODE_TYPE | 4 | 9 | MC_SI_TRST -SIGNAL | NODE | nUDS_IOBout.TRST | 11394 | ? | 0 | 4096 | nUDS_IOBout | NULL | NULL | nUDS_IOBout.SI | 4 | 9 | MC_SI_TRST +SIGNAL | NODE | nUDS_IOBout.TRST | 10966 | ? | 0 | 4096 | nUDS_IOBout | NULL | NULL | nUDS_IOBout.SI | 4 | 9 | MC_SI_TRST SPPTERM | 1 | IV_FALSE | AoutOE SRFF_INSTANCE | nUDS_IOBout.REG | nUDS_IOBout | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nUDS_IOBout.D | 11390 | ? | 0 | 0 | nUDS_IOBout | NULL | NULL | nUDS_IOBout.XOR | 0 | 7 | ALU_F +NODE | nUDS_IOBout.D | 10962 | ? | 0 | 0 | nUDS_IOBout | NULL | NULL | nUDS_IOBout.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK- | 11142 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV +NODE | C16M_IBUF/FCLK- | 10714 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nUDS_IOBout.Q | 11395 | ? | 0 | 0 | nUDS_IOBout | NULL | NULL | nUDS_IOBout.REG | 0 | 8 | SRFF_Q +NODE | nUDS_IOBout.Q | 10967 | ? | 0 | 0 | nUDS_IOBout | NULL | NULL | nUDS_IOBout.REG | 0 | 8 | SRFF_Q BUF_INSTANCE | nUDS_IOBout.BUFOE | nUDS_IOBout | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 10 | CTOR_UNKNOWN -SIGNAL | NODE | nUDS_IOBout.TRST | 11394 | ? | 0 | 4096 | nUDS_IOBout | NULL | NULL | nUDS_IOBout.SI | 4 | 9 | MC_SI_TRST +SIGNAL | NODE | nUDS_IOBout.TRST | 10966 | ? | 0 | 4096 | nUDS_IOBout | NULL | NULL | nUDS_IOBout.SI | 4 | 9 | MC_SI_TRST SPPTERM | 1 | IV_FALSE | AoutOE OUTPUT_NODE_TYPE | 0 | 10 | BUF_OUT -NODE | nUDS_IOBout.BUFOE.OUT | 11393 | ? | 0 | 0 | nUDS_IOBout | NULL | NULL | nUDS_IOBout.BUFOE | 0 | 10 | BUF_OUT +NODE | nUDS_IOBout.BUFOE.OUT | 10965 | ? | 0 | 0 | nUDS_IOBout | NULL | NULL | nUDS_IOBout.BUFOE | 0 | 10 | BUF_OUT INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_14_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<14> | 11350 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<14> | 10922 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_14_IBUF | 11152 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 10724 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_11_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<11> | 11351 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<11> | 10923 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_11_IBUF | 11153 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_11_IBUF | 10725 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_10_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<10> | 11352 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<10> | 10924 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_10_IBUF | 11154 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_10_IBUF | 10726 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | FCLK_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 2 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | FCLK | 11353 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | FCLK | 10925 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 3 | 5 | II_FCLK -NODE | FCLK_IBUF/FCLK | 11155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 7 | 5 | II_FCLKINV -NODE | FCLK_IBUF/FCLK- | 11156 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 7 | 5 | II_FCLKINV - -MACROCELL_INSTANCE | PrldLow+OptxMapped | RefUrg | WarpSE_COPY_0_COPY_0 | 2155873280 | 6 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<1> | 11162 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<2> | 11163 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<3> | 11179 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<3>.Q | cnt/Timer<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 11241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 11255 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 11155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | RefUrg | 11157 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | RefUrg.SI | RefUrg | 0 | 5 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<1> | 11162 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<2> | 11163 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<3> | 11179 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<3>.Q | cnt/Timer<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 11241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 11255 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RefUrg.D1 | 11397 | ? | 0 | 4096 | RefUrg | NULL | NULL | RefUrg.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RefUrg.D2 | 11398 | ? | 0 | 4096 | RefUrg | NULL | NULL | RefUrg.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 3 | IV_FALSE | cnt/Timer<1> | IV_FALSE | cnt/Timer<2> | IV_TRUE | cnt/Timer<3> -OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | RefUrg.CE | 11399 | ? | 0 | 4096 | RefUrg | NULL | NULL | RefUrg.SI | 10 | 9 | MC_SI_CE -SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> - -SRFF_INSTANCE | RefUrg.REG | RefUrg | 0 | 3 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RefUrg.D | 11396 | ? | 0 | 0 | RefUrg | NULL | NULL | RefUrg.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 11155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | RefUrg.CE | 11399 | ? | 0 | 4096 | RefUrg | NULL | NULL | RefUrg.SI | 10 | 9 | MC_SI_CE -SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RefUrg.Q | 11400 | ? | 0 | 0 | RefUrg | NULL | NULL | RefUrg.REG | 0 | 8 | SRFF_Q +NODE | FCLK_IBUF/FCLK- | 10728 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 7 | 5 | II_FCLKINV INPUT_INSTANCE | 0 | 0 | NULL | nWE_FSB_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nWE_FSB | 11356 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | nWE_FSB | 10928 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | nWE_FSB_IBUF | 11184 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10755 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | nAS_FSB_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nAS_FSB | 11359 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | nAS_FSB | 10931 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | nAS_FSB_IBUF | 11191 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_8_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<8> | 11364 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<8> | 10936 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_8_IBUF | 11252 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_8_IBUF | 10826 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_9_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<9> | 11363 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<9> | 10935 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_9_IBUF | 11251 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_9_IBUF | 10825 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX MACROCELL_INSTANCE | PrldLow+OptxMapped | cnt/LTimer<0> | WarpSE_COPY_0_COPY_0 | 2155873280 | 9 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 11158 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 10729 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM | 11335 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.Q | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | 1 | 0 | MC_UIM +NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM | 10907 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.Q | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 11211 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd1 | 10785 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 11212 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd2 | 10786 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 11160 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 10730 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 11171 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 10742 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP15_.EXP | 11933 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP15_.EXP | EXP15_ | 4 | 0 | MC_EXPORT +NODE | EXP12_.EXP | 11495 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP12_.EXP | EXP12_ | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP18_.EXP | 11943 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP18_.EXP | EXP18_ | 4 | 0 | MC_EXPORT +NODE | EXP15_.EXP | 11501 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP15_.EXP | EXP15_ | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 11155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimer<0> | 11158 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 10729 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cnt/LTimer<0>.SI | cnt/LTimer<0> | 0 | 8 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 11158 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 10729 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM | 11335 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.Q | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | 1 | 0 | MC_UIM +NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM | 10907 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.Q | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 11211 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd1 | 10785 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 11212 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd2 | 10786 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 11160 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 10730 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 11171 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 10742 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP15_.EXP | 11933 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP15_.EXP | EXP15_ | 4 | 0 | MC_EXPORT +NODE | EXP12_.EXP | 11495 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP12_.EXP | EXP12_ | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP18_.EXP | 11943 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP18_.EXP | EXP18_ | 4 | 0 | MC_EXPORT +NODE | EXP15_.EXP | 11501 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP15_.EXP | EXP15_ | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimer<0>.D1 | 11402 | ? | 0 | 4096 | cnt/LTimer<0> | NULL | NULL | cnt/LTimer<0>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer<0>.D1 | 10969 | ? | 0 | 4096 | cnt/LTimer<0> | NULL | NULL | cnt/LTimer<0>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimer<0>.D2 | 11403 | ? | 0 | 4096 | cnt/LTimer<0> | NULL | NULL | cnt/LTimer<0>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/LTimer<0>.D2 | 10970 | ? | 0 | 4096 | cnt/LTimer<0> | NULL | NULL | cnt/LTimer<0>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | EXP12_.EXP SPPTERM | 1 | IV_TRUE | EXP15_.EXP -SPPTERM | 1 | IV_TRUE | EXP18_.EXP SPPTERM | 2 | IV_TRUE | cnt/LTimer<0> | IV_FALSE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM SPPTERM | 3 | IV_FALSE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM SPPTERM | 3 | IV_FALSE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM @@ -529,402 +481,350 @@ SPPTERM | 3 | IV_FALSE | cnt/LTimer<0> | IV_TRUE | cnt/IS_FSM_FFd2 | IV_TRUE | c SRFF_INSTANCE | cnt/LTimer<0>.REG | cnt/LTimer<0> | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimer<0>.D | 11401 | ? | 0 | 0 | cnt/LTimer<0> | NULL | NULL | cnt/LTimer<0>.XOR | 0 | 7 | ALU_F +NODE | cnt/LTimer<0>.D | 10968 | ? | 0 | 0 | cnt/LTimer<0> | NULL | NULL | cnt/LTimer<0>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 11155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimer<0>.Q | 11404 | ? | 0 | 0 | cnt/LTimer<0> | NULL | NULL | cnt/LTimer<0>.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | RefReq | WarpSE_COPY_0_COPY_0 | 2155873536 | 7 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<0> | 11161 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<1> | 11162 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<2> | 11163 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<3> | 11179 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<3>.Q | cnt/Timer<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 11241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 11255 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 11155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | RefReq | 11159 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | RefReq.SI | RefReq | 0 | 6 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<0> | 11161 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<1> | 11162 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<2> | 11163 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<3> | 11179 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<3>.Q | cnt/Timer<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 11241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 11255 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RefReq.D1 | 11406 | ? | 0 | 4096 | RefReq | NULL | NULL | RefReq.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RefReq.D2 | 11407 | ? | 0 | 4096 | RefReq | NULL | NULL | RefReq.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 4 | IV_FALSE | cnt/Timer<0> | IV_TRUE | cnt/Timer<1> | IV_FALSE | cnt/Timer<2> | IV_TRUE | cnt/Timer<3> -OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | RefReq.CE | 11408 | ? | 0 | 4096 | RefReq | NULL | NULL | RefReq.SI | 10 | 9 | MC_SI_CE -SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> - -SRFF_INSTANCE | RefReq.REG | RefReq | 0 | 3 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RefReq.D | 11405 | ? | 0 | 0 | RefReq | NULL | NULL | RefReq.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 11155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | RefReq.CE | 11408 | ? | 0 | 4096 | RefReq | NULL | NULL | RefReq.SI | 10 | 9 | MC_SI_CE -SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RefReq.Q | 11409 | ? | 0 | 0 | RefReq | NULL | NULL | RefReq.REG | 0 | 8 | SRFF_Q +NODE | cnt/LTimer<0>.Q | 10971 | ? | 0 | 0 | cnt/LTimer<0> | NULL | NULL | cnt/LTimer<0>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | cnt/LTimer<1> | WarpSE_COPY_0_COPY_0 | 2155873536 | 25 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 11160 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 10730 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM | 11335 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.Q | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | 1 | 0 | MC_UIM +NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM | 10907 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.Q | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 11158 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 10729 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 11124 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 11125 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 11126 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 11127 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 11128 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 10700 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 11129 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 10701 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 11130 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 10702 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 11131 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 10703 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 11132 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_15_IBUF | 10704 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 11133 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 10705 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 11134 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_12_IBUF | 10706 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 11152 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 10724 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 11153 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_11_IBUF | 10725 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 11154 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_10_IBUF | 10726 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 11184 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10755 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 11191 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 11211 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd1 | 10785 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 11212 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd2 | 10786 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 11251 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_9_IBUF | 10825 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 11252 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_8_IBUF | 10826 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP17_.EXP | 11941 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP17_.EXP | EXP17_ | 4 | 0 | MC_EXPORT +NODE | EXP14_.EXP | 11499 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP14_.EXP | EXP14_ | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 11155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimer<1> | 11160 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 10730 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | cnt/LTimer<1>.EXP | 11942 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.EXP | cnt/LTimer<1> | 4 | 0 | MC_EXPORT +NODE | cnt/LTimer<1>.EXP | 11500 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.EXP | cnt/LTimer<1> | 4 | 0 | MC_EXPORT SIGNAL_INSTANCE | cnt/LTimer<1>.SI | cnt/LTimer<1> | 0 | 24 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 11160 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 10730 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM | 11335 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.Q | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | 1 | 0 | MC_UIM +NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM | 10907 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.Q | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 11158 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 10729 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 11124 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 11125 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 11126 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 11127 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 11128 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 10700 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 11129 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 10701 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 11130 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 10702 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 11131 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 10703 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 11132 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_15_IBUF | 10704 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 11133 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 10705 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 11134 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_12_IBUF | 10706 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 11152 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 10724 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 11153 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_11_IBUF | 10725 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 11154 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_10_IBUF | 10726 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 11184 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10755 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 11191 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 11211 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd1 | 10785 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 11212 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd2 | 10786 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 11251 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_9_IBUF | 10825 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 11252 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_8_IBUF | 10826 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP17_.EXP | 11941 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP17_.EXP | EXP17_ | 4 | 0 | MC_EXPORT +NODE | EXP14_.EXP | 11499 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP14_.EXP | EXP14_ | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimer<1>.D1 | 11411 | ? | 0 | 4096 | cnt/LTimer<1> | NULL | NULL | cnt/LTimer<1>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer<1>.D1 | 10973 | ? | 0 | 4096 | cnt/LTimer<1> | NULL | NULL | cnt/LTimer<1>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimer<1>.D2 | 11412 | ? | 0 | 4096 | cnt/LTimer<1> | NULL | NULL | cnt/LTimer<1>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | EXP17_.EXP +SIGNAL | NODE | cnt/LTimer<1>.D2 | 10974 | ? | 0 | 4096 | cnt/LTimer<1> | NULL | NULL | cnt/LTimer<1>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | EXP14_.EXP SPPTERM | 2 | IV_FALSE | cnt/LTimer<0> | IV_FALSE | cnt/LTimer<1> SPPTERM | 2 | IV_FALSE | cnt/LTimer<1> | IV_FALSE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM SPPTERM | 3 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | cnt/LTimer<1>.EXP | 11931 | ? | 0 | 0 | cnt/LTimer<1> | NULL | NULL | cnt/LTimer<1>.SI | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | cnt/LTimer<1>.EXP | 11493 | ? | 0 | 0 | cnt/LTimer<1> | NULL | NULL | cnt/LTimer<1>.SI | 7 | 9 | MC_SI_EXPORT SPPTERM | 20 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM SPPTERM | 20 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM SRFF_INSTANCE | cnt/LTimer<1>.REG | cnt/LTimer<1> | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimer<1>.D | 11410 | ? | 0 | 0 | cnt/LTimer<1> | NULL | NULL | cnt/LTimer<1>.XOR | 0 | 7 | ALU_F +NODE | cnt/LTimer<1>.D | 10972 | ? | 0 | 0 | cnt/LTimer<1> | NULL | NULL | cnt/LTimer<1>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 11155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimer<1>.Q | 11413 | ? | 0 | 0 | cnt/LTimer<1> | NULL | NULL | cnt/LTimer<1>.REG | 0 | 8 | SRFF_Q +NODE | cnt/LTimer<1>.Q | 10975 | ? | 0 | 0 | cnt/LTimer<1> | NULL | NULL | cnt/LTimer<1>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | Inv+PrldLow+Tff+OptxMapped | cnt/Timer<0> | WarpSE_COPY_0_COPY_0 | 2155877632 | 5 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<0> | 11161 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM +NODE | cnt/Timer<0> | 10731 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 11182 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 10753 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 11241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 10814 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 11255 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 10829 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 11155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/Timer<0> | 11161 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM +NODE | cnt/Timer<0> | 10731 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cnt/Timer<0>.SI | cnt/Timer<0> | 0 | 4 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<0> | 11161 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM +NODE | cnt/Timer<0> | 10731 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 11182 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 10753 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 11241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 10814 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 11255 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 10829 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/Timer<0>.D1 | 11415 | ? | 0 | 4096 | cnt/Timer<0> | NULL | NULL | cnt/Timer<0>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/Timer<0>.D1 | 10977 | ? | 0 | 4096 | cnt/Timer<0> | NULL | NULL | cnt/Timer<0>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/Timer<0>.D2 | 11416 | ? | 0 | 4096 | cnt/Timer<0> | NULL | NULL | cnt/Timer<0>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/Timer<0>.D2 | 10978 | ? | 0 | 4096 | cnt/Timer<0> | NULL | NULL | cnt/Timer<0>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 4 | IV_FALSE | cnt/Timer<0> | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | cnt/Timer<0>.CE | 11417 | ? | 0 | 4096 | cnt/Timer<0> | NULL | NULL | cnt/Timer<0>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/Timer<0>.CE | 10979 | ? | 0 | 4096 | cnt/Timer<0> | NULL | NULL | cnt/Timer<0>.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SRFF_INSTANCE | cnt/Timer<0>.REG | cnt/Timer<0> | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/Timer<0>.D | 11414 | ? | 0 | 0 | cnt/Timer<0> | NULL | NULL | cnt/Timer<0>.XOR | 0 | 7 | ALU_F +NODE | cnt/Timer<0>.D | 10976 | ? | 0 | 0 | cnt/Timer<0> | NULL | NULL | cnt/Timer<0>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 11155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | cnt/Timer<0>.CE | 11417 | ? | 0 | 4096 | cnt/Timer<0> | NULL | NULL | cnt/Timer<0>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/Timer<0>.CE | 10979 | ? | 0 | 4096 | cnt/Timer<0> | NULL | NULL | cnt/Timer<0>.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/Timer<0>.Q | 11418 | ? | 0 | 0 | cnt/Timer<0> | NULL | NULL | cnt/Timer<0>.REG | 0 | 8 | SRFF_Q +NODE | cnt/Timer<0>.Q | 10980 | ? | 0 | 0 | cnt/Timer<0> | NULL | NULL | cnt/Timer<0>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | cnt/Timer<1> | WarpSE_COPY_0_COPY_0 | 2155873536 | 6 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<0> | 11161 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM +NODE | cnt/Timer<0> | 10731 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<1> | 11162 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM +NODE | cnt/Timer<1> | 10732 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 11182 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 10753 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 11241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 10814 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 11255 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 10829 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 11155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/Timer<1> | 11162 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM +NODE | cnt/Timer<1> | 10732 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cnt/Timer<1>.SI | cnt/Timer<1> | 0 | 5 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<0> | 11161 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM +NODE | cnt/Timer<0> | 10731 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<1> | 11162 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM +NODE | cnt/Timer<1> | 10732 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 11182 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 10753 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 11241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 10814 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 11255 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 10829 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/Timer<1>.D1 | 11420 | ? | 0 | 4096 | cnt/Timer<1> | NULL | NULL | cnt/Timer<1>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/Timer<1>.D1 | 10982 | ? | 0 | 4096 | cnt/Timer<1> | NULL | NULL | cnt/Timer<1>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/Timer<1>.D2 | 11421 | ? | 0 | 4096 | cnt/Timer<1> | NULL | NULL | cnt/Timer<1>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/Timer<1>.D2 | 10983 | ? | 0 | 4096 | cnt/Timer<1> | NULL | NULL | cnt/Timer<1>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | cnt/Timer<0> | IV_TRUE | cnt/Timer<1> SPPTERM | 2 | IV_FALSE | cnt/Timer<0> | IV_FALSE | cnt/Timer<1> SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | cnt/Timer<1>.CE | 11422 | ? | 0 | 4096 | cnt/Timer<1> | NULL | NULL | cnt/Timer<1>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/Timer<1>.CE | 10984 | ? | 0 | 4096 | cnt/Timer<1> | NULL | NULL | cnt/Timer<1>.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SRFF_INSTANCE | cnt/Timer<1>.REG | cnt/Timer<1> | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/Timer<1>.D | 11419 | ? | 0 | 0 | cnt/Timer<1> | NULL | NULL | cnt/Timer<1>.XOR | 0 | 7 | ALU_F +NODE | cnt/Timer<1>.D | 10981 | ? | 0 | 0 | cnt/Timer<1> | NULL | NULL | cnt/Timer<1>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 11155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | cnt/Timer<1>.CE | 11422 | ? | 0 | 4096 | cnt/Timer<1> | NULL | NULL | cnt/Timer<1>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/Timer<1>.CE | 10984 | ? | 0 | 4096 | cnt/Timer<1> | NULL | NULL | cnt/Timer<1>.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/Timer<1>.Q | 11423 | ? | 0 | 0 | cnt/Timer<1> | NULL | NULL | cnt/Timer<1>.REG | 0 | 8 | SRFF_Q +NODE | cnt/Timer<1>.Q | 10985 | ? | 0 | 0 | cnt/Timer<1> | NULL | NULL | cnt/Timer<1>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | cnt/Timer<2> | WarpSE_COPY_0_COPY_0 | 2155873536 | 7 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<0> | 11161 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM +NODE | cnt/Timer<0> | 10731 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<2> | 11163 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM +NODE | cnt/Timer<2> | 10733 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<1> | 11162 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM +NODE | cnt/Timer<1> | 10732 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 11182 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 10753 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 11241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 10814 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 11255 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 10829 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 11155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/Timer<2> | 11163 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM +NODE | cnt/Timer<2> | 10733 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cnt/Timer<2>.SI | cnt/Timer<2> | 0 | 6 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<0> | 11161 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM +NODE | cnt/Timer<0> | 10731 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<2> | 11163 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM +NODE | cnt/Timer<2> | 10733 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<1> | 11162 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM +NODE | cnt/Timer<1> | 10732 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 11182 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 10753 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 11241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 10814 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 11255 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 10829 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/Timer<2>.D1 | 11425 | ? | 0 | 4096 | cnt/Timer<2> | NULL | NULL | cnt/Timer<2>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/Timer<2>.D1 | 10987 | ? | 0 | 4096 | cnt/Timer<2> | NULL | NULL | cnt/Timer<2>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/Timer<2>.D2 | 11426 | ? | 0 | 4096 | cnt/Timer<2> | NULL | NULL | cnt/Timer<2>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/Timer<2>.D2 | 10988 | ? | 0 | 4096 | cnt/Timer<2> | NULL | NULL | cnt/Timer<2>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_FALSE | cnt/Timer<0> | IV_FALSE | cnt/Timer<2> SPPTERM | 2 | IV_FALSE | cnt/Timer<1> | IV_FALSE | cnt/Timer<2> SPPTERM | 3 | IV_TRUE | cnt/Timer<0> | IV_TRUE | cnt/Timer<1> | IV_TRUE | cnt/Timer<2> SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | cnt/Timer<2>.CE | 11427 | ? | 0 | 4096 | cnt/Timer<2> | NULL | NULL | cnt/Timer<2>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/Timer<2>.CE | 10989 | ? | 0 | 4096 | cnt/Timer<2> | NULL | NULL | cnt/Timer<2>.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SRFF_INSTANCE | cnt/Timer<2>.REG | cnt/Timer<2> | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/Timer<2>.D | 11424 | ? | 0 | 0 | cnt/Timer<2> | NULL | NULL | cnt/Timer<2>.XOR | 0 | 7 | ALU_F +NODE | cnt/Timer<2>.D | 10986 | ? | 0 | 0 | cnt/Timer<2> | NULL | NULL | cnt/Timer<2>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 11155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | cnt/Timer<2>.CE | 11427 | ? | 0 | 4096 | cnt/Timer<2> | NULL | NULL | cnt/Timer<2>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/Timer<2>.CE | 10989 | ? | 0 | 4096 | cnt/Timer<2> | NULL | NULL | cnt/Timer<2>.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/Timer<2>.Q | 11428 | ? | 0 | 0 | cnt/Timer<2> | NULL | NULL | cnt/Timer<2>.REG | 0 | 8 | SRFF_Q +NODE | cnt/Timer<2>.Q | 10990 | ? | 0 | 0 | cnt/Timer<2> | NULL | NULL | cnt/Timer<2>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | iobs/Sent | WarpSE_COPY_0_COPY_0 | 2155877376 | 13 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 11164 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | iobs/Sent | 10734 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 11191 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 11257 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 11124 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 11200 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 10774 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 11201 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10775 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 11291 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 10863 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 11125 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 11127 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 11126 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_5_OBUF.EXP | 11958 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_5_OBUF.EXP | RA_5_OBUF | 4 | 0 | MC_EXPORT +NODE | IORDREQ.EXP | 11485 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IORDREQ.EXP | IORDREQ | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_2_OBUF.EXP | 11959 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_2_OBUF.EXP | RA_2_OBUF | 4 | 0 | MC_EXPORT +NODE | nROMWE_OBUF.EXP | 11486 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMWE_OBUF.EXP | nROMWE_OBUF | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 11155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/Sent | 11164 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | iobs/Sent | 10734 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobs/Sent.SI | iobs/Sent | 0 | 12 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 11164 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | iobs/Sent | 10734 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 11191 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 11257 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 11124 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 11200 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 10774 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 11201 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10775 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 11291 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 10863 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 11125 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 11127 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 11126 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_5_OBUF.EXP | 11958 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_5_OBUF.EXP | RA_5_OBUF | 4 | 0 | MC_EXPORT +NODE | IORDREQ.EXP | 11485 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IORDREQ.EXP | IORDREQ | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_2_OBUF.EXP | 11959 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_2_OBUF.EXP | RA_2_OBUF | 4 | 0 | MC_EXPORT +NODE | nROMWE_OBUF.EXP | 11486 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMWE_OBUF.EXP | nROMWE_OBUF | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/Sent.D1 | 11430 | ? | 0 | 4096 | iobs/Sent | NULL | NULL | iobs/Sent.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/Sent.D1 | 10992 | ? | 0 | 4096 | iobs/Sent | NULL | NULL | iobs/Sent.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/Sent.D2 | 11431 | ? | 0 | 4096 | iobs/Sent | NULL | NULL | iobs/Sent.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | RA_5_OBUF.EXP -SPPTERM | 1 | IV_TRUE | RA_2_OBUF.EXP +SIGNAL | NODE | iobs/Sent.D2 | 10993 | ? | 0 | 4096 | iobs/Sent | NULL | NULL | iobs/Sent.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | IORDREQ.EXP +SPPTERM | 1 | IV_TRUE | nROMWE_OBUF.EXP SPPTERM | 3 | IV_TRUE | iobs/Sent | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf SPPTERM | 6 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 SPPTERM | 6 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 @@ -933,1728 +833,1918 @@ SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | iob SRFF_INSTANCE | iobs/Sent.REG | iobs/Sent | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/Sent.D | 11429 | ? | 0 | 0 | iobs/Sent | NULL | NULL | iobs/Sent.XOR | 0 | 7 | ALU_F +NODE | iobs/Sent.D | 10991 | ? | 0 | 0 | iobs/Sent | NULL | NULL | iobs/Sent.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 11155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/Sent.Q | 11432 | ? | 0 | 0 | iobs/Sent | NULL | NULL | iobs/Sent.REG | 0 | 8 | SRFF_Q +NODE | iobs/Sent.Q | 10994 | ? | 0 | 0 | iobs/Sent | NULL | NULL | iobs/Sent.REG | 0 | 8 | SRFF_Q INPUT_INSTANCE | 0 | 0 | NULL | nBERR_IOB_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nBERR_IOB | 11354 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | nBERR_IOB | 10926 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | nBERR_IOB_IBUF | 11165 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX +NODE | nBERR_IOB_IBUF | 10735 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | IOBERR | WarpSE_COPY_0_COPY_0 | 2424308736 | 3 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_IOB_IBUF | 11165 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX +NODE | nBERR_IOB_IBUF | 10735 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_IOBout | 11144 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAS_IOBout.Q | nAS_IOBout | 1 | 0 | MC_UIM +NODE | nAS_IOBout | 10716 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAS_IOBout.Q | nAS_IOBout | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF/FCLK- | 11137 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 10709 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | IOBERR | 11166 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM +NODE | IOBERR | 10736 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM SIGNAL_INSTANCE | IOBERR.SI | IOBERR | 0 | 2 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_IOB_IBUF | 11165 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX +NODE | nBERR_IOB_IBUF | 10735 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_IOBout | 11144 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAS_IOBout.Q | nAS_IOBout | 1 | 0 | MC_UIM +NODE | nAS_IOBout | 10716 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAS_IOBout.Q | nAS_IOBout | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | IOBERR.D1 | 11434 | ? | 0 | 4096 | IOBERR | NULL | NULL | IOBERR.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | IOBERR.D1 | 10996 | ? | 0 | 4096 | IOBERR | NULL | NULL | IOBERR.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | IOBERR.D2 | 11435 | ? | 0 | 4096 | IOBERR | NULL | NULL | IOBERR.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | IOBERR.D2 | 10997 | ? | 0 | 4096 | IOBERR | NULL | NULL | IOBERR.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_FALSE | nBERR_IOB_IBUF OUTPUT_NODE_TYPE | 6 | 9 | MC_SI_RSTF -SIGNAL | NODE | IOBERR.RSTF | 11436 | ? | 0 | 4096 | IOBERR | NULL | NULL | IOBERR.SI | 6 | 9 | MC_SI_RSTF +SIGNAL | NODE | IOBERR.RSTF | 10998 | ? | 0 | 4096 | IOBERR | NULL | NULL | IOBERR.SI | 6 | 9 | MC_SI_RSTF SPPTERM | 1 | IV_TRUE | nAS_IOBout SRFF_INSTANCE | IOBERR.REG | IOBERR | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | IOBERR.D | 11433 | ? | 0 | 0 | IOBERR | NULL | NULL | IOBERR.XOR | 0 | 7 | ALU_F +NODE | IOBERR.D | 10995 | ? | 0 | 0 | IOBERR | NULL | NULL | IOBERR.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C8M_IBUF/FCLK- | 11137 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 10709 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV INPUT_NODE_TYPE | 3 | 8 | SRFF_R -SIGNAL | NODE | IOBERR.RSTF | 11436 | ? | 0 | 4096 | IOBERR | NULL | NULL | IOBERR.SI | 6 | 9 | MC_SI_RSTF +SIGNAL | NODE | IOBERR.RSTF | 10998 | ? | 0 | 4096 | IOBERR | NULL | NULL | IOBERR.SI | 6 | 9 | MC_SI_RSTF SPPTERM | 1 | IV_TRUE | nAS_IOBout OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | IOBERR.Q | 11437 | ? | 0 | 0 | IOBERR | NULL | NULL | IOBERR.REG | 0 | 8 | SRFF_Q +NODE | IOBERR.Q | 10999 | ? | 0 | 0 | IOBERR | NULL | NULL | IOBERR.REG | 0 | 8 | SRFF_Q INPUT_INSTANCE | 0 | 0 | NULL | N01 | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nRES | 11325 | PIPO | 0 | 64 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nRES | 0 | 6 | OI_OUT +NODE | nRES | 10897 | PIPO | 0 | 64 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nRES | 0 | 6 | OI_OUT OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | N01 | 11167 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | N01 | 0 | 5 | II_IMUX +NODE | N01 | 10737 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | N01 | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | nDTACK_IOB_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nDTACK_IOB | 11355 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | nDTACK_IOB | 10927 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | nDTACK_IOB_IBUF | 11168 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX +NODE | nDTACK_IOB_IBUF | 10738 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | IODONE | WarpSE_COPY_0_COPY_0 | 2424308736 | 13 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | N01 | 11167 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | N01 | 0 | 5 | II_IMUX +NODE | N01 | 10737 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | N01 | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDTACK_IOB_IBUF | 11168 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX +NODE | nDTACK_IOB_IBUF | 10738 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nVMA_IOBout | 11139 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.Q | nVMA_IOBout | 1 | 0 | MC_UIM +NODE | nVMA_IOBout | 10711 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.Q | nVMA_IOBout | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 11206 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 10779 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 11208 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 10781 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 11213 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 10787 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 11214 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +NODE | iobm/ES<3> | 10788 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_IOBout | 11144 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAS_IOBout.Q | nAS_IOBout | 1 | 0 | MC_UIM +NODE | nAS_IOBout | 10716 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAS_IOBout.Q | nAS_IOBout | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF/FCLK- | 11137 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 10709 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 11203 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 10776 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/C8Mr | 11205 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM +NODE | iobm/C8Mr | 10778 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOU0 | 11229 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM +NODE | IOU0 | 10803 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IORDREQr | 11232 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM +NODE | iobm/IORDREQr | 10806 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | IODONE | 11169 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.Q | IODONE | 1 | 0 | MC_UIM +NODE | IODONE | 10739 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.Q | IODONE | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | IODONE.EXP | 11968 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.EXP | IODONE | 4 | 0 | MC_EXPORT +NODE | IODONE.EXP | 11534 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.EXP | IODONE | 4 | 0 | MC_EXPORT SIGNAL_INSTANCE | IODONE.SI | IODONE | 0 | 12 | 4 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | N01 | 11167 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | N01 | 0 | 5 | II_IMUX +NODE | N01 | 10737 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | N01 | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDTACK_IOB_IBUF | 11168 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX +NODE | nDTACK_IOB_IBUF | 10738 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nVMA_IOBout | 11139 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.Q | nVMA_IOBout | 1 | 0 | MC_UIM +NODE | nVMA_IOBout | 10711 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.Q | nVMA_IOBout | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 11206 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 10779 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 11208 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 10781 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 11213 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 10787 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 11214 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +NODE | iobm/ES<3> | 10788 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_IOBout | 11144 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAS_IOBout.Q | nAS_IOBout | 1 | 0 | MC_UIM +NODE | nAS_IOBout | 10716 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAS_IOBout.Q | nAS_IOBout | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 11203 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 10776 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/C8Mr | 11205 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM +NODE | iobm/C8Mr | 10778 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOU0 | 11229 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM +NODE | IOU0 | 10803 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IORDREQr | 11232 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM +NODE | iobm/IORDREQr | 10806 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | IODONE.D1 | 11439 | ? | 0 | 4096 | IODONE | NULL | NULL | IODONE.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | IODONE.D1 | 11001 | ? | 0 | 4096 | IODONE | NULL | NULL | IODONE.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | IODONE.D2 | 11440 | ? | 0 | 4096 | IODONE | NULL | NULL | IODONE.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | IODONE.D2 | 11002 | ? | 0 | 4096 | IODONE | NULL | NULL | IODONE.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_FALSE | N01 SPPTERM | 1 | IV_FALSE | nDTACK_IOB_IBUF SPPTERM | 5 | IV_FALSE | nVMA_IOBout | IV_FALSE | iobm/ES<0> | IV_FALSE | iobm/ES<2> | IV_FALSE | iobm/ES<1> | IV_TRUE | iobm/ES<3> OUTPUT_NODE_TYPE | 6 | 9 | MC_SI_RSTF -SIGNAL | NODE | IODONE.RSTF | 11441 | ? | 0 | 4096 | IODONE | NULL | NULL | IODONE.SI | 6 | 9 | MC_SI_RSTF +SIGNAL | NODE | IODONE.RSTF | 11003 | ? | 0 | 4096 | IODONE | NULL | NULL | IODONE.SI | 6 | 9 | MC_SI_RSTF SPPTERM | 1 | IV_TRUE | nAS_IOBout OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | IODONE.EXP | 11965 | ? | 0 | 0 | IODONE | NULL | NULL | IODONE.SI | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | IODONE.EXP | 11531 | ? | 0 | 0 | IODONE | NULL | NULL | IODONE.SI | 7 | 9 | MC_SI_EXPORT SPPTERM | 4 | IV_TRUE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/C8Mr | IV_TRUE | IOU0 | IV_TRUE | iobm/IORDREQr SRFF_INSTANCE | IODONE.REG | IODONE | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | IODONE.D | 11438 | ? | 0 | 0 | IODONE | NULL | NULL | IODONE.XOR | 0 | 7 | ALU_F +NODE | IODONE.D | 11000 | ? | 0 | 0 | IODONE | NULL | NULL | IODONE.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C8M_IBUF/FCLK- | 11137 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 10709 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV INPUT_NODE_TYPE | 3 | 8 | SRFF_R -SIGNAL | NODE | IODONE.RSTF | 11441 | ? | 0 | 4096 | IODONE | NULL | NULL | IODONE.SI | 6 | 9 | MC_SI_RSTF +SIGNAL | NODE | IODONE.RSTF | 11003 | ? | 0 | 4096 | IODONE | NULL | NULL | IODONE.SI | 6 | 9 | MC_SI_RSTF SPPTERM | 1 | IV_TRUE | nAS_IOBout OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | IODONE.Q | 11442 | ? | 0 | 0 | IODONE | NULL | NULL | IODONE.REG | 0 | 8 | SRFF_Q +NODE | IODONE.Q | 11004 | ? | 0 | 0 | IODONE | NULL | NULL | IODONE.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<10> | WarpSE_COPY_0_COPY_0 | 2155877376 | 27 | 2 +MACROCELL_INSTANCE | PrldLow+OptxMapped | RefUrg | WarpSE_COPY_0_COPY_0 | 2155873280 | 6 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<10> | 11170 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM +NODE | cnt/Timer<1> | 10732 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 11211 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/Timer<2> | 10733 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 11212 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/Timer<3> | 10750 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<3>.Q | cnt/Timer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 11158 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 10814 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 11160 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 10829 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 11171 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 11172 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 11173 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 11174 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 11175 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 11176 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<8> | 11177 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<9> | 11178 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 11182 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 11241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 11255 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 11155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 11124 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 11125 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 11126 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 11127 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 11128 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 11129 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 11130 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 11131 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IONPReady | 11186 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.Q | IONPReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 11257 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimer<10> | 11170 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | cnt/LTimer<10>.EXP | 11936 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.EXP | cnt/LTimer<10> | 4 | 0 | MC_EXPORT +NODE | RefUrg | 10740 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | cnt/LTimer<10>.SI | cnt/LTimer<10> | 0 | 26 | 3 +SIGNAL_INSTANCE | RefUrg.SI | RefUrg | 0 | 5 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<10> | 11170 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM +NODE | cnt/Timer<1> | 10732 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 11211 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/Timer<2> | 10733 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 11212 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/Timer<3> | 10750 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<3>.Q | cnt/Timer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 11158 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 10814 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 11160 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 11171 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 11172 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 11173 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 11174 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 11175 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 11176 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<8> | 11177 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<9> | 11178 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 11182 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 11241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 11255 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 11124 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 11125 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 11126 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 11127 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 11128 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 11129 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 11130 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 11131 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IONPReady | 11186 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.Q | IONPReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 11257 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 10829 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimer<10>.D1 | 11444 | ? | 0 | 4096 | cnt/LTimer<10> | NULL | NULL | cnt/LTimer<10>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RefUrg.D1 | 11006 | ? | 0 | 4096 | RefUrg | NULL | NULL | RefUrg.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimer<10>.D2 | 11445 | ? | 0 | 4096 | cnt/LTimer<10> | NULL | NULL | cnt/LTimer<10>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RefUrg.D2 | 11007 | ? | 0 | 4096 | RefUrg | NULL | NULL | RefUrg.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 3 | IV_FALSE | cnt/Timer<1> | IV_FALSE | cnt/Timer<2> | IV_TRUE | cnt/Timer<3> +OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE +SIGNAL | NODE | RefUrg.CE | 11008 | ? | 0 | 4096 | RefUrg | NULL | NULL | RefUrg.SI | 10 | 9 | MC_SI_CE +SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> + +SRFF_INSTANCE | RefUrg.REG | RefUrg | 0 | 3 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | RefUrg.D | 11005 | ? | 0 | 0 | RefUrg | NULL | NULL | RefUrg.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 4 | 8 | SRFF_CE +SIGNAL | NODE | RefUrg.CE | 11008 | ? | 0 | 4096 | RefUrg | NULL | NULL | RefUrg.SI | 10 | 9 | MC_SI_CE +SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | RefUrg.Q | 11009 | ? | 0 | 0 | RefUrg | NULL | NULL | RefUrg.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<10> | WarpSE_COPY_0_COPY_0 | 2155877376 | 36 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<10> | 10741 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/IS_FSM_FFd1 | 10785 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/IS_FSM_FFd2 | 10786 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<0> | 10729 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<1> | 10730 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<2> | 10742 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<3> | 10743 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<4> | 10744 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<5> | 10745 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<6> | 10746 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<7> | 10747 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<8> | 10748 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<9> | 10749 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimerTC | 10753 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 10814 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 10829 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 10700 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 10701 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 10702 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 10703 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 10704 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 10705 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 10706 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 10724 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 10725 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 10726 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 10755 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 10826 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 10825 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/LTimer<10> | 10741 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | cnt/LTimer<10>.EXP | 11541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.EXP | cnt/LTimer<10> | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | cnt/LTimer<10>.SI | cnt/LTimer<10> | 0 | 35 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<10> | 10741 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/IS_FSM_FFd1 | 10785 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/IS_FSM_FFd2 | 10786 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<0> | 10729 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<1> | 10730 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<2> | 10742 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<3> | 10743 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<4> | 10744 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<5> | 10745 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<6> | 10746 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<7> | 10747 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<8> | 10748 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<9> | 10749 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimerTC | 10753 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 10814 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 10829 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 10700 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 10701 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 10702 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 10703 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 10704 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 10705 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 10706 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 10724 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 10725 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 10726 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 10755 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 10826 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 10825 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer<10>.D1 | 11011 | ? | 0 | 4096 | cnt/LTimer<10> | NULL | NULL | cnt/LTimer<10>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/LTimer<10>.D2 | 11012 | ? | 0 | 4096 | cnt/LTimer<10> | NULL | NULL | cnt/LTimer<10>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 3 | IV_TRUE | cnt/LTimer<10> | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 SPPTERM | 14 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/LTimer<7> | IV_TRUE | cnt/LTimer<8> | IV_TRUE | cnt/LTimer<9> | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SPPTERM | 14 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/LTimer<7> | IV_TRUE | cnt/LTimer<8> | IV_TRUE | cnt/LTimer<9> | IV_TRUE | cnt/TimerTC | IV_TRUE | cnt/IS_FSM_FFd2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | cnt/LTimer<10>.EXP | 11925 | ? | 0 | 0 | cnt/LTimer<10> | NULL | NULL | cnt/LTimer<10>.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 10 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | IONPReady | IV_TRUE | fsb/ASrf +SIGNAL | NODE | cnt/LTimer<10>.EXP | 11538 | ? | 0 | 0 | cnt/LTimer<10> | NULL | NULL | cnt/LTimer<10>.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 19 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | fsb/ASrf +SPPTERM | 19 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_TRUE | A_FSB_9_IBUF SRFF_INSTANCE | cnt/LTimer<10>.REG | cnt/LTimer<10> | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimer<10>.D | 11443 | ? | 0 | 0 | cnt/LTimer<10> | NULL | NULL | cnt/LTimer<10>.XOR | 0 | 7 | ALU_F +NODE | cnt/LTimer<10>.D | 11010 | ? | 0 | 0 | cnt/LTimer<10> | NULL | NULL | cnt/LTimer<10>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 11155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimer<10>.Q | 11446 | ? | 0 | 0 | cnt/LTimer<10> | NULL | NULL | cnt/LTimer<10>.REG | 0 | 8 | SRFF_Q +NODE | cnt/LTimer<10>.Q | 11013 | ? | 0 | 0 | cnt/LTimer<10> | NULL | NULL | cnt/LTimer<10>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<2> | WarpSE_COPY_0_COPY_0 | 2155877376 | 9 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 11171 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 10742 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 11211 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd1 | 10785 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 11212 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd2 | 10786 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 11158 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 10729 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 11160 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 10730 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 11182 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 10753 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 11241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 10814 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 11255 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 10829 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 11155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimer<2> | 11171 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 10742 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cnt/LTimer<2>.SI | cnt/LTimer<2> | 0 | 8 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 11171 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 10742 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 11211 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd1 | 10785 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 11212 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd2 | 10786 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 11158 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 10729 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 11160 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 10730 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 11182 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 10753 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 11241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 10814 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 11255 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 10829 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimer<2>.D1 | 11448 | ? | 0 | 4096 | cnt/LTimer<2> | NULL | NULL | cnt/LTimer<2>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer<2>.D1 | 11015 | ? | 0 | 4096 | cnt/LTimer<2> | NULL | NULL | cnt/LTimer<2>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimer<2>.D2 | 11449 | ? | 0 | 4096 | cnt/LTimer<2> | NULL | NULL | cnt/LTimer<2>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/LTimer<2>.D2 | 11016 | ? | 0 | 4096 | cnt/LTimer<2> | NULL | NULL | cnt/LTimer<2>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 3 | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 SPPTERM | 6 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SPPTERM | 6 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/TimerTC | IV_TRUE | cnt/IS_FSM_FFd2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SRFF_INSTANCE | cnt/LTimer<2>.REG | cnt/LTimer<2> | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimer<2>.D | 11447 | ? | 0 | 0 | cnt/LTimer<2> | NULL | NULL | cnt/LTimer<2>.XOR | 0 | 7 | ALU_F +NODE | cnt/LTimer<2>.D | 11014 | ? | 0 | 0 | cnt/LTimer<2> | NULL | NULL | cnt/LTimer<2>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 11155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimer<2>.Q | 11450 | ? | 0 | 0 | cnt/LTimer<2> | NULL | NULL | cnt/LTimer<2>.REG | 0 | 8 | SRFF_Q +NODE | cnt/LTimer<2>.Q | 11017 | ? | 0 | 0 | cnt/LTimer<2> | NULL | NULL | cnt/LTimer<2>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<3> | WarpSE_COPY_0_COPY_0 | 2155877376 | 10 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 11172 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 10743 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 11211 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd1 | 10785 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 11212 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd2 | 10786 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 11158 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 10729 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 11160 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 10730 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 11171 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 10742 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 11182 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 10753 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 11241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 10814 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 11255 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 10829 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 11155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimer<3> | 11172 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 10743 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cnt/LTimer<3>.SI | cnt/LTimer<3> | 0 | 9 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 11172 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 10743 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 11211 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd1 | 10785 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 11212 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd2 | 10786 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 11158 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 10729 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 11160 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 10730 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 11171 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 10742 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 11182 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 10753 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 11241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 10814 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 11255 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 10829 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimer<3>.D1 | 11452 | ? | 0 | 4096 | cnt/LTimer<3> | NULL | NULL | cnt/LTimer<3>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer<3>.D1 | 11019 | ? | 0 | 4096 | cnt/LTimer<3> | NULL | NULL | cnt/LTimer<3>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimer<3>.D2 | 11453 | ? | 0 | 4096 | cnt/LTimer<3> | NULL | NULL | cnt/LTimer<3>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/LTimer<3>.D2 | 11020 | ? | 0 | 4096 | cnt/LTimer<3> | NULL | NULL | cnt/LTimer<3>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 3 | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 SPPTERM | 7 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SPPTERM | 7 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/TimerTC | IV_TRUE | cnt/IS_FSM_FFd2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SRFF_INSTANCE | cnt/LTimer<3>.REG | cnt/LTimer<3> | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimer<3>.D | 11451 | ? | 0 | 0 | cnt/LTimer<3> | NULL | NULL | cnt/LTimer<3>.XOR | 0 | 7 | ALU_F +NODE | cnt/LTimer<3>.D | 11018 | ? | 0 | 0 | cnt/LTimer<3> | NULL | NULL | cnt/LTimer<3>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 11155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimer<3>.Q | 11454 | ? | 0 | 0 | cnt/LTimer<3> | NULL | NULL | cnt/LTimer<3>.REG | 0 | 8 | SRFF_Q +NODE | cnt/LTimer<3>.Q | 11021 | ? | 0 | 0 | cnt/LTimer<3> | NULL | NULL | cnt/LTimer<3>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<4> | WarpSE_COPY_0_COPY_0 | 2155877376 | 11 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 11173 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 10744 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 11211 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd1 | 10785 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 11212 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd2 | 10786 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 11158 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 10729 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 11160 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 10730 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 11171 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 10742 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 11172 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 10743 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 11182 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 10753 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 11241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 10814 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 11255 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 10829 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 11155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimer<4> | 11173 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 10744 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cnt/LTimer<4>.SI | cnt/LTimer<4> | 0 | 10 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 11173 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 10744 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 11211 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd1 | 10785 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 11212 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd2 | 10786 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 11158 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 10729 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 11160 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 10730 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 11171 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 10742 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 11172 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 10743 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 11182 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 10753 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 11241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 10814 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 11255 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 10829 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimer<4>.D1 | 11456 | ? | 0 | 4096 | cnt/LTimer<4> | NULL | NULL | cnt/LTimer<4>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer<4>.D1 | 11023 | ? | 0 | 4096 | cnt/LTimer<4> | NULL | NULL | cnt/LTimer<4>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimer<4>.D2 | 11457 | ? | 0 | 4096 | cnt/LTimer<4> | NULL | NULL | cnt/LTimer<4>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/LTimer<4>.D2 | 11024 | ? | 0 | 4096 | cnt/LTimer<4> | NULL | NULL | cnt/LTimer<4>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 3 | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 SPPTERM | 8 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SPPTERM | 8 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/TimerTC | IV_TRUE | cnt/IS_FSM_FFd2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SRFF_INSTANCE | cnt/LTimer<4>.REG | cnt/LTimer<4> | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimer<4>.D | 11455 | ? | 0 | 0 | cnt/LTimer<4> | NULL | NULL | cnt/LTimer<4>.XOR | 0 | 7 | ALU_F +NODE | cnt/LTimer<4>.D | 11022 | ? | 0 | 0 | cnt/LTimer<4> | NULL | NULL | cnt/LTimer<4>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 11155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimer<4>.Q | 11458 | ? | 0 | 0 | cnt/LTimer<4> | NULL | NULL | cnt/LTimer<4>.REG | 0 | 8 | SRFF_Q +NODE | cnt/LTimer<4>.Q | 11025 | ? | 0 | 0 | cnt/LTimer<4> | NULL | NULL | cnt/LTimer<4>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<5> | WarpSE_COPY_0_COPY_0 | 2155877376 | 12 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 11174 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<5> | 10745 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 11211 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd1 | 10785 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 11212 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd2 | 10786 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 11158 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 10729 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 11160 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 10730 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 11171 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 10742 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 11172 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 10743 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 11173 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 10744 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 11182 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 10753 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 11241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 10814 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 11255 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 10829 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 11155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimer<5> | 11174 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<5> | 10745 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cnt/LTimer<5>.SI | cnt/LTimer<5> | 0 | 11 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 11174 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<5> | 10745 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 11211 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd1 | 10785 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 11212 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd2 | 10786 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 11158 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 10729 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 11160 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 10730 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 11171 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 10742 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 11172 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 10743 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 11173 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 10744 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 11182 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 10753 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 11241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 10814 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 11255 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 10829 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimer<5>.D1 | 11460 | ? | 0 | 4096 | cnt/LTimer<5> | NULL | NULL | cnt/LTimer<5>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer<5>.D1 | 11027 | ? | 0 | 4096 | cnt/LTimer<5> | NULL | NULL | cnt/LTimer<5>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimer<5>.D2 | 11461 | ? | 0 | 4096 | cnt/LTimer<5> | NULL | NULL | cnt/LTimer<5>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/LTimer<5>.D2 | 11028 | ? | 0 | 4096 | cnt/LTimer<5> | NULL | NULL | cnt/LTimer<5>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 3 | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 SPPTERM | 9 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SPPTERM | 9 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/TimerTC | IV_TRUE | cnt/IS_FSM_FFd2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SRFF_INSTANCE | cnt/LTimer<5>.REG | cnt/LTimer<5> | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimer<5>.D | 11459 | ? | 0 | 0 | cnt/LTimer<5> | NULL | NULL | cnt/LTimer<5>.XOR | 0 | 7 | ALU_F +NODE | cnt/LTimer<5>.D | 11026 | ? | 0 | 0 | cnt/LTimer<5> | NULL | NULL | cnt/LTimer<5>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 11155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimer<5>.Q | 11462 | ? | 0 | 0 | cnt/LTimer<5> | NULL | NULL | cnt/LTimer<5>.REG | 0 | 8 | SRFF_Q +NODE | cnt/LTimer<5>.Q | 11029 | ? | 0 | 0 | cnt/LTimer<5> | NULL | NULL | cnt/LTimer<5>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<6> | WarpSE_COPY_0_COPY_0 | 2155877376 | 13 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 11175 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<6> | 10746 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 11211 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd1 | 10785 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 11212 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd2 | 10786 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 11158 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 10729 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 11160 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 10730 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 11171 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 10742 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 11172 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 10743 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 11173 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 10744 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 11174 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<5> | 10745 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 11182 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 10753 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 11241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 10814 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 11255 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 10829 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 11155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimer<6> | 11175 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<6> | 10746 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cnt/LTimer<6>.SI | cnt/LTimer<6> | 0 | 12 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 11175 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<6> | 10746 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 11211 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd1 | 10785 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 11212 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd2 | 10786 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 11158 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 10729 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 11160 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 10730 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 11171 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 10742 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 11172 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 10743 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 11173 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 10744 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 11174 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<5> | 10745 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 11182 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 10753 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 11241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 10814 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 11255 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 10829 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimer<6>.D1 | 11464 | ? | 0 | 4096 | cnt/LTimer<6> | NULL | NULL | cnt/LTimer<6>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer<6>.D1 | 11031 | ? | 0 | 4096 | cnt/LTimer<6> | NULL | NULL | cnt/LTimer<6>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimer<6>.D2 | 11465 | ? | 0 | 4096 | cnt/LTimer<6> | NULL | NULL | cnt/LTimer<6>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/LTimer<6>.D2 | 11032 | ? | 0 | 4096 | cnt/LTimer<6> | NULL | NULL | cnt/LTimer<6>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 3 | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 SPPTERM | 10 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SPPTERM | 10 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/TimerTC | IV_TRUE | cnt/IS_FSM_FFd2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SRFF_INSTANCE | cnt/LTimer<6>.REG | cnt/LTimer<6> | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimer<6>.D | 11463 | ? | 0 | 0 | cnt/LTimer<6> | NULL | NULL | cnt/LTimer<6>.XOR | 0 | 7 | ALU_F +NODE | cnt/LTimer<6>.D | 11030 | ? | 0 | 0 | cnt/LTimer<6> | NULL | NULL | cnt/LTimer<6>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 11155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimer<6>.Q | 11466 | ? | 0 | 0 | cnt/LTimer<6> | NULL | NULL | cnt/LTimer<6>.REG | 0 | 8 | SRFF_Q +NODE | cnt/LTimer<6>.Q | 11033 | ? | 0 | 0 | cnt/LTimer<6> | NULL | NULL | cnt/LTimer<6>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<7> | WarpSE_COPY_0_COPY_0 | 2155877376 | 14 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 11176 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<7> | 10747 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 11211 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd1 | 10785 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 11212 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd2 | 10786 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 11158 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 10729 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 11160 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 10730 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 11171 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 10742 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 11172 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 10743 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 11173 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 10744 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 11174 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<5> | 10745 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 11175 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<6> | 10746 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 11182 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 10753 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 11241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 10814 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 11255 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 10829 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 11155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimer<7> | 11176 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<7> | 10747 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cnt/LTimer<7>.SI | cnt/LTimer<7> | 0 | 13 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 11176 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<7> | 10747 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 11211 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd1 | 10785 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 11212 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd2 | 10786 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 11158 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 10729 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 11160 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 10730 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 11171 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 10742 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 11172 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 10743 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 11173 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 10744 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 11174 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<5> | 10745 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 11175 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<6> | 10746 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 11182 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 10753 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 11241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 10814 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 11255 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 10829 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimer<7>.D1 | 11468 | ? | 0 | 4096 | cnt/LTimer<7> | NULL | NULL | cnt/LTimer<7>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer<7>.D1 | 11035 | ? | 0 | 4096 | cnt/LTimer<7> | NULL | NULL | cnt/LTimer<7>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimer<7>.D2 | 11469 | ? | 0 | 4096 | cnt/LTimer<7> | NULL | NULL | cnt/LTimer<7>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/LTimer<7>.D2 | 11036 | ? | 0 | 4096 | cnt/LTimer<7> | NULL | NULL | cnt/LTimer<7>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 3 | IV_TRUE | cnt/LTimer<7> | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 SPPTERM | 11 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SPPTERM | 11 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/TimerTC | IV_TRUE | cnt/IS_FSM_FFd2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SRFF_INSTANCE | cnt/LTimer<7>.REG | cnt/LTimer<7> | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimer<7>.D | 11467 | ? | 0 | 0 | cnt/LTimer<7> | NULL | NULL | cnt/LTimer<7>.XOR | 0 | 7 | ALU_F +NODE | cnt/LTimer<7>.D | 11034 | ? | 0 | 0 | cnt/LTimer<7> | NULL | NULL | cnt/LTimer<7>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 11155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimer<7>.Q | 11470 | ? | 0 | 0 | cnt/LTimer<7> | NULL | NULL | cnt/LTimer<7>.REG | 0 | 8 | SRFF_Q +NODE | cnt/LTimer<7>.Q | 11037 | ? | 0 | 0 | cnt/LTimer<7> | NULL | NULL | cnt/LTimer<7>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<8> | WarpSE_COPY_0_COPY_0 | 2155877376 | 15 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<8> | 11177 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<8> | 10748 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 11211 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd1 | 10785 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 11212 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd2 | 10786 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 11158 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 10729 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 11160 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 10730 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 11171 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 10742 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 11172 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 10743 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 11173 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 10744 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 11174 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<5> | 10745 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 11175 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<6> | 10746 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 11176 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<7> | 10747 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 11182 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 10753 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 11241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 10814 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 11255 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 10829 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 11155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimer<8> | 11177 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<8> | 10748 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cnt/LTimer<8>.SI | cnt/LTimer<8> | 0 | 14 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<8> | 11177 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<8> | 10748 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 11211 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd1 | 10785 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 11212 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd2 | 10786 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 11158 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 10729 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 11160 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 10730 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 11171 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 10742 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 11172 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 10743 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 11173 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 10744 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 11174 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<5> | 10745 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 11175 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<6> | 10746 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 11176 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<7> | 10747 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 11182 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 10753 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 11241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 10814 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 11255 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 10829 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimer<8>.D1 | 11472 | ? | 0 | 4096 | cnt/LTimer<8> | NULL | NULL | cnt/LTimer<8>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer<8>.D1 | 11039 | ? | 0 | 4096 | cnt/LTimer<8> | NULL | NULL | cnt/LTimer<8>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimer<8>.D2 | 11473 | ? | 0 | 4096 | cnt/LTimer<8> | NULL | NULL | cnt/LTimer<8>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/LTimer<8>.D2 | 11040 | ? | 0 | 4096 | cnt/LTimer<8> | NULL | NULL | cnt/LTimer<8>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 3 | IV_TRUE | cnt/LTimer<8> | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 SPPTERM | 12 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/LTimer<7> | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SPPTERM | 12 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/LTimer<7> | IV_TRUE | cnt/TimerTC | IV_TRUE | cnt/IS_FSM_FFd2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SRFF_INSTANCE | cnt/LTimer<8>.REG | cnt/LTimer<8> | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimer<8>.D | 11471 | ? | 0 | 0 | cnt/LTimer<8> | NULL | NULL | cnt/LTimer<8>.XOR | 0 | 7 | ALU_F +NODE | cnt/LTimer<8>.D | 11038 | ? | 0 | 0 | cnt/LTimer<8> | NULL | NULL | cnt/LTimer<8>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 11155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimer<8>.Q | 11474 | ? | 0 | 0 | cnt/LTimer<8> | NULL | NULL | cnt/LTimer<8>.REG | 0 | 8 | SRFF_Q +NODE | cnt/LTimer<8>.Q | 11041 | ? | 0 | 0 | cnt/LTimer<8> | NULL | NULL | cnt/LTimer<8>.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<9> | WarpSE_COPY_0_COPY_0 | 2155877376 | 18 | 2 +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<9> | WarpSE_COPY_0_COPY_0 | 2155877376 | 35 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<9> | 11178 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<9> | 10749 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 11211 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd1 | 10785 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 11212 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd2 | 10786 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 11158 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 10729 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 11160 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 10730 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 11171 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 10742 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 11172 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 10743 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 11173 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 10744 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 11174 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<5> | 10745 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 11175 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<6> | 10746 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 11176 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<7> | 10747 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<8> | 11177 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<8> | 10748 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 11182 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 10753 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 11241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 10814 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 11255 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 10829 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 11155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBR_IOB_OBUF | 11197 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.Q | nBR_IOB_OBUF | 1 | 0 | MC_UIM +NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 11293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 10700 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 10701 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 10702 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 10703 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 10704 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 10705 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 10706 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 10724 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 10725 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 10726 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 10755 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 10825 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 10826 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RA_1_OBUF.EXP | 11540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_1_OBUF.EXP | RA_1_OBUF | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimer<9> | 11178 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<9> | 10749 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | cnt/LTimer<9>.EXP | 11935 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.EXP | cnt/LTimer<9> | 4 | 0 | MC_EXPORT +NODE | cnt/LTimer<9>.EXP | 11539 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.EXP | cnt/LTimer<9> | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | cnt/LTimer<9>.SI | cnt/LTimer<9> | 0 | 17 | 3 +SIGNAL_INSTANCE | cnt/LTimer<9>.SI | cnt/LTimer<9> | 0 | 34 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<9> | 11178 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<9> | 10749 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 11211 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd1 | 10785 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 11212 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd2 | 10786 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 11158 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 10729 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 11160 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 10730 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 11171 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 10742 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 11172 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 10743 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 11173 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 10744 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 11174 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<5> | 10745 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 11175 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<6> | 10746 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 11176 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<7> | 10747 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<8> | 11177 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<8> | 10748 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 11182 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 10753 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 11241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 10814 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 11255 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 10829 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBR_IOB_OBUF | 11197 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.Q | nBR_IOB_OBUF | 1 | 0 | MC_UIM +NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 11293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 10700 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 10701 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 10702 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 10703 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 10704 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 10705 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 10706 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 10724 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 10725 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 10726 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 10755 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 10825 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 10826 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RA_1_OBUF.EXP | 11540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_1_OBUF.EXP | RA_1_OBUF | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimer<9>.D1 | 11476 | ? | 0 | 4096 | cnt/LTimer<9> | NULL | NULL | cnt/LTimer<9>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer<9>.D1 | 11043 | ? | 0 | 4096 | cnt/LTimer<9> | NULL | NULL | cnt/LTimer<9>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimer<9>.D2 | 11477 | ? | 0 | 4096 | cnt/LTimer<9> | NULL | NULL | cnt/LTimer<9>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/LTimer<9>.D2 | 11044 | ? | 0 | 4096 | cnt/LTimer<9> | NULL | NULL | cnt/LTimer<9>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | RA_1_OBUF.EXP SPPTERM | 3 | IV_TRUE | cnt/LTimer<9> | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 SPPTERM | 13 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/LTimer<7> | IV_TRUE | cnt/LTimer<8> | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> -SPPTERM | 13 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/LTimer<7> | IV_TRUE | cnt/LTimer<8> | IV_TRUE | cnt/TimerTC | IV_TRUE | cnt/IS_FSM_FFd2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | cnt/LTimer<9>.EXP | 11924 | ? | 0 | 0 | cnt/LTimer<9> | NULL | NULL | cnt/LTimer<9>.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 3 | IV_FALSE | nBR_IOB_OBUF | IV_TRUE | cnt/IS_FSM_FFd1 | IV_TRUE | cnt/IS_FSM_FFd2 -SPPTERM | 3 | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_FALSE | AoutOE +SIGNAL | NODE | cnt/LTimer<9>.EXP | 11536 | ? | 0 | 0 | cnt/LTimer<9> | NULL | NULL | cnt/LTimer<9>.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 19 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | fsb/ASrf +SPPTERM | 19 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | fsb/ASrf +SPPTERM | 19 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | fsb/ASrf SRFF_INSTANCE | cnt/LTimer<9>.REG | cnt/LTimer<9> | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimer<9>.D | 11475 | ? | 0 | 0 | cnt/LTimer<9> | NULL | NULL | cnt/LTimer<9>.XOR | 0 | 7 | ALU_F +NODE | cnt/LTimer<9>.D | 11042 | ? | 0 | 0 | cnt/LTimer<9> | NULL | NULL | cnt/LTimer<9>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 11155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimer<9>.Q | 11478 | ? | 0 | 0 | cnt/LTimer<9> | NULL | NULL | cnt/LTimer<9>.REG | 0 | 8 | SRFF_Q +NODE | cnt/LTimer<9>.Q | 11045 | ? | 0 | 0 | cnt/LTimer<9> | NULL | NULL | cnt/LTimer<9>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/Timer<3> | WarpSE_COPY_0_COPY_0 | 2155877376 | 8 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<0> | 11161 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM +NODE | cnt/Timer<0> | 10731 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<1> | 11162 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM +NODE | cnt/Timer<1> | 10732 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<2> | 11163 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM +NODE | cnt/Timer<2> | 10733 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 11241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 10814 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 11255 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 10829 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<3> | 11179 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<3>.Q | cnt/Timer<3> | 1 | 0 | MC_UIM +NODE | cnt/Timer<3> | 10750 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<3>.Q | cnt/Timer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 11182 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 10753 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 11155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/Timer<3> | 11179 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<3>.Q | cnt/Timer<3> | 1 | 0 | MC_UIM +NODE | cnt/Timer<3> | 10750 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<3>.Q | cnt/Timer<3> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cnt/Timer<3>.SI | cnt/Timer<3> | 0 | 7 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<0> | 11161 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM +NODE | cnt/Timer<0> | 10731 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<1> | 11162 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM +NODE | cnt/Timer<1> | 10732 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<2> | 11163 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM +NODE | cnt/Timer<2> | 10733 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 11241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 10814 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 11255 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 10829 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<3> | 11179 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<3>.Q | cnt/Timer<3> | 1 | 0 | MC_UIM +NODE | cnt/Timer<3> | 10750 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<3>.Q | cnt/Timer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 11182 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 10753 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/Timer<3>.D1 | 11480 | ? | 0 | 4096 | cnt/Timer<3> | NULL | NULL | cnt/Timer<3>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/Timer<3>.D1 | 11047 | ? | 0 | 4096 | cnt/Timer<3> | NULL | NULL | cnt/Timer<3>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/Timer<3>.D2 | 11481 | ? | 0 | 4096 | cnt/Timer<3> | NULL | NULL | cnt/Timer<3>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/Timer<3>.D2 | 11048 | ? | 0 | 4096 | cnt/Timer<3> | NULL | NULL | cnt/Timer<3>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 4 | IV_TRUE | cnt/Timer<0> | IV_TRUE | cnt/Timer<1> | IV_TRUE | cnt/Timer<2> | IV_FALSE | cnt/TimerTC SPPTERM | 4 | IV_TRUE | cnt/Timer<0> | IV_TRUE | cnt/Timer<1> | IV_TRUE | cnt/Timer<2> | IV_TRUE | cnt/Er<0> SPPTERM | 4 | IV_TRUE | cnt/Timer<0> | IV_TRUE | cnt/Timer<1> | IV_TRUE | cnt/Timer<2> | IV_FALSE | cnt/Er<1> SPPTERM | 4 | IV_TRUE | cnt/Timer<3> | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | cnt/Timer<3>.CE | 11482 | ? | 0 | 4096 | cnt/Timer<3> | NULL | NULL | cnt/Timer<3>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/Timer<3>.CE | 11049 | ? | 0 | 4096 | cnt/Timer<3> | NULL | NULL | cnt/Timer<3>.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SRFF_INSTANCE | cnt/Timer<3>.REG | cnt/Timer<3> | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/Timer<3>.D | 11479 | ? | 0 | 0 | cnt/Timer<3> | NULL | NULL | cnt/Timer<3>.XOR | 0 | 7 | ALU_F +NODE | cnt/Timer<3>.D | 11046 | ? | 0 | 0 | cnt/Timer<3> | NULL | NULL | cnt/Timer<3>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 11155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | cnt/Timer<3>.CE | 11482 | ? | 0 | 4096 | cnt/Timer<3> | NULL | NULL | cnt/Timer<3>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/Timer<3>.CE | 11049 | ? | 0 | 4096 | cnt/Timer<3> | NULL | NULL | cnt/Timer<3>.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/Timer<3>.Q | 11483 | ? | 0 | 0 | cnt/Timer<3> | NULL | NULL | cnt/Timer<3>.REG | 0 | 8 | SRFF_Q +NODE | cnt/Timer<3>.Q | 11050 | ? | 0 | 0 | cnt/Timer<3> | NULL | NULL | cnt/Timer<3>.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | ram/RefDone | WarpSE_COPY_0_COPY_0 | 2155873536 | 9 | 1 +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | RefReq | WarpSE_COPY_0_COPY_0 | 2155873536 | 7 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 11180 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +NODE | cnt/Timer<0> | 10731 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 11218 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/Timer<1> | 10732 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd8 | 11219 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM +NODE | cnt/Timer<2> | 10733 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd4 | 11227 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | cnt/Timer<3> | 10750 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<3>.Q | cnt/Timer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 11233 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 10814 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 11234 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 10829 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 11157 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefReq | 11159 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 11155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RefDone | 11180 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +NODE | RefReq | 10751 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | ram/RefDone.SI | ram/RefDone | 0 | 8 | 2 +SIGNAL_INSTANCE | RefReq.SI | RefReq | 0 | 6 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 11180 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +NODE | cnt/Timer<0> | 10731 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 11218 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/Timer<1> | 10732 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd8 | 11219 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM +NODE | cnt/Timer<2> | 10733 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd4 | 11227 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | cnt/Timer<3> | 10750 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<3>.Q | cnt/Timer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 11233 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 10814 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 11234 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 11157 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefReq | 11159 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 10829 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RefDone.D1 | 11485 | ? | 0 | 4096 | ram/RefDone | NULL | NULL | ram/RefDone.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RefReq.D1 | 11052 | ? | 0 | 4096 | RefReq | NULL | NULL | RefReq.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RefDone.D2 | 11486 | ? | 0 | 4096 | ram/RefDone | NULL | NULL | ram/RefDone.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_FALSE | RefUrg | IV_FALSE | RefReq -SPPTERM | 6 | IV_FALSE | ram/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd8 | IV_FALSE | ram/RS_FSM_FFd4 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 +SIGNAL | NODE | RefReq.D2 | 11053 | ? | 0 | 4096 | RefReq | NULL | NULL | RefReq.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 4 | IV_FALSE | cnt/Timer<0> | IV_TRUE | cnt/Timer<1> | IV_FALSE | cnt/Timer<2> | IV_TRUE | cnt/Timer<3> +OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE +SIGNAL | NODE | RefReq.CE | 11054 | ? | 0 | 4096 | RefReq | NULL | NULL | RefReq.SI | 10 | 9 | MC_SI_CE +SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> -SRFF_INSTANCE | ram/RefDone.REG | ram/RefDone | 0 | 2 | 1 +SRFF_INSTANCE | RefReq.REG | RefReq | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RefDone.D | 11484 | ? | 0 | 0 | ram/RefDone | NULL | NULL | ram/RefDone.XOR | 0 | 7 | ALU_F +NODE | RefReq.D | 11051 | ? | 0 | 0 | RefReq | NULL | NULL | RefReq.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 11155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 4 | 8 | SRFF_CE +SIGNAL | NODE | RefReq.CE | 11054 | ? | 0 | 4096 | RefReq | NULL | NULL | RefReq.SI | 10 | 9 | MC_SI_CE +SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RefDone.Q | 11487 | ? | 0 | 0 | ram/RefDone | NULL | NULL | ram/RefDone.REG | 0 | 8 | SRFF_Q +NODE | RefReq.Q | 11055 | ? | 0 | 0 | RefReq | NULL | NULL | RefReq.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<11> | WarpSE_COPY_0_COPY_0 | 2155877376 | 18 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<11> | 11181 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.Q | cnt/LTimer<11> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<11> | 10752 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.Q | cnt/LTimer<11> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 11211 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd1 | 10785 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 11212 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd2 | 10786 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 11158 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 10729 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 11160 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 10730 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<10> | 11170 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<10> | 10741 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 11171 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 10742 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 11172 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 10743 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 11173 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 10744 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 11174 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<5> | 10745 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 11175 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<6> | 10746 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 11176 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<7> | 10747 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<8> | 11177 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<8> | 10748 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<9> | 11178 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<9> | 10749 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 11182 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 10753 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 11241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 10814 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 11255 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 10829 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 11155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimer<11> | 11181 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.Q | cnt/LTimer<11> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<11> | 10752 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.Q | cnt/LTimer<11> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cnt/LTimer<11>.SI | cnt/LTimer<11> | 0 | 17 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<11> | 11181 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.Q | cnt/LTimer<11> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<11> | 10752 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.Q | cnt/LTimer<11> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 11211 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd1 | 10785 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 11212 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd2 | 10786 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 11158 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 10729 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 11160 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 10730 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<10> | 11170 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<10> | 10741 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 11171 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 10742 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 11172 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 10743 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 11173 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 10744 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 11174 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<5> | 10745 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 11175 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<6> | 10746 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 11176 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<7> | 10747 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<8> | 11177 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<8> | 10748 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<9> | 11178 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<9> | 10749 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 11182 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 10753 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 11241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 10814 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 11255 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 10829 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimer<11>.D1 | 11489 | ? | 0 | 4096 | cnt/LTimer<11> | NULL | NULL | cnt/LTimer<11>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer<11>.D1 | 11057 | ? | 0 | 4096 | cnt/LTimer<11> | NULL | NULL | cnt/LTimer<11>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimer<11>.D2 | 11490 | ? | 0 | 4096 | cnt/LTimer<11> | NULL | NULL | cnt/LTimer<11>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/LTimer<11>.D2 | 11058 | ? | 0 | 4096 | cnt/LTimer<11> | NULL | NULL | cnt/LTimer<11>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 3 | IV_TRUE | cnt/LTimer<11> | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 SPPTERM | 15 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<10> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/LTimer<7> | IV_TRUE | cnt/LTimer<8> | IV_TRUE | cnt/LTimer<9> | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SPPTERM | 15 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<10> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/LTimer<7> | IV_TRUE | cnt/LTimer<8> | IV_TRUE | cnt/LTimer<9> | IV_TRUE | cnt/TimerTC | IV_TRUE | cnt/IS_FSM_FFd2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SRFF_INSTANCE | cnt/LTimer<11>.REG | cnt/LTimer<11> | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimer<11>.D | 11488 | ? | 0 | 0 | cnt/LTimer<11> | NULL | NULL | cnt/LTimer<11>.XOR | 0 | 7 | ALU_F +NODE | cnt/LTimer<11>.D | 11056 | ? | 0 | 0 | cnt/LTimer<11> | NULL | NULL | cnt/LTimer<11>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 11155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimer<11>.Q | 11491 | ? | 0 | 0 | cnt/LTimer<11> | NULL | NULL | cnt/LTimer<11>.REG | 0 | 8 | SRFF_Q +NODE | cnt/LTimer<11>.Q | 11059 | ? | 0 | 0 | cnt/LTimer<11> | NULL | NULL | cnt/LTimer<11>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | cnt/TimerTC | WarpSE_COPY_0_COPY_0 | 2155873280 | 7 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<0> | 11161 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM +NODE | cnt/Timer<0> | 10731 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<1> | 11162 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM +NODE | cnt/Timer<1> | 10732 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<2> | 11163 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM +NODE | cnt/Timer<2> | 10733 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<3> | 11179 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<3>.Q | cnt/Timer<3> | 1 | 0 | MC_UIM +NODE | cnt/Timer<3> | 10750 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<3>.Q | cnt/Timer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 11241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 10814 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 11255 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 10829 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 11155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/TimerTC | 11182 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 10753 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cnt/TimerTC.SI | cnt/TimerTC | 0 | 6 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<0> | 11161 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM +NODE | cnt/Timer<0> | 10731 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<1> | 11162 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM +NODE | cnt/Timer<1> | 10732 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<2> | 11163 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM +NODE | cnt/Timer<2> | 10733 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<3> | 11179 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<3>.Q | cnt/Timer<3> | 1 | 0 | MC_UIM +NODE | cnt/Timer<3> | 10750 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<3>.Q | cnt/Timer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 11241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 10814 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 11255 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 10829 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/TimerTC.D1 | 11493 | ? | 0 | 4096 | cnt/TimerTC | NULL | NULL | cnt/TimerTC.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/TimerTC.D1 | 11061 | ? | 0 | 4096 | cnt/TimerTC | NULL | NULL | cnt/TimerTC.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/TimerTC.D2 | 11494 | ? | 0 | 4096 | cnt/TimerTC | NULL | NULL | cnt/TimerTC.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/TimerTC.D2 | 11062 | ? | 0 | 4096 | cnt/TimerTC | NULL | NULL | cnt/TimerTC.SI | 2 | 9 | MC_SI_D2 SPPTERM | 4 | IV_TRUE | cnt/Timer<0> | IV_FALSE | cnt/Timer<1> | IV_FALSE | cnt/Timer<2> | IV_TRUE | cnt/Timer<3> OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | cnt/TimerTC.CE | 11495 | ? | 0 | 4096 | cnt/TimerTC | NULL | NULL | cnt/TimerTC.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/TimerTC.CE | 11063 | ? | 0 | 4096 | cnt/TimerTC | NULL | NULL | cnt/TimerTC.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SRFF_INSTANCE | cnt/TimerTC.REG | cnt/TimerTC | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/TimerTC.D | 11492 | ? | 0 | 0 | cnt/TimerTC | NULL | NULL | cnt/TimerTC.XOR | 0 | 7 | ALU_F +NODE | cnt/TimerTC.D | 11060 | ? | 0 | 0 | cnt/TimerTC | NULL | NULL | cnt/TimerTC.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 11155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | cnt/TimerTC.CE | 11495 | ? | 0 | 4096 | cnt/TimerTC | NULL | NULL | cnt/TimerTC.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/TimerTC.CE | 11063 | ? | 0 | 4096 | cnt/TimerTC | NULL | NULL | cnt/TimerTC.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/TimerTC.Q | 11496 | ? | 0 | 0 | cnt/TimerTC | NULL | NULL | cnt/TimerTC.REG | 0 | 8 | SRFF_Q +NODE | cnt/TimerTC.Q | 11064 | ? | 0 | 0 | cnt/TimerTC | NULL | NULL | cnt/TimerTC.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cs/nOverlay | WarpSE_COPY_0_COPY_0 | 2155877376 | 9 | 1 +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cs/nOverlay | WarpSE_COPY_0_COPY_0 | 2155877376 | 20 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | N01 | 11167 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | N01 | 0 | 5 | II_IMUX +NODE | N01 | 10737 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | N01 | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 11183 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 10754 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 11191 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 11257 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 11124 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 11125 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | IONPReady | 10758 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.Q | IONPReady | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 11126 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | RAMReady | 10828 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RAMReady.Q | RAMReady | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 11127 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 11155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 10700 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 10701 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 10702 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 10703 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 10705 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 10755 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 10863 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 10724 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL.EXP | 11479 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.EXP | ram/RASEL | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cs/nOverlay | 11183 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 10754 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | cs/nOverlay.EXP | 11480 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.EXP | cs/nOverlay | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | cs/nOverlay.SI | cs/nOverlay | 0 | 8 | 2 +SIGNAL_INSTANCE | cs/nOverlay.SI | cs/nOverlay | 0 | 19 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | N01 | 11167 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | N01 | 0 | 5 | II_IMUX +NODE | N01 | 10737 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | N01 | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 11183 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 10754 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 11191 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 11257 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 11124 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 11125 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | IONPReady | 10758 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.Q | IONPReady | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 11126 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | RAMReady | 10828 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RAMReady.Q | RAMReady | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 11127 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 10700 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 10701 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 10702 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 10703 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 10705 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 10755 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 10863 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 10724 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL.EXP | 11479 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.EXP | ram/RASEL | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cs/nOverlay.D1 | 11498 | ? | 0 | 4096 | cs/nOverlay | NULL | NULL | cs/nOverlay.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cs/nOverlay.D1 | 11066 | ? | 0 | 4096 | cs/nOverlay | NULL | NULL | cs/nOverlay.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cs/nOverlay.D2 | 11499 | ? | 0 | 4096 | cs/nOverlay | NULL | NULL | cs/nOverlay.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cs/nOverlay.D2 | 11067 | ? | 0 | 4096 | cs/nOverlay | NULL | NULL | cs/nOverlay.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | ram/RASEL.EXP SPPTERM | 4 | IV_FALSE | N01 | IV_TRUE | cs/nOverlay | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | cs/nOverlay | IV_FALSE | nAS_FSB_IBUF -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | cs/nOverlay | IV_TRUE | fsb/ASrf +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | cs/nOverlay.EXP | 11468 | ? | 0 | 0 | cs/nOverlay | NULL | NULL | cs/nOverlay.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 3 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | IONPReady | IV_FALSE | RAMReady +SPPTERM | 8 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF +SPPTERM | 10 | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | IONPReady | IV_FALSE | ALE1 +SPPTERM | 10 | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | IONPReady | IV_FALSE | ALE1 SRFF_INSTANCE | cs/nOverlay.REG | cs/nOverlay | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cs/nOverlay.D | 11497 | ? | 0 | 0 | cs/nOverlay | NULL | NULL | cs/nOverlay.XOR | 0 | 7 | ALU_F +NODE | cs/nOverlay.D | 11065 | ? | 0 | 0 | cs/nOverlay | NULL | NULL | cs/nOverlay.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 11155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cs/nOverlay.Q | 11500 | ? | 0 | 0 | cs/nOverlay | NULL | NULL | cs/nOverlay.REG | 0 | 8 | SRFF_Q +NODE | cs/nOverlay.Q | 11068 | ? | 0 | 0 | cs/nOverlay | NULL | NULL | cs/nOverlay.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | iobs/IORW1 | WarpSE_COPY_0_COPY_0 | 2155877376 | 19 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 11124 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 11125 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 11126 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 11127 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 11128 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 10700 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 11129 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 10701 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 11130 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 10702 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 11131 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 10703 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 11152 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 10724 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 11164 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | iobs/Sent | 10734 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 11184 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10755 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW1 | 11185 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM +NODE | iobs/IORW1 | 10756 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 11191 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 11201 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10775 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 11291 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 10863 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 11133 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 10705 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 11200 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 10774 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1.EXP | 11997 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.EXP | cnt/IS_FSM_FFd1 | 4 | 0 | MC_EXPORT +NODE | cnt/IS_FSM_FFd1.EXP | 11569 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.EXP | cnt/IS_FSM_FFd1 | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 11155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/IORW1 | 11185 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM +NODE | iobs/IORW1 | 10756 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | iobs/IORW1.EXP | 11998 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.EXP | iobs/IORW1 | 4 | 0 | MC_EXPORT +NODE | iobs/IORW1.EXP | 11570 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.EXP | iobs/IORW1 | 4 | 0 | MC_EXPORT SIGNAL_INSTANCE | iobs/IORW1.SI | iobs/IORW1 | 0 | 18 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 11124 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 11125 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 11126 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 11127 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 11128 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 10700 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 11129 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 10701 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 11130 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 10702 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 11131 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 10703 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 11152 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 10724 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 11164 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | iobs/Sent | 10734 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 11184 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10755 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW1 | 11185 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM +NODE | iobs/IORW1 | 10756 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 11191 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 11201 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10775 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 11291 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 10863 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 11133 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 10705 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 11200 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 10774 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1.EXP | 11997 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.EXP | cnt/IS_FSM_FFd1 | 4 | 0 | MC_EXPORT +NODE | cnt/IS_FSM_FFd1.EXP | 11569 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.EXP | cnt/IS_FSM_FFd1 | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/IORW1.D1 | 11502 | ? | 0 | 4096 | iobs/IORW1 | NULL | NULL | iobs/IORW1.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/IORW1.D1 | 11070 | ? | 0 | 4096 | iobs/IORW1 | NULL | NULL | iobs/IORW1.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/IORW1.D2 | 11503 | ? | 0 | 4096 | iobs/IORW1 | NULL | NULL | iobs/IORW1.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/IORW1.D2 | 11071 | ? | 0 | 4096 | iobs/IORW1 | NULL | NULL | iobs/IORW1.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | cnt/IS_FSM_FFd1.EXP SPPTERM | 15 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | iobs/IORW1 | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | iobs/TS_FSM_FFd1 | IV_TRUE | ALE1 SPPTERM | 15 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | iobs/IORW1 | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 SPPTERM | 15 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | iobs/IORW1 | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | iobs/TS_FSM_FFd1 | IV_TRUE | ALE1 SPPTERM | 15 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | iobs/IORW1 | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | iobs/IORW1.EXP | 11983 | ? | 0 | 0 | iobs/IORW1 | NULL | NULL | iobs/IORW1.SI | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | iobs/IORW1.EXP | 11555 | ? | 0 | 0 | iobs/IORW1 | NULL | NULL | iobs/IORW1.SI | 7 | 9 | MC_SI_EXPORT SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_13_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 SRFF_INSTANCE | iobs/IORW1.REG | iobs/IORW1 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/IORW1.D | 11501 | ? | 0 | 0 | iobs/IORW1 | NULL | NULL | iobs/IORW1.XOR | 0 | 7 | ALU_F +NODE | iobs/IORW1.D | 11069 | ? | 0 | 0 | iobs/IORW1 | NULL | NULL | iobs/IORW1.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 11155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/IORW1.Q | 11504 | ? | 0 | 0 | iobs/IORW1 | NULL | NULL | iobs/IORW1.REG | 0 | 8 | SRFF_Q +NODE | iobs/IORW1.Q | 11072 | ? | 0 | 0 | iobs/IORW1 | NULL | NULL | iobs/IORW1.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | IONPReady | WarpSE_COPY_0_COPY_0 | 2155873536 | 23 | 2 +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | ram/RefDone | WarpSE_COPY_0_COPY_0 | 2155873536 | 8 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 11124 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | ram/RefDone | 10757 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 11125 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd1 | 10791 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 11126 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd7 | 10801 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 11127 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd2 | 10807 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 11128 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd3 | 10808 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 11129 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | RefUrg | 10740 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 11130 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | RefReq | 10751 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 11131 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 11152 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 11184 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IONPReady | 11186 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.Q | IONPReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 11133 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 11132 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 11134 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 11153 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 11154 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 11155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 11211 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 11212 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 11251 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 11257 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 11252 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nVPA_FSB_OBUF.EXP | 11937 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVPA_FSB_OBUF.EXP | nVPA_FSB_OBUF | 4 | 0 | MC_EXPORT +NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | IONPReady | 11186 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.Q | IONPReady | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | IONPReady.EXP | 11938 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.EXP | IONPReady | 4 | 0 | MC_EXPORT +NODE | ram/RefDone | 10757 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | IONPReady.SI | IONPReady | 0 | 22 | 3 +SIGNAL_INSTANCE | ram/RefDone.SI | ram/RefDone | 0 | 7 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 11124 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | ram/RefDone | 10757 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 11125 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd1 | 10791 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 11126 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd7 | 10801 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 11127 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd2 | 10807 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 11128 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd3 | 10808 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 11129 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | RefUrg | 10740 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 11130 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 11131 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 11152 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 11184 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IONPReady | 11186 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.Q | IONPReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 11133 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 11132 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 11134 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 11153 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 11154 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 11211 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 11212 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 11251 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 11257 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 11252 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nVPA_FSB_OBUF.EXP | 11937 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVPA_FSB_OBUF.EXP | nVPA_FSB_OBUF | 4 | 0 | MC_EXPORT +NODE | RefReq | 10751 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | IONPReady.D1 | 11506 | ? | 0 | 4096 | IONPReady | NULL | NULL | IONPReady.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RefDone.D1 | 11074 | ? | 0 | 4096 | ram/RefDone | NULL | NULL | ram/RefDone.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | IONPReady.D2 | 11507 | ? | 0 | 4096 | IONPReady | NULL | NULL | IONPReady.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | nVPA_FSB_OBUF.EXP -SPPTERM | 11 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | IONPReady -SPPTERM | 11 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | IONPReady +SIGNAL | NODE | ram/RefDone.D2 | 11075 | ? | 0 | 4096 | ram/RefDone | NULL | NULL | ram/RefDone.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_FALSE | RefUrg | IV_FALSE | RefReq +SPPTERM | 5 | IV_FALSE | ram/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd7 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 + +SRFF_INSTANCE | ram/RefDone.REG | ram/RefDone | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | ram/RefDone.D | 11073 | ? | 0 | 0 | ram/RefDone | NULL | NULL | ram/RefDone.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | ram/RefDone.Q | 11076 | ? | 0 | 0 | ram/RefDone | NULL | NULL | ram/RefDone.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | IONPReady | WarpSE_COPY_0_COPY_0 | 2155873536 | 12 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RefReq | 10751 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefDone | 10757 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd8 | 10784 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 10824 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 10754 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEN | 10790 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RA_6_OBUF.EXP | 11526 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_6_OBUF.EXP | RA_6_OBUF | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | IONPReady | 10758 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.Q | IONPReady | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | IONPReady.EXP | 11527 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.EXP | IONPReady | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | IONPReady.SI | IONPReady | 0 | 11 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RefReq | 10751 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefDone | 10757 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd8 | 10784 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 10824 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 10754 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEN | 10790 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RA_6_OBUF.EXP | 11526 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_6_OBUF.EXP | RA_6_OBUF | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | IONPReady.D1 | 11078 | ? | 0 | 4096 | IONPReady | NULL | NULL | IONPReady.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | IONPReady.D2 | 11079 | ? | 0 | 4096 | IONPReady | NULL | NULL | IONPReady.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | RA_6_OBUF.EXP OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | IONPReady.EXP | 11927 | ? | 0 | 0 | IONPReady | NULL | NULL | IONPReady.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 19 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | fsb/ASrf -SPPTERM | 19 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | fsb/ASrf -SPPTERM | 19 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | fsb/ASrf +SIGNAL | NODE | IONPReady.EXP | 11513 | ? | 0 | 0 | IONPReady | NULL | NULL | IONPReady.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 6 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | RefReq | IV_FALSE | ram/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd8 | IV_FALSE | ram/BACTr +SPPTERM | 6 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | RefReq | IV_FALSE | ram/RefDone | IV_TRUE | ram/RS_FSM_FFd8 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay | IV_TRUE | ram/RS_FSM_FFd8 | IV_TRUE | ram/RASEN | IV_TRUE | fsb/ASrf +SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | RefReq | IV_FALSE | ram/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd8 | IV_FALSE | ram/BACTr +SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | RefReq | IV_FALSE | ram/RefDone | IV_TRUE | ram/RS_FSM_FFd8 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf SRFF_INSTANCE | IONPReady.REG | IONPReady | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | IONPReady.D | 11505 | ? | 0 | 0 | IONPReady | NULL | NULL | IONPReady.XOR | 0 | 7 | ALU_F +NODE | IONPReady.D | 11077 | ? | 0 | 0 | IONPReady | NULL | NULL | IONPReady.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 11155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | IONPReady.Q | 11508 | ? | 0 | 0 | IONPReady | NULL | NULL | IONPReady.REG | 0 | 8 | SRFF_Q +NODE | IONPReady.Q | 11080 | ? | 0 | 0 | IONPReady | NULL | NULL | IONPReady.REG | 0 | 8 | SRFF_Q INPUT_INSTANCE | 0 | 0 | NULL | nLDS_FSB_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nLDS_FSB | 11357 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | nLDS_FSB | 10929 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | nLDS_FSB_IBUF | 11187 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nLDS_FSB_IBUF | 10759 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/IOL1 | WarpSE_COPY_0_COPY_0 | 2155873280 | 3 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nLDS_FSB_IBUF | 11187 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nLDS_FSB_IBUF | 10759 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Load1 | 11225 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM +NODE | iobs/Load1 | 10797 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 11155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/IOL1 | 11188 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOL1.Q | iobs/IOL1 | 1 | 0 | MC_UIM +NODE | iobs/IOL1 | 10760 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOL1.Q | iobs/IOL1 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobs/IOL1.SI | iobs/IOL1 | 0 | 2 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nLDS_FSB_IBUF | 11187 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nLDS_FSB_IBUF | 10759 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Load1 | 11225 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM +NODE | iobs/Load1 | 10797 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/IOL1.D1 | 11510 | ? | 0 | 4096 | iobs/IOL1 | NULL | NULL | iobs/IOL1.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/IOL1.D1 | 11082 | ? | 0 | 4096 | iobs/IOL1 | NULL | NULL | iobs/IOL1.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/IOL1.D2 | 11511 | ? | 0 | 4096 | iobs/IOL1 | NULL | NULL | iobs/IOL1.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/IOL1.D2 | 11083 | ? | 0 | 4096 | iobs/IOL1 | NULL | NULL | iobs/IOL1.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_FALSE | nLDS_FSB_IBUF OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | iobs/IOL1.CE | 11512 | ? | 0 | 4096 | iobs/IOL1 | NULL | NULL | iobs/IOL1.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | iobs/IOL1.CE | 11084 | ? | 0 | 4096 | iobs/IOL1 | NULL | NULL | iobs/IOL1.SI | 10 | 9 | MC_SI_CE SPPTERM | 1 | IV_TRUE | iobs/Load1 SRFF_INSTANCE | iobs/IOL1.REG | iobs/IOL1 | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/IOL1.D | 11509 | ? | 0 | 0 | iobs/IOL1 | NULL | NULL | iobs/IOL1.XOR | 0 | 7 | ALU_F +NODE | iobs/IOL1.D | 11081 | ? | 0 | 0 | iobs/IOL1 | NULL | NULL | iobs/IOL1.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 11155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | iobs/IOL1.CE | 11512 | ? | 0 | 4096 | iobs/IOL1 | NULL | NULL | iobs/IOL1.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | iobs/IOL1.CE | 11084 | ? | 0 | 4096 | iobs/IOL1 | NULL | NULL | iobs/IOL1.SI | 10 | 9 | MC_SI_CE SPPTERM | 1 | IV_TRUE | iobs/Load1 OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/IOL1.Q | 11513 | ? | 0 | 0 | iobs/IOL1 | NULL | NULL | iobs/IOL1.REG | 0 | 8 | SRFF_Q +NODE | iobs/IOL1.Q | 11085 | ? | 0 | 0 | iobs/IOL1 | NULL | NULL | iobs/IOL1.REG | 0 | 8 | SRFF_Q INPUT_INSTANCE | 0 | 0 | NULL | nUDS_FSB_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nUDS_FSB | 11358 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | nUDS_FSB | 10930 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | nUDS_FSB_IBUF | 11189 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nUDS_FSB_IBUF | 10761 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/IOU1 | WarpSE_COPY_0_COPY_0 | 2155873280 | 3 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nUDS_FSB_IBUF | 11189 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nUDS_FSB_IBUF | 10761 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Load1 | 11225 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM +NODE | iobs/Load1 | 10797 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 11155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/IOU1 | 11190 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOU1.Q | iobs/IOU1 | 1 | 0 | MC_UIM +NODE | iobs/IOU1 | 10762 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOU1.Q | iobs/IOU1 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobs/IOU1.SI | iobs/IOU1 | 0 | 2 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nUDS_FSB_IBUF | 11189 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nUDS_FSB_IBUF | 10761 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Load1 | 11225 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM +NODE | iobs/Load1 | 10797 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/IOU1.D1 | 11515 | ? | 0 | 4096 | iobs/IOU1 | NULL | NULL | iobs/IOU1.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/IOU1.D1 | 11087 | ? | 0 | 4096 | iobs/IOU1 | NULL | NULL | iobs/IOU1.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/IOU1.D2 | 11516 | ? | 0 | 4096 | iobs/IOU1 | NULL | NULL | iobs/IOU1.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/IOU1.D2 | 11088 | ? | 0 | 4096 | iobs/IOU1 | NULL | NULL | iobs/IOU1.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_FALSE | nUDS_FSB_IBUF OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | iobs/IOU1.CE | 11517 | ? | 0 | 4096 | iobs/IOU1 | NULL | NULL | iobs/IOU1.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | iobs/IOU1.CE | 11089 | ? | 0 | 4096 | iobs/IOU1 | NULL | NULL | iobs/IOU1.SI | 10 | 9 | MC_SI_CE SPPTERM | 1 | IV_TRUE | iobs/Load1 SRFF_INSTANCE | iobs/IOU1.REG | iobs/IOU1 | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/IOU1.D | 11514 | ? | 0 | 0 | iobs/IOU1 | NULL | NULL | iobs/IOU1.XOR | 0 | 7 | ALU_F +NODE | iobs/IOU1.D | 11086 | ? | 0 | 0 | iobs/IOU1 | NULL | NULL | iobs/IOU1.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 11155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | iobs/IOU1.CE | 11517 | ? | 0 | 4096 | iobs/IOU1 | NULL | NULL | iobs/IOU1.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | iobs/IOU1.CE | 11089 | ? | 0 | 4096 | iobs/IOU1 | NULL | NULL | iobs/IOU1.SI | 10 | 9 | MC_SI_CE SPPTERM | 1 | IV_TRUE | iobs/Load1 OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/IOU1.Q | 11518 | ? | 0 | 0 | iobs/IOU1 | NULL | NULL | iobs/IOU1.REG | 0 | 8 | SRFF_Q +NODE | iobs/IOU1.Q | 11090 | ? | 0 | 0 | iobs/IOU1 | NULL | NULL | iobs/IOU1.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | nBERR_FSB_OBUF | WarpSE_COPY_0_COPY_0 | 2155873280 | 16 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 11191 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 11257 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 11164 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | iobs/Sent | 10734 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_FSB_OBUF.UIM | 11193 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.Q | nBERR_FSB_OBUF | 1 | 0 | MC_UIM +NODE | nBERR_FSB_OBUF.UIM | 10765 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.Q | nBERR_FSB_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 11124 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 11155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 11125 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 11128 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 10700 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 11201 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10775 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 11291 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 10863 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 11133 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 10705 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 11152 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 10724 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 11126 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 11127 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 11183 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 10754 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP24_.EXP | 11993 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP24_.EXP | EXP24_ | 4 | 0 | MC_EXPORT +NODE | EXP23_.EXP | 11565 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP23_.EXP | EXP23_ | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nBERR_FSB_OBUF | 11192 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.Q | nBERR_FSB_OBUF | 0 | 0 | MC_Q +NODE | nBERR_FSB_OBUF | 10764 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.Q | nBERR_FSB_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | nBERR_FSB_OBUF.UIM | 11193 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.Q | nBERR_FSB_OBUF | 1 | 0 | MC_UIM +NODE | nBERR_FSB_OBUF.UIM | 10765 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.Q | nBERR_FSB_OBUF | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | nBERR_FSB_OBUF.EXP | 11994 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.EXP | nBERR_FSB_OBUF | 4 | 0 | MC_EXPORT +NODE | nBERR_FSB_OBUF.EXP | 11566 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.EXP | nBERR_FSB_OBUF | 4 | 0 | MC_EXPORT SIGNAL_INSTANCE | nBERR_FSB_OBUF.SI | nBERR_FSB_OBUF | 0 | 15 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 11191 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 11257 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 11164 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | iobs/Sent | 10734 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_FSB_OBUF.UIM | 11193 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.Q | nBERR_FSB_OBUF | 1 | 0 | MC_UIM +NODE | nBERR_FSB_OBUF.UIM | 10765 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.Q | nBERR_FSB_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 11124 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 11125 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 11128 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 10700 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 11201 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10775 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 11291 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 10863 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 11133 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 10705 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 11152 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 10724 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 11126 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 11127 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 11183 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 10754 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP24_.EXP | 11993 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP24_.EXP | EXP24_ | 4 | 0 | MC_EXPORT +NODE | EXP23_.EXP | 11565 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP23_.EXP | EXP23_ | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nBERR_FSB_OBUF.D1 | 11520 | ? | 0 | 4096 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nBERR_FSB_OBUF.D1 | 11092 | ? | 0 | 4096 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nBERR_FSB_OBUF.D2 | 11521 | ? | 0 | 4096 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | EXP24_.EXP +SIGNAL | NODE | nBERR_FSB_OBUF.D2 | 11093 | ? | 0 | 4096 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | EXP23_.EXP SPPTERM | 2 | IV_FALSE | iobs/Sent | IV_TRUE | nBERR_FSB_OBUF.UIM SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | nBERR_FSB_OBUF.EXP | 11979 | ? | 0 | 0 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | nBERR_FSB_OBUF.EXP | 11551 | ? | 0 | 0 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.SI | 7 | 9 | MC_SI_EXPORT SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_19_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_13_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 SRFF_INSTANCE | nBERR_FSB_OBUF.REG | nBERR_FSB_OBUF | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nBERR_FSB_OBUF.D | 11519 | ? | 0 | 0 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.XOR | 0 | 7 | ALU_F +NODE | nBERR_FSB_OBUF.D | 11091 | ? | 0 | 0 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 11155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nBERR_FSB_OBUF.Q | 11522 | ? | 0 | 0 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nBERR_FSB_OBUF.Q | 11094 | ? | 0 | 0 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | nVPA_FSB_OBUF | WarpSE_COPY_0_COPY_0 | 2155873536 | 15 | 2 +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | nVPA_FSB_OBUF | WarpSE_COPY_0_COPY_0 | 2155873536 | 12 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 11124 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 11125 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 11126 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 11127 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 11128 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 10700 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 11129 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 10701 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 11130 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 10702 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 11131 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 10703 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IONPReady | 11186 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.Q | IONPReady | 1 | 0 | MC_UIM +NODE | IONPReady | 10758 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.Q | IONPReady | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 11191 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 11164 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 11155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IODONEr | 11259 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IODONEr.Q | iobs/IODONEr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 11257 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<10>.EXP | 11936 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.EXP | cnt/LTimer<10> | 4 | 0 | MC_EXPORT +NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nVPA_FSB_OBUF | 11194 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVPA_FSB_OBUF.Q | nVPA_FSB_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | nVPA_FSB_OBUF.EXP | 11937 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVPA_FSB_OBUF.EXP | nVPA_FSB_OBUF | 4 | 0 | MC_EXPORT +NODE | nVPA_FSB_OBUF | 10766 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVPA_FSB_OBUF.Q | nVPA_FSB_OBUF | 0 | 0 | MC_Q -SIGNAL_INSTANCE | nVPA_FSB_OBUF.SI | nVPA_FSB_OBUF | 0 | 14 | 4 +SIGNAL_INSTANCE | nVPA_FSB_OBUF.SI | nVPA_FSB_OBUF | 0 | 11 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 11124 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 11125 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 11126 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 11127 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 11128 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 10700 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 11129 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 10701 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 11130 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 10702 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 11131 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 10703 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IONPReady | 11186 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.Q | IONPReady | 1 | 0 | MC_UIM +NODE | IONPReady | 10758 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.Q | IONPReady | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 11191 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 11164 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IODONEr | 11259 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IODONEr.Q | iobs/IODONEr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 11257 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<10>.EXP | 11936 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.EXP | cnt/LTimer<10> | 4 | 0 | MC_EXPORT +NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nVPA_FSB_OBUF.D1 | 11524 | ? | 0 | 4096 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nVPA_FSB_OBUF.D1 | 11096 | ? | 0 | 4096 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nVPA_FSB_OBUF.D2 | 11525 | ? | 0 | 4096 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | cnt/LTimer<10>.EXP +SIGNAL | NODE | nVPA_FSB_OBUF.D2 | 11097 | ? | 0 | 4096 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 10 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | IONPReady | IV_FALSE | nAS_FSB_IBUF +SPPTERM | 10 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | IONPReady | IV_TRUE | fsb/ASrf OUTPUT_NODE_TYPE | 5 | 9 | MC_SI_SETF -SIGNAL | NODE | nVPA_FSB_OBUF.SETF | 11526 | ? | 0 | 4096 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.SI | 5 | 9 | MC_SI_SETF +SIGNAL | NODE | nVPA_FSB_OBUF.SETF | 11098 | ? | 0 | 4096 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.SI | 5 | 9 | MC_SI_SETF SPPTERM | 1 | IV_TRUE | nAS_FSB_IBUF -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | nVPA_FSB_OBUF.EXP | 11926 | ? | 0 | 0 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 2 | IV_FALSE | iobs/Sent | IV_FALSE | IONPReady -SPPTERM | 2 | IV_FALSE | IONPReady | IV_FALSE | iobs/IODONEr -SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf SRFF_INSTANCE | nVPA_FSB_OBUF.REG | nVPA_FSB_OBUF | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nVPA_FSB_OBUF.D | 11523 | ? | 0 | 0 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.XOR | 0 | 7 | ALU_F +NODE | nVPA_FSB_OBUF.D | 11095 | ? | 0 | 0 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 11155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 2 | 8 | SRFF_S -SIGNAL | NODE | nVPA_FSB_OBUF.SETF | 11526 | ? | 0 | 4096 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.SI | 5 | 9 | MC_SI_SETF +SIGNAL | NODE | nVPA_FSB_OBUF.SETF | 11098 | ? | 0 | 4096 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.SI | 5 | 9 | MC_SI_SETF SPPTERM | 1 | IV_TRUE | nAS_FSB_IBUF OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nVPA_FSB_OBUF.Q | 11527 | ? | 0 | 0 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nVPA_FSB_OBUF.Q | 11099 | ? | 0 | 0 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | Inv+OptxMapped | nRAS_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 13 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 11124 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 11125 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 11128 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 10700 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 11201 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10775 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 11291 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 10863 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 11129 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 10701 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 11130 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 10702 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 11133 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 10705 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 11152 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 10724 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 11126 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 11127 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 11183 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 10754 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nRAMLWE_OBUF.EXP | 11989 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMLWE_OBUF.EXP | nRAMLWE_OBUF | 4 | 0 | MC_EXPORT +NODE | nRAMLWE_OBUF.EXP | 11561 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMLWE_OBUF.EXP | nRAMLWE_OBUF | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nRAS_OBUF | 11195 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAS_OBUF.Q | nRAS_OBUF | 0 | 0 | MC_Q +NODE | nRAS_OBUF | 10767 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAS_OBUF.Q | nRAS_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | nRAS_OBUF.EXP | 11988 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAS_OBUF.EXP | nRAS_OBUF | 4 | 0 | MC_EXPORT +NODE | nRAS_OBUF.EXP | 11560 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAS_OBUF.EXP | nRAS_OBUF | 4 | 0 | MC_EXPORT SIGNAL_INSTANCE | nRAS_OBUF.SI | nRAS_OBUF | 0 | 13 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 11124 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 11125 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 11128 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 10700 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 11201 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10775 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 11291 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 10863 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 11129 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 10701 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 11130 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 10702 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 11133 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 10705 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 11152 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 10724 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 11126 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 11127 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 11183 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 10754 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nRAMLWE_OBUF.EXP | 11989 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMLWE_OBUF.EXP | nRAMLWE_OBUF | 4 | 0 | MC_EXPORT +NODE | nRAMLWE_OBUF.EXP | 11561 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMLWE_OBUF.EXP | nRAMLWE_OBUF | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nRAS_OBUF.D1 | 11529 | ? | 0 | 4096 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nRAS_OBUF.D1 | 11101 | ? | 0 | 4096 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nRAS_OBUF.D2 | 11530 | ? | 0 | 4096 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nRAS_OBUF.D2 | 11102 | ? | 0 | 4096 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | nRAMLWE_OBUF.EXP OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | nRAS_OBUF.EXP | 11973 | ? | 0 | 0 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | nRAS_OBUF.EXP | 11545 | ? | 0 | 0 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.SI | 7 | 9 | MC_SI_EXPORT SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_19_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_18_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_17_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 @@ -2663,802 +2753,864 @@ SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A SRFF_INSTANCE | nRAS_OBUF.REG | nRAS_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nRAS_OBUF.D | 11528 | ? | 0 | 0 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.XOR | 0 | 7 | ALU_F +NODE | nRAS_OBUF.D | 11100 | ? | 0 | 0 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nRAS_OBUF.Q | 11531 | ? | 0 | 0 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nRAS_OBUF.Q | 11103 | ? | 0 | 0 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | nBR_IOB_OBUF | WarpSE_COPY_0_COPY_0 | 2155877376 | 12 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBR_IOB_OBUF | 11197 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.Q | nBR_IOB_OBUF | 1 | 0 | MC_UIM +NODE | nBR_IOB_OBUF | 10769 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.Q | nBR_IOB_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 11211 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd1 | 10785 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 11212 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd2 | 10786 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/nIPL2r | 11244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/nIPL2r.Q | cnt/nIPL2r | 1 | 0 | MC_UIM +NODE | cnt/nIPL2r | 10817 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/nIPL2r.Q | cnt/nIPL2r | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 11155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 11124 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 11125 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 11129 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 10701 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 11201 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10775 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 11291 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 10863 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 11130 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 10702 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 11131 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 10703 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nBR_IOB_OBUF$Q | 11196 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.Q | nBR_IOB_OBUF | 0 | 0 | MC_Q +NODE | nBR_IOB_OBUF$Q | 10768 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.Q | nBR_IOB_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | nBR_IOB_OBUF | 11197 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.Q | nBR_IOB_OBUF | 1 | 0 | MC_UIM +NODE | nBR_IOB_OBUF | 10769 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.Q | nBR_IOB_OBUF | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | nBR_IOB_OBUF.EXP | 11996 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.EXP | nBR_IOB_OBUF | 4 | 0 | MC_EXPORT +NODE | nBR_IOB_OBUF.EXP | 11568 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.EXP | nBR_IOB_OBUF | 4 | 0 | MC_EXPORT SIGNAL_INSTANCE | nBR_IOB_OBUF.SI | nBR_IOB_OBUF | 0 | 11 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBR_IOB_OBUF | 11197 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.Q | nBR_IOB_OBUF | 1 | 0 | MC_UIM +NODE | nBR_IOB_OBUF | 10769 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.Q | nBR_IOB_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 11211 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd1 | 10785 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 11212 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd2 | 10786 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/nIPL2r | 11244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/nIPL2r.Q | cnt/nIPL2r | 1 | 0 | MC_UIM +NODE | cnt/nIPL2r | 10817 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/nIPL2r.Q | cnt/nIPL2r | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 11124 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 11125 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 11129 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 10701 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 11201 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10775 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 11291 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 10863 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 11130 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 10702 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 11131 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 10703 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nBR_IOB_OBUF.D1 | 11533 | ? | 0 | 4096 | nBR_IOB_OBUF | NULL | NULL | nBR_IOB_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nBR_IOB_OBUF.D1 | 11105 | ? | 0 | 4096 | nBR_IOB_OBUF | NULL | NULL | nBR_IOB_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nBR_IOB_OBUF.D2 | 11534 | ? | 0 | 4096 | nBR_IOB_OBUF | NULL | NULL | nBR_IOB_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nBR_IOB_OBUF.D2 | 11106 | ? | 0 | 4096 | nBR_IOB_OBUF | NULL | NULL | nBR_IOB_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 3 | IV_TRUE | nBR_IOB_OBUF | IV_FALSE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 SPPTERM | 4 | IV_FALSE | nBR_IOB_OBUF | IV_FALSE | cnt/IS_FSM_FFd1 | IV_TRUE | cnt/IS_FSM_FFd2 | IV_FALSE | cnt/nIPL2r OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | nBR_IOB_OBUF.EXP | 11981 | ? | 0 | 0 | nBR_IOB_OBUF | NULL | NULL | nBR_IOB_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | nBR_IOB_OBUF.EXP | 11553 | ? | 0 | 0 | nBR_IOB_OBUF | NULL | NULL | nBR_IOB_OBUF.SI | 7 | 9 | MC_SI_EXPORT SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_18_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_17_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_16_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 SRFF_INSTANCE | nBR_IOB_OBUF.REG | nBR_IOB_OBUF | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nBR_IOB_OBUF.D | 11532 | ? | 0 | 0 | nBR_IOB_OBUF | NULL | NULL | nBR_IOB_OBUF.XOR | 0 | 7 | ALU_F +NODE | nBR_IOB_OBUF.D | 11104 | ? | 0 | 0 | nBR_IOB_OBUF | NULL | NULL | nBR_IOB_OBUF.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 11155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nBR_IOB_OBUF.Q | 11535 | ? | 0 | 0 | nBR_IOB_OBUF | NULL | NULL | nBR_IOB_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nBR_IOB_OBUF.Q | 11107 | ? | 0 | 0 | nBR_IOB_OBUF | NULL | NULL | nBR_IOB_OBUF.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | OptxMapped | RA_11_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 5 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 11128 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 10700 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 11199 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 10773 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 11127 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 11134 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_12_IBUF | 10706 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_4_IBUF | 11268 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_4_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_4_IBUF | 10841 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_4_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_11_OBUF$Q | 11198 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_11_OBUF.Q | RA_11_OBUF | 0 | 0 | MC_Q +NODE | RA_11_OBUF$Q | 10770 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_11_OBUF.Q | RA_11_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | RA_11_OBUF.EXP | 11957 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_11_OBUF.EXP | RA_11_OBUF | 4 | 0 | MC_EXPORT +NODE | RA_11_OBUF.EXP | 11523 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_11_OBUF.EXP | RA_11_OBUF | 4 | 0 | MC_EXPORT SIGNAL_INSTANCE | RA_11_OBUF.SI | RA_11_OBUF | 0 | 5 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 11128 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 10700 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 11199 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 10773 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 11127 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 11134 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_12_IBUF | 10706 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_4_IBUF | 11268 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_4_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_4_IBUF | 10841 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_4_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_11_OBUF.D1 | 11537 | ? | 0 | 4096 | RA_11_OBUF | NULL | NULL | RA_11_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_11_OBUF.D1 | 11109 | ? | 0 | 4096 | RA_11_OBUF | NULL | NULL | RA_11_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_11_OBUF.D2 | 11538 | ? | 0 | 4096 | RA_11_OBUF | NULL | NULL | RA_11_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_11_OBUF.D2 | 11110 | ? | 0 | 4096 | RA_11_OBUF | NULL | NULL | RA_11_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | ram/RASEL SPPTERM | 2 | IV_TRUE | A_FSB_19_IBUF | IV_FALSE | ram/RASEL OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | RA_11_OBUF.EXP | 11947 | ? | 0 | 0 | RA_11_OBUF | NULL | NULL | RA_11_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | RA_11_OBUF.EXP | 11509 | ? | 0 | 0 | RA_11_OBUF | NULL | NULL | RA_11_OBUF.SI | 7 | 9 | MC_SI_EXPORT SPPTERM | 2 | IV_TRUE | A_FSB_12_IBUF | IV_FALSE | ram/RASEL SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_4_IBUF SRFF_INSTANCE | RA_11_OBUF.REG | RA_11_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_11_OBUF.D | 11536 | ? | 0 | 0 | RA_11_OBUF | NULL | NULL | RA_11_OBUF.XOR | 0 | 7 | ALU_F +NODE | RA_11_OBUF.D | 11108 | ? | 0 | 0 | RA_11_OBUF | NULL | NULL | RA_11_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_11_OBUF.Q | 11539 | ? | 0 | 0 | RA_11_OBUF | NULL | NULL | RA_11_OBUF.REG | 0 | 8 | SRFF_Q +NODE | RA_11_OBUF.Q | 11111 | ? | 0 | 0 | RA_11_OBUF | NULL | NULL | RA_11_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped | nDTACK_FSB_OBUF | WarpSE_COPY_0_COPY_0 | 2155873280 | 10 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IONPReady | 10758 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.Q | IONPReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | QoSReady | 10812 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | QoSReady.Q | QoSReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay.EXP | 11480 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.EXP | cs/nOverlay | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nDTACK_FSB_OBUF$Q | 10771 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | nDTACK_FSB_OBUF | 10772 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | nDTACK_FSB_OBUF.SI | nDTACK_FSB_OBUF | 0 | 9 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IONPReady | 10758 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.Q | IONPReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | QoSReady | 10812 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | QoSReady.Q | QoSReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay.EXP | 11480 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.EXP | cs/nOverlay | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nDTACK_FSB_OBUF.D1 | 11113 | ? | 0 | 4096 | nDTACK_FSB_OBUF | NULL | NULL | nDTACK_FSB_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nDTACK_FSB_OBUF.D2 | 11114 | ? | 0 | 4096 | nDTACK_FSB_OBUF | NULL | NULL | nDTACK_FSB_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | cs/nOverlay.EXP +SPPTERM | 2 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | IONPReady +SPPTERM | 2 | IV_FALSE | IONPReady | IV_FALSE | QoSReady +SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +SPPTERM | 3 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | IONPReady +SPPTERM | 3 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | IONPReady + +SRFF_INSTANCE | nDTACK_FSB_OBUF.REG | nDTACK_FSB_OBUF | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nDTACK_FSB_OBUF.D | 11112 | ? | 0 | 0 | nDTACK_FSB_OBUF | NULL | NULL | nDTACK_FSB_OBUF.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nDTACK_FSB_OBUF.Q | 11115 | ? | 0 | 0 | nDTACK_FSB_OBUF | NULL | NULL | nDTACK_FSB_OBUF.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RASEL | WarpSE_COPY_0_COPY_0 | 2155873280 | 11 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd7 | 11217 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd6 | 10800 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd6.Q | ram/RS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 11124 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 11125 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 11183 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 10754 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 11191 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd9 | 11202 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd9.Q | ram/RS_FSM_FFd9 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd8 | 10784 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEN | 11216 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM +NODE | ram/RASEN | 10790 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 11257 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 11155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 11126 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 11127 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RASEL | 11199 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 10773 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | ram/RASEL.EXP | 11955 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.EXP | ram/RASEL | 4 | 0 | MC_EXPORT +NODE | ram/RASEL.EXP | 11479 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.EXP | ram/RASEL | 4 | 0 | MC_EXPORT SIGNAL_INSTANCE | ram/RASEL.SI | ram/RASEL | 0 | 10 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd7 | 11217 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd6 | 10800 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd6.Q | ram/RS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 11124 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 11125 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 11183 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 10754 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 11191 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd9 | 11202 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd9.Q | ram/RS_FSM_FFd9 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd8 | 10784 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEN | 11216 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM +NODE | ram/RASEN | 10790 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 11257 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 11126 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 11127 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RASEL.D1 | 11541 | ? | 0 | 4096 | ram/RASEL | NULL | NULL | ram/RASEL.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RASEL.D1 | 11117 | ? | 0 | 4096 | ram/RASEL | NULL | NULL | ram/RASEL.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RASEL.D2 | 11542 | ? | 0 | 4096 | ram/RASEL | NULL | NULL | ram/RASEL.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | ram/RS_FSM_FFd7 -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd9 | IV_TRUE | ram/RASEN -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay | IV_TRUE | ram/RS_FSM_FFd9 | IV_TRUE | ram/RASEN | IV_TRUE | fsb/ASrf +SIGNAL | NODE | ram/RASEL.D2 | 11118 | ? | 0 | 4096 | ram/RASEL | NULL | NULL | ram/RASEL.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | ram/RS_FSM_FFd6 +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd8 | IV_TRUE | ram/RASEN +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay | IV_TRUE | ram/RS_FSM_FFd8 | IV_TRUE | ram/RASEN | IV_TRUE | fsb/ASrf OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | ram/RASEL.EXP | 11945 | ? | 0 | 0 | ram/RASEL | NULL | NULL | ram/RASEL.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF -SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | cs/nOverlay +SIGNAL | NODE | ram/RASEL.EXP | 11467 | ? | 0 | 0 | ram/RASEL | NULL | NULL | ram/RASEL.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | cs/nOverlay | IV_FALSE | nAS_FSB_IBUF +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | cs/nOverlay | IV_TRUE | fsb/ASrf SRFF_INSTANCE | ram/RASEL.REG | ram/RASEL | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RASEL.D | 11540 | ? | 0 | 0 | ram/RASEL | NULL | NULL | ram/RASEL.XOR | 0 | 7 | ALU_F +NODE | ram/RASEL.D | 11116 | ? | 0 | 0 | ram/RASEL | NULL | NULL | ram/RASEL.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 11155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RASEL.Q | 11543 | ? | 0 | 0 | ram/RASEL | NULL | NULL | ram/RASEL.REG | 0 | 8 | SRFF_Q +NODE | ram/RASEL.Q | 11119 | ? | 0 | 0 | ram/RASEL | NULL | NULL | ram/RASEL.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/TS_FSM_FFd1 | WarpSE_COPY_0_COPY_0 | 2155873280 | 4 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 11201 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10775 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 11200 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 10774 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 11224 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +NODE | iobs/IOACTr | 10796 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 11155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/TS_FSM_FFd1 | 11200 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 10774 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobs/TS_FSM_FFd1.SI | iobs/TS_FSM_FFd1 | 0 | 3 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 11201 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10775 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 11200 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 10774 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 11224 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +NODE | iobs/IOACTr | 10796 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/TS_FSM_FFd1.D1 | 11545 | ? | 0 | 4096 | iobs/TS_FSM_FFd1 | NULL | NULL | iobs/TS_FSM_FFd1.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/TS_FSM_FFd1.D1 | 11121 | ? | 0 | 4096 | iobs/TS_FSM_FFd1 | NULL | NULL | iobs/TS_FSM_FFd1.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/TS_FSM_FFd1.D2 | 11546 | ? | 0 | 4096 | iobs/TS_FSM_FFd1 | NULL | NULL | iobs/TS_FSM_FFd1.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/TS_FSM_FFd1.D2 | 11122 | ? | 0 | 4096 | iobs/TS_FSM_FFd1 | NULL | NULL | iobs/TS_FSM_FFd1.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | iobs/TS_FSM_FFd2 SPPTERM | 2 | IV_TRUE | iobs/TS_FSM_FFd1 | IV_TRUE | iobs/IOACTr SRFF_INSTANCE | iobs/TS_FSM_FFd1.REG | iobs/TS_FSM_FFd1 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/TS_FSM_FFd1.D | 11544 | ? | 0 | 0 | iobs/TS_FSM_FFd1 | NULL | NULL | iobs/TS_FSM_FFd1.XOR | 0 | 7 | ALU_F +NODE | iobs/TS_FSM_FFd1.D | 11120 | ? | 0 | 0 | iobs/TS_FSM_FFd1 | NULL | NULL | iobs/TS_FSM_FFd1.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 11155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/TS_FSM_FFd1.Q | 11547 | ? | 0 | 0 | iobs/TS_FSM_FFd1 | NULL | NULL | iobs/TS_FSM_FFd1.REG | 0 | 8 | SRFF_Q +NODE | iobs/TS_FSM_FFd1.Q | 11123 | ? | 0 | 0 | iobs/TS_FSM_FFd1 | NULL | NULL | iobs/TS_FSM_FFd1.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | iobs/TS_FSM_FFd2 | WarpSE_COPY_0_COPY_0 | 2155873536 | 10 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 11200 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 10774 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 11201 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10775 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 11224 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +NODE | iobs/IOACTr | 10796 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 11164 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | iobs/Sent | 10734 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 11291 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 10863 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 11127 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 11199 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 10773 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 11128 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 10700 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP21_.EXP | 11987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP21_.EXP | EXP21_ | 4 | 0 | MC_EXPORT +NODE | EXP20_.EXP | 11559 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP20_.EXP | EXP20_ | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 11155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/TS_FSM_FFd2 | 11201 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10775 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | iobs/TS_FSM_FFd2.EXP | 11986 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.EXP | iobs/TS_FSM_FFd2 | 4 | 0 | MC_EXPORT +NODE | iobs/TS_FSM_FFd2.EXP | 11558 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.EXP | iobs/TS_FSM_FFd2 | 4 | 0 | MC_EXPORT SIGNAL_INSTANCE | iobs/TS_FSM_FFd2.SI | iobs/TS_FSM_FFd2 | 0 | 9 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 11200 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 10774 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 11201 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10775 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 11224 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +NODE | iobs/IOACTr | 10796 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 11164 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | iobs/Sent | 10734 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 11291 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 10863 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 11127 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 11199 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 10773 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 11128 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 10700 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP21_.EXP | 11987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP21_.EXP | EXP21_ | 4 | 0 | MC_EXPORT +NODE | EXP20_.EXP | 11559 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP20_.EXP | EXP20_ | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/TS_FSM_FFd2.D1 | 11549 | ? | 0 | 4096 | iobs/TS_FSM_FFd2 | NULL | NULL | iobs/TS_FSM_FFd2.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/TS_FSM_FFd2.D1 | 11125 | ? | 0 | 4096 | iobs/TS_FSM_FFd2 | NULL | NULL | iobs/TS_FSM_FFd2.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/TS_FSM_FFd2.D2 | 11550 | ? | 0 | 4096 | iobs/TS_FSM_FFd2 | NULL | NULL | iobs/TS_FSM_FFd2.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | EXP21_.EXP +SIGNAL | NODE | iobs/TS_FSM_FFd2.D2 | 11126 | ? | 0 | 4096 | iobs/TS_FSM_FFd2 | NULL | NULL | iobs/TS_FSM_FFd2.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | EXP20_.EXP SPPTERM | 2 | IV_TRUE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 SPPTERM | 2 | IV_TRUE | iobs/TS_FSM_FFd1 | IV_TRUE | iobs/IOACTr SPPTERM | 3 | IV_TRUE | iobs/Sent | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | iobs/TS_FSM_FFd2.EXP | 11971 | ? | 0 | 0 | iobs/TS_FSM_FFd2 | NULL | NULL | iobs/TS_FSM_FFd2.SI | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | iobs/TS_FSM_FFd2.EXP | 11543 | ? | 0 | 0 | iobs/TS_FSM_FFd2 | NULL | NULL | iobs/TS_FSM_FFd2.SI | 7 | 9 | MC_SI_EXPORT SPPTERM | 2 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | ram/RASEL SPPTERM | 2 | IV_TRUE | A_FSB_19_IBUF | IV_FALSE | ram/RASEL SRFF_INSTANCE | iobs/TS_FSM_FFd2.REG | iobs/TS_FSM_FFd2 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/TS_FSM_FFd2.D | 11548 | ? | 0 | 0 | iobs/TS_FSM_FFd2 | NULL | NULL | iobs/TS_FSM_FFd2.XOR | 0 | 7 | ALU_F +NODE | iobs/TS_FSM_FFd2.D | 11124 | ? | 0 | 0 | iobs/TS_FSM_FFd2 | NULL | NULL | iobs/TS_FSM_FFd2.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 11155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/TS_FSM_FFd2.Q | 11551 | ? | 0 | 0 | iobs/TS_FSM_FFd2 | NULL | NULL | iobs/TS_FSM_FFd2.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldHigh+OptxMapped | ram/RS_FSM_FFd9 | WarpSE_COPY_0_COPY_0 | 2155872768 | 12 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 11218 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 11125 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 11180 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd9 | 11202 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd9.Q | ram/RS_FSM_FFd9 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEN | 11216 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 11124 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefReq | 11159 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 11191 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 11226 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 11257 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP14_.EXP | 11919 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP14_.EXP | EXP14_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 11155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RS_FSM_FFd9 | 11202 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd9.Q | ram/RS_FSM_FFd9 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | ram/RS_FSM_FFd9.EXP | 11918 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd9.EXP | ram/RS_FSM_FFd9 | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | ram/RS_FSM_FFd9.SI | ram/RS_FSM_FFd9 | 0 | 11 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 11218 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 11125 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 11180 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd9 | 11202 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd9.Q | ram/RS_FSM_FFd9 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEN | 11216 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 11124 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefReq | 11159 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 11191 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 11226 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 11257 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP14_.EXP | 11919 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP14_.EXP | EXP14_ | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RS_FSM_FFd9.D1 | 11553 | ? | 0 | 4096 | ram/RS_FSM_FFd9 | NULL | NULL | ram/RS_FSM_FFd9.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RS_FSM_FFd9.D2 | 11554 | ? | 0 | 4096 | ram/RS_FSM_FFd9 | NULL | NULL | ram/RS_FSM_FFd9.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | ram/RS_FSM_FFd1 -SPPTERM | 1 | IV_TRUE | EXP14_.EXP -SPPTERM | 4 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | ram/RefDone | IV_TRUE | ram/RS_FSM_FFd9 | IV_TRUE | ram/RASEN -SPPTERM | 4 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | ram/RefDone | IV_TRUE | ram/RS_FSM_FFd9 | IV_TRUE | ram/RASEN -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | ram/RS_FSM_FFd9.EXP | 11904 | ? | 0 | 0 | ram/RS_FSM_FFd9 | NULL | NULL | ram/RS_FSM_FFd9.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 6 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | RefReq | IV_FALSE | ram/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd9 | IV_FALSE | ram/BACTr -SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | RefReq | IV_FALSE | ram/RefDone | IV_TRUE | ram/RS_FSM_FFd9 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf - -SRFF_INSTANCE | ram/RS_FSM_FFd9.REG | ram/RS_FSM_FFd9 | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RS_FSM_FFd9.D | 11552 | ? | 0 | 0 | ram/RS_FSM_FFd9 | NULL | NULL | ram/RS_FSM_FFd9.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 11155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RS_FSM_FFd9.Q | 11555 | ? | 0 | 0 | ram/RS_FSM_FFd9 | NULL | NULL | ram/RS_FSM_FFd9.REG | 0 | 8 | SRFF_Q +NODE | iobs/TS_FSM_FFd2.Q | 11127 | ? | 0 | 0 | iobs/TS_FSM_FFd2 | NULL | NULL | iobs/TS_FSM_FFd2.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | Inv+PrldHigh+OptxMapped | iobm/IOS_FSM_FFd7 | WarpSE_COPY_0_COPY_0 | 2155873024 | 7 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 11203 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 10776 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 11222 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 10794 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/C8Mr | 11205 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM +NODE | iobm/C8Mr | 10778 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IORDREQr | 11232 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM +NODE | iobm/IORDREQr | 10806 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 11293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 10865 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOWRREQr | 11248 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM +NODE | iobm/IOWRREQr | 10821 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 11141 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10713 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/IOS_FSM_FFd7 | 11203 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 10776 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/IOS_FSM_FFd7.SI | iobm/IOS_FSM_FFd7 | 0 | 6 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 11203 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 10776 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 11222 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 10794 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/C8Mr | 11205 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM +NODE | iobm/C8Mr | 10778 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IORDREQr | 11232 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM +NODE | iobm/IORDREQr | 10806 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 11293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 10865 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOWRREQr | 11248 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM +NODE | iobm/IOWRREQr | 10821 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/IOS_FSM_FFd7.D1 | 11557 | ? | 0 | 4096 | iobm/IOS_FSM_FFd7 | NULL | NULL | iobm/IOS_FSM_FFd7.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/IOS_FSM_FFd7.D1 | 11129 | ? | 0 | 4096 | iobm/IOS_FSM_FFd7 | NULL | NULL | iobm/IOS_FSM_FFd7.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/IOS_FSM_FFd7.D2 | 11558 | ? | 0 | 4096 | iobm/IOS_FSM_FFd7 | NULL | NULL | iobm/IOS_FSM_FFd7.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/IOS_FSM_FFd7.D2 | 11130 | ? | 0 | 4096 | iobm/IOS_FSM_FFd7 | NULL | NULL | iobm/IOS_FSM_FFd7.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_FALSE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/IOS_FSM_FFd1 SPPTERM | 4 | IV_FALSE | iobm/C8Mr | IV_FALSE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/IORDREQr | IV_FALSE | AoutOE SPPTERM | 4 | IV_FALSE | iobm/C8Mr | IV_FALSE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/IOWRREQr | IV_FALSE | AoutOE SRFF_INSTANCE | iobm/IOS_FSM_FFd7.REG | iobm/IOS_FSM_FFd7 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/IOS_FSM_FFd7.D | 11556 | ? | 0 | 0 | iobm/IOS_FSM_FFd7 | NULL | NULL | iobm/IOS_FSM_FFd7.XOR | 0 | 7 | ALU_F +NODE | iobm/IOS_FSM_FFd7.D | 11128 | ? | 0 | 0 | iobm/IOS_FSM_FFd7 | NULL | NULL | iobm/IOS_FSM_FFd7.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 11141 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10713 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/IOS_FSM_FFd7.Q | 11559 | ? | 0 | 0 | iobm/IOS_FSM_FFd7 | NULL | NULL | iobm/IOS_FSM_FFd7.REG | 0 | 8 | SRFF_Q +NODE | iobm/IOS_FSM_FFd7.Q | 11131 | ? | 0 | 0 | iobm/IOS_FSM_FFd7 | NULL | NULL | iobm/IOS_FSM_FFd7.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/IOS_FSM_FFd3 | WarpSE_COPY_0_COPY_0 | 2155873280 | 6 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 11207 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 10780 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 11204 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 10777 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/C8Mr | 11205 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM +NODE | iobm/C8Mr | 10778 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOBERR | 11166 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM +NODE | IOBERR | 10736 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IODONE | 11169 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.Q | IODONE | 1 | 0 | MC_UIM +NODE | IODONE | 10739 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.Q | IODONE | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 11141 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10713 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/IOS_FSM_FFd3 | 11204 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 10777 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/IOS_FSM_FFd3.SI | iobm/IOS_FSM_FFd3 | 0 | 5 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 11207 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 10780 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 11204 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 10777 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/C8Mr | 11205 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM +NODE | iobm/C8Mr | 10778 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOBERR | 11166 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM +NODE | IOBERR | 10736 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IODONE | 11169 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.Q | IODONE | 1 | 0 | MC_UIM +NODE | IODONE | 10739 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.Q | IODONE | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/IOS_FSM_FFd3.D1 | 11561 | ? | 0 | 4096 | iobm/IOS_FSM_FFd3 | NULL | NULL | iobm/IOS_FSM_FFd3.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/IOS_FSM_FFd3.D1 | 11133 | ? | 0 | 4096 | iobm/IOS_FSM_FFd3 | NULL | NULL | iobm/IOS_FSM_FFd3.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/IOS_FSM_FFd3.D2 | 11562 | ? | 0 | 4096 | iobm/IOS_FSM_FFd3 | NULL | NULL | iobm/IOS_FSM_FFd3.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/IOS_FSM_FFd3.D2 | 11134 | ? | 0 | 4096 | iobm/IOS_FSM_FFd3 | NULL | NULL | iobm/IOS_FSM_FFd3.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | iobm/IOS_FSM_FFd4 SPPTERM | 2 | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/C8Mr SPPTERM | 3 | IV_FALSE | IOBERR | IV_FALSE | IODONE | IV_TRUE | iobm/IOS_FSM_FFd3 SRFF_INSTANCE | iobm/IOS_FSM_FFd3.REG | iobm/IOS_FSM_FFd3 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/IOS_FSM_FFd3.D | 11560 | ? | 0 | 0 | iobm/IOS_FSM_FFd3 | NULL | NULL | iobm/IOS_FSM_FFd3.XOR | 0 | 7 | ALU_F +NODE | iobm/IOS_FSM_FFd3.D | 11132 | ? | 0 | 0 | iobm/IOS_FSM_FFd3 | NULL | NULL | iobm/IOS_FSM_FFd3.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 11141 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10713 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/IOS_FSM_FFd3.Q | 11563 | ? | 0 | 0 | iobm/IOS_FSM_FFd3 | NULL | NULL | iobm/IOS_FSM_FFd3.REG | 0 | 8 | SRFF_Q +NODE | iobm/IOS_FSM_FFd3.Q | 11135 | ? | 0 | 0 | iobm/IOS_FSM_FFd3 | NULL | NULL | iobm/IOS_FSM_FFd3.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/C8Mr | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF | 11135 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX +NODE | C8M_IBUF | 10707 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 11141 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10713 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/C8Mr | 11205 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM +NODE | iobm/C8Mr | 10778 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/C8Mr.SI | iobm/C8Mr | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF | 11135 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX +NODE | C8M_IBUF | 10707 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/C8Mr.D1 | 11565 | ? | 0 | 4096 | iobm/C8Mr | NULL | NULL | iobm/C8Mr.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/C8Mr.D1 | 11137 | ? | 0 | 4096 | iobm/C8Mr | NULL | NULL | iobm/C8Mr.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/C8Mr.D2 | 11566 | ? | 0 | 4096 | iobm/C8Mr | NULL | NULL | iobm/C8Mr.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/C8Mr.D2 | 11138 | ? | 0 | 4096 | iobm/C8Mr | NULL | NULL | iobm/C8Mr.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | C8M_IBUF SRFF_INSTANCE | iobm/C8Mr.REG | iobm/C8Mr | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/C8Mr.D | 11564 | ? | 0 | 0 | iobm/C8Mr | NULL | NULL | iobm/C8Mr.XOR | 0 | 7 | ALU_F +NODE | iobm/C8Mr.D | 11136 | ? | 0 | 0 | iobm/C8Mr | NULL | NULL | iobm/C8Mr.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 11141 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10713 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/C8Mr.Q | 11567 | ? | 0 | 0 | iobm/C8Mr | NULL | NULL | iobm/C8Mr.REG | 0 | 8 | SRFF_Q +NODE | iobm/C8Mr.Q | 11139 | ? | 0 | 0 | iobm/C8Mr | NULL | NULL | iobm/C8Mr.REG | 0 | 8 | SRFF_Q INPUT_INSTANCE | 0 | 0 | NULL | E_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | E | 11360 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | E | 10932 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | E_IBUF | 11240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX +NODE | E_IBUF | 10813 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX MACROCELL_INSTANCE | Inv+PrldLow+Tff+OptxMapped+Ce | iobm/ES<0> | WarpSE_COPY_0_COPY_0 | 2424313088 | 7 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 11206 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 10779 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | E_IBUF | 11240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX +NODE | E_IBUF | 10813 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 11246 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +NODE | iobm/Er | 10819 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 11208 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 10781 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 11213 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 10787 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 11214 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +NODE | iobm/ES<3> | 10788 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF/FCLK- | 11137 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 10709 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/ES<0> | 11206 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 10779 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/ES<0>.SI | iobm/ES<0> | 0 | 6 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 11206 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 10779 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | E_IBUF | 11240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX +NODE | E_IBUF | 10813 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 11246 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +NODE | iobm/Er | 10819 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 11208 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 10781 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 11213 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 10787 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 11214 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +NODE | iobm/ES<3> | 10788 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/ES<0>.D1 | 11569 | ? | 0 | 4096 | iobm/ES<0> | NULL | NULL | iobm/ES<0>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/ES<0>.D1 | 11141 | ? | 0 | 4096 | iobm/ES<0> | NULL | NULL | iobm/ES<0>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/ES<0>.D2 | 11570 | ? | 0 | 4096 | iobm/ES<0> | NULL | NULL | iobm/ES<0>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/ES<0>.D2 | 11142 | ? | 0 | 4096 | iobm/ES<0> | NULL | NULL | iobm/ES<0>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 3 | IV_TRUE | iobm/ES<0> | IV_FALSE | E_IBUF | IV_TRUE | iobm/Er SPPTERM | 5 | IV_FALSE | iobm/ES<0> | IV_FALSE | iobm/ES<2> | IV_FALSE | iobm/ES<1> | IV_FALSE | iobm/ES<3> | IV_TRUE | E_IBUF SPPTERM | 5 | IV_FALSE | iobm/ES<0> | IV_FALSE | iobm/ES<2> | IV_FALSE | iobm/ES<1> | IV_FALSE | iobm/ES<3> | IV_FALSE | iobm/Er SRFF_INSTANCE | iobm/ES<0>.REG | iobm/ES<0> | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/ES<0>.D | 11568 | ? | 0 | 0 | iobm/ES<0> | NULL | NULL | iobm/ES<0>.XOR | 0 | 7 | ALU_F +NODE | iobm/ES<0>.D | 11140 | ? | 0 | 0 | iobm/ES<0> | NULL | NULL | iobm/ES<0>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C8M_IBUF/FCLK- | 11137 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 10709 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/ES<0>.Q | 11571 | ? | 0 | 0 | iobm/ES<0> | NULL | NULL | iobm/ES<0>.REG | 0 | 8 | SRFF_Q +NODE | iobm/ES<0>.Q | 11143 | ? | 0 | 0 | iobm/ES<0> | NULL | NULL | iobm/ES<0>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/IOS_FSM_FFd4 | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 11209 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 10782 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 11141 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10713 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/IOS_FSM_FFd4 | 11207 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 10780 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/IOS_FSM_FFd4.SI | iobm/IOS_FSM_FFd4 | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 11209 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 10782 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/IOS_FSM_FFd4.D1 | 11573 | ? | 0 | 4096 | iobm/IOS_FSM_FFd4 | NULL | NULL | iobm/IOS_FSM_FFd4.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/IOS_FSM_FFd4.D1 | 11145 | ? | 0 | 4096 | iobm/IOS_FSM_FFd4 | NULL | NULL | iobm/IOS_FSM_FFd4.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/IOS_FSM_FFd4.D2 | 11574 | ? | 0 | 4096 | iobm/IOS_FSM_FFd4 | NULL | NULL | iobm/IOS_FSM_FFd4.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/IOS_FSM_FFd4.D2 | 11146 | ? | 0 | 4096 | iobm/IOS_FSM_FFd4 | NULL | NULL | iobm/IOS_FSM_FFd4.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | iobm/IOS_FSM_FFd5 SRFF_INSTANCE | iobm/IOS_FSM_FFd4.REG | iobm/IOS_FSM_FFd4 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/IOS_FSM_FFd4.D | 11572 | ? | 0 | 0 | iobm/IOS_FSM_FFd4 | NULL | NULL | iobm/IOS_FSM_FFd4.XOR | 0 | 7 | ALU_F +NODE | iobm/IOS_FSM_FFd4.D | 11144 | ? | 0 | 0 | iobm/IOS_FSM_FFd4 | NULL | NULL | iobm/IOS_FSM_FFd4.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 11141 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10713 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/IOS_FSM_FFd4.Q | 11575 | ? | 0 | 0 | iobm/IOS_FSM_FFd4 | NULL | NULL | iobm/IOS_FSM_FFd4.REG | 0 | 8 | SRFF_Q +NODE | iobm/IOS_FSM_FFd4.Q | 11147 | ? | 0 | 0 | iobm/IOS_FSM_FFd4 | NULL | NULL | iobm/IOS_FSM_FFd4.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped+Ce | iobm/ES<2> | WarpSE_COPY_0_COPY_0 | 2424312832 | 6 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 11206 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 10779 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 11213 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 10787 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 11246 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +NODE | iobm/Er | 10819 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | E_IBUF | 11240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX +NODE | E_IBUF | 10813 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 11208 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 10781 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF/FCLK- | 11137 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 10709 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/ES<2> | 11208 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 10781 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/ES<2>.SI | iobm/ES<2> | 0 | 5 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 11206 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 10779 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 11213 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 10787 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 11246 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +NODE | iobm/Er | 10819 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | E_IBUF | 11240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX +NODE | E_IBUF | 10813 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 11208 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 10781 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/ES<2>.D1 | 11577 | ? | 0 | 4096 | iobm/ES<2> | NULL | NULL | iobm/ES<2>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/ES<2>.D1 | 11149 | ? | 0 | 4096 | iobm/ES<2> | NULL | NULL | iobm/ES<2>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/ES<2>.D2 | 11578 | ? | 0 | 4096 | iobm/ES<2> | NULL | NULL | iobm/ES<2>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/ES<2>.D2 | 11150 | ? | 0 | 4096 | iobm/ES<2> | NULL | NULL | iobm/ES<2>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 3 | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<1> | IV_TRUE | E_IBUF SPPTERM | 3 | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<1> | IV_FALSE | iobm/Er SPPTERM | 3 | IV_TRUE | iobm/ES<2> | IV_FALSE | E_IBUF | IV_TRUE | iobm/Er SRFF_INSTANCE | iobm/ES<2>.REG | iobm/ES<2> | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/ES<2>.D | 11576 | ? | 0 | 0 | iobm/ES<2> | NULL | NULL | iobm/ES<2>.XOR | 0 | 7 | ALU_F +NODE | iobm/ES<2>.D | 11148 | ? | 0 | 0 | iobm/ES<2> | NULL | NULL | iobm/ES<2>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C8M_IBUF/FCLK- | 11137 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 10709 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/ES<2>.Q | 11579 | ? | 0 | 0 | iobm/ES<2> | NULL | NULL | iobm/ES<2>.REG | 0 | 8 | SRFF_Q +NODE | iobm/ES<2>.Q | 11151 | ? | 0 | 0 | iobm/ES<2> | NULL | NULL | iobm/ES<2>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/IOS_FSM_FFd5 | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 11210 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd6 | 10783 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 11141 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10713 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/IOS_FSM_FFd5 | 11209 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 10782 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/IOS_FSM_FFd5.SI | iobm/IOS_FSM_FFd5 | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 11210 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd6 | 10783 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/IOS_FSM_FFd5.D1 | 11581 | ? | 0 | 4096 | iobm/IOS_FSM_FFd5 | NULL | NULL | iobm/IOS_FSM_FFd5.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/IOS_FSM_FFd5.D1 | 11153 | ? | 0 | 4096 | iobm/IOS_FSM_FFd5 | NULL | NULL | iobm/IOS_FSM_FFd5.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/IOS_FSM_FFd5.D2 | 11582 | ? | 0 | 4096 | iobm/IOS_FSM_FFd5 | NULL | NULL | iobm/IOS_FSM_FFd5.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/IOS_FSM_FFd5.D2 | 11154 | ? | 0 | 4096 | iobm/IOS_FSM_FFd5 | NULL | NULL | iobm/IOS_FSM_FFd5.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | iobm/IOS_FSM_FFd6 SRFF_INSTANCE | iobm/IOS_FSM_FFd5.REG | iobm/IOS_FSM_FFd5 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/IOS_FSM_FFd5.D | 11580 | ? | 0 | 0 | iobm/IOS_FSM_FFd5 | NULL | NULL | iobm/IOS_FSM_FFd5.XOR | 0 | 7 | ALU_F +NODE | iobm/IOS_FSM_FFd5.D | 11152 | ? | 0 | 0 | iobm/IOS_FSM_FFd5 | NULL | NULL | iobm/IOS_FSM_FFd5.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 11141 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10713 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/IOS_FSM_FFd5.Q | 11583 | ? | 0 | 0 | iobm/IOS_FSM_FFd5 | NULL | NULL | iobm/IOS_FSM_FFd5.REG | 0 | 8 | SRFF_Q +NODE | iobm/IOS_FSM_FFd5.Q | 11155 | ? | 0 | 0 | iobm/IOS_FSM_FFd5 | NULL | NULL | iobm/IOS_FSM_FFd5.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/IOS_FSM_FFd6 | WarpSE_COPY_0_COPY_0 | 2155873280 | 6 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 11203 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 10776 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/C8Mr | 11205 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM +NODE | iobm/C8Mr | 10778 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IORDREQr | 11232 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM +NODE | iobm/IORDREQr | 10806 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 11293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 10865 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOWRREQr | 11248 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM +NODE | iobm/IOWRREQr | 10821 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 11141 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10713 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/IOS_FSM_FFd6 | 11210 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd6 | 10783 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/IOS_FSM_FFd6.SI | iobm/IOS_FSM_FFd6 | 0 | 5 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 11203 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 10776 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/C8Mr | 11205 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM +NODE | iobm/C8Mr | 10778 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IORDREQr | 11232 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM +NODE | iobm/IORDREQr | 10806 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 11293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 10865 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOWRREQr | 11248 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM +NODE | iobm/IOWRREQr | 10821 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/IOS_FSM_FFd6.D1 | 11585 | ? | 0 | 4096 | iobm/IOS_FSM_FFd6 | NULL | NULL | iobm/IOS_FSM_FFd6.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/IOS_FSM_FFd6.D1 | 11157 | ? | 0 | 4096 | iobm/IOS_FSM_FFd6 | NULL | NULL | iobm/IOS_FSM_FFd6.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/IOS_FSM_FFd6.D2 | 11586 | ? | 0 | 4096 | iobm/IOS_FSM_FFd6 | NULL | NULL | iobm/IOS_FSM_FFd6.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/IOS_FSM_FFd6.D2 | 11158 | ? | 0 | 4096 | iobm/IOS_FSM_FFd6 | NULL | NULL | iobm/IOS_FSM_FFd6.SI | 2 | 9 | MC_SI_D2 SPPTERM | 4 | IV_TRUE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/C8Mr | IV_TRUE | iobm/IORDREQr | IV_FALSE | AoutOE SPPTERM | 4 | IV_TRUE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/C8Mr | IV_TRUE | iobm/IOWRREQr | IV_FALSE | AoutOE SRFF_INSTANCE | iobm/IOS_FSM_FFd6.REG | iobm/IOS_FSM_FFd6 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/IOS_FSM_FFd6.D | 11584 | ? | 0 | 0 | iobm/IOS_FSM_FFd6 | NULL | NULL | iobm/IOS_FSM_FFd6.XOR | 0 | 7 | ALU_F +NODE | iobm/IOS_FSM_FFd6.D | 11156 | ? | 0 | 0 | iobm/IOS_FSM_FFd6 | NULL | NULL | iobm/IOS_FSM_FFd6.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 11141 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10713 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/IOS_FSM_FFd6.Q | 11587 | ? | 0 | 0 | iobm/IOS_FSM_FFd6 | NULL | NULL | iobm/IOS_FSM_FFd6.REG | 0 | 8 | SRFF_Q +NODE | iobm/IOS_FSM_FFd6.Q | 11159 | ? | 0 | 0 | iobm/IOS_FSM_FFd6 | NULL | NULL | iobm/IOS_FSM_FFd6.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+PrldHigh+OptxMapped | ram/RS_FSM_FFd8 | WarpSE_COPY_0_COPY_0 | 2155873024 | 12 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RefUrg | 10740 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 10754 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefDone | 10757 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 10791 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd8 | 10784 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd4 | 10789 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEN | 10790 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nROMCS_OBUF.EXP | 11516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.EXP | nROMCS_OBUF | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASrr.EXP | 11529 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASrr.EXP | ram/RASrr | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd8 | 10784 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | ram/RS_FSM_FFd8.SI | ram/RS_FSM_FFd8 | 0 | 11 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RefUrg | 10740 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 10754 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefDone | 10757 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 10791 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd8 | 10784 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd4 | 10789 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEN | 10790 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nROMCS_OBUF.EXP | 11516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.EXP | nROMCS_OBUF | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASrr.EXP | 11529 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASrr.EXP | ram/RASrr | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RS_FSM_FFd8.D1 | 11161 | ? | 0 | 4096 | ram/RS_FSM_FFd8 | NULL | NULL | ram/RS_FSM_FFd8.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/RS_FSM_FFd8.D2 | 11162 | ? | 0 | 4096 | ram/RS_FSM_FFd8 | NULL | NULL | ram/RS_FSM_FFd8.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | nROMCS_OBUF.EXP +SPPTERM | 1 | IV_TRUE | ram/RASrr.EXP +SPPTERM | 3 | IV_FALSE | ram/RS_FSM_FFd8 | IV_FALSE | ram/RS_FSM_FFd4 | IV_FALSE | ram/RS_FSM_FFd1 +SPPTERM | 4 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | RefUrg | IV_FALSE | ram/RefDone | IV_FALSE | ram/RS_FSM_FFd1 +SPPTERM | 4 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | RefUrg | IV_FALSE | ram/RefDone | IV_FALSE | ram/RS_FSM_FFd1 +SPPTERM | 4 | IV_TRUE | RefUrg | IV_TRUE | cs/nOverlay | IV_FALSE | ram/RefDone | IV_FALSE | ram/RS_FSM_FFd1 +SPPTERM | 4 | IV_TRUE | RefUrg | IV_FALSE | ram/RefDone | IV_FALSE | ram/RASEN | IV_FALSE | ram/RS_FSM_FFd1 + +SRFF_INSTANCE | ram/RS_FSM_FFd8.REG | ram/RS_FSM_FFd8 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | ram/RS_FSM_FFd8.D | 11160 | ? | 0 | 0 | ram/RS_FSM_FFd8 | NULL | NULL | ram/RS_FSM_FFd8.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | ram/RS_FSM_FFd8.Q | 11163 | ? | 0 | 0 | ram/RS_FSM_FFd8 | NULL | NULL | ram/RS_FSM_FFd8.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/IS_FSM_FFd1 | WarpSE_COPY_0_COPY_0 | 2155877376 | 25 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 11182 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 10753 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 11211 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd1 | 10785 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 11212 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd2 | 10786 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 11241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 10814 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/nIPL2r | 11244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/nIPL2r.Q | cnt/nIPL2r | 1 | 0 | MC_UIM +NODE | cnt/nIPL2r | 10817 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/nIPL2r.Q | cnt/nIPL2r | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 11255 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 10829 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimerTC | 11256 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimerTC.Q | cnt/LTimerTC | 1 | 0 | MC_UIM +NODE | cnt/LTimerTC | 10830 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimerTC.Q | cnt/LTimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 11155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 11124 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 11125 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 11126 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 11127 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 11128 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 10700 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 11129 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 10701 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 11130 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 10702 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 11131 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 10703 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 11133 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 10705 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 11164 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | iobs/Sent | 10734 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 11184 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10755 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW1 | 11185 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM +NODE | iobs/IORW1 | 10756 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 11200 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 10774 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 11257 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 11291 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 10863 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 11201 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10775 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 11152 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 10724 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/IS_FSM_FFd1 | 11211 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd1 | 10785 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | cnt/IS_FSM_FFd1.EXP | 11997 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.EXP | cnt/IS_FSM_FFd1 | 4 | 0 | MC_EXPORT +NODE | cnt/IS_FSM_FFd1.EXP | 11569 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.EXP | cnt/IS_FSM_FFd1 | 4 | 0 | MC_EXPORT SIGNAL_INSTANCE | cnt/IS_FSM_FFd1.SI | cnt/IS_FSM_FFd1 | 0 | 24 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 11182 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 10753 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 11211 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd1 | 10785 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 11212 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd2 | 10786 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 11241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 10814 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/nIPL2r | 11244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/nIPL2r.Q | cnt/nIPL2r | 1 | 0 | MC_UIM +NODE | cnt/nIPL2r | 10817 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/nIPL2r.Q | cnt/nIPL2r | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 11255 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 10829 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimerTC | 11256 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimerTC.Q | cnt/LTimerTC | 1 | 0 | MC_UIM +NODE | cnt/LTimerTC | 10830 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimerTC.Q | cnt/LTimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 11124 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 11125 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 11126 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 11127 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 11128 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 10700 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 11129 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 10701 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 11130 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 10702 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 11131 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 10703 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 11133 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 10705 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 11164 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | iobs/Sent | 10734 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 11184 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10755 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW1 | 11185 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM +NODE | iobs/IORW1 | 10756 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 11200 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 10774 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 11257 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 11291 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 10863 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 11201 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10775 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 11152 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 10724 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/IS_FSM_FFd1.D1 | 11589 | ? | 0 | 4096 | cnt/IS_FSM_FFd1 | NULL | NULL | cnt/IS_FSM_FFd1.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/IS_FSM_FFd1.D1 | 11165 | ? | 0 | 4096 | cnt/IS_FSM_FFd1 | NULL | NULL | cnt/IS_FSM_FFd1.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/IS_FSM_FFd1.D2 | 11590 | ? | 0 | 4096 | cnt/IS_FSM_FFd1 | NULL | NULL | cnt/IS_FSM_FFd1.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/IS_FSM_FFd1.D2 | 11166 | ? | 0 | 4096 | cnt/IS_FSM_FFd1 | NULL | NULL | cnt/IS_FSM_FFd1.SI | 2 | 9 | MC_SI_D2 SPPTERM | 7 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/IS_FSM_FFd1 | IV_TRUE | cnt/IS_FSM_FFd2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/nIPL2r | IV_TRUE | cnt/Er<1> | IV_TRUE | cnt/LTimerTC OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | cnt/IS_FSM_FFd1.EXP | 11982 | ? | 0 | 0 | cnt/IS_FSM_FFd1 | NULL | NULL | cnt/IS_FSM_FFd1.SI | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | cnt/IS_FSM_FFd1.EXP | 11554 | ? | 0 | 0 | cnt/IS_FSM_FFd1 | NULL | NULL | cnt/IS_FSM_FFd1.SI | 7 | 9 | MC_SI_EXPORT SPPTERM | 15 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | iobs/IORW1 | IV_TRUE | iobs/TS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 SPPTERM | 15 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | iobs/IORW1 | IV_TRUE | iobs/TS_FSM_FFd2 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 SPPTERM | 15 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | iobs/IORW1 | IV_TRUE | iobs/TS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 @@ -3466,95 +3618,95 @@ SPPTERM | 15 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A SRFF_INSTANCE | cnt/IS_FSM_FFd1.REG | cnt/IS_FSM_FFd1 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/IS_FSM_FFd1.D | 11588 | ? | 0 | 0 | cnt/IS_FSM_FFd1 | NULL | NULL | cnt/IS_FSM_FFd1.XOR | 0 | 7 | ALU_F +NODE | cnt/IS_FSM_FFd1.D | 11164 | ? | 0 | 0 | cnt/IS_FSM_FFd1 | NULL | NULL | cnt/IS_FSM_FFd1.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 11155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/IS_FSM_FFd1.Q | 11591 | ? | 0 | 0 | cnt/IS_FSM_FFd1 | NULL | NULL | cnt/IS_FSM_FFd1.REG | 0 | 8 | SRFF_Q +NODE | cnt/IS_FSM_FFd1.Q | 11167 | ? | 0 | 0 | cnt/IS_FSM_FFd1 | NULL | NULL | cnt/IS_FSM_FFd1.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/IS_FSM_FFd2 | WarpSE_COPY_0_COPY_0 | 2155877376 | 7 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 11182 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 10753 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 11211 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd1 | 10785 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 11212 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd2 | 10786 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 11241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 10814 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 11255 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 10829 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimerTC | 11256 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimerTC.Q | cnt/LTimerTC | 1 | 0 | MC_UIM +NODE | cnt/LTimerTC | 10830 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimerTC.Q | cnt/LTimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 11155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/IS_FSM_FFd2 | 11212 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd2 | 10786 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cnt/IS_FSM_FFd2.SI | cnt/IS_FSM_FFd2 | 0 | 6 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 11182 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 10753 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 11211 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd1 | 10785 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 11212 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd2 | 10786 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 11241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 10814 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 11255 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 10829 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimerTC | 11256 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimerTC.Q | cnt/LTimerTC | 1 | 0 | MC_UIM +NODE | cnt/LTimerTC | 10830 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimerTC.Q | cnt/LTimerTC | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/IS_FSM_FFd2.D1 | 11593 | ? | 0 | 4096 | cnt/IS_FSM_FFd2 | NULL | NULL | cnt/IS_FSM_FFd2.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/IS_FSM_FFd2.D1 | 11169 | ? | 0 | 4096 | cnt/IS_FSM_FFd2 | NULL | NULL | cnt/IS_FSM_FFd2.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/IS_FSM_FFd2.D2 | 11594 | ? | 0 | 4096 | cnt/IS_FSM_FFd2 | NULL | NULL | cnt/IS_FSM_FFd2.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/IS_FSM_FFd2.D2 | 11170 | ? | 0 | 4096 | cnt/IS_FSM_FFd2 | NULL | NULL | cnt/IS_FSM_FFd2.SI | 2 | 9 | MC_SI_D2 SPPTERM | 6 | IV_TRUE | cnt/TimerTC | IV_TRUE | cnt/IS_FSM_FFd1 | IV_TRUE | cnt/IS_FSM_FFd2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> | IV_TRUE | cnt/LTimerTC SPPTERM | 6 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> | IV_TRUE | cnt/LTimerTC SRFF_INSTANCE | cnt/IS_FSM_FFd2.REG | cnt/IS_FSM_FFd2 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/IS_FSM_FFd2.D | 11592 | ? | 0 | 0 | cnt/IS_FSM_FFd2 | NULL | NULL | cnt/IS_FSM_FFd2.XOR | 0 | 7 | ALU_F +NODE | cnt/IS_FSM_FFd2.D | 11168 | ? | 0 | 0 | cnt/IS_FSM_FFd2 | NULL | NULL | cnt/IS_FSM_FFd2.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 11155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/IS_FSM_FFd2.Q | 11595 | ? | 0 | 0 | cnt/IS_FSM_FFd2 | NULL | NULL | cnt/IS_FSM_FFd2.REG | 0 | 8 | SRFF_Q +NODE | cnt/IS_FSM_FFd2.Q | 11171 | ? | 0 | 0 | cnt/IS_FSM_FFd2 | NULL | NULL | cnt/IS_FSM_FFd2.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped+Ce | iobm/ES<1> | WarpSE_COPY_0_COPY_0 | 2424308992 | 7 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 11206 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 10779 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 11213 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 10787 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | E_IBUF | 11240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX +NODE | E_IBUF | 10813 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 11246 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +NODE | iobm/Er | 10819 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 11208 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 10781 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 11214 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +NODE | iobm/ES<3> | 10788 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF/FCLK- | 11137 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 10709 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/ES<1> | 11213 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 10787 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/ES<1>.SI | iobm/ES<1> | 0 | 6 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 11206 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 10779 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 11213 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 10787 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | E_IBUF | 11240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX +NODE | E_IBUF | 10813 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 11246 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +NODE | iobm/Er | 10819 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 11208 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 10781 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 11214 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +NODE | iobm/ES<3> | 10788 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/ES<1>.D1 | 11597 | ? | 0 | 4096 | iobm/ES<1> | NULL | NULL | iobm/ES<1>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/ES<1>.D1 | 11173 | ? | 0 | 4096 | iobm/ES<1> | NULL | NULL | iobm/ES<1>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/ES<1>.D2 | 11598 | ? | 0 | 4096 | iobm/ES<1> | NULL | NULL | iobm/ES<1>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/ES<1>.D2 | 11174 | ? | 0 | 4096 | iobm/ES<1> | NULL | NULL | iobm/ES<1>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<1> SPPTERM | 2 | IV_FALSE | iobm/ES<0> | IV_FALSE | iobm/ES<1> SPPTERM | 2 | IV_FALSE | E_IBUF | IV_TRUE | iobm/Er @@ -3562,48 +3714,48 @@ SPPTERM | 3 | IV_TRUE | iobm/ES<0> | IV_FALSE | iobm/ES<2> | IV_TRUE | iobm/ES<3 SRFF_INSTANCE | iobm/ES<1>.REG | iobm/ES<1> | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/ES<1>.D | 11596 | ? | 0 | 0 | iobm/ES<1> | NULL | NULL | iobm/ES<1>.XOR | 0 | 7 | ALU_F +NODE | iobm/ES<1>.D | 11172 | ? | 0 | 0 | iobm/ES<1> | NULL | NULL | iobm/ES<1>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C8M_IBUF/FCLK- | 11137 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 10709 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/ES<1>.Q | 11599 | ? | 0 | 0 | iobm/ES<1> | NULL | NULL | iobm/ES<1>.REG | 0 | 8 | SRFF_Q +NODE | iobm/ES<1>.Q | 11175 | ? | 0 | 0 | iobm/ES<1> | NULL | NULL | iobm/ES<1>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped+Ce | iobm/ES<3> | WarpSE_COPY_0_COPY_0 | 2424312832 | 7 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 11214 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +NODE | iobm/ES<3> | 10788 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | E_IBUF | 11240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX +NODE | E_IBUF | 10813 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 11246 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +NODE | iobm/Er | 10819 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 11206 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 10779 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 11208 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 10781 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 11213 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 10787 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF/FCLK- | 11137 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 10709 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/ES<3> | 11214 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +NODE | iobm/ES<3> | 10788 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/ES<3>.SI | iobm/ES<3> | 0 | 6 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 11214 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +NODE | iobm/ES<3> | 10788 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | E_IBUF | 11240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX +NODE | E_IBUF | 10813 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 11246 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +NODE | iobm/Er | 10819 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 11206 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 10779 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 11208 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 10781 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 11213 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 10787 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/ES<3>.D1 | 11601 | ? | 0 | 4096 | iobm/ES<3> | NULL | NULL | iobm/ES<3>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/ES<3>.D1 | 11177 | ? | 0 | 4096 | iobm/ES<3> | NULL | NULL | iobm/ES<3>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/ES<3>.D2 | 11602 | ? | 0 | 4096 | iobm/ES<3> | NULL | NULL | iobm/ES<3>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/ES<3>.D2 | 11178 | ? | 0 | 4096 | iobm/ES<3> | NULL | NULL | iobm/ES<3>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 3 | IV_TRUE | iobm/ES<3> | IV_FALSE | E_IBUF | IV_TRUE | iobm/Er SPPTERM | 4 | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<2> | IV_TRUE | iobm/ES<1> | IV_TRUE | E_IBUF SPPTERM | 4 | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<2> | IV_TRUE | iobm/ES<1> | IV_FALSE | iobm/Er @@ -3611,346 +3763,227 @@ SPPTERM | 4 | IV_TRUE | iobm/ES<0> | IV_FALSE | iobm/ES<2> | IV_FALSE | iobm/ES< SRFF_INSTANCE | iobm/ES<3>.REG | iobm/ES<3> | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/ES<3>.D | 11600 | ? | 0 | 0 | iobm/ES<3> | NULL | NULL | iobm/ES<3>.XOR | 0 | 7 | ALU_F +NODE | iobm/ES<3>.D | 11176 | ? | 0 | 0 | iobm/ES<3> | NULL | NULL | iobm/ES<3>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C8M_IBUF/FCLK- | 11137 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 10709 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/ES<3>.Q | 11603 | ? | 0 | 0 | iobm/ES<3> | NULL | NULL | iobm/ES<3>.REG | 0 | 8 | SRFF_Q +NODE | iobm/ES<3>.Q | 11179 | ? | 0 | 0 | iobm/ES<3> | NULL | NULL | iobm/ES<3>.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | ram/RS_FSM_FFd5 | WarpSE_COPY_0_COPY_0 | 2155873536 | 5 | 1 +MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RS_FSM_FFd4 | WarpSE_COPY_0_COPY_0 | 2155873280 | 13 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd5 | 11215 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd5.Q | ram/RS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | ram/DTACKr | 10798 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/DTACKr.Q | ram/DTACKr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd6 | 11235 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd6.Q | ram/RS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd5 | 10799 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd5.Q | ram/RS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 11191 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 11257 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 11155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | A_FSB_11_IBUF | 10725 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | $OpTx$$OpTx$FX_DC$350_INV$537.UIM | 10908 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | $OpTx$$OpTx$FX_DC$350_INV$537.Q | $OpTx$$OpTx$FX_DC$350_INV$537 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 10726 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 10825 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 10826 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/WS<0> | 10793 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<0>.Q | cnt/WS<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/WS<1> | 10804 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<1>.Q | cnt/WS<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/WS<2> | 10805 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<2>.Q | cnt/WS<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/WS<3> | 10815 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<3>.Q | cnt/WS<3> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RS_FSM_FFd5 | 11215 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd5.Q | ram/RS_FSM_FFd5 | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | ram/RS_FSM_FFd5.SI | ram/RS_FSM_FFd5 | 0 | 4 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd5 | 11215 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd5.Q | ram/RS_FSM_FFd5 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd6 | 11235 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd6.Q | ram/RS_FSM_FFd6 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 11191 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 11257 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RS_FSM_FFd5.D1 | 11605 | ? | 0 | 4096 | ram/RS_FSM_FFd5 | NULL | NULL | ram/RS_FSM_FFd5.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RS_FSM_FFd5.D2 | 11606 | ? | 0 | 4096 | ram/RS_FSM_FFd5 | NULL | NULL | ram/RS_FSM_FFd5.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_FALSE | ram/RS_FSM_FFd5 | IV_FALSE | ram/RS_FSM_FFd6 -SPPTERM | 3 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd6 | IV_FALSE | fsb/ASrf - -SRFF_INSTANCE | ram/RS_FSM_FFd5.REG | ram/RS_FSM_FFd5 | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RS_FSM_FFd5.D | 11604 | ? | 0 | 0 | ram/RS_FSM_FFd5 | NULL | NULL | ram/RS_FSM_FFd5.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 11155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RS_FSM_FFd5.Q | 11607 | ? | 0 | 0 | ram/RS_FSM_FFd5 | NULL | NULL | ram/RS_FSM_FFd5.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RASEN | WarpSE_COPY_0_COPY_0 | 2155873280 | 19 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd9 | 11202 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd9.Q | ram/RS_FSM_FFd9 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd5 | 11215 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd5.Q | ram/RS_FSM_FFd5 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd7 | 11217 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd8 | 11219 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd4 | 11227 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 11233 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 11234 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd6 | 11235 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd6.Q | ram/RS_FSM_FFd6 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 11218 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 11180 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEN | 11216 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 11157 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 11191 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 11257 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 11124 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefReq | 11159 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 11226 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP12_.EXP | 11915 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP12_.EXP | EXP12_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 11155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RASEN | 11216 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd4 | 10789 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | ram/RASEN.EXP | 11916 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.EXP | ram/RASEN | 4 | 0 | MC_EXPORT +NODE | ram/RS_FSM_FFd4.EXP | 11487 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.EXP | ram/RS_FSM_FFd4 | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | ram/RASEN.SI | ram/RASEN | 0 | 18 | 3 +SIGNAL_INSTANCE | ram/RS_FSM_FFd4.SI | ram/RS_FSM_FFd4 | 0 | 12 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd9 | 11202 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd9.Q | ram/RS_FSM_FFd9 | 1 | 0 | MC_UIM +NODE | ram/DTACKr | 10798 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/DTACKr.Q | ram/DTACKr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd5 | 11215 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd5.Q | ram/RS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd5 | 10799 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd5.Q | ram/RS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd7 | 11217 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd8 | 11219 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM +NODE | A_FSB_11_IBUF | 10725 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd4 | 11227 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | $OpTx$$OpTx$FX_DC$350_INV$537.UIM | 10908 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | $OpTx$$OpTx$FX_DC$350_INV$537.Q | $OpTx$$OpTx$FX_DC$350_INV$537 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 11233 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | A_FSB_10_IBUF | 10726 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 11234 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | A_FSB_9_IBUF | 10825 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd6 | 11235 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd6.Q | ram/RS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | A_FSB_8_IBUF | 10826 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 11218 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/WS<0> | 10793 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<0>.Q | cnt/WS<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 11180 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +NODE | cnt/WS<1> | 10804 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<1>.Q | cnt/WS<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEN | 11216 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM +NODE | cnt/WS<2> | 10805 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<2>.Q | cnt/WS<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 11157 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 11191 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 11257 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 11124 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefReq | 11159 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 11226 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP12_.EXP | 11915 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP12_.EXP | EXP12_ | 4 | 0 | MC_EXPORT +NODE | cnt/WS<3> | 10815 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<3>.Q | cnt/WS<3> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RASEN.D1 | 11609 | ? | 0 | 4096 | ram/RASEN | NULL | NULL | ram/RASEN.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RS_FSM_FFd4.D1 | 11181 | ? | 0 | 4096 | ram/RS_FSM_FFd4 | NULL | NULL | ram/RS_FSM_FFd4.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RASEN.D2 | 11610 | ? | 0 | 4096 | ram/RASEN | NULL | NULL | ram/RASEN.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | ram/RS_FSM_FFd1 -SPPTERM | 1 | IV_TRUE | EXP12_.EXP -SPPTERM | 3 | IV_TRUE | ram/RefDone | IV_TRUE | ram/RS_FSM_FFd9 | IV_TRUE | ram/RASEN -SPPTERM | 4 | IV_FALSE | RefUrg | IV_TRUE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd5 | IV_FALSE | fsb/ASrf -SPPTERM | 8 | IV_FALSE | ram/RS_FSM_FFd9 | IV_FALSE | ram/RS_FSM_FFd5 | IV_FALSE | ram/RS_FSM_FFd7 | IV_FALSE | ram/RS_FSM_FFd8 | IV_FALSE | ram/RS_FSM_FFd4 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RS_FSM_FFd6 +SIGNAL | NODE | ram/RS_FSM_FFd4.D2 | 11182 | ? | 0 | 4096 | ram/RS_FSM_FFd4 | NULL | NULL | ram/RS_FSM_FFd4.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | ram/DTACKr | IV_TRUE | ram/RS_FSM_FFd5 OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | ram/RASEN.EXP | 11902 | ? | 0 | 0 | ram/RASEN | NULL | NULL | ram/RASEN.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 6 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | RefReq | IV_FALSE | ram/RefDone | IV_TRUE | ram/RS_FSM_FFd9 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf +SIGNAL | NODE | ram/RS_FSM_FFd4.EXP | 11475 | ? | 0 | 0 | ram/RS_FSM_FFd4 | NULL | NULL | ram/RS_FSM_FFd4.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 3 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | $OpTx$$OpTx$FX_DC$350_INV$537.UIM +SPPTERM | 3 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | $OpTx$$OpTx$FX_DC$350_INV$537.UIM +SPPTERM | 4 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_9_IBUF | IV_FALSE | A_FSB_8_IBUF | IV_FALSE | $OpTx$$OpTx$FX_DC$350_INV$537.UIM +SPPTERM | 5 | IV_TRUE | cnt/WS<0> | IV_TRUE | cnt/WS<1> | IV_TRUE | cnt/WS<2> | IV_TRUE | cnt/WS<3> | IV_FALSE | $OpTx$$OpTx$FX_DC$350_INV$537.UIM + +SRFF_INSTANCE | ram/RS_FSM_FFd4.REG | ram/RS_FSM_FFd4 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | ram/RS_FSM_FFd4.D | 11180 | ? | 0 | 0 | ram/RS_FSM_FFd4 | NULL | NULL | ram/RS_FSM_FFd4.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | ram/RS_FSM_FFd4.Q | 11183 | ? | 0 | 0 | ram/RS_FSM_FFd4 | NULL | NULL | ram/RS_FSM_FFd4.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | ram/RASEN | WarpSE_COPY_0_COPY_0 | 2155873536 | 10 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd8 | 10784 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd4 | 10789 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 10791 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RefUrg | 10740 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefDone | 10757 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEN | 10790 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RA_4_OBUF.EXP | 11522 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_4_OBUF.EXP | RA_4_OBUF | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | ram/RASEN | 10790 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | ram/RASEN.SI | ram/RASEN | 0 | 9 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd8 | 10784 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd4 | 10789 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 10791 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RefUrg | 10740 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefDone | 10757 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEN | 10790 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RA_4_OBUF.EXP | 11522 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_4_OBUF.EXP | RA_4_OBUF | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RASEN.D1 | 11185 | ? | 0 | 4096 | ram/RASEN | NULL | NULL | ram/RASEN.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/RASEN.D2 | 11186 | ? | 0 | 4096 | ram/RASEN | NULL | NULL | ram/RASEN.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | RA_4_OBUF.EXP +SPPTERM | 3 | IV_FALSE | ram/RS_FSM_FFd8 | IV_FALSE | ram/RS_FSM_FFd4 | IV_FALSE | ram/RS_FSM_FFd1 +SPPTERM | 4 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | RefUrg | IV_FALSE | ram/RefDone | IV_FALSE | ram/RS_FSM_FFd1 +SPPTERM | 4 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | RefUrg | IV_FALSE | ram/RefDone | IV_FALSE | ram/RS_FSM_FFd1 +SPPTERM | 4 | IV_TRUE | RefUrg | IV_FALSE | ram/RefDone | IV_FALSE | ram/RS_FSM_FFd8 | IV_FALSE | ram/RS_FSM_FFd1 +SPPTERM | 4 | IV_TRUE | RefUrg | IV_FALSE | ram/RefDone | IV_FALSE | ram/RASEN | IV_FALSE | ram/RS_FSM_FFd1 SRFF_INSTANCE | ram/RASEN.REG | ram/RASEN | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RASEN.D | 11608 | ? | 0 | 0 | ram/RASEN | NULL | NULL | ram/RASEN.XOR | 0 | 7 | ALU_F +NODE | ram/RASEN.D | 11184 | ? | 0 | 0 | ram/RASEN | NULL | NULL | ram/RASEN.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 11155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RASEN.Q | 11611 | ? | 0 | 0 | ram/RASEN | NULL | NULL | ram/RASEN.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RS_FSM_FFd7 | WarpSE_COPY_0_COPY_0 | 2155873280 | 8 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 11124 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 11125 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 11183 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 11191 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd9 | 11202 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd9.Q | ram/RS_FSM_FFd9 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEN | 11216 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 11257 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 11155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RS_FSM_FFd7 | 11217 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | ram/RS_FSM_FFd7.SI | ram/RS_FSM_FFd7 | 0 | 7 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 11124 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 11125 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 11183 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 11191 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd9 | 11202 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd9.Q | ram/RS_FSM_FFd9 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEN | 11216 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 11257 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RS_FSM_FFd7.D1 | 11613 | ? | 0 | 4096 | ram/RS_FSM_FFd7 | NULL | NULL | ram/RS_FSM_FFd7.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RS_FSM_FFd7.D2 | 11614 | ? | 0 | 4096 | ram/RS_FSM_FFd7 | NULL | NULL | ram/RS_FSM_FFd7.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd9 | IV_TRUE | ram/RASEN -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay | IV_TRUE | ram/RS_FSM_FFd9 | IV_TRUE | ram/RASEN | IV_TRUE | fsb/ASrf - -SRFF_INSTANCE | ram/RS_FSM_FFd7.REG | ram/RS_FSM_FFd7 | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RS_FSM_FFd7.D | 11612 | ? | 0 | 0 | ram/RS_FSM_FFd7 | NULL | NULL | ram/RS_FSM_FFd7.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 11155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RS_FSM_FFd7.Q | 11615 | ? | 0 | 0 | ram/RS_FSM_FFd7 | NULL | NULL | ram/RS_FSM_FFd7.REG | 0 | 8 | SRFF_Q +NODE | ram/RASEN.Q | 11187 | ? | 0 | 0 | ram/RASEN | NULL | NULL | ram/RASEN.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RS_FSM_FFd1 | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 11233 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd2 | 10807 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 11155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RS_FSM_FFd1 | 11218 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd1 | 10791 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | ram/RS_FSM_FFd1.SI | ram/RS_FSM_FFd1 | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 11233 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd2 | 10807 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RS_FSM_FFd1.D1 | 11617 | ? | 0 | 4096 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RS_FSM_FFd1.D1 | 11189 | ? | 0 | 4096 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RS_FSM_FFd1.D2 | 11618 | ? | 0 | 4096 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/RS_FSM_FFd1.D2 | 11190 | ? | 0 | 4096 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | ram/RS_FSM_FFd2 SRFF_INSTANCE | ram/RS_FSM_FFd1.REG | ram/RS_FSM_FFd1 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RS_FSM_FFd1.D | 11616 | ? | 0 | 0 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.XOR | 0 | 7 | ALU_F +NODE | ram/RS_FSM_FFd1.D | 11188 | ? | 0 | 0 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 11155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RS_FSM_FFd1.Q | 11619 | ? | 0 | 0 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RS_FSM_FFd8 | WarpSE_COPY_0_COPY_0 | 2155873280 | 10 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd9 | 11202 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd9.Q | ram/RS_FSM_FFd9 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEN | 11216 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 11125 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 11157 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 11180 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 11124 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 11191 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 11257 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDTACK_FSB_OBUF.EXP | 11913 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.EXP | nDTACK_FSB_OBUF | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 11155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RS_FSM_FFd8 | 11219 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | ram/RS_FSM_FFd8.EXP | 11914 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.EXP | ram/RS_FSM_FFd8 | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | ram/RS_FSM_FFd8.SI | ram/RS_FSM_FFd8 | 0 | 9 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd9 | 11202 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd9.Q | ram/RS_FSM_FFd9 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEN | 11216 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 11125 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 11157 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 11180 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 11124 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 11191 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 11257 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDTACK_FSB_OBUF.EXP | 11913 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.EXP | nDTACK_FSB_OBUF | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RS_FSM_FFd8.D1 | 11621 | ? | 0 | 4096 | ram/RS_FSM_FFd8 | NULL | NULL | ram/RS_FSM_FFd8.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RS_FSM_FFd8.D2 | 11622 | ? | 0 | 4096 | ram/RS_FSM_FFd8 | NULL | NULL | ram/RS_FSM_FFd8.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | nDTACK_FSB_OBUF.EXP -SPPTERM | 2 | IV_TRUE | ram/RS_FSM_FFd9 | IV_FALSE | ram/RASEN -SPPTERM | 4 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | RefUrg | IV_FALSE | ram/RefDone | IV_TRUE | ram/RS_FSM_FFd9 -SPPTERM | 4 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | RefUrg | IV_FALSE | ram/RefDone | IV_TRUE | ram/RS_FSM_FFd9 -SPPTERM | 5 | IV_TRUE | RefUrg | IV_FALSE | ram/RefDone | IV_TRUE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd9 | IV_FALSE | fsb/ASrf -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | ram/RS_FSM_FFd8.EXP | 11900 | ? | 0 | 0 | ram/RS_FSM_FFd8 | NULL | NULL | ram/RS_FSM_FFd8.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | ram/RS_FSM_FFd9 | IV_TRUE | ram/RASEN | IV_TRUE | fsb/ASrf - -SRFF_INSTANCE | ram/RS_FSM_FFd8.REG | ram/RS_FSM_FFd8 | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RS_FSM_FFd8.D | 11620 | ? | 0 | 0 | ram/RS_FSM_FFd8 | NULL | NULL | ram/RS_FSM_FFd8.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 11155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RS_FSM_FFd8.Q | 11623 | ? | 0 | 0 | ram/RS_FSM_FFd8 | NULL | NULL | ram/RS_FSM_FFd8.REG | 0 | 8 | SRFF_Q +NODE | ram/RS_FSM_FFd1.Q | 11191 | ? | 0 | 0 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | IOACT | WarpSE_COPY_0_COPY_0 | 2155873280 | 10 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 11209 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 10782 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 11210 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd6 | 10783 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 11207 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 10780 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 11204 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 10777 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/C8Mr | 11205 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM +NODE | iobm/C8Mr | 10778 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 11203 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 10776 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IORDREQr | 11232 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM +NODE | iobm/IORDREQr | 10806 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 11293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 10865 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDinLE_OBUF.EXP | 11969 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDinLE_OBUF.EXP | nDinLE_OBUF | 4 | 0 | MC_EXPORT +NODE | nDinLE_OBUF.EXP | 11535 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDinLE_OBUF.EXP | nDinLE_OBUF | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 11141 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10713 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | IOACT | 11220 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM +NODE | IOACT | 10792 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM SIGNAL_INSTANCE | IOACT.SI | IOACT | 0 | 9 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 11209 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 10782 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 11210 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd6 | 10783 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 11207 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 10780 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 11204 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 10777 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/C8Mr | 11205 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM +NODE | iobm/C8Mr | 10778 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 11203 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 10776 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IORDREQr | 11232 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM +NODE | iobm/IORDREQr | 10806 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 11293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 10865 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDinLE_OBUF.EXP | 11969 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDinLE_OBUF.EXP | nDinLE_OBUF | 4 | 0 | MC_EXPORT +NODE | nDinLE_OBUF.EXP | 11535 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDinLE_OBUF.EXP | nDinLE_OBUF | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | IOACT.D1 | 11625 | ? | 0 | 4096 | IOACT | NULL | NULL | IOACT.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | IOACT.D1 | 11193 | ? | 0 | 4096 | IOACT | NULL | NULL | IOACT.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | IOACT.D2 | 11626 | ? | 0 | 4096 | IOACT | NULL | NULL | IOACT.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | IOACT.D2 | 11194 | ? | 0 | 4096 | IOACT | NULL | NULL | IOACT.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | iobm/IOS_FSM_FFd4 SPPTERM | 1 | IV_TRUE | iobm/IOS_FSM_FFd5 SPPTERM | 1 | IV_TRUE | iobm/IOS_FSM_FFd6 @@ -3960,270 +3993,240 @@ SPPTERM | 3 | IV_TRUE | iobm/IOS_FSM_FFd7 | IV_TRUE | iobm/IORDREQr | IV_FALSE | SRFF_INSTANCE | IOACT.REG | IOACT | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | IOACT.D | 11624 | ? | 0 | 0 | IOACT | NULL | NULL | IOACT.XOR | 0 | 7 | ALU_F +NODE | IOACT.D | 11192 | ? | 0 | 0 | IOACT | NULL | NULL | IOACT.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 11141 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10713 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | IOACT.Q | 11627 | ? | 0 | 0 | IOACT | NULL | NULL | IOACT.REG | 0 | 8 | SRFF_Q +NODE | IOACT.Q | 11195 | ? | 0 | 0 | IOACT | NULL | NULL | IOACT.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | Inv+PrldLow+Tff+OptxMapped | cnt/WS<0> | WarpSE_COPY_0_COPY_0 | 2155877632 | 14 | 2 +MACROCELL_INSTANCE | Inv+PrldLow+Tff+OptxMapped | cnt/WS<0> | WarpSE_COPY_0_COPY_0 | 2155877632 | 7 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 11191 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<0> | 11221 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<0>.Q | cnt/WS<0> | 1 | 0 | MC_UIM +NODE | cnt/WS<0> | 10793 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<0>.Q | cnt/WS<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 11257 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 11155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 11124 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_11_IBUF | 10725 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefReq | 11159 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM +NODE | ram/RASEL | 10773 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 11180 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd9 | 11202 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd9.Q | ram/RS_FSM_FFd9 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd5 | 11215 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd5.Q | ram/RS_FSM_FFd5 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd7 | 11217 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 11218 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 11226 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd6 | 11235 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd6.Q | ram/RS_FSM_FFd6 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 11125 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_3_IBUF | 10840 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_3_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/WS<0> | 11221 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<0>.Q | cnt/WS<0> | 1 | 0 | MC_UIM +NODE | cnt/WS<0> | 10793 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<0>.Q | cnt/WS<0> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | cnt/WS<0>.EXP | 11909 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<0>.EXP | cnt/WS<0> | 4 | 0 | MC_EXPORT +NODE | cnt/WS<0>.EXP | 11521 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<0>.EXP | cnt/WS<0> | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | cnt/WS<0>.SI | cnt/WS<0> | 0 | 13 | 3 +SIGNAL_INSTANCE | cnt/WS<0>.SI | cnt/WS<0> | 0 | 6 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 11191 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<0> | 11221 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<0>.Q | cnt/WS<0> | 1 | 0 | MC_UIM +NODE | cnt/WS<0> | 10793 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<0>.Q | cnt/WS<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 11257 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 11124 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_11_IBUF | 10725 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefReq | 11159 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM +NODE | ram/RASEL | 10773 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 11180 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd9 | 11202 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd9.Q | ram/RS_FSM_FFd9 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd5 | 11215 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd5.Q | ram/RS_FSM_FFd5 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd7 | 11217 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 11218 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 11226 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd6 | 11235 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd6.Q | ram/RS_FSM_FFd6 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 11125 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_3_IBUF | 10840 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_3_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/WS<0>.D1 | 11629 | ? | 0 | 4096 | cnt/WS<0> | NULL | NULL | cnt/WS<0>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/WS<0>.D1 | 11197 | ? | 0 | 4096 | cnt/WS<0> | NULL | NULL | cnt/WS<0>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/WS<0>.D2 | 11630 | ? | 0 | 4096 | cnt/WS<0> | NULL | NULL | cnt/WS<0>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/WS<0>.D2 | 11198 | ? | 0 | 4096 | cnt/WS<0> | NULL | NULL | cnt/WS<0>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 3 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | cnt/WS<0> | IV_FALSE | fsb/ASrf OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | cnt/WS<0>.EXP | 11895 | ? | 0 | 0 | cnt/WS<0> | NULL | NULL | cnt/WS<0>.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 10 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | RefReq | IV_FALSE | ram/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd9 | IV_FALSE | ram/RS_FSM_FFd5 | IV_FALSE | ram/RS_FSM_FFd7 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr | IV_FALSE | ram/RS_FSM_FFd6 -SPPTERM | 10 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | RefReq | IV_FALSE | ram/RefDone | IV_TRUE | ram/RS_FSM_FFd9 | IV_FALSE | ram/RS_FSM_FFd5 | IV_FALSE | ram/RS_FSM_FFd7 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr | IV_FALSE | ram/RS_FSM_FFd6 | IV_TRUE | fsb/ASrf -SPPTERM | 10 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | RefReq | IV_FALSE | ram/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd9 | IV_FALSE | ram/RS_FSM_FFd5 | IV_FALSE | ram/RS_FSM_FFd7 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr | IV_FALSE | ram/RS_FSM_FFd6 -SPPTERM | 10 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | RefReq | IV_FALSE | ram/RefDone | IV_TRUE | ram/RS_FSM_FFd9 | IV_FALSE | ram/RS_FSM_FFd5 | IV_FALSE | ram/RS_FSM_FFd7 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr | IV_FALSE | ram/RS_FSM_FFd6 | IV_TRUE | fsb/ASrf +SIGNAL | NODE | cnt/WS<0>.EXP | 11507 | ? | 0 | 0 | cnt/WS<0> | NULL | NULL | cnt/WS<0>.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 2 | IV_TRUE | A_FSB_11_IBUF | IV_FALSE | ram/RASEL +SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_3_IBUF SRFF_INSTANCE | cnt/WS<0>.REG | cnt/WS<0> | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/WS<0>.D | 11628 | ? | 0 | 0 | cnt/WS<0> | NULL | NULL | cnt/WS<0>.XOR | 0 | 7 | ALU_F +NODE | cnt/WS<0>.D | 11196 | ? | 0 | 0 | cnt/WS<0> | NULL | NULL | cnt/WS<0>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 11155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/WS<0>.Q | 11631 | ? | 0 | 0 | cnt/WS<0> | NULL | NULL | cnt/WS<0>.REG | 0 | 8 | SRFF_Q +NODE | cnt/WS<0>.Q | 11199 | ? | 0 | 0 | cnt/WS<0> | NULL | NULL | cnt/WS<0>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/IOS_FSM_FFd1 | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 11223 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 10795 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 11141 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10713 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/IOS_FSM_FFd1 | 11222 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 10794 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/IOS_FSM_FFd1.SI | iobm/IOS_FSM_FFd1 | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 11223 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 10795 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/IOS_FSM_FFd1.D1 | 11633 | ? | 0 | 4096 | iobm/IOS_FSM_FFd1 | NULL | NULL | iobm/IOS_FSM_FFd1.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/IOS_FSM_FFd1.D1 | 11201 | ? | 0 | 4096 | iobm/IOS_FSM_FFd1 | NULL | NULL | iobm/IOS_FSM_FFd1.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/IOS_FSM_FFd1.D2 | 11634 | ? | 0 | 4096 | iobm/IOS_FSM_FFd1 | NULL | NULL | iobm/IOS_FSM_FFd1.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/IOS_FSM_FFd1.D2 | 11202 | ? | 0 | 4096 | iobm/IOS_FSM_FFd1 | NULL | NULL | iobm/IOS_FSM_FFd1.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | iobm/IOS_FSM_FFd2 SRFF_INSTANCE | iobm/IOS_FSM_FFd1.REG | iobm/IOS_FSM_FFd1 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/IOS_FSM_FFd1.D | 11632 | ? | 0 | 0 | iobm/IOS_FSM_FFd1 | NULL | NULL | iobm/IOS_FSM_FFd1.XOR | 0 | 7 | ALU_F +NODE | iobm/IOS_FSM_FFd1.D | 11200 | ? | 0 | 0 | iobm/IOS_FSM_FFd1 | NULL | NULL | iobm/IOS_FSM_FFd1.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 11141 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10713 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/IOS_FSM_FFd1.Q | 11635 | ? | 0 | 0 | iobm/IOS_FSM_FFd1 | NULL | NULL | iobm/IOS_FSM_FFd1.REG | 0 | 8 | SRFF_Q +NODE | iobm/IOS_FSM_FFd1.Q | 11203 | ? | 0 | 0 | iobm/IOS_FSM_FFd1 | NULL | NULL | iobm/IOS_FSM_FFd1.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/IOS_FSM_FFd2 | WarpSE_COPY_0_COPY_0 | 2155873280 | 5 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IODONE | 11169 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.Q | IODONE | 1 | 0 | MC_UIM +NODE | IODONE | 10739 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.Q | IODONE | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 11204 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 10777 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/C8Mr | 11205 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM +NODE | iobm/C8Mr | 10778 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOBERR | 11166 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM +NODE | IOBERR | 10736 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 11141 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10713 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/IOS_FSM_FFd2 | 11223 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 10795 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/IOS_FSM_FFd2.SI | iobm/IOS_FSM_FFd2 | 0 | 4 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IODONE | 11169 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.Q | IODONE | 1 | 0 | MC_UIM +NODE | IODONE | 10739 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.Q | IODONE | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 11204 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 10777 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/C8Mr | 11205 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM +NODE | iobm/C8Mr | 10778 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOBERR | 11166 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM +NODE | IOBERR | 10736 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/IOS_FSM_FFd2.D1 | 11637 | ? | 0 | 4096 | iobm/IOS_FSM_FFd2 | NULL | NULL | iobm/IOS_FSM_FFd2.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/IOS_FSM_FFd2.D1 | 11205 | ? | 0 | 4096 | iobm/IOS_FSM_FFd2 | NULL | NULL | iobm/IOS_FSM_FFd2.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/IOS_FSM_FFd2.D2 | 11638 | ? | 0 | 4096 | iobm/IOS_FSM_FFd2 | NULL | NULL | iobm/IOS_FSM_FFd2.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/IOS_FSM_FFd2.D2 | 11206 | ? | 0 | 4096 | iobm/IOS_FSM_FFd2 | NULL | NULL | iobm/IOS_FSM_FFd2.SI | 2 | 9 | MC_SI_D2 SPPTERM | 3 | IV_TRUE | IOBERR | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/C8Mr SPPTERM | 3 | IV_TRUE | IODONE | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/C8Mr SRFF_INSTANCE | iobm/IOS_FSM_FFd2.REG | iobm/IOS_FSM_FFd2 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/IOS_FSM_FFd2.D | 11636 | ? | 0 | 0 | iobm/IOS_FSM_FFd2 | NULL | NULL | iobm/IOS_FSM_FFd2.XOR | 0 | 7 | ALU_F +NODE | iobm/IOS_FSM_FFd2.D | 11204 | ? | 0 | 0 | iobm/IOS_FSM_FFd2 | NULL | NULL | iobm/IOS_FSM_FFd2.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 11141 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10713 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/IOS_FSM_FFd2.Q | 11639 | ? | 0 | 0 | iobm/IOS_FSM_FFd2 | NULL | NULL | iobm/IOS_FSM_FFd2.REG | 0 | 8 | SRFF_Q +NODE | iobm/IOS_FSM_FFd2.Q | 11207 | ? | 0 | 0 | iobm/IOS_FSM_FFd2 | NULL | NULL | iobm/IOS_FSM_FFd2.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/IOACTr | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOACT | 11220 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM +NODE | IOACT | 10792 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 11155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/IOACTr | 11224 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +NODE | iobs/IOACTr | 10796 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobs/IOACTr.SI | iobs/IOACTr | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOACT | 11220 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM +NODE | IOACT | 10792 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/IOACTr.D1 | 11641 | ? | 0 | 4096 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/IOACTr.D1 | 11209 | ? | 0 | 4096 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/IOACTr.D2 | 11642 | ? | 0 | 4096 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/IOACTr.D2 | 11210 | ? | 0 | 4096 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | IOACT SRFF_INSTANCE | iobs/IOACTr.REG | iobs/IOACTr | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/IOACTr.D | 11640 | ? | 0 | 0 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.XOR | 0 | 7 | ALU_F +NODE | iobs/IOACTr.D | 11208 | ? | 0 | 0 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 11155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/IOACTr.Q | 11643 | ? | 0 | 0 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.REG | 0 | 8 | SRFF_Q +NODE | iobs/IOACTr.Q | 11211 | ? | 0 | 0 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/Load1 | WarpSE_COPY_0_COPY_0 | 2155873280 | 19 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 11124 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 11125 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 11126 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 11127 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 11128 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 10700 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 11129 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 10701 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 11130 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 10702 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 11131 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 10703 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 11152 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 10724 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 11164 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | iobs/Sent | 10734 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 11184 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10755 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 11191 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 11201 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10775 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 11291 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 10863 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 11133 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 10705 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 11200 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 10774 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 11257 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 11155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_4_OBUF.EXP | 11956 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_4_OBUF.EXP | RA_4_OBUF | 4 | 0 | MC_EXPORT +NODE | cnt/WS<1>.EXP | 11482 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<1>.EXP | cnt/WS<1> | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/Load1 | 11225 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM +NODE | iobs/Load1 | 10797 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobs/Load1.SI | iobs/Load1 | 0 | 18 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 11124 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 11125 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 11126 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 11127 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 11128 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 10700 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 11129 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 10701 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 11130 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 10702 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 11131 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 10703 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 11152 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 10724 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 11164 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | iobs/Sent | 10734 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 11184 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10755 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 11191 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 11201 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10775 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 11291 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 10863 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 11133 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 10705 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 11200 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 10774 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 11257 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_4_OBUF.EXP | 11956 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_4_OBUF.EXP | RA_4_OBUF | 4 | 0 | MC_EXPORT +NODE | cnt/WS<1>.EXP | 11482 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<1>.EXP | cnt/WS<1> | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/Load1.D1 | 11645 | ? | 0 | 4096 | iobs/Load1 | NULL | NULL | iobs/Load1.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/Load1.D1 | 11213 | ? | 0 | 4096 | iobs/Load1 | NULL | NULL | iobs/Load1.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/Load1.D2 | 11646 | ? | 0 | 4096 | iobs/Load1 | NULL | NULL | iobs/Load1.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | RA_4_OBUF.EXP +SIGNAL | NODE | iobs/Load1.D2 | 11214 | ? | 0 | 4096 | iobs/Load1 | NULL | NULL | iobs/Load1.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | cnt/WS<1>.EXP SPPTERM | 14 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | iobs/TS_FSM_FFd1 | IV_TRUE | ALE1 SPPTERM | 14 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 SPPTERM | 14 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | iobs/TS_FSM_FFd1 | IV_TRUE | ALE1 @@ -4232,119 +4235,260 @@ SPPTERM | 14 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A SRFF_INSTANCE | iobs/Load1.REG | iobs/Load1 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/Load1.D | 11644 | ? | 0 | 0 | iobs/Load1 | NULL | NULL | iobs/Load1.XOR | 0 | 7 | ALU_F +NODE | iobs/Load1.D | 11212 | ? | 0 | 0 | iobs/Load1 | NULL | NULL | iobs/Load1.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 11155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/Load1.Q | 11647 | ? | 0 | 0 | iobs/Load1 | NULL | NULL | iobs/Load1.REG | 0 | 8 | SRFF_Q +NODE | iobs/Load1.Q | 11215 | ? | 0 | 0 | iobs/Load1 | NULL | NULL | iobs/Load1.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | ram/BACTr | WarpSE_COPY_0_COPY_0 | 2155873536 | 3 | 1 +MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/DTACKr | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 11191 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nDTACK_FSB_OBUF | 10772 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 11257 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 11155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/BACTr | 11226 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +NODE | ram/DTACKr | 10798 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/DTACKr.Q | ram/DTACKr | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | ram/BACTr.SI | ram/BACTr | 0 | 2 | 2 +SIGNAL_INSTANCE | ram/DTACKr.SI | ram/DTACKr | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 11191 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 11257 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | nDTACK_FSB_OBUF | 10772 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/BACTr.D1 | 11649 | ? | 0 | 4096 | ram/BACTr | NULL | NULL | ram/BACTr.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/DTACKr.D1 | 11217 | ? | 0 | 4096 | ram/DTACKr | NULL | NULL | ram/DTACKr.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/BACTr.D2 | 11650 | ? | 0 | 4096 | ram/BACTr | NULL | NULL | ram/BACTr.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +SIGNAL | NODE | ram/DTACKr.D2 | 11218 | ? | 0 | 4096 | ram/DTACKr | NULL | NULL | ram/DTACKr.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_FALSE | nDTACK_FSB_OBUF -SRFF_INSTANCE | ram/BACTr.REG | ram/BACTr | 0 | 2 | 1 +SRFF_INSTANCE | ram/DTACKr.REG | ram/DTACKr | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/BACTr.D | 11648 | ? | 0 | 0 | ram/BACTr | NULL | NULL | ram/BACTr.XOR | 0 | 7 | ALU_F +NODE | ram/DTACKr.D | 11216 | ? | 0 | 0 | ram/DTACKr | NULL | NULL | ram/DTACKr.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 11155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/BACTr.Q | 11651 | ? | 0 | 0 | ram/BACTr | NULL | NULL | ram/BACTr.REG | 0 | 8 | SRFF_Q +NODE | ram/DTACKr.Q | 11219 | ? | 0 | 0 | ram/DTACKr | NULL | NULL | ram/DTACKr.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RS_FSM_FFd4 | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 +MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RS_FSM_FFd5 | WarpSE_COPY_0_COPY_0 | 2155873280 | 4 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd8 | 11219 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd6 | 10800 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd6.Q | ram/RS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 11155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | ram/DTACKr | 10798 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/DTACKr.Q | ram/DTACKr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd5 | 10799 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd5.Q | ram/RS_FSM_FFd5 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RS_FSM_FFd4 | 11227 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd5 | 10799 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd5.Q | ram/RS_FSM_FFd5 | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | ram/RS_FSM_FFd4.SI | ram/RS_FSM_FFd4 | 0 | 1 | 2 +SIGNAL_INSTANCE | ram/RS_FSM_FFd5.SI | ram/RS_FSM_FFd5 | 0 | 3 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd8 | 11219 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd6 | 10800 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd6.Q | ram/RS_FSM_FFd6 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/DTACKr | 10798 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/DTACKr.Q | ram/DTACKr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd5 | 10799 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd5.Q | ram/RS_FSM_FFd5 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RS_FSM_FFd4.D1 | 11653 | ? | 0 | 4096 | ram/RS_FSM_FFd4 | NULL | NULL | ram/RS_FSM_FFd4.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RS_FSM_FFd5.D1 | 11221 | ? | 0 | 4096 | ram/RS_FSM_FFd5 | NULL | NULL | ram/RS_FSM_FFd5.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RS_FSM_FFd4.D2 | 11654 | ? | 0 | 4096 | ram/RS_FSM_FFd4 | NULL | NULL | ram/RS_FSM_FFd4.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | ram/RS_FSM_FFd8 +SIGNAL | NODE | ram/RS_FSM_FFd5.D2 | 11222 | ? | 0 | 4096 | ram/RS_FSM_FFd5 | NULL | NULL | ram/RS_FSM_FFd5.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | ram/RS_FSM_FFd6 +SPPTERM | 2 | IV_FALSE | ram/DTACKr | IV_TRUE | ram/RS_FSM_FFd5 -SRFF_INSTANCE | ram/RS_FSM_FFd4.REG | ram/RS_FSM_FFd4 | 0 | 2 | 1 +SRFF_INSTANCE | ram/RS_FSM_FFd5.REG | ram/RS_FSM_FFd5 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RS_FSM_FFd4.D | 11652 | ? | 0 | 0 | ram/RS_FSM_FFd4 | NULL | NULL | ram/RS_FSM_FFd4.XOR | 0 | 7 | ALU_F +NODE | ram/RS_FSM_FFd5.D | 11220 | ? | 0 | 0 | ram/RS_FSM_FFd5 | NULL | NULL | ram/RS_FSM_FFd5.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 11155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RS_FSM_FFd4.Q | 11655 | ? | 0 | 0 | ram/RS_FSM_FFd4 | NULL | NULL | ram/RS_FSM_FFd4.REG | 0 | 8 | SRFF_Q +NODE | ram/RS_FSM_FFd5.Q | 11223 | ? | 0 | 0 | ram/RS_FSM_FFd5 | NULL | NULL | ram/RS_FSM_FFd5.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RS_FSM_FFd6 | WarpSE_COPY_0_COPY_0 | 2155873280 | 11 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 10754 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd8 | 10784 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEN | 10790 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/WS<2> | 10805 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<2>.Q | cnt/WS<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/WS<0> | 10793 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<0>.Q | cnt/WS<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/WS<1> | 10804 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<1>.Q | cnt/WS<1> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd6 | 10800 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd6.Q | ram/RS_FSM_FFd6 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | ram/RS_FSM_FFd6.EXP | 11478 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd6.EXP | ram/RS_FSM_FFd6 | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | ram/RS_FSM_FFd6.SI | ram/RS_FSM_FFd6 | 0 | 10 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 10754 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd8 | 10784 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEN | 10790 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/WS<2> | 10805 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<2>.Q | cnt/WS<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/WS<0> | 10793 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<0>.Q | cnt/WS<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/WS<1> | 10804 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<1>.Q | cnt/WS<1> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RS_FSM_FFd6.D1 | 11225 | ? | 0 | 4096 | ram/RS_FSM_FFd6 | NULL | NULL | ram/RS_FSM_FFd6.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/RS_FSM_FFd6.D2 | 11226 | ? | 0 | 4096 | ram/RS_FSM_FFd6 | NULL | NULL | ram/RS_FSM_FFd6.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd8 | IV_TRUE | ram/RASEN +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay | IV_TRUE | ram/RS_FSM_FFd8 | IV_TRUE | ram/RASEN | IV_TRUE | fsb/ASrf +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | ram/RS_FSM_FFd6.EXP | 11466 | ? | 0 | 0 | ram/RS_FSM_FFd6 | NULL | NULL | ram/RS_FSM_FFd6.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 3 | IV_TRUE | nAS_FSB_IBUF | IV_TRUE | cnt/WS<2> | IV_FALSE | fsb/ASrf +SPPTERM | 3 | IV_TRUE | cnt/WS<0> | IV_TRUE | cnt/WS<1> | IV_TRUE | fsb/ASrf + +SRFF_INSTANCE | ram/RS_FSM_FFd6.REG | ram/RS_FSM_FFd6 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | ram/RS_FSM_FFd6.D | 11224 | ? | 0 | 0 | ram/RS_FSM_FFd6 | NULL | NULL | ram/RS_FSM_FFd6.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | ram/RS_FSM_FFd6.Q | 11227 | ? | 0 | 0 | ram/RS_FSM_FFd6 | NULL | NULL | ram/RS_FSM_FFd6.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RS_FSM_FFd7 | WarpSE_COPY_0_COPY_0 | 2155873280 | 11 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RefUrg | 10740 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefDone | 10757 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd4 | 10789 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd8 | 10784 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEN | 10790 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3.EXP | 11463 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.EXP | ram/RS_FSM_FFd3 | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd7 | 10801 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | ram/RS_FSM_FFd7.SI | ram/RS_FSM_FFd7 | 0 | 10 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RefUrg | 10740 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefDone | 10757 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd4 | 10789 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd8 | 10784 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEN | 10790 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3.EXP | 11463 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.EXP | ram/RS_FSM_FFd3 | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RS_FSM_FFd7.D1 | 11229 | ? | 0 | 4096 | ram/RS_FSM_FFd7 | NULL | NULL | ram/RS_FSM_FFd7.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/RS_FSM_FFd7.D2 | 11230 | ? | 0 | 4096 | ram/RS_FSM_FFd7 | NULL | NULL | ram/RS_FSM_FFd7.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | ram/RS_FSM_FFd3.EXP +SPPTERM | 3 | IV_TRUE | RefUrg | IV_FALSE | ram/RefDone | IV_TRUE | ram/RS_FSM_FFd4 +SPPTERM | 4 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | RefUrg | IV_FALSE | ram/RefDone | IV_TRUE | ram/RS_FSM_FFd8 +SPPTERM | 4 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | RefUrg | IV_FALSE | ram/RefDone | IV_TRUE | ram/RS_FSM_FFd8 +SPPTERM | 4 | IV_TRUE | RefUrg | IV_FALSE | ram/RefDone | IV_TRUE | ram/RS_FSM_FFd8 | IV_FALSE | ram/RASEN +SPPTERM | 5 | IV_TRUE | RefUrg | IV_FALSE | ram/RefDone | IV_TRUE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd8 | IV_FALSE | fsb/ASrf + +SRFF_INSTANCE | ram/RS_FSM_FFd7.REG | ram/RS_FSM_FFd7 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | ram/RS_FSM_FFd7.D | 11228 | ? | 0 | 0 | ram/RS_FSM_FFd7 | NULL | NULL | ram/RS_FSM_FFd7.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | ram/RS_FSM_FFd7.Q | 11231 | ? | 0 | 0 | ram/RS_FSM_FFd7 | NULL | NULL | ram/RS_FSM_FFd7.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | Inv+PrldLow+Tff+OptxMapped | IOL0 | WarpSE_COPY_0_COPY_0 | 2155877632 | 10 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 11200 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 10774 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 11164 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | iobs/Sent | 10734 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 11201 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10775 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 11291 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 10863 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nLDS_FSB_IBUF | 11187 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nLDS_FSB_IBUF | 10759 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOL0 | 11228 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM +NODE | IOL0 | 10802 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOL1 | 11188 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOL1.Q | iobs/IOL1 | 1 | 0 | MC_UIM +NODE | iobs/IOL1 | 10760 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOL1.Q | iobs/IOL1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_11_OBUF$BUF0.EXP | 11985 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_11_OBUF$BUF0.EXP | RA_11_OBUF$BUF0 | 4 | 0 | MC_EXPORT +NODE | RA_11_OBUF$BUF0.EXP | 11557 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_11_OBUF$BUF0.EXP | RA_11_OBUF$BUF0 | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP26_.EXP | 11999 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP26_.EXP | EXP26_ | 4 | 0 | MC_EXPORT +NODE | EXP25_.EXP | 11571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP25_.EXP | EXP25_ | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 11155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | IOL0 | 11228 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM +NODE | IOL0 | 10802 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | IOL0.SI | IOL0 | 0 | 9 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 11200 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 10774 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 11164 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | iobs/Sent | 10734 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 11201 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10775 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 11291 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 10863 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nLDS_FSB_IBUF | 11187 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nLDS_FSB_IBUF | 10759 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOL0 | 11228 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM +NODE | IOL0 | 10802 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOL1 | 11188 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOL1.Q | iobs/IOL1 | 1 | 0 | MC_UIM +NODE | iobs/IOL1 | 10760 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOL1.Q | iobs/IOL1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_11_OBUF$BUF0.EXP | 11985 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_11_OBUF$BUF0.EXP | RA_11_OBUF$BUF0 | 4 | 0 | MC_EXPORT +NODE | RA_11_OBUF$BUF0.EXP | 11557 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_11_OBUF$BUF0.EXP | RA_11_OBUF$BUF0 | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP26_.EXP | 11999 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP26_.EXP | EXP26_ | 4 | 0 | MC_EXPORT +NODE | EXP25_.EXP | 11571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP25_.EXP | EXP25_ | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | IOL0.D1 | 11657 | ? | 0 | 4096 | IOL0 | NULL | NULL | IOL0.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | IOL0.D1 | 11233 | ? | 0 | 4096 | IOL0 | NULL | NULL | IOL0.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | IOL0.D2 | 11658 | ? | 0 | 4096 | IOL0 | NULL | NULL | IOL0.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | IOL0.D2 | 11234 | ? | 0 | 4096 | IOL0 | NULL | NULL | IOL0.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | iobs/TS_FSM_FFd1 SPPTERM | 1 | IV_TRUE | RA_11_OBUF$BUF0.EXP -SPPTERM | 1 | IV_TRUE | EXP26_.EXP +SPPTERM | 1 | IV_TRUE | EXP25_.EXP SPPTERM | 3 | IV_TRUE | iobs/Sent | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 SPPTERM | 3 | IV_TRUE | nLDS_FSB_IBUF | IV_FALSE | IOL0 | IV_TRUE | ALE1 SPPTERM | 3 | IV_FALSE | nLDS_FSB_IBUF | IV_TRUE | IOL0 | IV_TRUE | ALE1 @@ -4352,62 +4496,62 @@ SPPTERM | 3 | IV_TRUE | iobs/IOL1 | IV_TRUE | IOL0 | IV_FALSE | ALE1 SRFF_INSTANCE | IOL0.REG | IOL0 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | IOL0.D | 11656 | ? | 0 | 0 | IOL0 | NULL | NULL | IOL0.XOR | 0 | 7 | ALU_F +NODE | IOL0.D | 11232 | ? | 0 | 0 | IOL0 | NULL | NULL | IOL0.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 11155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | IOL0.Q | 11659 | ? | 0 | 0 | IOL0 | NULL | NULL | IOL0.REG | 0 | 8 | SRFF_Q +NODE | IOL0.Q | 11235 | ? | 0 | 0 | IOL0 | NULL | NULL | IOL0.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | Inv+PrldLow+Tff+OptxMapped | IOU0 | WarpSE_COPY_0_COPY_0 | 2155877632 | 10 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 11200 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 10774 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 11164 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | iobs/Sent | 10734 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 11201 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10775 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 11291 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 10863 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nUDS_FSB_IBUF | 11189 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nUDS_FSB_IBUF | 10761 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOU0 | 11229 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM +NODE | IOU0 | 10803 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOU1 | 11190 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOU1.Q | iobs/IOU1 | 1 | 0 | MC_UIM +NODE | iobs/IOU1 | 10762 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOU1.Q | iobs/IOU1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP25_.EXP | 11995 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP25_.EXP | EXP25_ | 4 | 0 | MC_EXPORT +NODE | EXP24_.EXP | 11567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP24_.EXP | EXP24_ | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBR_IOB_OBUF.EXP | 11996 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.EXP | nBR_IOB_OBUF | 4 | 0 | MC_EXPORT +NODE | nBR_IOB_OBUF.EXP | 11568 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.EXP | nBR_IOB_OBUF | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 11155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | IOU0 | 11229 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM +NODE | IOU0 | 10803 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | IOU0.SI | IOU0 | 0 | 9 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 11200 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 10774 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 11164 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | iobs/Sent | 10734 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 11201 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10775 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 11291 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 10863 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nUDS_FSB_IBUF | 11189 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nUDS_FSB_IBUF | 10761 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOU0 | 11229 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM +NODE | IOU0 | 10803 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOU1 | 11190 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOU1.Q | iobs/IOU1 | 1 | 0 | MC_UIM +NODE | iobs/IOU1 | 10762 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOU1.Q | iobs/IOU1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP25_.EXP | 11995 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP25_.EXP | EXP25_ | 4 | 0 | MC_EXPORT +NODE | EXP24_.EXP | 11567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP24_.EXP | EXP24_ | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBR_IOB_OBUF.EXP | 11996 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.EXP | nBR_IOB_OBUF | 4 | 0 | MC_EXPORT +NODE | nBR_IOB_OBUF.EXP | 11568 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.EXP | nBR_IOB_OBUF | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | IOU0.D1 | 11661 | ? | 0 | 4096 | IOU0 | NULL | NULL | IOU0.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | IOU0.D1 | 11237 | ? | 0 | 4096 | IOU0 | NULL | NULL | IOU0.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | IOU0.D2 | 11662 | ? | 0 | 4096 | IOU0 | NULL | NULL | IOU0.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | IOU0.D2 | 11238 | ? | 0 | 4096 | IOU0 | NULL | NULL | IOU0.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | iobs/TS_FSM_FFd1 -SPPTERM | 1 | IV_TRUE | EXP25_.EXP +SPPTERM | 1 | IV_TRUE | EXP24_.EXP SPPTERM | 1 | IV_TRUE | nBR_IOB_OBUF.EXP SPPTERM | 3 | IV_TRUE | iobs/Sent | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 SPPTERM | 3 | IV_TRUE | nUDS_FSB_IBUF | IV_FALSE | IOU0 | IV_TRUE | ALE1 @@ -4416,321 +4560,364 @@ SPPTERM | 3 | IV_TRUE | iobs/IOU1 | IV_TRUE | IOU0 | IV_FALSE | ALE1 SRFF_INSTANCE | IOU0.REG | IOU0 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | IOU0.D | 11660 | ? | 0 | 0 | IOU0 | NULL | NULL | IOU0.XOR | 0 | 7 | ALU_F +NODE | IOU0.D | 11236 | ? | 0 | 0 | IOU0 | NULL | NULL | IOU0.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 11155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | IOU0.Q | 11663 | ? | 0 | 0 | IOU0 | NULL | NULL | IOU0.REG | 0 | 8 | SRFF_Q +NODE | IOU0.Q | 11239 | ? | 0 | 0 | IOU0 | NULL | NULL | IOU0.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | cnt/WS<1> | WarpSE_COPY_0_COPY_0 | 2155873536 | 18 | 2 +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | cnt/WS<1> | WarpSE_COPY_0_COPY_0 | 2155873536 | 20 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<0> | 11221 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<0>.Q | cnt/WS<0> | 1 | 0 | MC_UIM +NODE | cnt/WS<0> | 10793 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<0>.Q | cnt/WS<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<1> | 11230 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<1>.Q | cnt/WS<1> | 1 | 0 | MC_UIM +NODE | cnt/WS<1> | 10804 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<1>.Q | cnt/WS<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 11125 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IONPReady | 11186 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.Q | IONPReady | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 11155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RAMReady | 11254 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RAMReady.Q | RAMReady | 1 | 0 | MC_UIM +NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 11124 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 11126 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 10700 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 11127 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 10701 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 11128 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 10702 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 11129 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 10703 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 11130 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 10705 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 11131 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | iobs/Sent | 10734 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 11133 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10755 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 11184 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | iobs/TS_FSM_FFd1 | 10774 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 11291 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 11152 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | ALE1 | 10863 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<2>.EXP | 11910 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<2>.EXP | cnt/WS<2> | 4 | 0 | MC_EXPORT +NODE | iobs/TS_FSM_FFd2 | 10775 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 10724 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/WS<3>.EXP | 11481 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<3>.EXP | cnt/WS<3> | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/WS<1> | 11230 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<1>.Q | cnt/WS<1> | 1 | 0 | MC_UIM +NODE | cnt/WS<1> | 10804 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<1>.Q | cnt/WS<1> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | cnt/WS<1>.EXP | 11911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<1>.EXP | cnt/WS<1> | 4 | 0 | MC_EXPORT +NODE | cnt/WS<1>.EXP | 11482 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<1>.EXP | cnt/WS<1> | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | cnt/WS<1>.SI | cnt/WS<1> | 0 | 17 | 3 +SIGNAL_INSTANCE | cnt/WS<1>.SI | cnt/WS<1> | 0 | 19 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<0> | 11221 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<0>.Q | cnt/WS<0> | 1 | 0 | MC_UIM +NODE | cnt/WS<0> | 10793 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<0>.Q | cnt/WS<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<1> | 11230 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<1>.Q | cnt/WS<1> | 1 | 0 | MC_UIM +NODE | cnt/WS<1> | 10804 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<1>.Q | cnt/WS<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 11125 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IONPReady | 11186 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.Q | IONPReady | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RAMReady | 11254 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RAMReady.Q | RAMReady | 1 | 0 | MC_UIM +NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 11124 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 11126 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 10700 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 11127 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 10701 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 11128 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 10702 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 11129 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 10703 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 11130 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 10705 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 11131 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | iobs/Sent | 10734 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 11133 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10755 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 11184 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | iobs/TS_FSM_FFd1 | 10774 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 11291 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 11152 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | ALE1 | 10863 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<2>.EXP | 11910 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<2>.EXP | cnt/WS<2> | 4 | 0 | MC_EXPORT +NODE | iobs/TS_FSM_FFd2 | 10775 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 10724 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/WS<3>.EXP | 11481 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<3>.EXP | cnt/WS<3> | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/WS<1>.D1 | 11665 | ? | 0 | 4096 | cnt/WS<1> | NULL | NULL | cnt/WS<1>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/WS<1>.D1 | 11241 | ? | 0 | 4096 | cnt/WS<1> | NULL | NULL | cnt/WS<1>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/WS<1>.D2 | 11666 | ? | 0 | 4096 | cnt/WS<1> | NULL | NULL | cnt/WS<1>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | cnt/WS<2>.EXP +SIGNAL | NODE | cnt/WS<1>.D2 | 11242 | ? | 0 | 4096 | cnt/WS<1> | NULL | NULL | cnt/WS<1>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | cnt/WS<3>.EXP SPPTERM | 2 | IV_TRUE | cnt/WS<0> | IV_TRUE | cnt/WS<1> +SPPTERM | 2 | IV_FALSE | cnt/WS<0> | IV_FALSE | cnt/WS<1> OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | cnt/WS<1>.EXP | 11897 | ? | 0 | 0 | cnt/WS<1> | NULL | NULL | cnt/WS<1>.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 3 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | IONPReady | IV_FALSE | RAMReady -SPPTERM | 8 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF -SPPTERM | 10 | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | IONPReady | IV_FALSE | ALE1 -SPPTERM | 10 | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | IONPReady | IV_FALSE | ALE1 +SIGNAL | NODE | cnt/WS<1>.EXP | 11470 | ? | 0 | 0 | cnt/WS<1> | NULL | NULL | cnt/WS<1>.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 14 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | iobs/TS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 +SPPTERM | 14 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | iobs/TS_FSM_FFd2 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 +SPPTERM | 14 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | iobs/TS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 SRFF_INSTANCE | cnt/WS<1>.REG | cnt/WS<1> | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/WS<1>.D | 11664 | ? | 0 | 0 | cnt/WS<1> | NULL | NULL | cnt/WS<1>.XOR | 0 | 7 | ALU_F +NODE | cnt/WS<1>.D | 11240 | ? | 0 | 0 | cnt/WS<1> | NULL | NULL | cnt/WS<1>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 11155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/WS<1>.Q | 11667 | ? | 0 | 0 | cnt/WS<1> | NULL | NULL | cnt/WS<1>.REG | 0 | 8 | SRFF_Q +NODE | cnt/WS<1>.Q | 11243 | ? | 0 | 0 | cnt/WS<1> | NULL | NULL | cnt/WS<1>.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/WS<2> | WarpSE_COPY_0_COPY_0 | 2155877376 | 6 | 2 +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/WS<2> | WarpSE_COPY_0_COPY_0 | 2155877376 | 11 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 11191 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<0> | 11221 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<0>.Q | cnt/WS<0> | 1 | 0 | MC_UIM +NODE | cnt/WS<0> | 10793 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<0>.Q | cnt/WS<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<1> | 11230 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<1>.Q | cnt/WS<1> | 1 | 0 | MC_UIM +NODE | cnt/WS<1> | 10804 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<1>.Q | cnt/WS<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 11257 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<2> | 11231 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<2>.Q | cnt/WS<2> | 1 | 0 | MC_UIM +NODE | A_FSB_17_IBUF | 10702 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 11155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | $OpTx$$OpTx$FX_DC$350_INV$537.UIM | 10908 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | $OpTx$$OpTx$FX_DC$350_INV$537.Q | $OpTx$$OpTx$FX_DC$350_INV$537 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 10703 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 10705 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 10724 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd6.EXP | 11478 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd6.EXP | ram/RS_FSM_FFd6 | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/WS<2> | 11231 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<2>.Q | cnt/WS<2> | 1 | 0 | MC_UIM +NODE | cnt/WS<2> | 10805 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<2>.Q | cnt/WS<2> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | cnt/WS<2>.EXP | 11910 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<2>.EXP | cnt/WS<2> | 4 | 0 | MC_EXPORT +NODE | cnt/WS<2>.EXP | 11477 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<2>.EXP | cnt/WS<2> | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | cnt/WS<2>.SI | cnt/WS<2> | 0 | 5 | 3 +SIGNAL_INSTANCE | cnt/WS<2>.SI | cnt/WS<2> | 0 | 10 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 11191 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<0> | 11221 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<0>.Q | cnt/WS<0> | 1 | 0 | MC_UIM +NODE | cnt/WS<0> | 10793 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<0>.Q | cnt/WS<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<1> | 11230 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<1>.Q | cnt/WS<1> | 1 | 0 | MC_UIM +NODE | cnt/WS<1> | 10804 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<1>.Q | cnt/WS<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 11257 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<2> | 11231 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<2>.Q | cnt/WS<2> | 1 | 0 | MC_UIM +NODE | A_FSB_17_IBUF | 10702 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | $OpTx$$OpTx$FX_DC$350_INV$537.UIM | 10908 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | $OpTx$$OpTx$FX_DC$350_INV$537.Q | $OpTx$$OpTx$FX_DC$350_INV$537 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 10703 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 10705 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 10724 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd6.EXP | 11478 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd6.EXP | ram/RS_FSM_FFd6 | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/WS<2>.D1 | 11669 | ? | 0 | 4096 | cnt/WS<2> | NULL | NULL | cnt/WS<2>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/WS<2>.D1 | 11245 | ? | 0 | 4096 | cnt/WS<2> | NULL | NULL | cnt/WS<2>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/WS<2>.D2 | 11670 | ? | 0 | 4096 | cnt/WS<2> | NULL | NULL | cnt/WS<2>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 3 | IV_TRUE | nAS_FSB_IBUF | IV_TRUE | cnt/WS<2> | IV_FALSE | fsb/ASrf +SIGNAL | NODE | cnt/WS<2>.D2 | 11246 | ? | 0 | 4096 | cnt/WS<2> | NULL | NULL | cnt/WS<2>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | ram/RS_FSM_FFd6.EXP SPPTERM | 3 | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | cnt/WS<0> | IV_TRUE | cnt/WS<1> -SPPTERM | 3 | IV_TRUE | cnt/WS<0> | IV_TRUE | cnt/WS<1> | IV_TRUE | fsb/ASrf OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | cnt/WS<2>.EXP | 11896 | ? | 0 | 0 | cnt/WS<2> | NULL | NULL | cnt/WS<2>.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf -SPPTERM | 2 | IV_FALSE | cnt/WS<0> | IV_FALSE | cnt/WS<1> +SIGNAL | NODE | cnt/WS<2>.EXP | 11465 | ? | 0 | 0 | cnt/WS<2> | NULL | NULL | cnt/WS<2>.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 3 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_17_IBUF | IV_FALSE | $OpTx$$OpTx$FX_DC$350_INV$537.UIM +SPPTERM | 3 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_16_IBUF | IV_FALSE | $OpTx$$OpTx$FX_DC$350_INV$537.UIM +SPPTERM | 3 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_13_IBUF | IV_FALSE | $OpTx$$OpTx$FX_DC$350_INV$537.UIM +SPPTERM | 3 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | $OpTx$$OpTx$FX_DC$350_INV$537.UIM SRFF_INSTANCE | cnt/WS<2>.REG | cnt/WS<2> | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/WS<2>.D | 11668 | ? | 0 | 0 | cnt/WS<2> | NULL | NULL | cnt/WS<2>.XOR | 0 | 7 | ALU_F +NODE | cnt/WS<2>.D | 11244 | ? | 0 | 0 | cnt/WS<2> | NULL | NULL | cnt/WS<2>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 11155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/WS<2>.Q | 11671 | ? | 0 | 0 | cnt/WS<2> | NULL | NULL | cnt/WS<2>.REG | 0 | 8 | SRFF_Q +NODE | cnt/WS<2>.Q | 11247 | ? | 0 | 0 | cnt/WS<2> | NULL | NULL | cnt/WS<2>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/IORDREQr | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IORDREQ | 11237 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IORDREQ.Q | IORDREQ | 1 | 0 | MC_UIM +NODE | IORDREQ | 10810 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IORDREQ.Q | IORDREQ | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 11141 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10713 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/IORDREQr | 11232 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM +NODE | iobm/IORDREQr | 10806 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/IORDREQr.SI | iobm/IORDREQr | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IORDREQ | 11237 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IORDREQ.Q | IORDREQ | 1 | 0 | MC_UIM +NODE | IORDREQ | 10810 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IORDREQ.Q | IORDREQ | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/IORDREQr.D1 | 11673 | ? | 0 | 4096 | iobm/IORDREQr | NULL | NULL | iobm/IORDREQr.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/IORDREQr.D1 | 11249 | ? | 0 | 4096 | iobm/IORDREQr | NULL | NULL | iobm/IORDREQr.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/IORDREQr.D2 | 11674 | ? | 0 | 4096 | iobm/IORDREQr | NULL | NULL | iobm/IORDREQr.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/IORDREQr.D2 | 11250 | ? | 0 | 4096 | iobm/IORDREQr | NULL | NULL | iobm/IORDREQr.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | IORDREQ SRFF_INSTANCE | iobm/IORDREQr.REG | iobm/IORDREQr | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/IORDREQr.D | 11672 | ? | 0 | 0 | iobm/IORDREQr | NULL | NULL | iobm/IORDREQr.XOR | 0 | 7 | ALU_F +NODE | iobm/IORDREQr.D | 11248 | ? | 0 | 0 | iobm/IORDREQr | NULL | NULL | iobm/IORDREQr.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 11141 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10713 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/IORDREQr.Q | 11675 | ? | 0 | 0 | iobm/IORDREQr | NULL | NULL | iobm/IORDREQr.REG | 0 | 8 | SRFF_Q +NODE | iobm/IORDREQr.Q | 11251 | ? | 0 | 0 | iobm/IORDREQr | NULL | NULL | iobm/IORDREQr.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RS_FSM_FFd2 | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 11234 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd3 | 10808 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 11155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RS_FSM_FFd2 | 11233 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd2 | 10807 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | ram/RS_FSM_FFd2.SI | ram/RS_FSM_FFd2 | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 11234 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd3 | 10808 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RS_FSM_FFd2.D1 | 11677 | ? | 0 | 4096 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RS_FSM_FFd2.D1 | 11253 | ? | 0 | 4096 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RS_FSM_FFd2.D2 | 11678 | ? | 0 | 4096 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/RS_FSM_FFd2.D2 | 11254 | ? | 0 | 4096 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | ram/RS_FSM_FFd3 SRFF_INSTANCE | ram/RS_FSM_FFd2.REG | ram/RS_FSM_FFd2 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RS_FSM_FFd2.D | 11676 | ? | 0 | 0 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.XOR | 0 | 7 | ALU_F +NODE | ram/RS_FSM_FFd2.D | 11252 | ? | 0 | 0 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 11155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RS_FSM_FFd2.Q | 11679 | ? | 0 | 0 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.REG | 0 | 8 | SRFF_Q +NODE | ram/RS_FSM_FFd2.Q | 11255 | ? | 0 | 0 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RS_FSM_FFd3 | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 +MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RS_FSM_FFd3 | WarpSE_COPY_0_COPY_0 | 2155873280 | 10 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd4 | 11227 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd7 | 10801 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 11155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RefReq | 10751 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefDone | 10757 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd8 | 10784 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 10824 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RS_FSM_FFd3 | 11234 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd3 | 10808 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | ram/RS_FSM_FFd3.EXP | 11463 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.EXP | ram/RS_FSM_FFd3 | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | ram/RS_FSM_FFd3.SI | ram/RS_FSM_FFd3 | 0 | 1 | 2 +SIGNAL_INSTANCE | ram/RS_FSM_FFd3.SI | ram/RS_FSM_FFd3 | 0 | 9 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd4 | 11227 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd7 | 10801 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RefReq | 10751 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefDone | 10757 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd8 | 10784 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 10824 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RS_FSM_FFd3.D1 | 11681 | ? | 0 | 4096 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RS_FSM_FFd3.D1 | 11257 | ? | 0 | 4096 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RS_FSM_FFd3.D2 | 11682 | ? | 0 | 4096 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | ram/RS_FSM_FFd4 +SIGNAL | NODE | ram/RS_FSM_FFd3.D2 | 11258 | ? | 0 | 4096 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | ram/RS_FSM_FFd7 +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | ram/RS_FSM_FFd3.EXP | 11462 | ? | 0 | 0 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 6 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | RefReq | IV_FALSE | ram/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd8 | IV_FALSE | ram/BACTr +SPPTERM | 6 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | RefReq | IV_FALSE | ram/RefDone | IV_TRUE | ram/RS_FSM_FFd8 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf +SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | RefReq | IV_FALSE | ram/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd8 | IV_FALSE | ram/BACTr +SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | RefReq | IV_FALSE | ram/RefDone | IV_TRUE | ram/RS_FSM_FFd8 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf SRFF_INSTANCE | ram/RS_FSM_FFd3.REG | ram/RS_FSM_FFd3 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RS_FSM_FFd3.D | 11680 | ? | 0 | 0 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.XOR | 0 | 7 | ALU_F +NODE | ram/RS_FSM_FFd3.D | 11256 | ? | 0 | 0 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 11155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RS_FSM_FFd3.Q | 11683 | ? | 0 | 0 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RS_FSM_FFd6 | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd7 | 11217 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 11155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RS_FSM_FFd6 | 11235 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd6.Q | ram/RS_FSM_FFd6 | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | ram/RS_FSM_FFd6.SI | ram/RS_FSM_FFd6 | 0 | 1 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd7 | 11217 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RS_FSM_FFd6.D1 | 11685 | ? | 0 | 4096 | ram/RS_FSM_FFd6 | NULL | NULL | ram/RS_FSM_FFd6.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RS_FSM_FFd6.D2 | 11686 | ? | 0 | 4096 | ram/RS_FSM_FFd6 | NULL | NULL | ram/RS_FSM_FFd6.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | ram/RS_FSM_FFd7 - -SRFF_INSTANCE | ram/RS_FSM_FFd6.REG | ram/RS_FSM_FFd6 | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RS_FSM_FFd6.D | 11684 | ? | 0 | 0 | ram/RS_FSM_FFd6 | NULL | NULL | ram/RS_FSM_FFd6.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 11155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RS_FSM_FFd6.Q | 11687 | ? | 0 | 0 | ram/RS_FSM_FFd6 | NULL | NULL | ram/RS_FSM_FFd6.REG | 0 | 8 | SRFF_Q +NODE | ram/RS_FSM_FFd3.Q | 11259 | ? | 0 | 0 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | ALE0M | WarpSE_COPY_0_COPY_0 | 2155873536 | 12 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 11203 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 10776 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 11204 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 10777 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 11207 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 10780 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 11209 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 10782 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 11210 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd6 | 10783 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 11222 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 10794 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 11223 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 10795 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE0M | 11236 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ALE0M.Q | ALE0M | 1 | 0 | MC_UIM +NODE | ALE0M | 10809 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ALE0M.Q | ALE0M | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 11293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 10865 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IORDREQr | 11232 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM +NODE | iobm/IORDREQr | 10806 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOWRREQr | 11248 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM +NODE | iobm/IOWRREQr | 10821 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 11141 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10713 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ALE0M | 11236 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ALE0M.Q | ALE0M | 1 | 0 | MC_UIM +NODE | ALE0M | 10809 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ALE0M.Q | ALE0M | 1 | 0 | MC_UIM SIGNAL_INSTANCE | ALE0M.SI | ALE0M | 0 | 11 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 11203 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 10776 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 11204 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 10777 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 11207 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 10780 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 11209 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 10782 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 11210 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd6 | 10783 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 11222 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 10794 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 11223 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 10795 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE0M | 11236 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ALE0M.Q | ALE0M | 1 | 0 | MC_UIM +NODE | ALE0M | 10809 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ALE0M.Q | ALE0M | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 11293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 10865 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IORDREQr | 11232 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM +NODE | iobm/IORDREQr | 10806 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOWRREQr | 11248 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM +NODE | iobm/IOWRREQr | 10821 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ALE0M.D1 | 11689 | ? | 0 | 4096 | ALE0M | NULL | NULL | ALE0M.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ALE0M.D1 | 11261 | ? | 0 | 4096 | ALE0M | NULL | NULL | ALE0M.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ALE0M.D2 | 11690 | ? | 0 | 4096 | ALE0M | NULL | NULL | ALE0M.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ALE0M.D2 | 11262 | ? | 0 | 4096 | ALE0M | NULL | NULL | ALE0M.SI | 2 | 9 | MC_SI_D2 SPPTERM | 6 | IV_TRUE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 | IV_TRUE | AoutOE SPPTERM | 6 | IV_FALSE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 | IV_TRUE | iobm/IOS_FSM_FFd1 SPPTERM | 6 | IV_FALSE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 | IV_TRUE | iobm/IOS_FSM_FFd2 @@ -4739,161 +4926,153 @@ SPPTERM | 7 | IV_TRUE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FA SRFF_INSTANCE | ALE0M.REG | ALE0M | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ALE0M.D | 11688 | ? | 0 | 0 | ALE0M | NULL | NULL | ALE0M.XOR | 0 | 7 | ALU_F +NODE | ALE0M.D | 11260 | ? | 0 | 0 | ALE0M | NULL | NULL | ALE0M.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 11141 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10713 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ALE0M.Q | 11691 | ? | 0 | 0 | ALE0M | NULL | NULL | ALE0M.REG | 0 | 8 | SRFF_Q +NODE | ALE0M.Q | 11263 | ? | 0 | 0 | ALE0M | NULL | NULL | ALE0M.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | IORDREQ | WarpSE_COPY_0_COPY_0 | 2155873536 | 14 | 2 +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | IORDREQ | WarpSE_COPY_0_COPY_0 | 2155873536 | 12 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 11200 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 10774 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 11201 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10775 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 11224 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 11125 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 11153 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +NODE | iobs/Sent | 10734 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$$OpTx$FX_DC$348_INV$535.UIM | 11336 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | $OpTx$$OpTx$FX_DC$348_INV$535.Q | $OpTx$$OpTx$FX_DC$348_INV$535 | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 11251 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +NODE | ALE1 | 10863 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 11252 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<0> | 11221 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<0>.Q | cnt/WS<0> | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 10754 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<1> | 11230 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<1>.Q | cnt/WS<1> | 1 | 0 | MC_UIM +NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<2> | 11231 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<2>.Q | cnt/WS<2> | 1 | 0 | MC_UIM +NODE | EXP11_.EXP | 11484 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP11_.EXP | EXP11_ | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<3> | 11242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<3>.Q | cnt/WS<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP19_.EXP | 11961 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP19_.EXP | EXP19_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 11155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | IORDREQ | 11237 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IORDREQ.Q | IORDREQ | 1 | 0 | MC_UIM +NODE | IORDREQ | 10810 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IORDREQ.Q | IORDREQ | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | IORDREQ.EXP | 11962 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IORDREQ.EXP | IORDREQ | 4 | 0 | MC_EXPORT +NODE | IORDREQ.EXP | 11485 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IORDREQ.EXP | IORDREQ | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | IORDREQ.SI | IORDREQ | 0 | 13 | 3 +SIGNAL_INSTANCE | IORDREQ.SI | IORDREQ | 0 | 11 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 11200 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 10774 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 11201 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10775 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 11224 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 11125 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 11153 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +NODE | iobs/Sent | 10734 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$$OpTx$FX_DC$348_INV$535.UIM | 11336 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | $OpTx$$OpTx$FX_DC$348_INV$535.Q | $OpTx$$OpTx$FX_DC$348_INV$535 | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 11251 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +NODE | ALE1 | 10863 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 11252 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<0> | 11221 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<0>.Q | cnt/WS<0> | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 10754 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<1> | 11230 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<1>.Q | cnt/WS<1> | 1 | 0 | MC_UIM +NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<2> | 11231 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<2>.Q | cnt/WS<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<3> | 11242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<3>.Q | cnt/WS<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP19_.EXP | 11961 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP19_.EXP | EXP19_ | 4 | 0 | MC_EXPORT +NODE | EXP11_.EXP | 11484 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP11_.EXP | EXP11_ | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | IORDREQ.D1 | 11693 | ? | 0 | 4096 | IORDREQ | NULL | NULL | IORDREQ.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | IORDREQ.D1 | 11265 | ? | 0 | 4096 | IORDREQ | NULL | NULL | IORDREQ.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | IORDREQ.D2 | 11694 | ? | 0 | 4096 | IORDREQ | NULL | NULL | IORDREQ.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | EXP19_.EXP +SIGNAL | NODE | IORDREQ.D2 | 11266 | ? | 0 | 4096 | IORDREQ | NULL | NULL | IORDREQ.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | EXP11_.EXP SPPTERM | 2 | IV_TRUE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 -SPPTERM | 2 | IV_TRUE | iobs/TS_FSM_FFd1 | IV_TRUE | iobs/IOACTr OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | IORDREQ.EXP | 11952 | ? | 0 | 0 | IORDREQ | NULL | NULL | IORDREQ.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 3 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | $OpTx$$OpTx$FX_DC$348_INV$535.UIM -SPPTERM | 4 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_9_IBUF | IV_FALSE | A_FSB_8_IBUF | IV_FALSE | $OpTx$$OpTx$FX_DC$348_INV$535.UIM -SPPTERM | 5 | IV_TRUE | cnt/WS<0> | IV_TRUE | cnt/WS<1> | IV_TRUE | cnt/WS<2> | IV_TRUE | cnt/WS<3> | IV_FALSE | $OpTx$$OpTx$FX_DC$348_INV$535.UIM +SIGNAL | NODE | IORDREQ.EXP | 11473 | ? | 0 | 0 | IORDREQ | NULL | NULL | IORDREQ.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 +SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 +SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | cs/nOverlay | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 +SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | cs/nOverlay | IV_FALSE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 SRFF_INSTANCE | IORDREQ.REG | IORDREQ | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | IORDREQ.D | 11692 | ? | 0 | 0 | IORDREQ | NULL | NULL | IORDREQ.XOR | 0 | 7 | ALU_F +NODE | IORDREQ.D | 11264 | ? | 0 | 0 | IORDREQ | NULL | NULL | IORDREQ.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 11155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | IORDREQ.Q | 11695 | ? | 0 | 0 | IORDREQ | NULL | NULL | IORDREQ.REG | 0 | 8 | SRFF_Q +NODE | IORDREQ.Q | 11267 | ? | 0 | 0 | IORDREQ | NULL | NULL | IORDREQ.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | IOWRREQ | WarpSE_COPY_0_COPY_0 | 2155873280 | 14 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 11200 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 10774 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 11201 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10775 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOWRREQ | 11238 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOWRREQ.Q | IOWRREQ | 1 | 0 | MC_UIM +NODE | IOWRREQ | 10811 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOWRREQ.Q | IOWRREQ | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 11224 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +NODE | iobs/IOACTr | 10796 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW1 | 11185 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM +NODE | iobs/IORW1 | 10756 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 11291 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 10863 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 11124 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 11164 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | iobs/Sent | 10734 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 11184 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10755 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 11191 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 11257 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nRAMUWE_OBUF.EXP | 11991 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMUWE_OBUF.EXP | nRAMUWE_OBUF | 4 | 0 | MC_EXPORT +NODE | nRAMUWE_OBUF.EXP | 11563 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMUWE_OBUF.EXP | nRAMUWE_OBUF | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP23_.EXP | 11992 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP23_.EXP | EXP23_ | 4 | 0 | MC_EXPORT +NODE | EXP22_.EXP | 11564 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP22_.EXP | EXP22_ | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 11155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | IOWRREQ | 11238 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOWRREQ.Q | IOWRREQ | 1 | 0 | MC_UIM +NODE | IOWRREQ | 10811 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOWRREQ.Q | IOWRREQ | 1 | 0 | MC_UIM SIGNAL_INSTANCE | IOWRREQ.SI | IOWRREQ | 0 | 13 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 11200 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 10774 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 11201 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10775 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOWRREQ | 11238 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOWRREQ.Q | IOWRREQ | 1 | 0 | MC_UIM +NODE | IOWRREQ | 10811 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOWRREQ.Q | IOWRREQ | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 11224 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +NODE | iobs/IOACTr | 10796 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW1 | 11185 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM +NODE | iobs/IORW1 | 10756 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 11291 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 10863 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 11124 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 11164 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | iobs/Sent | 10734 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 11184 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10755 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 11191 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 11257 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nRAMUWE_OBUF.EXP | 11991 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMUWE_OBUF.EXP | nRAMUWE_OBUF | 4 | 0 | MC_EXPORT +NODE | nRAMUWE_OBUF.EXP | 11563 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMUWE_OBUF.EXP | nRAMUWE_OBUF | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP23_.EXP | 11992 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP23_.EXP | EXP23_ | 4 | 0 | MC_EXPORT +NODE | EXP22_.EXP | 11564 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP22_.EXP | EXP22_ | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | IOWRREQ.D1 | 11697 | ? | 0 | 4096 | IOWRREQ | NULL | NULL | IOWRREQ.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | IOWRREQ.D1 | 11269 | ? | 0 | 4096 | IOWRREQ | NULL | NULL | IOWRREQ.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | IOWRREQ.D2 | 11698 | ? | 0 | 4096 | IOWRREQ | NULL | NULL | IOWRREQ.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | IOWRREQ.D2 | 11270 | ? | 0 | 4096 | IOWRREQ | NULL | NULL | IOWRREQ.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | nRAMUWE_OBUF.EXP -SPPTERM | 1 | IV_TRUE | EXP23_.EXP +SPPTERM | 1 | IV_TRUE | EXP22_.EXP SPPTERM | 3 | IV_FALSE | iobs/TS_FSM_FFd1 | IV_TRUE | iobs/TS_FSM_FFd2 | IV_TRUE | IOWRREQ SPPTERM | 3 | IV_TRUE | iobs/TS_FSM_FFd2 | IV_FALSE | iobs/IOACTr | IV_TRUE | IOWRREQ SPPTERM | 4 | IV_FALSE | iobs/IORW1 | IV_FALSE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_FALSE | ALE1 @@ -4902,342 +5081,347 @@ SPPTERM | 7 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FS SRFF_INSTANCE | IOWRREQ.REG | IOWRREQ | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | IOWRREQ.D | 11696 | ? | 0 | 0 | IOWRREQ | NULL | NULL | IOWRREQ.XOR | 0 | 7 | ALU_F +NODE | IOWRREQ.D | 11268 | ? | 0 | 0 | IOWRREQ | NULL | NULL | IOWRREQ.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 11155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | IOWRREQ.Q | 11699 | ? | 0 | 0 | IOWRREQ | NULL | NULL | IOWRREQ.REG | 0 | 8 | SRFF_Q +NODE | IOWRREQ.Q | 11271 | ? | 0 | 0 | IOWRREQ | NULL | NULL | IOWRREQ.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | QoSReady | WarpSE_COPY_0_COPY_0 | 2155873280 | 11 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 11124 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$$OpTx$FX_DC$348_INV$535.UIM | 11336 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | $OpTx$$OpTx$FX_DC$348_INV$535.Q | $OpTx$$OpTx$FX_DC$348_INV$535 | 1 | 0 | MC_UIM +NODE | $OpTx$$OpTx$FX_DC$350_INV$537.UIM | 10908 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | $OpTx$$OpTx$FX_DC$350_INV$537.Q | $OpTx$$OpTx$FX_DC$350_INV$537 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 11184 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10755 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | QoSReady | 11239 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | QoSReady.Q | QoSReady | 1 | 0 | MC_UIM +NODE | QoSReady | 10812 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | QoSReady.Q | QoSReady | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 11158 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 10729 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 11160 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 10730 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 11125 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 11127 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nROMCS_OBUF.EXP | 11954 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.EXP | nROMCS_OBUF | 4 | 0 | MC_EXPORT +NODE | EXP10_.EXP | 11476 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP10_.EXP | EXP10_ | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP20_.EXP | 11963 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP20_.EXP | EXP20_ | 4 | 0 | MC_EXPORT +NODE | cnt/WS<2>.EXP | 11477 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<2>.EXP | cnt/WS<2> | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 11155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | QoSReady | 11239 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | QoSReady.Q | QoSReady | 1 | 0 | MC_UIM +NODE | QoSReady | 10812 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | QoSReady.Q | QoSReady | 1 | 0 | MC_UIM SIGNAL_INSTANCE | QoSReady.SI | QoSReady | 0 | 10 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 11124 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$$OpTx$FX_DC$348_INV$535.UIM | 11336 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | $OpTx$$OpTx$FX_DC$348_INV$535.Q | $OpTx$$OpTx$FX_DC$348_INV$535 | 1 | 0 | MC_UIM +NODE | $OpTx$$OpTx$FX_DC$350_INV$537.UIM | 10908 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | $OpTx$$OpTx$FX_DC$350_INV$537.Q | $OpTx$$OpTx$FX_DC$350_INV$537 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 11184 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10755 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | QoSReady | 11239 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | QoSReady.Q | QoSReady | 1 | 0 | MC_UIM +NODE | QoSReady | 10812 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | QoSReady.Q | QoSReady | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 11158 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 10729 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 11160 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 10730 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 11125 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 11127 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nROMCS_OBUF.EXP | 11954 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.EXP | nROMCS_OBUF | 4 | 0 | MC_EXPORT +NODE | EXP10_.EXP | 11476 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP10_.EXP | EXP10_ | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP20_.EXP | 11963 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP20_.EXP | EXP20_ | 4 | 0 | MC_EXPORT +NODE | cnt/WS<2>.EXP | 11477 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<2>.EXP | cnt/WS<2> | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | QoSReady.D1 | 11701 | ? | 0 | 4096 | QoSReady | NULL | NULL | QoSReady.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | QoSReady.D1 | 11273 | ? | 0 | 4096 | QoSReady | NULL | NULL | QoSReady.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | QoSReady.D2 | 11702 | ? | 0 | 4096 | QoSReady | NULL | NULL | QoSReady.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | nROMCS_OBUF.EXP -SPPTERM | 1 | IV_TRUE | EXP20_.EXP -SPPTERM | 2 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | $OpTx$$OpTx$FX_DC$348_INV$535.UIM +SIGNAL | NODE | QoSReady.D2 | 11274 | ? | 0 | 4096 | QoSReady | NULL | NULL | QoSReady.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | EXP10_.EXP +SPPTERM | 1 | IV_TRUE | cnt/WS<2>.EXP +SPPTERM | 2 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | $OpTx$$OpTx$FX_DC$350_INV$537.UIM SPPTERM | 2 | IV_FALSE | cnt/LTimer<0> | IV_FALSE | cnt/LTimer<1> -SPPTERM | 2 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | $OpTx$$OpTx$FX_DC$348_INV$535.UIM -SPPTERM | 2 | IV_TRUE | QoSReady | IV_FALSE | $OpTx$$OpTx$FX_DC$348_INV$535.UIM -SPPTERM | 3 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | $OpTx$$OpTx$FX_DC$348_INV$535.UIM +SPPTERM | 2 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | $OpTx$$OpTx$FX_DC$350_INV$537.UIM +SPPTERM | 2 | IV_TRUE | QoSReady | IV_FALSE | $OpTx$$OpTx$FX_DC$350_INV$537.UIM +SPPTERM | 3 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | $OpTx$$OpTx$FX_DC$350_INV$537.UIM SRFF_INSTANCE | QoSReady.REG | QoSReady | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | QoSReady.D | 11700 | ? | 0 | 0 | QoSReady | NULL | NULL | QoSReady.XOR | 0 | 7 | ALU_F +NODE | QoSReady.D | 11272 | ? | 0 | 0 | QoSReady | NULL | NULL | QoSReady.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 11155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | QoSReady.Q | 11703 | ? | 0 | 0 | QoSReady | NULL | NULL | QoSReady.REG | 0 | 8 | SRFF_Q +NODE | QoSReady.Q | 11275 | ? | 0 | 0 | QoSReady | NULL | NULL | QoSReady.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | cnt/Er<0> | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | E_IBUF | 11240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX +NODE | E_IBUF | 10813 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 11155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/Er<0> | 11241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 10814 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cnt/Er<0>.SI | cnt/Er<0> | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | E_IBUF | 11240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX +NODE | E_IBUF | 10813 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/Er<0>.D1 | 11705 | ? | 0 | 4096 | cnt/Er<0> | NULL | NULL | cnt/Er<0>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/Er<0>.D1 | 11277 | ? | 0 | 4096 | cnt/Er<0> | NULL | NULL | cnt/Er<0>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/Er<0>.D2 | 11706 | ? | 0 | 4096 | cnt/Er<0> | NULL | NULL | cnt/Er<0>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/Er<0>.D2 | 11278 | ? | 0 | 4096 | cnt/Er<0> | NULL | NULL | cnt/Er<0>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | E_IBUF SRFF_INSTANCE | cnt/Er<0>.REG | cnt/Er<0> | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/Er<0>.D | 11704 | ? | 0 | 0 | cnt/Er<0> | NULL | NULL | cnt/Er<0>.XOR | 0 | 7 | ALU_F +NODE | cnt/Er<0>.D | 11276 | ? | 0 | 0 | cnt/Er<0> | NULL | NULL | cnt/Er<0>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 11155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/Er<0>.Q | 11707 | ? | 0 | 0 | cnt/Er<0> | NULL | NULL | cnt/Er<0>.REG | 0 | 8 | SRFF_Q +NODE | cnt/Er<0>.Q | 11279 | ? | 0 | 0 | cnt/Er<0> | NULL | NULL | cnt/Er<0>.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/WS<3> | WarpSE_COPY_0_COPY_0 | 2155877376 | 7 | 1 +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/WS<3> | WarpSE_COPY_0_COPY_0 | 2155877376 | 7 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 11191 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<3> | 11242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<3>.Q | cnt/WS<3> | 1 | 0 | MC_UIM +NODE | cnt/WS<3> | 10815 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<3>.Q | cnt/WS<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 11257 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<0> | 11221 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<0>.Q | cnt/WS<0> | 1 | 0 | MC_UIM +NODE | cnt/WS<0> | 10793 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<0>.Q | cnt/WS<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<1> | 11230 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<1>.Q | cnt/WS<1> | 1 | 0 | MC_UIM +NODE | cnt/WS<1> | 10804 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<1>.Q | cnt/WS<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<2> | 11231 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<2>.Q | cnt/WS<2> | 1 | 0 | MC_UIM +NODE | cnt/WS<2> | 10805 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<2>.Q | cnt/WS<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 11155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/WS<3> | 11242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<3>.Q | cnt/WS<3> | 1 | 0 | MC_UIM +NODE | cnt/WS<3> | 10815 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<3>.Q | cnt/WS<3> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | cnt/WS<3>.EXP | 11481 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<3>.EXP | cnt/WS<3> | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | cnt/WS<3>.SI | cnt/WS<3> | 0 | 6 | 2 +SIGNAL_INSTANCE | cnt/WS<3>.SI | cnt/WS<3> | 0 | 6 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 11191 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<3> | 11242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<3>.Q | cnt/WS<3> | 1 | 0 | MC_UIM +NODE | cnt/WS<3> | 10815 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<3>.Q | cnt/WS<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 11257 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<0> | 11221 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<0>.Q | cnt/WS<0> | 1 | 0 | MC_UIM +NODE | cnt/WS<0> | 10793 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<0>.Q | cnt/WS<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<1> | 11230 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<1>.Q | cnt/WS<1> | 1 | 0 | MC_UIM +NODE | cnt/WS<1> | 10804 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<1>.Q | cnt/WS<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<2> | 11231 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<2>.Q | cnt/WS<2> | 1 | 0 | MC_UIM +NODE | cnt/WS<2> | 10805 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<2>.Q | cnt/WS<2> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/WS<3>.D1 | 11709 | ? | 0 | 4096 | cnt/WS<3> | NULL | NULL | cnt/WS<3>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/WS<3>.D1 | 11281 | ? | 0 | 4096 | cnt/WS<3> | NULL | NULL | cnt/WS<3>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/WS<3>.D2 | 11710 | ? | 0 | 4096 | cnt/WS<3> | NULL | NULL | cnt/WS<3>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/WS<3>.D2 | 11282 | ? | 0 | 4096 | cnt/WS<3> | NULL | NULL | cnt/WS<3>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 3 | IV_TRUE | nAS_FSB_IBUF | IV_TRUE | cnt/WS<3> | IV_FALSE | fsb/ASrf SPPTERM | 4 | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | cnt/WS<0> | IV_TRUE | cnt/WS<1> | IV_TRUE | cnt/WS<2> SPPTERM | 4 | IV_TRUE | cnt/WS<0> | IV_TRUE | cnt/WS<1> | IV_TRUE | cnt/WS<2> | IV_TRUE | fsb/ASrf +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | cnt/WS<3>.EXP | 11469 | ? | 0 | 0 | cnt/WS<3> | NULL | NULL | cnt/WS<3>.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf SRFF_INSTANCE | cnt/WS<3>.REG | cnt/WS<3> | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/WS<3>.D | 11708 | ? | 0 | 0 | cnt/WS<3> | NULL | NULL | cnt/WS<3>.XOR | 0 | 7 | ALU_F +NODE | cnt/WS<3>.D | 11280 | ? | 0 | 0 | cnt/WS<3> | NULL | NULL | cnt/WS<3>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 11155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/WS<3>.Q | 11711 | ? | 0 | 0 | cnt/WS<3> | NULL | NULL | cnt/WS<3>.REG | 0 | 8 | SRFF_Q +NODE | cnt/WS<3>.Q | 11283 | ? | 0 | 0 | cnt/WS<3> | NULL | NULL | cnt/WS<3>.REG | 0 | 8 | SRFF_Q INPUT_INSTANCE | 0 | 0 | NULL | nIPL2_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nIPL2 | 11361 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | nIPL2 | 10933 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | nIPL2_IBUF | 11243 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nIPL2_IBUF | 0 | 5 | II_IMUX +NODE | nIPL2_IBUF | 10816 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nIPL2_IBUF | 0 | 5 | II_IMUX MACROCELL_INSTANCE | PrldLow+OptxMapped | cnt/nIPL2r | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nIPL2_IBUF | 11243 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nIPL2_IBUF | 0 | 5 | II_IMUX +NODE | nIPL2_IBUF | 10816 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nIPL2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 11155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/nIPL2r | 11244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/nIPL2r.Q | cnt/nIPL2r | 1 | 0 | MC_UIM +NODE | cnt/nIPL2r | 10817 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/nIPL2r.Q | cnt/nIPL2r | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cnt/nIPL2r.SI | cnt/nIPL2r | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nIPL2_IBUF | 11243 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nIPL2_IBUF | 0 | 5 | II_IMUX +NODE | nIPL2_IBUF | 10816 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nIPL2_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/nIPL2r.D1 | 11713 | ? | 0 | 4096 | cnt/nIPL2r | NULL | NULL | cnt/nIPL2r.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/nIPL2r.D1 | 11285 | ? | 0 | 4096 | cnt/nIPL2r | NULL | NULL | cnt/nIPL2r.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/nIPL2r.D2 | 11714 | ? | 0 | 4096 | cnt/nIPL2r | NULL | NULL | cnt/nIPL2r.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/nIPL2r.D2 | 11286 | ? | 0 | 4096 | cnt/nIPL2r | NULL | NULL | cnt/nIPL2r.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | nIPL2_IBUF SRFF_INSTANCE | cnt/nIPL2r.REG | cnt/nIPL2r | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/nIPL2r.D | 11712 | ? | 0 | 0 | cnt/nIPL2r | NULL | NULL | cnt/nIPL2r.XOR | 0 | 7 | ALU_F +NODE | cnt/nIPL2r.D | 11284 | ? | 0 | 0 | cnt/nIPL2r | NULL | NULL | cnt/nIPL2r.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 11155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/nIPL2r.Q | 11715 | ? | 0 | 0 | cnt/nIPL2r | NULL | NULL | cnt/nIPL2r.REG | 0 | 8 | SRFF_Q +NODE | cnt/nIPL2r.Q | 11287 | ? | 0 | 0 | cnt/nIPL2r | NULL | NULL | cnt/nIPL2r.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | iobm/DoutOE | WarpSE_COPY_0_COPY_0 | 2155877376 | 11 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 11203 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 10776 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/C8Mr | 11205 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM +NODE | iobm/C8Mr | 10778 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DoutOE | 11245 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DoutOE.Q | iobm/DoutOE | 1 | 0 | MC_UIM +NODE | iobm/DoutOE | 10818 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DoutOE.Q | iobm/DoutOE | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOWRREQr | 11248 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM +NODE | iobm/IOWRREQr | 10821 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 11204 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 10777 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 11207 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 10780 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 11209 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 10782 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 11210 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd6 | 10783 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 11141 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10713 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOL0 | 11228 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM +NODE | IOL0 | 10802 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IORDREQr | 11232 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM +NODE | iobm/IORDREQr | 10806 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/DoutOE | 11245 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DoutOE.Q | iobm/DoutOE | 1 | 0 | MC_UIM +NODE | iobm/DoutOE | 10818 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DoutOE.Q | iobm/DoutOE | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | iobm/DoutOE.EXP | 11967 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DoutOE.EXP | iobm/DoutOE | 4 | 0 | MC_EXPORT +NODE | iobm/DoutOE.EXP | 11533 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DoutOE.EXP | iobm/DoutOE | 4 | 0 | MC_EXPORT SIGNAL_INSTANCE | iobm/DoutOE.SI | iobm/DoutOE | 0 | 10 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 11203 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 10776 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/C8Mr | 11205 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM +NODE | iobm/C8Mr | 10778 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DoutOE | 11245 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DoutOE.Q | iobm/DoutOE | 1 | 0 | MC_UIM +NODE | iobm/DoutOE | 10818 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DoutOE.Q | iobm/DoutOE | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOWRREQr | 11248 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM +NODE | iobm/IOWRREQr | 10821 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 11204 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 10777 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 11207 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 10780 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 11209 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 10782 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 11210 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd6 | 10783 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOL0 | 11228 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM +NODE | IOL0 | 10802 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IORDREQr | 11232 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM +NODE | iobm/IORDREQr | 10806 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/DoutOE.D1 | 11717 | ? | 0 | 4096 | iobm/DoutOE | NULL | NULL | iobm/DoutOE.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/DoutOE.D1 | 11289 | ? | 0 | 4096 | iobm/DoutOE | NULL | NULL | iobm/DoutOE.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/DoutOE.D2 | 11718 | ? | 0 | 4096 | iobm/DoutOE | NULL | NULL | iobm/DoutOE.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/DoutOE.D2 | 11290 | ? | 0 | 4096 | iobm/DoutOE | NULL | NULL | iobm/DoutOE.SI | 2 | 9 | MC_SI_D2 SPPTERM | 4 | IV_TRUE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/C8Mr | IV_FALSE | iobm/DoutOE | IV_TRUE | iobm/IOWRREQr SPPTERM | 6 | IV_FALSE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 | IV_TRUE | iobm/DoutOE SPPTERM | 6 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/C8Mr | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 | IV_TRUE | iobm/DoutOE SPPTERM | 6 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 | IV_TRUE | iobm/DoutOE | IV_FALSE | iobm/IOWRREQr OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | iobm/DoutOE.EXP | 11964 | ? | 0 | 0 | iobm/DoutOE | NULL | NULL | iobm/DoutOE.SI | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | iobm/DoutOE.EXP | 11530 | ? | 0 | 0 | iobm/DoutOE | NULL | NULL | iobm/DoutOE.SI | 7 | 9 | MC_SI_EXPORT SPPTERM | 4 | IV_TRUE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/C8Mr | IV_TRUE | IOL0 | IV_TRUE | iobm/IORDREQr SRFF_INSTANCE | iobm/DoutOE.REG | iobm/DoutOE | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/DoutOE.D | 11716 | ? | 0 | 0 | iobm/DoutOE | NULL | NULL | iobm/DoutOE.XOR | 0 | 7 | ALU_F +NODE | iobm/DoutOE.D | 11288 | ? | 0 | 0 | iobm/DoutOE | NULL | NULL | iobm/DoutOE.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 11141 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10713 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/DoutOE.Q | 11719 | ? | 0 | 0 | iobm/DoutOE | NULL | NULL | iobm/DoutOE.REG | 0 | 8 | SRFF_Q +NODE | iobm/DoutOE.Q | 11291 | ? | 0 | 0 | iobm/DoutOE | NULL | NULL | iobm/DoutOE.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | iobm/Er | WarpSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | E_IBUF | 11240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX +NODE | E_IBUF | 10813 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF/FCLK- | 11137 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 10709 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/Er | 11246 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +NODE | iobm/Er | 10819 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/Er.SI | iobm/Er | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | E_IBUF | 11240 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX +NODE | E_IBUF | 10813 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/Er.D1 | 11721 | ? | 0 | 4096 | iobm/Er | NULL | NULL | iobm/Er.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/Er.D1 | 11293 | ? | 0 | 4096 | iobm/Er | NULL | NULL | iobm/Er.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/Er.D2 | 11722 | ? | 0 | 4096 | iobm/Er | NULL | NULL | iobm/Er.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/Er.D2 | 11294 | ? | 0 | 4096 | iobm/Er | NULL | NULL | iobm/Er.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | E_IBUF SRFF_INSTANCE | iobm/Er.REG | iobm/Er | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/Er.D | 11720 | ? | 0 | 0 | iobm/Er | NULL | NULL | iobm/Er.XOR | 0 | 7 | ALU_F +NODE | iobm/Er.D | 11292 | ? | 0 | 0 | iobm/Er | NULL | NULL | iobm/Er.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C8M_IBUF/FCLK- | 11137 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 10709 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/Er.Q | 11723 | ? | 0 | 0 | iobm/Er | NULL | NULL | iobm/Er.REG | 0 | 8 | SRFF_Q +NODE | iobm/Er.Q | 11295 | ? | 0 | 0 | iobm/Er | NULL | NULL | iobm/Er.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/IOS0 | WarpSE_COPY_0_COPY_0 | 2155873280 | 13 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 11222 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 10794 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 11203 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 10776 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/C8Mr | 11205 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM +NODE | iobm/C8Mr | 10778 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 11293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 10865 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IORDREQr | 11232 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM +NODE | iobm/IORDREQr | 10806 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOWRREQr | 11248 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM +NODE | iobm/IOWRREQr | 10821 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 11204 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 10777 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 11207 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 10780 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 11209 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 10782 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 11210 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd6 | 10783 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 11223 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 10795 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS0 | 11247 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS0.Q | iobm/IOS0 | 1 | 0 | MC_UIM +NODE | iobm/IOS0 | 10820 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS0.Q | iobm/IOS0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 11141 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10713 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/IOS0 | 11247 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS0.Q | iobm/IOS0 | 1 | 0 | MC_UIM +NODE | iobm/IOS0 | 10820 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS0.Q | iobm/IOS0 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/IOS0.SI | iobm/IOS0 | 0 | 12 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 11222 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 10794 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 11203 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 10776 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/C8Mr | 11205 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM +NODE | iobm/C8Mr | 10778 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 11293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 10865 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IORDREQr | 11232 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM +NODE | iobm/IORDREQr | 10806 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOWRREQr | 11248 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM +NODE | iobm/IOWRREQr | 10821 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 11204 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 10777 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 11207 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 10780 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 11209 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 10782 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 11210 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd6 | 10783 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 11223 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 10795 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS0 | 11247 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS0.Q | iobm/IOS0 | 1 | 0 | MC_UIM +NODE | iobm/IOS0 | 10820 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS0.Q | iobm/IOS0 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/IOS0.D1 | 11725 | ? | 0 | 4096 | iobm/IOS0 | NULL | NULL | iobm/IOS0.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/IOS0.D1 | 11297 | ? | 0 | 4096 | iobm/IOS0 | NULL | NULL | iobm/IOS0.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/IOS0.D2 | 11726 | ? | 0 | 4096 | iobm/IOS0 | NULL | NULL | iobm/IOS0.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/IOS0.D2 | 11298 | ? | 0 | 4096 | iobm/IOS0 | NULL | NULL | iobm/IOS0.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | iobm/IOS_FSM_FFd1 SPPTERM | 2 | IV_TRUE | iobm/IOS_FSM_FFd7 | IV_TRUE | iobm/C8Mr SPPTERM | 2 | IV_TRUE | iobm/IOS_FSM_FFd7 | IV_TRUE | AoutOE @@ -5246,1528 +5430,1537 @@ SPPTERM | 7 | IV_FALSE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_F SRFF_INSTANCE | iobm/IOS0.REG | iobm/IOS0 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/IOS0.D | 11724 | ? | 0 | 0 | iobm/IOS0 | NULL | NULL | iobm/IOS0.XOR | 0 | 7 | ALU_F +NODE | iobm/IOS0.D | 11296 | ? | 0 | 0 | iobm/IOS0 | NULL | NULL | iobm/IOS0.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 11141 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10713 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/IOS0.Q | 11727 | ? | 0 | 0 | iobm/IOS0 | NULL | NULL | iobm/IOS0.REG | 0 | 8 | SRFF_Q +NODE | iobm/IOS0.Q | 11299 | ? | 0 | 0 | iobm/IOS0 | NULL | NULL | iobm/IOS0.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/IOWRREQr | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOWRREQ | 11238 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOWRREQ.Q | IOWRREQ | 1 | 0 | MC_UIM +NODE | IOWRREQ | 10811 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOWRREQ.Q | IOWRREQ | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 11141 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10713 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/IOWRREQr | 11248 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM +NODE | iobm/IOWRREQr | 10821 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/IOWRREQr.SI | iobm/IOWRREQr | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOWRREQ | 11238 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOWRREQ.Q | IOWRREQ | 1 | 0 | MC_UIM +NODE | IOWRREQ | 10811 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOWRREQ.Q | IOWRREQ | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/IOWRREQr.D1 | 11729 | ? | 0 | 4096 | iobm/IOWRREQr | NULL | NULL | iobm/IOWRREQr.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/IOWRREQr.D1 | 11301 | ? | 0 | 4096 | iobm/IOWRREQr | NULL | NULL | iobm/IOWRREQr.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/IOWRREQr.D2 | 11730 | ? | 0 | 4096 | iobm/IOWRREQr | NULL | NULL | iobm/IOWRREQr.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/IOWRREQr.D2 | 11302 | ? | 0 | 4096 | iobm/IOWRREQr | NULL | NULL | iobm/IOWRREQr.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | IOWRREQ SRFF_INSTANCE | iobm/IOWRREQr.REG | iobm/IOWRREQr | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/IOWRREQr.D | 11728 | ? | 0 | 0 | iobm/IOWRREQr | NULL | NULL | iobm/IOWRREQr.XOR | 0 | 7 | ALU_F +NODE | iobm/IOWRREQr.D | 11300 | ? | 0 | 0 | iobm/IOWRREQr | NULL | NULL | iobm/IOWRREQr.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 11141 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10713 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/IOWRREQr.Q | 11731 | ? | 0 | 0 | iobm/IOWRREQr | NULL | NULL | iobm/IOWRREQr.REG | 0 | 8 | SRFF_Q +NODE | iobm/IOWRREQr.Q | 11303 | ? | 0 | 0 | iobm/IOWRREQr | NULL | NULL | iobm/IOWRREQr.REG | 0 | 8 | SRFF_Q INPUT_INSTANCE | 0 | 0 | NULL | nVPA_IOB_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nVPA_IOB | 11362 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | nVPA_IOB | 10934 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | nVPA_IOB_IBUF | 11249 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX +NODE | nVPA_IOB_IBUF | 10822 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | iobm/VPAr | WarpSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nVPA_IOB_IBUF | 11249 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX +NODE | nVPA_IOB_IBUF | 10822 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF/FCLK- | 11137 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 10709 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/VPAr | 11250 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/VPAr.Q | iobm/VPAr | 1 | 0 | MC_UIM +NODE | iobm/VPAr | 10823 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/VPAr.Q | iobm/VPAr | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/VPAr.SI | iobm/VPAr | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nVPA_IOB_IBUF | 11249 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX +NODE | nVPA_IOB_IBUF | 10822 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/VPAr.D1 | 11733 | ? | 0 | 4096 | iobm/VPAr | NULL | NULL | iobm/VPAr.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/VPAr.D1 | 11305 | ? | 0 | 4096 | iobm/VPAr | NULL | NULL | iobm/VPAr.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/VPAr.D2 | 11734 | ? | 0 | 4096 | iobm/VPAr | NULL | NULL | iobm/VPAr.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/VPAr.D2 | 11306 | ? | 0 | 4096 | iobm/VPAr | NULL | NULL | iobm/VPAr.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_FALSE | nVPA_IOB_IBUF SRFF_INSTANCE | iobm/VPAr.REG | iobm/VPAr | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/VPAr.D | 11732 | ? | 0 | 0 | iobm/VPAr | NULL | NULL | iobm/VPAr.XOR | 0 | 7 | ALU_F +NODE | iobm/VPAr.D | 11304 | ? | 0 | 0 | iobm/VPAr | NULL | NULL | iobm/VPAr.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C8M_IBUF/FCLK- | 11137 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 10709 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/VPAr.Q | 11735 | ? | 0 | 0 | iobm/VPAr | NULL | NULL | iobm/VPAr.REG | 0 | 8 | SRFF_Q +NODE | iobm/VPAr.Q | 11307 | ? | 0 | 0 | iobm/VPAr | NULL | NULL | iobm/VPAr.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | ram/BACTr | WarpSE_COPY_0_COPY_0 | 2155873536 | 3 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | ram/BACTr | 10824 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | ram/BACTr.SI | ram/BACTr | 0 | 2 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/BACTr.D1 | 11309 | ? | 0 | 4096 | ram/BACTr | NULL | NULL | ram/BACTr.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/BACTr.D2 | 11310 | ? | 0 | 4096 | ram/BACTr | NULL | NULL | ram/BACTr.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf + +SRFF_INSTANCE | ram/BACTr.REG | ram/BACTr | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | ram/BACTr.D | 11308 | ? | 0 | 0 | ram/BACTr | NULL | NULL | ram/BACTr.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | ram/BACTr.Q | 11311 | ? | 0 | 0 | ram/BACTr | NULL | NULL | ram/BACTr.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | ALE0S | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 11201 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10775 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 11155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ALE0S | 11253 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ALE0S.Q | ALE0S | 1 | 0 | MC_UIM +NODE | ALE0S | 10827 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ALE0S.Q | ALE0S | 1 | 0 | MC_UIM SIGNAL_INSTANCE | ALE0S.SI | ALE0S | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 11201 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10775 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ALE0S.D1 | 11737 | ? | 0 | 4096 | ALE0S | NULL | NULL | ALE0S.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ALE0S.D1 | 11313 | ? | 0 | 4096 | ALE0S | NULL | NULL | ALE0S.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ALE0S.D2 | 11738 | ? | 0 | 4096 | ALE0S | NULL | NULL | ALE0S.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ALE0S.D2 | 11314 | ? | 0 | 4096 | ALE0S | NULL | NULL | ALE0S.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | iobs/TS_FSM_FFd2 SRFF_INSTANCE | ALE0S.REG | ALE0S | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ALE0S.D | 11736 | ? | 0 | 0 | ALE0S | NULL | NULL | ALE0S.XOR | 0 | 7 | ALU_F +NODE | ALE0S.D | 11312 | ? | 0 | 0 | ALE0S | NULL | NULL | ALE0S.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 11155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ALE0S.Q | 11739 | ? | 0 | 0 | ALE0S | NULL | NULL | ALE0S.REG | 0 | 8 | SRFF_Q +NODE | ALE0S.Q | 11315 | ? | 0 | 0 | ALE0S | NULL | NULL | ALE0S.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | RAMReady | WarpSE_COPY_0_COPY_0 | 2155873536 | 15 | 2 +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | RAMReady | WarpSE_COPY_0_COPY_0 | 2155873536 | 12 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 11157 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd8 | 10784 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 11180 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd4 | 10789 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 11191 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd1 | 10791 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd9 | 11202 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd9.Q | ram/RS_FSM_FFd9 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd5 | 10799 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd5.Q | ram/RS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd7 | 11217 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd6 | 10800 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd6.Q | ram/RS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 11218 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd6 | 11235 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd6.Q | ram/RS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | RefUrg | 10740 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 11257 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | ram/RefDone | 10757 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd5 | 11215 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd5.Q | ram/RS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEN | 11216 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM +NODE | ram/RASEN | 10790 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd8 | 11219 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM +NODE | RA_5_OBUF.EXP | 11524 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_5_OBUF.EXP | RA_5_OBUF | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd4 | 11227 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 11184 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP10_.EXP | 11908 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP10_.EXP | EXP10_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 11155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | RAMReady | 11254 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RAMReady.Q | RAMReady | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | RAMReady.EXP | 11921 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RAMReady.EXP | RAMReady | 4 | 0 | MC_EXPORT +NODE | RAMReady | 10828 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RAMReady.Q | RAMReady | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | RAMReady.SI | RAMReady | 0 | 14 | 3 +SIGNAL_INSTANCE | RAMReady.SI | RAMReady | 0 | 11 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 11157 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd8 | 10784 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 11180 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd4 | 10789 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 11191 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd1 | 10791 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd9 | 11202 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd9.Q | ram/RS_FSM_FFd9 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd5 | 10799 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd5.Q | ram/RS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd7 | 11217 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd6 | 10800 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd6.Q | ram/RS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 11218 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd6 | 11235 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd6.Q | ram/RS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | RefUrg | 10740 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 11257 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | ram/RefDone | 10757 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd5 | 11215 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd5.Q | ram/RS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEN | 11216 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM +NODE | ram/RASEN | 10790 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd8 | 11219 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd4 | 11227 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 11184 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP10_.EXP | 11908 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP10_.EXP | EXP10_ | 4 | 0 | MC_EXPORT +NODE | RA_5_OBUF.EXP | 11524 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_5_OBUF.EXP | RA_5_OBUF | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RAMReady.D1 | 11741 | ? | 0 | 4096 | RAMReady | NULL | NULL | RAMReady.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RAMReady.D1 | 11317 | ? | 0 | 4096 | RAMReady | NULL | NULL | RAMReady.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RAMReady.D2 | 11742 | ? | 0 | 4096 | RAMReady | NULL | NULL | RAMReady.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | EXP10_.EXP -SPPTERM | 6 | IV_TRUE | ram/RS_FSM_FFd9 | IV_FALSE | ram/RS_FSM_FFd5 | IV_FALSE | ram/RASEN | IV_FALSE | ram/RS_FSM_FFd7 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd6 -SPPTERM | 6 | IV_FALSE | ram/RS_FSM_FFd9 | IV_FALSE | ram/RS_FSM_FFd5 | IV_FALSE | ram/RS_FSM_FFd7 | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd8 | IV_FALSE | ram/RS_FSM_FFd6 -SPPTERM | 6 | IV_FALSE | ram/RS_FSM_FFd9 | IV_FALSE | ram/RS_FSM_FFd5 | IV_FALSE | ram/RS_FSM_FFd7 | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd4 | IV_FALSE | ram/RS_FSM_FFd6 -SPPTERM | 8 | IV_TRUE | RefUrg | IV_FALSE | ram/RefDone | IV_TRUE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd9 | IV_FALSE | ram/RS_FSM_FFd7 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd6 | IV_FALSE | fsb/ASrf -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | RAMReady.EXP | 11907 | ? | 0 | 0 | RAMReady | NULL | NULL | RAMReady.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 2 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF +SIGNAL | NODE | RAMReady.D2 | 11318 | ? | 0 | 4096 | RAMReady | NULL | NULL | RAMReady.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | RA_5_OBUF.EXP +SPPTERM | 5 | IV_FALSE | ram/RS_FSM_FFd8 | IV_FALSE | ram/RS_FSM_FFd4 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd5 | IV_FALSE | ram/RS_FSM_FFd6 +SPPTERM | 6 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | RefUrg | IV_FALSE | ram/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd5 | IV_FALSE | ram/RS_FSM_FFd6 +SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | RefUrg | IV_FALSE | ram/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd5 | IV_FALSE | ram/RS_FSM_FFd6 +SPPTERM | 6 | IV_TRUE | RefUrg | IV_FALSE | ram/RefDone | IV_FALSE | ram/RS_FSM_FFd8 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd5 | IV_FALSE | ram/RS_FSM_FFd6 +SPPTERM | 6 | IV_TRUE | RefUrg | IV_FALSE | ram/RefDone | IV_FALSE | ram/RASEN | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd5 | IV_FALSE | ram/RS_FSM_FFd6 SRFF_INSTANCE | RAMReady.REG | RAMReady | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RAMReady.D | 11740 | ? | 0 | 0 | RAMReady | NULL | NULL | RAMReady.XOR | 0 | 7 | ALU_F +NODE | RAMReady.D | 11316 | ? | 0 | 0 | RAMReady | NULL | NULL | RAMReady.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 11155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RAMReady.Q | 11743 | ? | 0 | 0 | RAMReady | NULL | NULL | RAMReady.REG | 0 | 8 | SRFF_Q +NODE | RAMReady.Q | 11319 | ? | 0 | 0 | RAMReady | NULL | NULL | RAMReady.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | cnt/Er<1> | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 11241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 10814 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 11155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/Er<1> | 11255 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 10829 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cnt/Er<1>.SI | cnt/Er<1> | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 11241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 10814 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/Er<1>.D1 | 11745 | ? | 0 | 4096 | cnt/Er<1> | NULL | NULL | cnt/Er<1>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/Er<1>.D1 | 11321 | ? | 0 | 4096 | cnt/Er<1> | NULL | NULL | cnt/Er<1>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/Er<1>.D2 | 11746 | ? | 0 | 4096 | cnt/Er<1> | NULL | NULL | cnt/Er<1>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/Er<1>.D2 | 11322 | ? | 0 | 4096 | cnt/Er<1> | NULL | NULL | cnt/Er<1>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | cnt/Er<0> SRFF_INSTANCE | cnt/Er<1>.REG | cnt/Er<1> | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/Er<1>.D | 11744 | ? | 0 | 0 | cnt/Er<1> | NULL | NULL | cnt/Er<1>.XOR | 0 | 7 | ALU_F +NODE | cnt/Er<1>.D | 11320 | ? | 0 | 0 | cnt/Er<1> | NULL | NULL | cnt/Er<1>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 11155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/Er<1>.Q | 11747 | ? | 0 | 0 | cnt/Er<1> | NULL | NULL | cnt/Er<1>.REG | 0 | 8 | SRFF_Q +NODE | cnt/Er<1>.Q | 11323 | ? | 0 | 0 | cnt/Er<1> | NULL | NULL | cnt/Er<1>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | cnt/LTimerTC | WarpSE_COPY_0_COPY_0 | 2155873280 | 13 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 11158 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 10729 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 11160 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 10730 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<10> | 11170 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<10> | 10741 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 11171 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 10742 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 11172 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 10743 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 11173 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 10744 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 11174 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<5> | 10745 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 11175 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<6> | 10746 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 11176 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<7> | 10747 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<8> | 11177 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<8> | 10748 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<9> | 11178 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<9> | 10749 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<11> | 11181 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.Q | cnt/LTimer<11> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<11> | 10752 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.Q | cnt/LTimer<11> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 11155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimerTC | 11256 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimerTC.Q | cnt/LTimerTC | 1 | 0 | MC_UIM +NODE | cnt/LTimerTC | 10830 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimerTC.Q | cnt/LTimerTC | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cnt/LTimerTC.SI | cnt/LTimerTC | 0 | 12 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 11158 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 10729 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 11160 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 10730 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<10> | 11170 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<10> | 10741 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 11171 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 10742 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 11172 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 10743 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 11173 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 10744 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 11174 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<5> | 10745 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 11175 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<6> | 10746 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 11176 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<7> | 10747 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<8> | 11177 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<8> | 10748 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<9> | 11178 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<9> | 10749 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<11> | 11181 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.Q | cnt/LTimer<11> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<11> | 10752 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.Q | cnt/LTimer<11> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimerTC.D1 | 11749 | ? | 0 | 4096 | cnt/LTimerTC | NULL | NULL | cnt/LTimerTC.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimerTC.D1 | 11325 | ? | 0 | 4096 | cnt/LTimerTC | NULL | NULL | cnt/LTimerTC.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimerTC.D2 | 11750 | ? | 0 | 4096 | cnt/LTimerTC | NULL | NULL | cnt/LTimerTC.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/LTimerTC.D2 | 11326 | ? | 0 | 4096 | cnt/LTimerTC | NULL | NULL | cnt/LTimerTC.SI | 2 | 9 | MC_SI_D2 SPPTERM | 12 | IV_FALSE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<10> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/LTimer<7> | IV_TRUE | cnt/LTimer<8> | IV_TRUE | cnt/LTimer<9> | IV_TRUE | cnt/LTimer<11> SRFF_INSTANCE | cnt/LTimerTC.REG | cnt/LTimerTC | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimerTC.D | 11748 | ? | 0 | 0 | cnt/LTimerTC | NULL | NULL | cnt/LTimerTC.XOR | 0 | 7 | ALU_F +NODE | cnt/LTimerTC.D | 11324 | ? | 0 | 0 | cnt/LTimerTC | NULL | NULL | cnt/LTimerTC.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 11155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimerTC.Q | 11751 | ? | 0 | 0 | cnt/LTimerTC | NULL | NULL | cnt/LTimerTC.REG | 0 | 8 | SRFF_Q +NODE | cnt/LTimerTC.Q | 11327 | ? | 0 | 0 | cnt/LTimerTC | NULL | NULL | cnt/LTimerTC.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | fsb/ASrf | WarpSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 11191 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK- | 11156 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 7 | 5 | II_FCLKINV +NODE | FCLK_IBUF/FCLK- | 10728 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | fsb/ASrf | 11257 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM SIGNAL_INSTANCE | fsb/ASrf.SI | fsb/ASrf | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 11191 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | fsb/ASrf.D1 | 11753 | ? | 0 | 4096 | fsb/ASrf | NULL | NULL | fsb/ASrf.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | fsb/ASrf.D1 | 11329 | ? | 0 | 4096 | fsb/ASrf | NULL | NULL | fsb/ASrf.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | fsb/ASrf.D2 | 11754 | ? | 0 | 4096 | fsb/ASrf | NULL | NULL | fsb/ASrf.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | fsb/ASrf.D2 | 11330 | ? | 0 | 4096 | fsb/ASrf | NULL | NULL | fsb/ASrf.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_FALSE | nAS_FSB_IBUF SRFF_INSTANCE | fsb/ASrf.REG | fsb/ASrf | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | fsb/ASrf.D | 11752 | ? | 0 | 0 | fsb/ASrf | NULL | NULL | fsb/ASrf.XOR | 0 | 7 | ALU_F +NODE | fsb/ASrf.D | 11328 | ? | 0 | 0 | fsb/ASrf | NULL | NULL | fsb/ASrf.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK- | 11156 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 7 | 5 | II_FCLKINV +NODE | FCLK_IBUF/FCLK- | 10728 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | fsb/ASrf.Q | 11755 | ? | 0 | 0 | fsb/ASrf | NULL | NULL | fsb/ASrf.REG | 0 | 8 | SRFF_Q +NODE | fsb/ASrf.Q | 11331 | ? | 0 | 0 | fsb/ASrf | NULL | NULL | fsb/ASrf.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/Clear1 | WarpSE_COPY_0_COPY_0 | 2155873280 | 3 | 1 +MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/Clear1 | WarpSE_COPY_0_COPY_0 | 2155873280 | 11 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 11200 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 10774 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 11201 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10775 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 11155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 10863 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 10754 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/Clear1 | 11258 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Clear1.Q | iobs/Clear1 | 1 | 0 | MC_UIM +NODE | iobs/Clear1 | 10832 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Clear1.Q | iobs/Clear1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | iobs/Clear1.EXP | 11483 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Clear1.EXP | iobs/Clear1 | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | iobs/Clear1.SI | iobs/Clear1 | 0 | 2 | 2 +SIGNAL_INSTANCE | iobs/Clear1.SI | iobs/Clear1 | 0 | 10 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 11200 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 10774 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 11201 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10775 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 10863 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 10754 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/Clear1.D1 | 11757 | ? | 0 | 4096 | iobs/Clear1 | NULL | NULL | iobs/Clear1.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/Clear1.D1 | 11333 | ? | 0 | 4096 | iobs/Clear1 | NULL | NULL | iobs/Clear1.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/Clear1.D2 | 11758 | ? | 0 | 4096 | iobs/Clear1 | NULL | NULL | iobs/Clear1.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/Clear1.D2 | 11334 | ? | 0 | 4096 | iobs/Clear1 | NULL | NULL | iobs/Clear1.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_FALSE | iobs/TS_FSM_FFd1 | IV_TRUE | iobs/TS_FSM_FFd2 +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | iobs/Clear1.EXP | 11471 | ? | 0 | 0 | iobs/Clear1 | NULL | NULL | iobs/Clear1.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 +SPPTERM | 4 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_FALSE | fsb/ASrf | IV_TRUE | ALE1 +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 SRFF_INSTANCE | iobs/Clear1.REG | iobs/Clear1 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/Clear1.D | 11756 | ? | 0 | 0 | iobs/Clear1 | NULL | NULL | iobs/Clear1.XOR | 0 | 7 | ALU_F +NODE | iobs/Clear1.D | 11332 | ? | 0 | 0 | iobs/Clear1 | NULL | NULL | iobs/Clear1.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 11155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/Clear1.Q | 11759 | ? | 0 | 0 | iobs/Clear1 | NULL | NULL | iobs/Clear1.REG | 0 | 8 | SRFF_Q +NODE | iobs/Clear1.Q | 11335 | ? | 0 | 0 | iobs/Clear1 | NULL | NULL | iobs/Clear1.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/IODONEr | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IODONE | 11169 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.Q | IODONE | 1 | 0 | MC_UIM +NODE | IODONE | 10739 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.Q | IODONE | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 11155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/IODONEr | 11259 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IODONEr.Q | iobs/IODONEr | 1 | 0 | MC_UIM +NODE | iobs/IODONEr | 10833 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IODONEr.Q | iobs/IODONEr | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobs/IODONEr.SI | iobs/IODONEr | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IODONE | 11169 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.Q | IODONE | 1 | 0 | MC_UIM +NODE | IODONE | 10739 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.Q | IODONE | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/IODONEr.D1 | 11761 | ? | 0 | 4096 | iobs/IODONEr | NULL | NULL | iobs/IODONEr.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/IODONEr.D1 | 11337 | ? | 0 | 4096 | iobs/IODONEr | NULL | NULL | iobs/IODONEr.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/IODONEr.D2 | 11762 | ? | 0 | 4096 | iobs/IODONEr | NULL | NULL | iobs/IODONEr.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/IODONEr.D2 | 11338 | ? | 0 | 4096 | iobs/IODONEr | NULL | NULL | iobs/IODONEr.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | IODONE SRFF_INSTANCE | iobs/IODONEr.REG | iobs/IODONEr | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/IODONEr.D | 11760 | ? | 0 | 0 | iobs/IODONEr | NULL | NULL | iobs/IODONEr.XOR | 0 | 7 | ALU_F +NODE | iobs/IODONEr.D | 11336 | ? | 0 | 0 | iobs/IODONEr | NULL | NULL | iobs/IODONEr.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 11155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/IODONEr.Q | 11763 | ? | 0 | 0 | iobs/IODONEr | NULL | NULL | iobs/IODONEr.REG | 0 | 8 | SRFF_Q +NODE | iobs/IODONEr.Q | 11339 | ? | 0 | 0 | iobs/IODONEr | NULL | NULL | iobs/IODONEr.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | nRESout | WarpSE_COPY_0_COPY_0 | 2155873280 | 3 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 11211 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd1 | 10785 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 11212 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd2 | 10786 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 11155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | nRESout | 11260 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRESout.Q | nRESout | 1 | 0 | MC_UIM +NODE | nRESout | 10834 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRESout.Q | nRESout | 1 | 0 | MC_UIM SIGNAL_INSTANCE | nRESout.SI | nRESout | 0 | 2 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 11211 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd1 | 10785 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 11212 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd2 | 10786 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nRESout.D1 | 11765 | ? | 0 | 4096 | nRESout | NULL | NULL | nRESout.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nRESout.D1 | 11341 | ? | 0 | 4096 | nRESout | NULL | NULL | nRESout.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nRESout.D2 | 11766 | ? | 0 | 4096 | nRESout | NULL | NULL | nRESout.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nRESout.D2 | 11342 | ? | 0 | 4096 | nRESout | NULL | NULL | nRESout.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 SRFF_INSTANCE | nRESout.REG | nRESout | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nRESout.D | 11764 | ? | 0 | 0 | nRESout | NULL | NULL | nRESout.XOR | 0 | 7 | ALU_F +NODE | nRESout.D | 11340 | ? | 0 | 0 | nRESout | NULL | NULL | nRESout.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 11155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nRESout.Q | 11767 | ? | 0 | 0 | nRESout | NULL | NULL | nRESout.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/CAS | WarpSE_COPY_0_COPY_0 | 2155873280 | 11 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd8 | 11219 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd7 | 11217 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd9 | 11202 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd9.Q | ram/RS_FSM_FFd9 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEN | 11216 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 11125 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 11157 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 11180 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 11124 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP13_.EXP | 11917 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP13_.EXP | EXP13_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd9.EXP | 11918 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd9.EXP | ram/RS_FSM_FFd9 | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 11155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/CAS | 11261 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/CAS.Q | ram/CAS | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | ram/CAS.SI | ram/CAS | 0 | 10 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd8 | 11219 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd7 | 11217 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd9 | 11202 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd9.Q | ram/RS_FSM_FFd9 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEN | 11216 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 11125 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 11157 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 11180 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 11124 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP13_.EXP | 11917 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP13_.EXP | EXP13_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd9.EXP | 11918 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd9.EXP | ram/RS_FSM_FFd9 | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/CAS.D1 | 11769 | ? | 0 | 4096 | ram/CAS | NULL | NULL | ram/CAS.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/CAS.D2 | 11770 | ? | 0 | 4096 | ram/CAS | NULL | NULL | ram/CAS.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | ram/RS_FSM_FFd7 -SPPTERM | 1 | IV_TRUE | ram/RS_FSM_FFd8 -SPPTERM | 1 | IV_TRUE | EXP13_.EXP -SPPTERM | 1 | IV_TRUE | ram/RS_FSM_FFd9.EXP -SPPTERM | 2 | IV_TRUE | ram/RS_FSM_FFd9 | IV_FALSE | ram/RASEN -SPPTERM | 4 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | RefUrg | IV_FALSE | ram/RefDone | IV_TRUE | ram/RS_FSM_FFd9 -SPPTERM | 4 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | RefUrg | IV_FALSE | ram/RefDone | IV_TRUE | ram/RS_FSM_FFd9 - -SRFF_INSTANCE | ram/CAS.REG | ram/CAS | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/CAS.D | 11768 | ? | 0 | 0 | ram/CAS | NULL | NULL | ram/CAS.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 11155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/CAS.Q | 11771 | ? | 0 | 0 | ram/CAS | NULL | NULL | ram/CAS.REG | 0 | 8 | SRFF_Q +NODE | nRESout.Q | 11343 | ? | 0 | 0 | nRESout | NULL | NULL | nRESout.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | ram/RASrf | WarpSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd7 | 11217 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd6 | 10800 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd6.Q | ram/RS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK- | 11156 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 7 | 5 | II_FCLKINV +NODE | FCLK_IBUF/FCLK- | 10728 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RASrf | 11262 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASrf.Q | ram/RASrf | 1 | 0 | MC_UIM +NODE | ram/RASrf | 10835 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASrf.Q | ram/RASrf | 1 | 0 | MC_UIM SIGNAL_INSTANCE | ram/RASrf.SI | ram/RASrf | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd7 | 11217 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd6 | 10800 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd6.Q | ram/RS_FSM_FFd6 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RASrf.D1 | 11773 | ? | 0 | 4096 | ram/RASrf | NULL | NULL | ram/RASrf.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RASrf.D1 | 11345 | ? | 0 | 4096 | ram/RASrf | NULL | NULL | ram/RASrf.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RASrf.D2 | 11774 | ? | 0 | 4096 | ram/RASrf | NULL | NULL | ram/RASrf.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | ram/RS_FSM_FFd7 +SIGNAL | NODE | ram/RASrf.D2 | 11346 | ? | 0 | 4096 | ram/RASrf | NULL | NULL | ram/RASrf.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | ram/RS_FSM_FFd6 SRFF_INSTANCE | ram/RASrf.REG | ram/RASrf | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RASrf.D | 11772 | ? | 0 | 0 | ram/RASrf | NULL | NULL | ram/RASrf.XOR | 0 | 7 | ALU_F +NODE | ram/RASrf.D | 11344 | ? | 0 | 0 | ram/RASrf | NULL | NULL | ram/RASrf.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK- | 11156 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 7 | 5 | II_FCLKINV +NODE | FCLK_IBUF/FCLK- | 10728 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RASrf.Q | 11775 | ? | 0 | 0 | ram/RASrf | NULL | NULL | ram/RASrf.REG | 0 | 8 | SRFF_Q +NODE | ram/RASrf.Q | 11347 | ? | 0 | 0 | ram/RASrf | NULL | NULL | ram/RASrf.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RASrr | WarpSE_COPY_0_COPY_0 | 2155873280 | 10 | 1 +MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RASrr | WarpSE_COPY_0_COPY_0 | 2155873280 | 14 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd4 | 11227 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd7 | 10801 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd8 | 11219 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM +NODE | RefUrg | 10740 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 11124 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | ram/RefDone | 10757 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 11125 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd4 | 10789 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 11183 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd8 | 10784 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 11191 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd1 | 10791 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd9 | 11202 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd9.Q | ram/RS_FSM_FFd9 | 1 | 0 | MC_UIM +NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEN | 11216 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 11257 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 11155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 10754 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEN | 10790 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP19_.EXP | 11528 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP19_.EXP | EXP19_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RASrr | 11263 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASrr.Q | ram/RASrr | 1 | 0 | MC_UIM +NODE | ram/RASrr | 10836 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASrr.Q | ram/RASrr | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | ram/RASrr.EXP | 11529 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASrr.EXP | ram/RASrr | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | ram/RASrr.SI | ram/RASrr | 0 | 9 | 2 +SIGNAL_INSTANCE | ram/RASrr.SI | ram/RASrr | 0 | 13 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd4 | 11227 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd7 | 10801 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd8 | 11219 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM +NODE | RefUrg | 10740 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 11124 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | ram/RefDone | 10757 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 11125 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd4 | 10789 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 11183 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd8 | 10784 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 11191 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd1 | 10791 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd9 | 11202 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd9.Q | ram/RS_FSM_FFd9 | 1 | 0 | MC_UIM +NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEN | 11216 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 11257 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 10754 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEN | 10790 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP19_.EXP | 11528 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP19_.EXP | EXP19_ | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RASrr.D1 | 11777 | ? | 0 | 4096 | ram/RASrr | NULL | NULL | ram/RASrr.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RASrr.D1 | 11349 | ? | 0 | 4096 | ram/RASrr | NULL | NULL | ram/RASrr.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RASrr.D2 | 11778 | ? | 0 | 4096 | ram/RASrr | NULL | NULL | ram/RASrr.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | ram/RS_FSM_FFd8 -SPPTERM | 1 | IV_TRUE | ram/RS_FSM_FFd4 -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd9 | IV_TRUE | ram/RASEN -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay | IV_TRUE | ram/RS_FSM_FFd9 | IV_TRUE | ram/RASEN | IV_TRUE | fsb/ASrf +SIGNAL | NODE | ram/RASrr.D2 | 11350 | ? | 0 | 4096 | ram/RASrr | NULL | NULL | ram/RASrr.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | ram/RS_FSM_FFd7 +SPPTERM | 1 | IV_TRUE | EXP19_.EXP +SPPTERM | 3 | IV_TRUE | RefUrg | IV_FALSE | ram/RefDone | IV_TRUE | ram/RS_FSM_FFd4 +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | ram/RASrr.EXP | 11515 | ? | 0 | 0 | ram/RASrr | NULL | NULL | ram/RASrr.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 4 | IV_TRUE | RefUrg | IV_FALSE | ram/RefDone | IV_FALSE | ram/RS_FSM_FFd8 | IV_FALSE | ram/RS_FSM_FFd1 +SPPTERM | 5 | IV_TRUE | RefUrg | IV_FALSE | ram/RefDone | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | fsb/ASrf +SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd4 | IV_TRUE | ram/RASEN | IV_FALSE | ram/RS_FSM_FFd1 SRFF_INSTANCE | ram/RASrr.REG | ram/RASrr | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RASrr.D | 11776 | ? | 0 | 0 | ram/RASrr | NULL | NULL | ram/RASrr.XOR | 0 | 7 | ALU_F +NODE | ram/RASrr.D | 11348 | ? | 0 | 0 | ram/RASrr | NULL | NULL | ram/RASrr.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 11155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RASrr.Q | 11779 | ? | 0 | 0 | ram/RASrr | NULL | NULL | ram/RASrr.REG | 0 | 8 | SRFF_Q +NODE | ram/RASrr.Q | 11351 | ? | 0 | 0 | ram/RASrr | NULL | NULL | ram/RASrr.REG | 0 | 8 | SRFF_Q INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_1_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<1> | 11365 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<1> | 10937 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_1_IBUF | 11264 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_1_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_1_IBUF | 10837 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_1_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_7_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<7> | 11366 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<7> | 10938 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_7_IBUF | 11265 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_7_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_7_IBUF | 10838 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_7_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_2_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<2> | 11367 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<2> | 10939 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_2_IBUF | 11266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_2_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_2_IBUF | 10839 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_2_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_3_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<3> | 11368 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<3> | 10940 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_3_IBUF | 11267 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_3_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_3_IBUF | 10840 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_3_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_4_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<4> | 11369 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<4> | 10941 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_4_IBUF | 11268 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_4_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_4_IBUF | 10841 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_4_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_5_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<5> | 11370 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<5> | 10942 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_5_IBUF | 11269 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_5_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_5_IBUF | 10842 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_5_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_6_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<6> | 11371 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<6> | 10943 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_6_IBUF | 11270 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_6_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_6_IBUF | 10843 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_6_IBUF | 0 | 5 | II_IMUX MACROCELL_INSTANCE | OptxMapped | RA_0_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 3 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 11199 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 10773 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_1_IBUF | 11264 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_1_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_1_IBUF | 10837 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_1_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 11251 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_9_IBUF | 10825 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_0_OBUF | 11271 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_0_OBUF.Q | RA_0_OBUF | 0 | 0 | MC_Q +NODE | RA_0_OBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_0_OBUF.Q | RA_0_OBUF | 0 | 0 | MC_Q SIGNAL_INSTANCE | RA_0_OBUF.SI | RA_0_OBUF | 0 | 3 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 11199 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 10773 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_1_IBUF | 11264 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_1_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_1_IBUF | 10837 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_1_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 11251 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_9_IBUF | 10825 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_0_OBUF.D1 | 11781 | ? | 0 | 4096 | RA_0_OBUF | NULL | NULL | RA_0_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_0_OBUF.D1 | 11353 | ? | 0 | 4096 | RA_0_OBUF | NULL | NULL | RA_0_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_0_OBUF.D2 | 11782 | ? | 0 | 4096 | RA_0_OBUF | NULL | NULL | RA_0_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_0_OBUF.D2 | 11354 | ? | 0 | 4096 | RA_0_OBUF | NULL | NULL | RA_0_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_1_IBUF SPPTERM | 2 | IV_FALSE | ram/RASEL | IV_TRUE | A_FSB_9_IBUF SRFF_INSTANCE | RA_0_OBUF.REG | RA_0_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_0_OBUF.D | 11780 | ? | 0 | 0 | RA_0_OBUF | NULL | NULL | RA_0_OBUF.XOR | 0 | 7 | ALU_F +NODE | RA_0_OBUF.D | 11352 | ? | 0 | 0 | RA_0_OBUF | NULL | NULL | RA_0_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_0_OBUF.Q | 11783 | ? | 0 | 0 | RA_0_OBUF | NULL | NULL | RA_0_OBUF.REG | 0 | 8 | SRFF_Q +NODE | RA_0_OBUF.Q | 11355 | ? | 0 | 0 | RA_0_OBUF | NULL | NULL | RA_0_OBUF.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | OptxMapped | RA_10_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 3 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 11130 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 10702 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 11199 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 10773 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_7_IBUF | 11265 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_7_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_7_IBUF | 10838 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_7_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_10_OBUF | 11272 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_10_OBUF.Q | RA_10_OBUF | 0 | 0 | MC_Q +NODE | RA_10_OBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_10_OBUF.Q | RA_10_OBUF | 0 | 0 | MC_Q SIGNAL_INSTANCE | RA_10_OBUF.SI | RA_10_OBUF | 0 | 3 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 11130 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 10702 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 11199 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 10773 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_7_IBUF | 11265 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_7_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_7_IBUF | 10838 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_7_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_10_OBUF.D1 | 11785 | ? | 0 | 4096 | RA_10_OBUF | NULL | NULL | RA_10_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_10_OBUF.D1 | 11357 | ? | 0 | 4096 | RA_10_OBUF | NULL | NULL | RA_10_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_10_OBUF.D2 | 11786 | ? | 0 | 4096 | RA_10_OBUF | NULL | NULL | RA_10_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_10_OBUF.D2 | 11358 | ? | 0 | 4096 | RA_10_OBUF | NULL | NULL | RA_10_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | A_FSB_17_IBUF | IV_FALSE | ram/RASEL SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_7_IBUF SRFF_INSTANCE | RA_10_OBUF.REG | RA_10_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_10_OBUF.D | 11784 | ? | 0 | 0 | RA_10_OBUF | NULL | NULL | RA_10_OBUF.XOR | 0 | 7 | ALU_F +NODE | RA_10_OBUF.D | 11356 | ? | 0 | 0 | RA_10_OBUF | NULL | NULL | RA_10_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_10_OBUF.Q | 11787 | ? | 0 | 0 | RA_10_OBUF | NULL | NULL | RA_10_OBUF.REG | 0 | 8 | SRFF_Q +NODE | RA_10_OBUF.Q | 11359 | ? | 0 | 0 | RA_10_OBUF | NULL | NULL | RA_10_OBUF.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | OptxMapped | RA_1_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 3 | 1 +MACROCELL_INSTANCE | OptxMapped | RA_1_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 16 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 11154 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_10_IBUF | 10726 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 11199 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 10773 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_2_IBUF | 11266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_2_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_2_IBUF | 10839 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_2_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<0> | 10729 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<1> | 10730 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<2> | 10742 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<3> | 10743 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<4> | 10744 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<5> | 10745 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<6> | 10746 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<7> | 10747 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<8> | 10748 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimerTC | 10753 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/IS_FSM_FFd2 | 10786 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 10814 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 10829 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_1_OBUF | 11273 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_1_OBUF.Q | RA_1_OBUF | 0 | 0 | MC_Q +NODE | RA_1_OBUF | 10846 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_1_OBUF.Q | RA_1_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | RA_1_OBUF.EXP | 11540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_1_OBUF.EXP | RA_1_OBUF | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | RA_1_OBUF.SI | RA_1_OBUF | 0 | 3 | 2 +SIGNAL_INSTANCE | RA_1_OBUF.SI | RA_1_OBUF | 0 | 16 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 11154 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_10_IBUF | 10726 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 11199 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 10773 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_2_IBUF | 11266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_2_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_2_IBUF | 10839 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_2_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<0> | 10729 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<1> | 10730 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<2> | 10742 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<3> | 10743 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<4> | 10744 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<5> | 10745 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<6> | 10746 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<7> | 10747 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<8> | 10748 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimerTC | 10753 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/IS_FSM_FFd2 | 10786 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 10814 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 10829 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_1_OBUF.D1 | 11789 | ? | 0 | 4096 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_1_OBUF.D1 | 11361 | ? | 0 | 4096 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_1_OBUF.D2 | 11790 | ? | 0 | 4096 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_1_OBUF.D2 | 11362 | ? | 0 | 4096 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | ram/RASEL SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_2_IBUF +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | RA_1_OBUF.EXP | 11537 | ? | 0 | 0 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 13 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/LTimer<7> | IV_TRUE | cnt/LTimer<8> | IV_TRUE | cnt/TimerTC | IV_TRUE | cnt/IS_FSM_FFd2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SRFF_INSTANCE | RA_1_OBUF.REG | RA_1_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_1_OBUF.D | 11788 | ? | 0 | 0 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.XOR | 0 | 7 | ALU_F +NODE | RA_1_OBUF.D | 11360 | ? | 0 | 0 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_1_OBUF.Q | 11791 | ? | 0 | 0 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.REG | 0 | 8 | SRFF_Q +NODE | RA_1_OBUF.Q | 11363 | ? | 0 | 0 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | OptxMapped | RA_2_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 17 | 2 +MACROCELL_INSTANCE | OptxMapped | RA_2_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 5 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 11131 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 10703 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 11199 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 10773 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_7_IBUF | 11265 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_7_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_7_IBUF | 10838 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_7_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 11124 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 10705 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 11125 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 11126 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 11127 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 11128 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 11129 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 11130 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 11133 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 11164 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 11184 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 11191 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 11291 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 11257 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 11152 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_5_IBUF | 10842 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_5_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_2_OBUF | 11274 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_2_OBUF.Q | RA_2_OBUF | 0 | 0 | MC_Q +NODE | RA_2_OBUF | 10847 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_2_OBUF.Q | RA_2_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | RA_2_OBUF.EXP | 11959 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_2_OBUF.EXP | RA_2_OBUF | 4 | 0 | MC_EXPORT +NODE | RA_2_OBUF.EXP | 11525 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_2_OBUF.EXP | RA_2_OBUF | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | RA_2_OBUF.SI | RA_2_OBUF | 0 | 17 | 3 +SIGNAL_INSTANCE | RA_2_OBUF.SI | RA_2_OBUF | 0 | 5 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 11131 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 10703 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 11199 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 10773 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_7_IBUF | 11265 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_7_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_7_IBUF | 10838 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_7_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 11124 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 10705 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 11125 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 11126 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 11127 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 11128 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 11129 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 11130 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 11133 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 11164 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 11184 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 11191 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 11291 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 11257 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 11152 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_5_IBUF | 10842 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_5_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_2_OBUF.D1 | 11793 | ? | 0 | 4096 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_2_OBUF.D1 | 11365 | ? | 0 | 4096 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_2_OBUF.D2 | 11794 | ? | 0 | 4096 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_2_OBUF.D2 | 11366 | ? | 0 | 4096 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | ram/RASEL SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_7_IBUF OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | RA_2_OBUF.EXP | 11949 | ? | 0 | 0 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 13 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ALE1 -SPPTERM | 13 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 -SPPTERM | 13 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 +SIGNAL | NODE | RA_2_OBUF.EXP | 11511 | ? | 0 | 0 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 2 | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | ram/RASEL +SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_5_IBUF SRFF_INSTANCE | RA_2_OBUF.REG | RA_2_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_2_OBUF.D | 11792 | ? | 0 | 0 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.XOR | 0 | 7 | ALU_F +NODE | RA_2_OBUF.D | 11364 | ? | 0 | 0 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_2_OBUF.Q | 11795 | ? | 0 | 0 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.REG | 0 | 8 | SRFF_Q +NODE | RA_2_OBUF.Q | 11367 | ? | 0 | 0 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | OptxMapped | RA_4_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 19 | 2 +MACROCELL_INSTANCE | OptxMapped | RA_4_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 11 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 11153 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +NODE | RefUrg | 10740 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 11199 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RefDone | 10757 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_3_IBUF | 11267 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_3_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 11124 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd1 | 10791 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 11125 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 11126 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 11127 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | RefReq | 10751 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 11128 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd4 | 10789 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 11129 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | ram/BACTr | 10824 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 11130 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 11131 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 11133 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 11164 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 11184 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 11200 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 11257 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 11291 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 11201 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 11152 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | cnt/WS<0>.EXP | 11521 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<0>.EXP | cnt/WS<0> | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_4_OBUF | 11275 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_4_OBUF.Q | RA_4_OBUF | 0 | 0 | MC_Q +NODE | RA_4_OBUF | 10848 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_4_OBUF.Q | RA_4_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | RA_4_OBUF.EXP | 11956 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_4_OBUF.EXP | RA_4_OBUF | 4 | 0 | MC_EXPORT +NODE | RA_4_OBUF.EXP | 11522 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_4_OBUF.EXP | RA_4_OBUF | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | RA_4_OBUF.SI | RA_4_OBUF | 0 | 19 | 3 +SIGNAL_INSTANCE | RA_4_OBUF.SI | RA_4_OBUF | 0 | 11 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 11153 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +NODE | RefUrg | 10740 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 11199 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RefDone | 10757 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_3_IBUF | 11267 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_3_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 11124 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd1 | 10791 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 11125 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 11126 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 11127 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | RefReq | 10751 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 11128 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd4 | 10789 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 11129 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | ram/BACTr | 10824 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 11130 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 11131 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 11133 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 11164 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 11184 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 11200 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 11257 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 11291 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 11201 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 11152 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | cnt/WS<0>.EXP | 11521 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<0>.EXP | cnt/WS<0> | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_4_OBUF.D1 | 11797 | ? | 0 | 4096 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_4_OBUF.D1 | 11369 | ? | 0 | 4096 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_4_OBUF.D2 | 11798 | ? | 0 | 4096 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | A_FSB_11_IBUF | IV_FALSE | ram/RASEL -SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_3_IBUF +SIGNAL | NODE | RA_4_OBUF.D2 | 11370 | ? | 0 | 4096 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | cnt/WS<0>.EXP OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | RA_4_OBUF.EXP | 11946 | ? | 0 | 0 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 14 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | iobs/TS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 -SPPTERM | 14 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | iobs/TS_FSM_FFd2 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 -SPPTERM | 14 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | iobs/TS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 +SIGNAL | NODE | RA_4_OBUF.EXP | 11508 | ? | 0 | 0 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 5 | IV_TRUE | RefUrg | IV_FALSE | ram/RefDone | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | fsb/ASrf +SPPTERM | 7 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | RefReq | IV_FALSE | ram/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd4 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr +SPPTERM | 7 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | RefReq | IV_FALSE | ram/RefDone | IV_FALSE | ram/RS_FSM_FFd4 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf +SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | RefReq | IV_FALSE | ram/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd4 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr +SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | RefReq | IV_FALSE | ram/RefDone | IV_FALSE | ram/RS_FSM_FFd4 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf SRFF_INSTANCE | RA_4_OBUF.REG | RA_4_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_4_OBUF.D | 11796 | ? | 0 | 0 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.XOR | 0 | 7 | ALU_F +NODE | RA_4_OBUF.D | 11368 | ? | 0 | 0 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_4_OBUF.Q | 11799 | ? | 0 | 0 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.REG | 0 | 8 | SRFF_Q +NODE | RA_4_OBUF.Q | 11371 | ? | 0 | 0 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | OptxMapped | RA_5_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 18 | 2 +MACROCELL_INSTANCE | OptxMapped | RA_5_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 13 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 11125 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | RefUrg | 10740 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 11126 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | ram/RefDone | 10757 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 11164 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 11200 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd1 | 10791 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 11201 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd5 | 10799 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd5.Q | ram/RS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 11257 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd6 | 10800 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd6.Q | ram/RS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 11291 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 11127 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 11183 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | RefReq | 10751 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 11191 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd4 | 10789 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 11124 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | ram/BACTr | 10824 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 11128 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 11129 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 11130 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 11131 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 11152 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 11184 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_11_OBUF.EXP | 11957 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_11_OBUF.EXP | RA_11_OBUF | 4 | 0 | MC_EXPORT +NODE | RA_11_OBUF.EXP | 11523 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_11_OBUF.EXP | RA_11_OBUF | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_5_OBUF | 11276 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_5_OBUF.Q | RA_5_OBUF | 0 | 0 | MC_Q +NODE | RA_5_OBUF | 10849 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_5_OBUF.Q | RA_5_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | RA_5_OBUF.EXP | 11958 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_5_OBUF.EXP | RA_5_OBUF | 4 | 0 | MC_EXPORT +NODE | RA_5_OBUF.EXP | 11524 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_5_OBUF.EXP | RA_5_OBUF | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | RA_5_OBUF.SI | RA_5_OBUF | 0 | 18 | 3 +SIGNAL_INSTANCE | RA_5_OBUF.SI | RA_5_OBUF | 0 | 13 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 11125 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | RefUrg | 10740 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 11126 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | ram/RefDone | 10757 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 11164 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 11200 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd1 | 10791 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 11201 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd5 | 10799 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd5.Q | ram/RS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 11257 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd6 | 10800 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd6.Q | ram/RS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 11291 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 11127 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 11183 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | RefReq | 10751 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 11191 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd4 | 10789 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 11124 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | ram/BACTr | 10824 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 11128 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 11129 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 11130 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 11131 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 11152 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 11184 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_11_OBUF.EXP | 11957 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_11_OBUF.EXP | RA_11_OBUF | 4 | 0 | MC_EXPORT +NODE | RA_11_OBUF.EXP | 11523 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_11_OBUF.EXP | RA_11_OBUF | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_5_OBUF.D1 | 11801 | ? | 0 | 4096 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_5_OBUF.D1 | 11373 | ? | 0 | 4096 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_5_OBUF.D2 | 11802 | ? | 0 | 4096 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_5_OBUF.D2 | 11374 | ? | 0 | 4096 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | RA_11_OBUF.EXP OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | RA_5_OBUF.EXP | 11948 | ? | 0 | 0 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 -SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 -SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | cs/nOverlay | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 -SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | cs/nOverlay | IV_FALSE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 -SPPTERM | 13 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ALE1 +SIGNAL | NODE | RA_5_OBUF.EXP | 11510 | ? | 0 | 0 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 7 | IV_TRUE | RefUrg | IV_FALSE | ram/RefDone | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd5 | IV_FALSE | ram/RS_FSM_FFd6 | IV_FALSE | fsb/ASrf +SPPTERM | 9 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | RefReq | IV_FALSE | ram/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd4 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd5 | IV_FALSE | ram/RS_FSM_FFd6 | IV_FALSE | ram/BACTr +SPPTERM | 9 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | RefReq | IV_FALSE | ram/RefDone | IV_FALSE | ram/RS_FSM_FFd4 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd5 | IV_FALSE | ram/RS_FSM_FFd6 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf +SPPTERM | 9 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | RefReq | IV_FALSE | ram/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd4 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd5 | IV_FALSE | ram/RS_FSM_FFd6 | IV_FALSE | ram/BACTr +SPPTERM | 9 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | RefReq | IV_FALSE | ram/RefDone | IV_FALSE | ram/RS_FSM_FFd4 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd5 | IV_FALSE | ram/RS_FSM_FFd6 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf SRFF_INSTANCE | RA_5_OBUF.REG | RA_5_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_5_OBUF.D | 11800 | ? | 0 | 0 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.XOR | 0 | 7 | ALU_F +NODE | RA_5_OBUF.D | 11372 | ? | 0 | 0 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_5_OBUF.Q | 11803 | ? | 0 | 0 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.REG | 0 | 8 | SRFF_Q +NODE | RA_5_OBUF.Q | 11375 | ? | 0 | 0 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | OptxMapped | RA_6_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 11 | 2 +MACROCELL_INSTANCE | OptxMapped | RA_6_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 17 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 11133 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | iobs/Sent | 10734 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 11199 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | IONPReady | 10758 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.Q | IONPReady | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_5_IBUF | 11269 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_5_IBUF | 0 | 5 | II_IMUX +NODE | iobs/IODONEr | 10833 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IODONEr.Q | iobs/IODONEr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 11191 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 11201 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 11257 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 11291 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 11124 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 11126 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 11127 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 10700 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 11183 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | A_FSB_18_IBUF | 10701 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 10702 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 10703 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 10705 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 10755 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 10724 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RA_2_OBUF.EXP | 11525 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_2_OBUF.EXP | RA_2_OBUF | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_6_OBUF | 11277 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_6_OBUF.Q | RA_6_OBUF | 0 | 0 | MC_Q +NODE | RA_6_OBUF | 10850 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_6_OBUF.Q | RA_6_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | RA_6_OBUF.EXP | 11960 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_6_OBUF.EXP | RA_6_OBUF | 4 | 0 | MC_EXPORT +NODE | RA_6_OBUF.EXP | 11526 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_6_OBUF.EXP | RA_6_OBUF | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | RA_6_OBUF.SI | RA_6_OBUF | 0 | 11 | 3 +SIGNAL_INSTANCE | RA_6_OBUF.SI | RA_6_OBUF | 0 | 17 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 11133 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | iobs/Sent | 10734 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 11199 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | IONPReady | 10758 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.Q | IONPReady | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_5_IBUF | 11269 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_5_IBUF | 0 | 5 | II_IMUX +NODE | iobs/IODONEr | 10833 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IODONEr.Q | iobs/IODONEr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 11191 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 11201 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 11257 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 11291 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 11124 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 11126 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 11127 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 10700 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 11183 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | A_FSB_18_IBUF | 10701 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 10702 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 10703 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 10705 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 10755 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 10724 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RA_2_OBUF.EXP | 11525 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_2_OBUF.EXP | RA_2_OBUF | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_6_OBUF.D1 | 11805 | ? | 0 | 4096 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_6_OBUF.D1 | 11377 | ? | 0 | 4096 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_6_OBUF.D2 | 11806 | ? | 0 | 4096 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | ram/RASEL -SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_5_IBUF +SIGNAL | NODE | RA_6_OBUF.D2 | 11378 | ? | 0 | 4096 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | RA_2_OBUF.EXP OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | RA_6_OBUF.EXP | 11950 | ? | 0 | 0 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 4 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_FALSE | fsb/ASrf | IV_TRUE | ALE1 -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 +SIGNAL | NODE | RA_6_OBUF.EXP | 11512 | ? | 0 | 0 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 2 | IV_FALSE | iobs/Sent | IV_FALSE | IONPReady +SPPTERM | 2 | IV_FALSE | IONPReady | IV_FALSE | iobs/IODONEr +SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +SPPTERM | 11 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | IONPReady +SPPTERM | 11 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | IONPReady SRFF_INSTANCE | RA_6_OBUF.REG | RA_6_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_6_OBUF.D | 11804 | ? | 0 | 0 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.XOR | 0 | 7 | ALU_F +NODE | RA_6_OBUF.D | 11376 | ? | 0 | 0 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_6_OBUF.Q | 11807 | ? | 0 | 0 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.REG | 0 | 8 | SRFF_Q +NODE | RA_6_OBUF.Q | 11379 | ? | 0 | 0 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | OptxMapped | RA_7_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 3 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 11152 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 10724 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 11199 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 10773 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_6_IBUF | 11270 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_6_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_6_IBUF | 10843 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_6_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_7_OBUF | 11278 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_7_OBUF.Q | RA_7_OBUF | 0 | 0 | MC_Q +NODE | RA_7_OBUF | 10851 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_7_OBUF.Q | RA_7_OBUF | 0 | 0 | MC_Q SIGNAL_INSTANCE | RA_7_OBUF.SI | RA_7_OBUF | 0 | 3 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 11152 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 10724 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 11199 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 10773 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_6_IBUF | 11270 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_6_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_6_IBUF | 10843 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_6_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_7_OBUF.D1 | 11809 | ? | 0 | 4096 | RA_7_OBUF | NULL | NULL | RA_7_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_7_OBUF.D1 | 11381 | ? | 0 | 4096 | RA_7_OBUF | NULL | NULL | RA_7_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_7_OBUF.D2 | 11810 | ? | 0 | 4096 | RA_7_OBUF | NULL | NULL | RA_7_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_7_OBUF.D2 | 11382 | ? | 0 | 4096 | RA_7_OBUF | NULL | NULL | RA_7_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | ram/RASEL SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_6_IBUF SRFF_INSTANCE | RA_7_OBUF.REG | RA_7_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_7_OBUF.D | 11808 | ? | 0 | 0 | RA_7_OBUF | NULL | NULL | RA_7_OBUF.XOR | 0 | 7 | ALU_F +NODE | RA_7_OBUF.D | 11380 | ? | 0 | 0 | RA_7_OBUF | NULL | NULL | RA_7_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_7_OBUF.Q | 11811 | ? | 0 | 0 | RA_7_OBUF | NULL | NULL | RA_7_OBUF.REG | 0 | 8 | SRFF_Q +NODE | RA_7_OBUF.Q | 11383 | ? | 0 | 0 | RA_7_OBUF | NULL | NULL | RA_7_OBUF.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | OptxMapped | RA_8_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 3 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 11129 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 10701 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 11199 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 10773 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 11126 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_8_OBUF | 11279 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_8_OBUF.Q | RA_8_OBUF | 0 | 0 | MC_Q +NODE | RA_8_OBUF | 10852 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_8_OBUF.Q | RA_8_OBUF | 0 | 0 | MC_Q SIGNAL_INSTANCE | RA_8_OBUF.SI | RA_8_OBUF | 0 | 3 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 11129 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 10701 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 11199 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 10773 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 11126 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_8_OBUF.D1 | 11813 | ? | 0 | 4096 | RA_8_OBUF | NULL | NULL | RA_8_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_8_OBUF.D1 | 11385 | ? | 0 | 4096 | RA_8_OBUF | NULL | NULL | RA_8_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_8_OBUF.D2 | 11814 | ? | 0 | 4096 | RA_8_OBUF | NULL | NULL | RA_8_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_8_OBUF.D2 | 11386 | ? | 0 | 4096 | RA_8_OBUF | NULL | NULL | RA_8_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | ram/RASEL SPPTERM | 2 | IV_TRUE | A_FSB_18_IBUF | IV_FALSE | ram/RASEL SRFF_INSTANCE | RA_8_OBUF.REG | RA_8_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_8_OBUF.D | 11812 | ? | 0 | 0 | RA_8_OBUF | NULL | NULL | RA_8_OBUF.XOR | 0 | 7 | ALU_F +NODE | RA_8_OBUF.D | 11384 | ? | 0 | 0 | RA_8_OBUF | NULL | NULL | RA_8_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_8_OBUF.Q | 11815 | ? | 0 | 0 | RA_8_OBUF | NULL | NULL | RA_8_OBUF.REG | 0 | 8 | SRFF_Q +NODE | RA_8_OBUF.Q | 11387 | ? | 0 | 0 | RA_8_OBUF | NULL | NULL | RA_8_OBUF.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | OptxMapped | RA_9_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 3 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 11132 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_15_IBUF | 10704 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 11199 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 10773 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 11252 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_8_IBUF | 10826 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_9_OBUF | 11280 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_9_OBUF.Q | RA_9_OBUF | 0 | 0 | MC_Q +NODE | RA_9_OBUF | 10853 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_9_OBUF.Q | RA_9_OBUF | 0 | 0 | MC_Q SIGNAL_INSTANCE | RA_9_OBUF.SI | RA_9_OBUF | 0 | 3 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 11132 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_15_IBUF | 10704 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 11199 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 10773 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 11252 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_8_IBUF | 10826 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_9_OBUF.D1 | 11817 | ? | 0 | 4096 | RA_9_OBUF | NULL | NULL | RA_9_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_9_OBUF.D1 | 11389 | ? | 0 | 4096 | RA_9_OBUF | NULL | NULL | RA_9_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_9_OBUF.D2 | 11818 | ? | 0 | 4096 | RA_9_OBUF | NULL | NULL | RA_9_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_9_OBUF.D2 | 11390 | ? | 0 | 4096 | RA_9_OBUF | NULL | NULL | RA_9_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | ram/RASEL SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_8_IBUF SRFF_INSTANCE | RA_9_OBUF.REG | RA_9_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_9_OBUF.D | 11816 | ? | 0 | 0 | RA_9_OBUF | NULL | NULL | RA_9_OBUF.XOR | 0 | 7 | ALU_F +NODE | RA_9_OBUF.D | 11388 | ? | 0 | 0 | RA_9_OBUF | NULL | NULL | RA_9_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_9_OBUF.Q | 11819 | ? | 0 | 0 | RA_9_OBUF | NULL | NULL | RA_9_OBUF.REG | 0 | 8 | SRFF_Q +NODE | RA_9_OBUF.Q | 11391 | ? | 0 | 0 | RA_9_OBUF | NULL | NULL | RA_9_OBUF.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | Inv+OptxMapped | nOE_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 2 | 1 +MACROCELL_INSTANCE | Inv+OptxMapped | nROMWE_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 15 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 11184 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10755 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 11191 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 10700 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 10701 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 10702 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 10703 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 10705 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Sent | 10734 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 10863 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 10724 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nOE_OBUF | 11281 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nOE_OBUF.Q | nOE_OBUF | 0 | 0 | MC_Q - -SIGNAL_INSTANCE | nOE_OBUF.SI | nOE_OBUF | 0 | 2 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 11184 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 11191 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nOE_OBUF.D1 | 11821 | ? | 0 | 4096 | nOE_OBUF | NULL | NULL | nOE_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nOE_OBUF.D2 | 11822 | ? | 0 | 4096 | nOE_OBUF | NULL | NULL | nOE_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF - -SRFF_INSTANCE | nOE_OBUF.REG | nOE_OBUF | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nOE_OBUF.D | 11820 | ? | 0 | 0 | nOE_OBUF | NULL | NULL | nOE_OBUF.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nOE_OBUF.Q | 11823 | ? | 0 | 0 | nOE_OBUF | NULL | NULL | nOE_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | Inv+OptxMapped | nROMWE_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 11 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 11124 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 11157 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefReq | 11159 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd9 | 11202 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd9.Q | ram/RS_FSM_FFd9 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEN | 11216 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 11226 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 11125 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 11183 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 11191 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 11257 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RAMReady.EXP | 11921 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RAMReady.EXP | RAMReady | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nROMWE_OBUF | 11282 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMWE_OBUF.Q | nROMWE_OBUF | 0 | 0 | MC_Q +NODE | nROMWE_OBUF | 10854 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMWE_OBUF.Q | nROMWE_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | nROMWE_OBUF.EXP | 11920 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMWE_OBUF.EXP | nROMWE_OBUF | 4 | 0 | MC_EXPORT +NODE | nROMWE_OBUF.EXP | 11486 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMWE_OBUF.EXP | nROMWE_OBUF | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | nROMWE_OBUF.SI | nROMWE_OBUF | 0 | 11 | 3 +SIGNAL_INSTANCE | nROMWE_OBUF.SI | nROMWE_OBUF | 0 | 15 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 11124 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10755 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 11157 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefReq | 11159 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM +NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd9 | 11202 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd9.Q | ram/RS_FSM_FFd9 | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEN | 11216 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM +NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 11226 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 11125 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 10700 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 11183 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | A_FSB_18_IBUF | 10701 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 11191 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 10702 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 11257 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | A_FSB_16_IBUF | 10703 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RAMReady.EXP | 11921 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RAMReady.EXP | RAMReady | 4 | 0 | MC_EXPORT +NODE | A_FSB_13_IBUF | 10705 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Sent | 10734 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 10863 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 10724 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nROMWE_OBUF.D1 | 11825 | ? | 0 | 4096 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nROMWE_OBUF.D1 | 11393 | ? | 0 | 4096 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nROMWE_OBUF.D2 | 11826 | ? | 0 | 4096 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | RAMReady.EXP +SIGNAL | NODE | nROMWE_OBUF.D2 | 11394 | ? | 0 | 4096 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | nROMWE_OBUF.EXP | 11906 | ? | 0 | 0 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 5 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | RefUrg | IV_FALSE | RefReq | IV_TRUE | ram/RS_FSM_FFd9 | IV_TRUE | ram/RASEN -SPPTERM | 5 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | RefUrg | IV_TRUE | ram/RS_FSM_FFd9 | IV_TRUE | ram/RASEN | IV_TRUE | ram/BACTr -SPPTERM | 5 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | RefUrg | IV_TRUE | ram/RS_FSM_FFd9 | IV_TRUE | ram/RASEN | IV_TRUE | ram/BACTr -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd9 | IV_TRUE | ram/RASEN -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay | IV_TRUE | ram/RS_FSM_FFd9 | IV_TRUE | ram/RASEN | IV_TRUE | fsb/ASrf +SIGNAL | NODE | nROMWE_OBUF.EXP | 11474 | ? | 0 | 0 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 13 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ALE1 +SPPTERM | 13 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 +SPPTERM | 13 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ALE1 +SPPTERM | 13 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 SRFF_INSTANCE | nROMWE_OBUF.REG | nROMWE_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nROMWE_OBUF.D | 11824 | ? | 0 | 0 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.XOR | 0 | 7 | ALU_F +NODE | nROMWE_OBUF.D | 11392 | ? | 0 | 0 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nROMWE_OBUF.Q | 11827 | ? | 0 | 0 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nROMWE_OBUF.Q | 11395 | ? | 0 | 0 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | OptxMapped | nADoutLE0_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE0M | 11236 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ALE0M.Q | ALE0M | 1 | 0 | MC_UIM +NODE | ALE0M | 10809 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ALE0M.Q | ALE0M | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE0S | 11253 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ALE0S.Q | ALE0S | 1 | 0 | MC_UIM +NODE | ALE0S | 10827 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ALE0S.Q | ALE0S | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nADoutLE0_OBUF | 11283 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE0_OBUF.Q | nADoutLE0_OBUF | 0 | 0 | MC_Q +NODE | nADoutLE0_OBUF | 10855 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE0_OBUF.Q | nADoutLE0_OBUF | 0 | 0 | MC_Q SIGNAL_INSTANCE | nADoutLE0_OBUF.SI | nADoutLE0_OBUF | 0 | 2 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE0M | 11236 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ALE0M.Q | ALE0M | 1 | 0 | MC_UIM +NODE | ALE0M | 10809 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ALE0M.Q | ALE0M | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE0S | 11253 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ALE0S.Q | ALE0S | 1 | 0 | MC_UIM +NODE | ALE0S | 10827 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ALE0S.Q | ALE0S | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nADoutLE0_OBUF.D1 | 11829 | ? | 0 | 4096 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nADoutLE0_OBUF.D1 | 11397 | ? | 0 | 4096 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nADoutLE0_OBUF.D2 | 11830 | ? | 0 | 4096 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nADoutLE0_OBUF.D2 | 11398 | ? | 0 | 4096 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_FALSE | ALE0M | IV_FALSE | ALE0S SRFF_INSTANCE | nADoutLE0_OBUF.REG | nADoutLE0_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nADoutLE0_OBUF.D | 11828 | ? | 0 | 0 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.XOR | 0 | 7 | ALU_F +NODE | nADoutLE0_OBUF.D | 11396 | ? | 0 | 0 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nADoutLE0_OBUF.Q | 11831 | ? | 0 | 0 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nADoutLE0_OBUF.Q | 11399 | ? | 0 | 0 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | nCAS_OBUF | WarpSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 +MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | nCAS_OBUF | WarpSE_COPY_0_COPY_0 | 2424308736 | 10 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/CAS | 11261 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/CAS.Q | ram/CAS | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd2 | 10807 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK- | 11156 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 7 | 5 | II_FCLKINV +NODE | ram/RS_FSM_FFd3 | 10808 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 10791 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/DTACKr | 10798 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/DTACKr.Q | ram/DTACKr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd5 | 10799 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd5.Q | ram/RS_FSM_FFd5 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RefUrg | 10740 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd7 | 10801 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP17_.EXP | 11518 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP17_.EXP | EXP17_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nOE_OBUF.EXP | 11519 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nOE_OBUF.EXP | nOE_OBUF | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK- | 10728 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nCAS_OBUF | 11284 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nCAS_OBUF.Q | nCAS_OBUF | 0 | 0 | MC_Q +NODE | nCAS_OBUF | 10856 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nCAS_OBUF.Q | nCAS_OBUF | 0 | 0 | MC_Q -SIGNAL_INSTANCE | nCAS_OBUF.SI | nCAS_OBUF | 0 | 1 | 2 +SIGNAL_INSTANCE | nCAS_OBUF.SI | nCAS_OBUF | 0 | 9 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/CAS | 11261 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/CAS.Q | ram/CAS | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd2 | 10807 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 10808 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 10791 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/DTACKr | 10798 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/DTACKr.Q | ram/DTACKr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd5 | 10799 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd5.Q | ram/RS_FSM_FFd5 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RefUrg | 10740 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd7 | 10801 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP17_.EXP | 11518 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP17_.EXP | EXP17_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nOE_OBUF.EXP | 11519 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nOE_OBUF.EXP | nOE_OBUF | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nCAS_OBUF.D1 | 11833 | ? | 0 | 4096 | nCAS_OBUF | NULL | NULL | nCAS_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nCAS_OBUF.D1 | 11401 | ? | 0 | 4096 | nCAS_OBUF | NULL | NULL | nCAS_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nCAS_OBUF.D2 | 11834 | ? | 0 | 4096 | nCAS_OBUF | NULL | NULL | nCAS_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_FALSE | ram/CAS +SIGNAL | NODE | nCAS_OBUF.D2 | 11402 | ? | 0 | 4096 | nCAS_OBUF | NULL | NULL | nCAS_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | ram/RS_FSM_FFd1 +SPPTERM | 1 | IV_TRUE | ram/RS_FSM_FFd2 +SPPTERM | 1 | IV_TRUE | ram/RS_FSM_FFd3 +SPPTERM | 1 | IV_TRUE | EXP17_.EXP +SPPTERM | 1 | IV_TRUE | nOE_OBUF.EXP +SPPTERM | 2 | IV_FALSE | RefUrg | IV_TRUE | ram/RS_FSM_FFd7 +SPPTERM | 2 | IV_TRUE | ram/DTACKr | IV_TRUE | ram/RS_FSM_FFd5 SRFF_INSTANCE | nCAS_OBUF.REG | nCAS_OBUF | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nCAS_OBUF.D | 11832 | ? | 0 | 0 | nCAS_OBUF | NULL | NULL | nCAS_OBUF.XOR | 0 | 7 | ALU_F +NODE | nCAS_OBUF.D | 11400 | ? | 0 | 0 | nCAS_OBUF | NULL | NULL | nCAS_OBUF.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK- | 11156 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 7 | 5 | II_FCLKINV +NODE | FCLK_IBUF/FCLK- | 10728 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nCAS_OBUF.Q | 11835 | ? | 0 | 0 | nCAS_OBUF | NULL | NULL | nCAS_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+OptxMapped | nDTACK_FSB_OBUF | WarpSE_COPY_0_COPY_0 | 2155873280 | 12 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 11157 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 11180 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 11191 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd5 | 11215 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd5.Q | ram/RS_FSM_FFd5 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 11257 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 11124 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefReq | 11159 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd9 | 11202 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd9.Q | ram/RS_FSM_FFd9 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 11226 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 11125 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP11_.EXP | 11912 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP11_.EXP | EXP11_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 11155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nDTACK_FSB_OBUF | 11285 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | nDTACK_FSB_OBUF.EXP | 11913 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.EXP | nDTACK_FSB_OBUF | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | nDTACK_FSB_OBUF.SI | nDTACK_FSB_OBUF | 0 | 11 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 11157 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 11180 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 11191 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd5 | 11215 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd5.Q | ram/RS_FSM_FFd5 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 11257 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 11124 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefReq | 11159 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd9 | 11202 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd9.Q | ram/RS_FSM_FFd9 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 11226 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 11125 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP11_.EXP | 11912 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP11_.EXP | EXP11_ | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nDTACK_FSB_OBUF.D1 | 11837 | ? | 0 | 4096 | nDTACK_FSB_OBUF | NULL | NULL | nDTACK_FSB_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nDTACK_FSB_OBUF.D2 | 11838 | ? | 0 | 4096 | nDTACK_FSB_OBUF | NULL | NULL | nDTACK_FSB_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | EXP11_.EXP -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | nDTACK_FSB_OBUF.EXP | 11899 | ? | 0 | 0 | nDTACK_FSB_OBUF | NULL | NULL | nDTACK_FSB_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 5 | IV_TRUE | RefUrg | IV_FALSE | ram/RefDone | IV_TRUE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd5 | IV_FALSE | fsb/ASrf -SPPTERM | 6 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | RefReq | IV_FALSE | ram/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd9 | IV_FALSE | ram/BACTr -SPPTERM | 6 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | RefReq | IV_FALSE | ram/RefDone | IV_TRUE | ram/RS_FSM_FFd9 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf -SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | RefReq | IV_FALSE | ram/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd9 | IV_FALSE | ram/BACTr -SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | RefReq | IV_FALSE | ram/RefDone | IV_TRUE | ram/RS_FSM_FFd9 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf - -SRFF_INSTANCE | nDTACK_FSB_OBUF.REG | nDTACK_FSB_OBUF | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nDTACK_FSB_OBUF.D | 11836 | ? | 0 | 0 | nDTACK_FSB_OBUF | NULL | NULL | nDTACK_FSB_OBUF.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 11155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nDTACK_FSB_OBUF.Q | 11839 | ? | 0 | 0 | nDTACK_FSB_OBUF | NULL | NULL | nDTACK_FSB_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nCAS_OBUF.Q | 11403 | ? | 0 | 0 | nCAS_OBUF | NULL | NULL | nCAS_OBUF.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped+Ce | nDinLE_OBUF | WarpSE_COPY_0_COPY_0 | 2424308992 | 11 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 11204 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 10777 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 11207 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 10780 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK- | 11142 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV +NODE | C16M_IBUF/FCLK- | 10714 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOBERR | 11166 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM +NODE | IOBERR | 10736 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IODONE | 11169 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.Q | IODONE | 1 | 0 | MC_UIM +NODE | IODONE | 10739 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.Q | IODONE | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 11203 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 10776 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOWRREQr | 11248 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM +NODE | iobm/IOWRREQr | 10821 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 11293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 10865 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOACT | 11220 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM +NODE | IOACT | 10792 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 11222 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 10794 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 11223 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 10795 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nDinLE_OBUF | 11286 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDinLE_OBUF.Q | nDinLE_OBUF | 0 | 0 | MC_Q +NODE | nDinLE_OBUF | 10857 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDinLE_OBUF.Q | nDinLE_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | nDinLE_OBUF.EXP | 11969 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDinLE_OBUF.EXP | nDinLE_OBUF | 4 | 0 | MC_EXPORT +NODE | nDinLE_OBUF.EXP | 11535 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDinLE_OBUF.EXP | nDinLE_OBUF | 4 | 0 | MC_EXPORT SIGNAL_INSTANCE | nDinLE_OBUF.SI | nDinLE_OBUF | 0 | 10 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 11204 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 10777 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 11207 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 10780 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOBERR | 11166 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM +NODE | IOBERR | 10736 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IODONE | 11169 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.Q | IODONE | 1 | 0 | MC_UIM +NODE | IODONE | 10739 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.Q | IODONE | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 11203 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 10776 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOWRREQr | 11248 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM +NODE | iobm/IOWRREQr | 10821 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 11293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 10865 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOACT | 11220 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM +NODE | IOACT | 10792 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 11222 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 10794 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 11223 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 10795 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nDinLE_OBUF.D1 | 11841 | ? | 0 | 4096 | nDinLE_OBUF | NULL | NULL | nDinLE_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nDinLE_OBUF.D1 | 11405 | ? | 0 | 4096 | nDinLE_OBUF | NULL | NULL | nDinLE_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nDinLE_OBUF.D2 | 11842 | ? | 0 | 4096 | nDinLE_OBUF | NULL | NULL | nDinLE_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nDinLE_OBUF.D2 | 11406 | ? | 0 | 4096 | nDinLE_OBUF | NULL | NULL | nDinLE_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd4 OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | nDinLE_OBUF.EXP | 11966 | ? | 0 | 0 | nDinLE_OBUF | NULL | NULL | nDinLE_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | nDinLE_OBUF.EXP | 11532 | ? | 0 | 0 | nDinLE_OBUF | NULL | NULL | nDinLE_OBUF.SI | 7 | 9 | MC_SI_EXPORT SPPTERM | 3 | IV_FALSE | IOBERR | IV_FALSE | IODONE | IV_TRUE | iobm/IOS_FSM_FFd3 SPPTERM | 3 | IV_TRUE | iobm/IOS_FSM_FFd7 | IV_TRUE | iobm/IOWRREQr | IV_FALSE | AoutOE SPPTERM | 5 | IV_FALSE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_TRUE | IOACT | IV_FALSE | iobm/IOS_FSM_FFd1 | IV_FALSE | iobm/IOS_FSM_FFd2 SRFF_INSTANCE | nDinLE_OBUF.REG | nDinLE_OBUF | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nDinLE_OBUF.D | 11840 | ? | 0 | 0 | nDinLE_OBUF | NULL | NULL | nDinLE_OBUF.XOR | 0 | 7 | ALU_F +NODE | nDinLE_OBUF.D | 11404 | ? | 0 | 0 | nDinLE_OBUF | NULL | NULL | nDinLE_OBUF.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK- | 11142 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV +NODE | C16M_IBUF/FCLK- | 10714 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nDinLE_OBUF.Q | 11843 | ? | 0 | 0 | nDinLE_OBUF | NULL | NULL | nDinLE_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nDinLE_OBUF.Q | 11407 | ? | 0 | 0 | nDinLE_OBUF | NULL | NULL | nDinLE_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped | nOE_OBUF | WarpSE_COPY_0_COPY_0 | 2155873280 | 10 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RefUrg | 10740 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd8 | 10784 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 10824 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEN | 10790 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP18_.EXP | 11520 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP18_.EXP | EXP18_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nOE_OBUF | 10858 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nOE_OBUF.Q | nOE_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | nOE_OBUF.EXP | 11519 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nOE_OBUF.EXP | nOE_OBUF | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | nOE_OBUF.SI | nOE_OBUF | 0 | 9 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RefUrg | 10740 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd8 | 10784 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 10824 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEN | 10790 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP18_.EXP | 11520 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP18_.EXP | EXP18_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nOE_OBUF.D1 | 11409 | ? | 0 | 4096 | nOE_OBUF | NULL | NULL | nOE_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nOE_OBUF.D2 | 11410 | ? | 0 | 4096 | nOE_OBUF | NULL | NULL | nOE_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | EXP18_.EXP +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | nOE_OBUF.EXP | 11505 | ? | 0 | 0 | nOE_OBUF | NULL | NULL | nOE_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 3 | IV_FALSE | RefUrg | IV_TRUE | ram/RS_FSM_FFd8 | IV_TRUE | ram/BACTr +SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | RefUrg | IV_TRUE | ram/RS_FSM_FFd8 +SPPTERM | 4 | IV_FALSE | RefUrg | IV_TRUE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd8 | IV_FALSE | fsb/ASrf +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd8 | IV_TRUE | ram/RASEN +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | ram/RS_FSM_FFd8 | IV_TRUE | ram/RASEN | IV_TRUE | fsb/ASrf + +SRFF_INSTANCE | nOE_OBUF.REG | nOE_OBUF | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nOE_OBUF.D | 11408 | ? | 0 | 0 | nOE_OBUF | NULL | NULL | nOE_OBUF.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nOE_OBUF.Q | 11411 | ? | 0 | 0 | nOE_OBUF | NULL | NULL | nOE_OBUF.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | FbkInv+PinTrst+Merge+OptxMapped | N0 | WarpSE_COPY_0_COPY_0 | 2155923456 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nRESout | 11260 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRESout.Q | nRESout | 1 | 0 | MC_UIM +NODE | nRESout | 10834 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRESout.Q | nRESout | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | N0 | 11287 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | N0.Q | N0 | 0 | 0 | MC_Q +NODE | N0 | 10859 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | N0.Q | N0 | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 2 | 0 | MC_OE -NODE | N0$OE | 11288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | N0.BUFOE.OUT | N0 | 2 | 0 | MC_OE +NODE | N0$OE | 10860 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | N0.BUFOE.OUT | N0 | 2 | 0 | MC_OE SIGNAL_INSTANCE | N0.SI | N0 | 0 | 1 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nRESout | 11260 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRESout.Q | nRESout | 1 | 0 | MC_UIM +NODE | nRESout | 10834 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRESout.Q | nRESout | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | N0.D1 | 11845 | ? | 0 | 4096 | N0 | NULL | NULL | N0.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | N0.D1 | 11413 | ? | 0 | 4096 | N0 | NULL | NULL | N0.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | N0.D2 | 11846 | ? | 0 | 4096 | N0 | NULL | NULL | N0.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | N0.D2 | 11414 | ? | 0 | 4096 | N0 | NULL | NULL | N0.SI | 2 | 9 | MC_SI_D2 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 4 | 9 | MC_SI_TRST -SIGNAL | NODE | N0.TRST | 11848 | ? | 0 | 4096 | N0 | NULL | NULL | N0.SI | 4 | 9 | MC_SI_TRST +SIGNAL | NODE | N0.TRST | 11416 | ? | 0 | 4096 | N0 | NULL | NULL | N0.SI | 4 | 9 | MC_SI_TRST SPPTERM | 1 | IV_FALSE | nRESout SRFF_INSTANCE | N0.REG | N0 | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | N0.D | 11844 | ? | 0 | 0 | N0 | NULL | NULL | N0.XOR | 0 | 7 | ALU_F +NODE | N0.D | 11412 | ? | 0 | 0 | N0 | NULL | NULL | N0.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | N0.Q | 11849 | ? | 0 | 0 | N0 | NULL | NULL | N0.REG | 0 | 8 | SRFF_Q +NODE | N0.Q | 11417 | ? | 0 | 0 | N0 | NULL | NULL | N0.REG | 0 | 8 | SRFF_Q BUF_INSTANCE | N0.BUFOE | N0 | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 10 | CTOR_UNKNOWN -SIGNAL | NODE | N0.TRST | 11848 | ? | 0 | 4096 | N0 | NULL | NULL | N0.SI | 4 | 9 | MC_SI_TRST +SIGNAL | NODE | N0.TRST | 11416 | ? | 0 | 4096 | N0 | NULL | NULL | N0.SI | 4 | 9 | MC_SI_TRST SPPTERM | 1 | IV_FALSE | nRESout OUTPUT_NODE_TYPE | 0 | 10 | BUF_OUT -NODE | N0.BUFOE.OUT | 11847 | ? | 0 | 0 | N0 | NULL | NULL | N0.BUFOE | 0 | 10 | BUF_OUT +NODE | N0.BUFOE.OUT | 11415 | ? | 0 | 0 | N0 | NULL | NULL | N0.BUFOE | 0 | 10 | BUF_OUT MACROCELL_INSTANCE | OptxMapped | RA_11_OBUF$BUF0 | WarpSE_COPY_0_COPY_0 | 2155872256 | 12 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 11124 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 11125 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 11128 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 10700 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 11201 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10775 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 11291 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 10863 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 11129 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 10701 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 11130 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 10702 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 11131 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 10703 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 11126 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 11127 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 11183 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 10754 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2.EXP | 11986 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.EXP | iobs/TS_FSM_FFd2 | 4 | 0 | MC_EXPORT +NODE | iobs/TS_FSM_FFd2.EXP | 11558 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.EXP | iobs/TS_FSM_FFd2 | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_11_OBUF$BUF0 | 11289 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_11_OBUF$BUF0.Q | RA_11_OBUF$BUF0 | 0 | 0 | MC_Q +NODE | RA_11_OBUF$BUF0 | 10861 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_11_OBUF$BUF0.Q | RA_11_OBUF$BUF0 | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | RA_11_OBUF$BUF0.EXP | 11985 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_11_OBUF$BUF0.EXP | RA_11_OBUF$BUF0 | 4 | 0 | MC_EXPORT +NODE | RA_11_OBUF$BUF0.EXP | 11557 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_11_OBUF$BUF0.EXP | RA_11_OBUF$BUF0 | 4 | 0 | MC_EXPORT SIGNAL_INSTANCE | RA_11_OBUF$BUF0.SI | RA_11_OBUF$BUF0 | 0 | 12 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 11124 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 11125 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 11128 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 10700 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 11201 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10775 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 11291 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 10863 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 11129 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 10701 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 11130 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 10702 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 11131 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 10703 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 11126 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 11127 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 11183 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 10754 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2.EXP | 11986 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.EXP | iobs/TS_FSM_FFd2 | 4 | 0 | MC_EXPORT +NODE | iobs/TS_FSM_FFd2.EXP | 11558 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.EXP | iobs/TS_FSM_FFd2 | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_11_OBUF$BUF0.D1 | 11851 | ? | 0 | 4096 | RA_11_OBUF$BUF0 | NULL | NULL | RA_11_OBUF$BUF0.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_11_OBUF$BUF0.D1 | 11419 | ? | 0 | 4096 | RA_11_OBUF$BUF0 | NULL | NULL | RA_11_OBUF$BUF0.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_11_OBUF$BUF0.D2 | 11852 | ? | 0 | 4096 | RA_11_OBUF$BUF0 | NULL | NULL | RA_11_OBUF$BUF0.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_11_OBUF$BUF0.D2 | 11420 | ? | 0 | 4096 | RA_11_OBUF$BUF0 | NULL | NULL | RA_11_OBUF$BUF0.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | iobs/TS_FSM_FFd2.EXP OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | RA_11_OBUF$BUF0.EXP | 11970 | ? | 0 | 0 | RA_11_OBUF$BUF0 | NULL | NULL | RA_11_OBUF$BUF0.SI | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | RA_11_OBUF$BUF0.EXP | 11542 | ? | 0 | 0 | RA_11_OBUF$BUF0 | NULL | NULL | RA_11_OBUF$BUF0.SI | 7 | 9 | MC_SI_EXPORT SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_19_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_18_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_17_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 @@ -6776,158 +6969,158 @@ SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A SRFF_INSTANCE | RA_11_OBUF$BUF0.REG | RA_11_OBUF$BUF0 | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_11_OBUF$BUF0.D | 11850 | ? | 0 | 0 | RA_11_OBUF$BUF0 | NULL | NULL | RA_11_OBUF$BUF0.XOR | 0 | 7 | ALU_F +NODE | RA_11_OBUF$BUF0.D | 11418 | ? | 0 | 0 | RA_11_OBUF$BUF0 | NULL | NULL | RA_11_OBUF$BUF0.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_11_OBUF$BUF0.Q | 11853 | ? | 0 | 0 | RA_11_OBUF$BUF0 | NULL | NULL | RA_11_OBUF$BUF0.REG | 0 | 8 | SRFF_Q +NODE | RA_11_OBUF$BUF0.Q | 11421 | ? | 0 | 0 | RA_11_OBUF$BUF0 | NULL | NULL | RA_11_OBUF$BUF0.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | Inv+PrldHigh+OptxMapped | nADoutLE1_OBUF | WarpSE_COPY_0_COPY_0 | 2155873024 | 4 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Clear1 | 11258 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Clear1.Q | iobs/Clear1 | 1 | 0 | MC_UIM +NODE | iobs/Clear1 | 10832 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Clear1.Q | iobs/Clear1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 11291 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 10863 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Load1 | 11225 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM +NODE | iobs/Load1 | 10797 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 11155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nADoutLE1_OBUF | 11290 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 0 | 0 | MC_Q +NODE | nADoutLE1_OBUF | 10862 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ALE1 | 11291 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 10863 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM SIGNAL_INSTANCE | nADoutLE1_OBUF.SI | nADoutLE1_OBUF | 0 | 3 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Clear1 | 11258 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Clear1.Q | iobs/Clear1 | 1 | 0 | MC_UIM +NODE | iobs/Clear1 | 10832 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Clear1.Q | iobs/Clear1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 11291 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 10863 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Load1 | 11225 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM +NODE | iobs/Load1 | 10797 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nADoutLE1_OBUF.D1 | 11855 | ? | 0 | 4096 | nADoutLE1_OBUF | NULL | NULL | nADoutLE1_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nADoutLE1_OBUF.D1 | 11423 | ? | 0 | 4096 | nADoutLE1_OBUF | NULL | NULL | nADoutLE1_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nADoutLE1_OBUF.D2 | 11856 | ? | 0 | 4096 | nADoutLE1_OBUF | NULL | NULL | nADoutLE1_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nADoutLE1_OBUF.D2 | 11424 | ? | 0 | 4096 | nADoutLE1_OBUF | NULL | NULL | nADoutLE1_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | iobs/Load1 SPPTERM | 2 | IV_FALSE | iobs/Clear1 | IV_FALSE | ALE1 SRFF_INSTANCE | nADoutLE1_OBUF.REG | nADoutLE1_OBUF | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nADoutLE1_OBUF.D | 11854 | ? | 0 | 0 | nADoutLE1_OBUF | NULL | NULL | nADoutLE1_OBUF.XOR | 0 | 7 | ALU_F +NODE | nADoutLE1_OBUF.D | 11422 | ? | 0 | 0 | nADoutLE1_OBUF | NULL | NULL | nADoutLE1_OBUF.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 11155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nADoutLE1_OBUF.Q | 11857 | ? | 0 | 0 | nADoutLE1_OBUF | NULL | NULL | nADoutLE1_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nADoutLE1_OBUF.Q | 11425 | ? | 0 | 0 | nADoutLE1_OBUF | NULL | NULL | nADoutLE1_OBUF.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | Inv+PrldHigh+OptxMapped | nAoutOE_OBUF | WarpSE_COPY_0_COPY_0 | 2155873024 | 24 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 11124 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 11125 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 11126 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 11127 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 11155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 11128 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 10700 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 11129 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 10701 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 11130 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 10702 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 11131 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 10703 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 11132 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_15_IBUF | 10704 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 11133 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 10705 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 11134 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_12_IBUF | 10706 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 11152 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 10724 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 11153 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_11_IBUF | 10725 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 11154 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_10_IBUF | 10726 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 11184 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10755 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 11211 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd1 | 10785 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 11212 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd2 | 10786 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 11251 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_9_IBUF | 10825 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 11257 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM | 11335 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.Q | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | 1 | 0 | MC_UIM +NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM | 10907 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.Q | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 11252 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_8_IBUF | 10826 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 11191 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<9>.EXP | 11935 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.EXP | cnt/LTimer<9> | 4 | 0 | MC_EXPORT +NODE | EXP13_.EXP | 11497 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP13_.EXP | EXP13_ | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nAoutOE_OBUF | 11292 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 0 | 0 | MC_Q +NODE | nAoutOE_OBUF | 10864 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | AoutOE | 11293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 10865 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | nAoutOE_OBUF.EXP | 11934 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.EXP | nAoutOE_OBUF | 4 | 0 | MC_EXPORT +NODE | nAoutOE_OBUF.EXP | 11496 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.EXP | nAoutOE_OBUF | 4 | 0 | MC_EXPORT SIGNAL_INSTANCE | nAoutOE_OBUF.SI | nAoutOE_OBUF | 0 | 23 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 11124 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 11125 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 11126 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 11127 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 11128 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 10700 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 11129 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 10701 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 11130 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 10702 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 11131 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 10703 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 11132 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_15_IBUF | 10704 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 11133 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 10705 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 11134 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_12_IBUF | 10706 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 11152 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 10724 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 11153 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_11_IBUF | 10725 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 11154 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_10_IBUF | 10726 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 11184 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10755 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 11211 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd1 | 10785 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 11212 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd2 | 10786 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 11251 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_9_IBUF | 10825 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 11257 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM | 11335 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.Q | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | 1 | 0 | MC_UIM +NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM | 10907 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.Q | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 11252 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_8_IBUF | 10826 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 11191 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<9>.EXP | 11935 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.EXP | cnt/LTimer<9> | 4 | 0 | MC_EXPORT +NODE | EXP13_.EXP | 11497 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP13_.EXP | EXP13_ | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nAoutOE_OBUF.D1 | 11859 | ? | 0 | 4096 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nAoutOE_OBUF.D1 | 11427 | ? | 0 | 4096 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nAoutOE_OBUF.D2 | 11860 | ? | 0 | 4096 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | cnt/LTimer<9>.EXP +SIGNAL | NODE | nAoutOE_OBUF.D2 | 11428 | ? | 0 | 4096 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | EXP13_.EXP OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | nAoutOE_OBUF.EXP | 11923 | ? | 0 | 0 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | nAoutOE_OBUF.EXP | 11489 | ? | 0 | 0 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.SI | 7 | 9 | MC_SI_EXPORT SPPTERM | 20 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | fsb/ASrf | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM SPPTERM | 20 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | fsb/ASrf | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM SPPTERM | 20 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM @@ -6936,223 +7129,223 @@ SPPTERM | 20 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A SRFF_INSTANCE | nAoutOE_OBUF.REG | nAoutOE_OBUF | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nAoutOE_OBUF.D | 11858 | ? | 0 | 0 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.XOR | 0 | 7 | ALU_F +NODE | nAoutOE_OBUF.D | 11426 | ? | 0 | 0 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 11155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nAoutOE_OBUF.Q | 11861 | ? | 0 | 0 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nAoutOE_OBUF.Q | 11429 | ? | 0 | 0 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | Inv+OptxMapped | nDinOE_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 6 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 11124 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 11184 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10755 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 11191 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 11125 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 11127 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 11126 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nDinOE_OBUF | 11294 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDinOE_OBUF.Q | nDinOE_OBUF | 0 | 0 | MC_Q +NODE | nDinOE_OBUF | 10866 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDinOE_OBUF.Q | nDinOE_OBUF | 0 | 0 | MC_Q SIGNAL_INSTANCE | nDinOE_OBUF.SI | nDinOE_OBUF | 0 | 6 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 11124 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 11184 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10755 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 11191 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 11125 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 11127 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 11126 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nDinOE_OBUF.D1 | 11863 | ? | 0 | 4096 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nDinOE_OBUF.D1 | 11431 | ? | 0 | 4096 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nDinOE_OBUF.D2 | 11864 | ? | 0 | 4096 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nDinOE_OBUF.D2 | 11432 | ? | 0 | 4096 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 3 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF SPPTERM | 4 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF SPPTERM | 4 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF SRFF_INSTANCE | nDinOE_OBUF.REG | nDinOE_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nDinOE_OBUF.D | 11862 | ? | 0 | 0 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.XOR | 0 | 7 | ALU_F +NODE | nDinOE_OBUF.D | 11430 | ? | 0 | 0 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nDinOE_OBUF.Q | 11865 | ? | 0 | 0 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nDinOE_OBUF.Q | 11433 | ? | 0 | 0 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | Inv+OptxMapped | nDoutOE_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 5 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DoutOE | 11245 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DoutOE.Q | iobm/DoutOE | 1 | 0 | MC_UIM +NODE | iobm/DoutOE | 10818 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DoutOE.Q | iobm/DoutOE | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 11293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 10865 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IORDREQr | 11232 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM +NODE | iobm/IORDREQr | 10806 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS0 | 11247 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS0.Q | iobm/IOS0 | 1 | 0 | MC_UIM +NODE | iobm/IOS0 | 10820 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS0.Q | iobm/IOS0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOWRREQr | 11248 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM +NODE | iobm/IOWRREQr | 10821 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nDoutOE_OBUF | 11295 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDoutOE_OBUF.Q | nDoutOE_OBUF | 0 | 0 | MC_Q +NODE | nDoutOE_OBUF | 10867 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDoutOE_OBUF.Q | nDoutOE_OBUF | 0 | 0 | MC_Q SIGNAL_INSTANCE | nDoutOE_OBUF.SI | nDoutOE_OBUF | 0 | 5 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DoutOE | 11245 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DoutOE.Q | iobm/DoutOE | 1 | 0 | MC_UIM +NODE | iobm/DoutOE | 10818 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DoutOE.Q | iobm/DoutOE | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 11293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 10865 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IORDREQr | 11232 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM +NODE | iobm/IORDREQr | 10806 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS0 | 11247 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS0.Q | iobm/IOS0 | 1 | 0 | MC_UIM +NODE | iobm/IOS0 | 10820 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS0.Q | iobm/IOS0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOWRREQr | 11248 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM +NODE | iobm/IOWRREQr | 10821 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nDoutOE_OBUF.D1 | 11867 | ? | 0 | 4096 | nDoutOE_OBUF | NULL | NULL | nDoutOE_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nDoutOE_OBUF.D1 | 11435 | ? | 0 | 4096 | nDoutOE_OBUF | NULL | NULL | nDoutOE_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nDoutOE_OBUF.D2 | 11868 | ? | 0 | 4096 | nDoutOE_OBUF | NULL | NULL | nDoutOE_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nDoutOE_OBUF.D2 | 11436 | ? | 0 | 4096 | nDoutOE_OBUF | NULL | NULL | nDoutOE_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | iobm/DoutOE | IV_FALSE | AoutOE SPPTERM | 4 | IV_FALSE | iobm/IORDREQr | IV_TRUE | iobm/IOS0 | IV_FALSE | iobm/IOWRREQr | IV_FALSE | AoutOE SRFF_INSTANCE | nDoutOE_OBUF.REG | nDoutOE_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nDoutOE_OBUF.D | 11866 | ? | 0 | 0 | nDoutOE_OBUF | NULL | NULL | nDoutOE_OBUF.XOR | 0 | 7 | ALU_F +NODE | nDoutOE_OBUF.D | 11434 | ? | 0 | 0 | nDoutOE_OBUF | NULL | NULL | nDoutOE_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nDoutOE_OBUF.Q | 11869 | ? | 0 | 0 | nDoutOE_OBUF | NULL | NULL | nDoutOE_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nDoutOE_OBUF.Q | 11437 | ? | 0 | 0 | nDoutOE_OBUF | NULL | NULL | nDoutOE_OBUF.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | Inv+OptxMapped | nRAMLWE_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 10 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 11184 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10755 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nLDS_FSB_IBUF | 11187 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nLDS_FSB_IBUF | 10759 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 11199 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 10773 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASrf | 11262 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASrf.Q | ram/RASrf | 1 | 0 | MC_UIM +NODE | ram/RASrf | 10835 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASrf.Q | ram/RASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASrr | 11263 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASrr.Q | ram/RASrr | 1 | 0 | MC_UIM +NODE | ram/RASrr | 10836 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASrr.Q | ram/RASrr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 11124 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 11125 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 11183 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 10754 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 11191 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEN | 11216 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM +NODE | ram/RASEN | 10790 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nRAMLWE_OBUF | 11296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMLWE_OBUF.Q | nRAMLWE_OBUF | 0 | 0 | MC_Q +NODE | nRAMLWE_OBUF | 10868 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMLWE_OBUF.Q | nRAMLWE_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | nRAMLWE_OBUF.EXP | 11989 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMLWE_OBUF.EXP | nRAMLWE_OBUF | 4 | 0 | MC_EXPORT +NODE | nRAMLWE_OBUF.EXP | 11561 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMLWE_OBUF.EXP | nRAMLWE_OBUF | 4 | 0 | MC_EXPORT SIGNAL_INSTANCE | nRAMLWE_OBUF.SI | nRAMLWE_OBUF | 0 | 10 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 11184 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10755 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nLDS_FSB_IBUF | 11187 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nLDS_FSB_IBUF | 10759 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 11199 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 10773 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASrf | 11262 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASrf.Q | ram/RASrf | 1 | 0 | MC_UIM +NODE | ram/RASrf | 10835 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASrf.Q | ram/RASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASrr | 11263 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASrr.Q | ram/RASrr | 1 | 0 | MC_UIM +NODE | ram/RASrr | 10836 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASrr.Q | ram/RASrr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 11124 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 11125 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 11183 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 10754 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 11191 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEN | 11216 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM +NODE | ram/RASEN | 10790 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nRAMLWE_OBUF.D1 | 11871 | ? | 0 | 4096 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nRAMLWE_OBUF.D1 | 11439 | ? | 0 | 4096 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nRAMLWE_OBUF.D2 | 11872 | ? | 0 | 4096 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nRAMLWE_OBUF.D2 | 11440 | ? | 0 | 4096 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 3 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nLDS_FSB_IBUF | IV_TRUE | ram/RASEL OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | nRAMLWE_OBUF.EXP | 11974 | ? | 0 | 0 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | nRAMLWE_OBUF.EXP | 11546 | ? | 0 | 0 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.SI | 7 | 9 | MC_SI_EXPORT SPPTERM | 1 | IV_TRUE | ram/RASrf SPPTERM | 1 | IV_TRUE | ram/RASrr SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RASEN SRFF_INSTANCE | nRAMLWE_OBUF.REG | nRAMLWE_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nRAMLWE_OBUF.D | 11870 | ? | 0 | 0 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.XOR | 0 | 7 | ALU_F +NODE | nRAMLWE_OBUF.D | 11438 | ? | 0 | 0 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nRAMLWE_OBUF.Q | 11873 | ? | 0 | 0 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nRAMLWE_OBUF.Q | 11441 | ? | 0 | 0 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | Inv+OptxMapped | nRAMUWE_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 12 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 11125 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 11126 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 11164 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | iobs/Sent | 10734 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 11184 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10755 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 11191 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 11200 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 10774 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 11201 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10775 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 11291 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 10863 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 11257 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 11127 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 11183 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 10754 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP22_.EXP | 11990 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP22_.EXP | EXP22_ | 4 | 0 | MC_EXPORT +NODE | EXP21_.EXP | 11562 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP21_.EXP | EXP21_ | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nRAMUWE_OBUF | 11297 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMUWE_OBUF.Q | nRAMUWE_OBUF | 0 | 0 | MC_Q +NODE | nRAMUWE_OBUF | 10869 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMUWE_OBUF.Q | nRAMUWE_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | nRAMUWE_OBUF.EXP | 11991 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMUWE_OBUF.EXP | nRAMUWE_OBUF | 4 | 0 | MC_EXPORT +NODE | nRAMUWE_OBUF.EXP | 11563 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMUWE_OBUF.EXP | nRAMUWE_OBUF | 4 | 0 | MC_EXPORT SIGNAL_INSTANCE | nRAMUWE_OBUF.SI | nRAMUWE_OBUF | 0 | 12 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 11125 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 11126 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 11164 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | iobs/Sent | 10734 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 11184 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10755 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 11191 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 11200 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 10774 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 11201 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10775 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 11291 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 10863 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 11257 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 11127 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 11183 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 10754 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP22_.EXP | 11990 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP22_.EXP | EXP22_ | 4 | 0 | MC_EXPORT +NODE | EXP21_.EXP | 11562 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP21_.EXP | EXP21_ | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nRAMUWE_OBUF.D1 | 11875 | ? | 0 | 4096 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nRAMUWE_OBUF.D1 | 11443 | ? | 0 | 4096 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nRAMUWE_OBUF.D2 | 11876 | ? | 0 | 4096 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | EXP22_.EXP +SIGNAL | NODE | nRAMUWE_OBUF.D2 | 11444 | ? | 0 | 4096 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | EXP21_.EXP OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | nRAMUWE_OBUF.EXP | 11976 | ? | 0 | 0 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | nRAMUWE_OBUF.EXP | 11548 | ? | 0 | 0 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.SI | 7 | 9 | MC_SI_EXPORT SPPTERM | 8 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 SPPTERM | 8 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 SPPTERM | 8 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 @@ -7161,917 +7354,815 @@ SPPTERM | 8 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | cs/nOv SRFF_INSTANCE | nRAMUWE_OBUF.REG | nRAMUWE_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nRAMUWE_OBUF.D | 11874 | ? | 0 | 0 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.XOR | 0 | 7 | ALU_F +NODE | nRAMUWE_OBUF.D | 11442 | ? | 0 | 0 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nRAMUWE_OBUF.Q | 11877 | ? | 0 | 0 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nRAMUWE_OBUF.Q | 11445 | ? | 0 | 0 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | Inv+OptxMapped | nROMCS_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 8 | 2 +MACROCELL_INSTANCE | Inv+OptxMapped | nROMCS_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 12 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 11125 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 11130 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | RefReq | 10751 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$$OpTx$FX_DC$348_INV$535.UIM | 11336 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | $OpTx$$OpTx$FX_DC$348_INV$535.Q | $OpTx$$OpTx$FX_DC$348_INV$535 | 1 | 0 | MC_UIM +NODE | ram/RefDone | 10757 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 11131 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 11133 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd4 | 10789 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 11152 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd1 | 10791 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 11154 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +NODE | ram/BACTr | 10824 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL.EXP | 11955 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.EXP | ram/RASEL | 4 | 0 | MC_EXPORT +NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 10754 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEN | 10790 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP16_.EXP | 11517 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP16_.EXP | EXP16_ | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nROMCS_OBUF | 11298 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.Q | nROMCS_OBUF | 0 | 0 | MC_Q +NODE | nROMCS_OBUF | 10870 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.Q | nROMCS_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | nROMCS_OBUF.EXP | 11954 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.EXP | nROMCS_OBUF | 4 | 0 | MC_EXPORT +NODE | nROMCS_OBUF.EXP | 11516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.EXP | nROMCS_OBUF | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | nROMCS_OBUF.SI | nROMCS_OBUF | 0 | 8 | 3 +SIGNAL_INSTANCE | nROMCS_OBUF.SI | nROMCS_OBUF | 0 | 12 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 11125 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 11130 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | RefReq | 10751 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$$OpTx$FX_DC$348_INV$535.UIM | 11336 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | $OpTx$$OpTx$FX_DC$348_INV$535.Q | $OpTx$$OpTx$FX_DC$348_INV$535 | 1 | 0 | MC_UIM +NODE | ram/RefDone | 10757 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 11131 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 11133 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd4 | 10789 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 11152 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd1 | 10791 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 11154 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +NODE | ram/BACTr | 10824 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL.EXP | 11955 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.EXP | ram/RASEL | 4 | 0 | MC_EXPORT +NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 10754 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEN | 10790 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP16_.EXP | 11517 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP16_.EXP | EXP16_ | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nROMCS_OBUF.D1 | 11879 | ? | 0 | 4096 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nROMCS_OBUF.D1 | 11447 | ? | 0 | 4096 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nROMCS_OBUF.D2 | 11880 | ? | 0 | 4096 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | ram/RASEL.EXP +SIGNAL | NODE | nROMCS_OBUF.D2 | 11448 | ? | 0 | 4096 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | EXP16_.EXP OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | nROMCS_OBUF.EXP | 11944 | ? | 0 | 0 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 3 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_17_IBUF | IV_FALSE | $OpTx$$OpTx$FX_DC$348_INV$535.UIM -SPPTERM | 3 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_16_IBUF | IV_FALSE | $OpTx$$OpTx$FX_DC$348_INV$535.UIM -SPPTERM | 3 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_13_IBUF | IV_FALSE | $OpTx$$OpTx$FX_DC$348_INV$535.UIM -SPPTERM | 3 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | $OpTx$$OpTx$FX_DC$348_INV$535.UIM -SPPTERM | 3 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | $OpTx$$OpTx$FX_DC$348_INV$535.UIM +SIGNAL | NODE | nROMCS_OBUF.EXP | 11502 | ? | 0 | 0 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 7 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | RefReq | IV_FALSE | ram/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd4 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr +SPPTERM | 7 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | RefReq | IV_FALSE | ram/RefDone | IV_FALSE | ram/RS_FSM_FFd4 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf +SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | ram/RS_FSM_FFd4 | IV_TRUE | ram/RASEN | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | fsb/ASrf +SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | RefReq | IV_FALSE | ram/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd4 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr +SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | RefReq | IV_FALSE | ram/RefDone | IV_FALSE | ram/RS_FSM_FFd4 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf SRFF_INSTANCE | nROMCS_OBUF.REG | nROMCS_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nROMCS_OBUF.D | 11878 | ? | 0 | 0 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.XOR | 0 | 7 | ALU_F +NODE | nROMCS_OBUF.D | 11446 | ? | 0 | 0 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nROMCS_OBUF.Q | 11881 | ? | 0 | 0 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nROMCS_OBUF.Q | 11449 | ? | 0 | 0 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | FbkInv+Merge+OptxMapped | C25MEN_OBUF | WarpSE_COPY_0_COPY_0 | 2155907072 | 0 | 1 OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | C25MEN_OBUF | 11299 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | C25MEN_OBUF.Q | C25MEN_OBUF | 0 | 0 | MC_Q +NODE | C25MEN_OBUF | 10871 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | C25MEN_OBUF.Q | C25MEN_OBUF | 0 | 0 | MC_Q SIGNAL_INSTANCE | C25MEN_OBUF.SI | C25MEN_OBUF | 0 | 0 | 2 OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | C25MEN_OBUF.D1 | 11883 | ? | 0 | 4096 | C25MEN_OBUF | NULL | NULL | C25MEN_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | C25MEN_OBUF.D1 | 11451 | ? | 0 | 4096 | C25MEN_OBUF | NULL | NULL | C25MEN_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | C25MEN_OBUF.D2 | 11884 | ? | 0 | 4096 | C25MEN_OBUF | NULL | NULL | C25MEN_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | C25MEN_OBUF.D2 | 11452 | ? | 0 | 4096 | C25MEN_OBUF | NULL | NULL | C25MEN_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 0 | IV_DC SRFF_INSTANCE | C25MEN_OBUF.REG | C25MEN_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | C25MEN_OBUF.D | 11882 | ? | 0 | 0 | C25MEN_OBUF | NULL | NULL | C25MEN_OBUF.XOR | 0 | 7 | ALU_F +NODE | C25MEN_OBUF.D | 11450 | ? | 0 | 0 | C25MEN_OBUF | NULL | NULL | C25MEN_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | C25MEN_OBUF.Q | 11885 | ? | 0 | 0 | C25MEN_OBUF | NULL | NULL | C25MEN_OBUF.REG | 0 | 8 | SRFF_Q +NODE | C25MEN_OBUF.Q | 11453 | ? | 0 | 0 | C25MEN_OBUF | NULL | NULL | C25MEN_OBUF.REG | 0 | 8 | SRFF_Q OUTPUT_INSTANCE | 0 | nVMA_IOB | WarpSE_COPY_0_COPY_0 | 7 | 2 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nVMA_IOBout$Q | 11138 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.Q | nVMA_IOBout | 0 | 0 | MC_Q +NODE | nVMA_IOBout$Q | 10710 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.Q | nVMA_IOBout | 0 | 0 | MC_Q INPUT_NODE_TYPE | 2 | 6 | OI_OE -NODE | nVMA_IOBout$OE | 11140 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.BUFOE.OUT | nVMA_IOBout | 2 | 0 | MC_OE +NODE | nVMA_IOBout$OE | 10712 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.BUFOE.OUT | nVMA_IOBout | 2 | 0 | MC_OE OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nVMA_IOB | 11300 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nVMA_IOB | 0 | 6 | OI_OUT +NODE | nVMA_IOB | 10872 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nVMA_IOB | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nAS_IOB | WarpSE_COPY_0_COPY_0 | 7 | 2 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nAS_IOBout$Q | 11143 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAS_IOBout.Q | nAS_IOBout | 0 | 0 | MC_Q +NODE | nAS_IOBout$Q | 10715 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAS_IOBout.Q | nAS_IOBout | 0 | 0 | MC_Q INPUT_NODE_TYPE | 2 | 6 | OI_OE -NODE | nAS_IOBout$OE | 11145 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAS_IOBout.BUFOE.OUT | nAS_IOBout | 2 | 0 | MC_OE +NODE | nAS_IOBout$OE | 10717 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAS_IOBout.BUFOE.OUT | nAS_IOBout | 2 | 0 | MC_OE OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nAS_IOB | 11301 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_IOB | 0 | 6 | OI_OUT +NODE | nAS_IOB | 10873 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_IOB | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nLDS_IOB | WarpSE_COPY_0_COPY_0 | 7 | 2 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nLDS_IOBout$Q | 11146 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nLDS_IOBout.Q | nLDS_IOBout | 0 | 0 | MC_Q +NODE | nLDS_IOBout$Q | 10718 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nLDS_IOBout.Q | nLDS_IOBout | 0 | 0 | MC_Q INPUT_NODE_TYPE | 2 | 6 | OI_OE -NODE | nLDS_IOBout$OE | 11148 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nLDS_IOBout.BUFOE.OUT | nLDS_IOBout | 2 | 0 | MC_OE +NODE | nLDS_IOBout$OE | 10720 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nLDS_IOBout.BUFOE.OUT | nLDS_IOBout | 2 | 0 | MC_OE OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nLDS_IOB | 11302 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_IOB | 0 | 6 | OI_OUT +NODE | nLDS_IOB | 10874 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_IOB | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nUDS_IOB | WarpSE_COPY_0_COPY_0 | 7 | 2 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nUDS_IOBout$Q | 11149 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nUDS_IOBout.Q | nUDS_IOBout | 0 | 0 | MC_Q +NODE | nUDS_IOBout$Q | 10721 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nUDS_IOBout.Q | nUDS_IOBout | 0 | 0 | MC_Q INPUT_NODE_TYPE | 2 | 6 | OI_OE -NODE | nUDS_IOBout$OE | 11151 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nUDS_IOBout.BUFOE.OUT | nUDS_IOBout | 2 | 0 | MC_OE +NODE | nUDS_IOBout$OE | 10723 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nUDS_IOBout.BUFOE.OUT | nUDS_IOBout | 2 | 0 | MC_OE OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nUDS_IOB | 11303 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_IOB | 0 | 6 | OI_OUT +NODE | nUDS_IOB | 10875 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_IOB | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nBERR_FSB | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nBERR_FSB_OBUF | 11192 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.Q | nBERR_FSB_OBUF | 0 | 0 | MC_Q +NODE | nBERR_FSB_OBUF | 10764 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.Q | nBERR_FSB_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nBERR_FSB | 11304 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_FSB | 0 | 6 | OI_OUT +NODE | nBERR_FSB | 10876 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_FSB | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nVPA_FSB | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nVPA_FSB_OBUF | 11194 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVPA_FSB_OBUF.Q | nVPA_FSB_OBUF | 0 | 0 | MC_Q +NODE | nVPA_FSB_OBUF | 10766 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVPA_FSB_OBUF.Q | nVPA_FSB_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nVPA_FSB | 11305 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nVPA_FSB | 0 | 6 | OI_OUT +NODE | nVPA_FSB | 10877 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nVPA_FSB | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nRAS | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nRAS_OBUF | 11195 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAS_OBUF.Q | nRAS_OBUF | 0 | 0 | MC_Q +NODE | nRAS_OBUF | 10767 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAS_OBUF.Q | nRAS_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nRAS | 11306 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nRAS | 0 | 6 | OI_OUT +NODE | nRAS | 10878 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nRAS | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nBR_IOB | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nBR_IOB_OBUF$Q | 11196 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.Q | nBR_IOB_OBUF | 0 | 0 | MC_Q +NODE | nBR_IOB_OBUF$Q | 10768 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.Q | nBR_IOB_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nBR_IOB | 11307 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBR_IOB | 0 | 6 | OI_OUT +NODE | nBR_IOB | 10879 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBR_IOB | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | RA<3> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_11_OBUF$Q | 11198 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_11_OBUF.Q | RA_11_OBUF | 0 | 0 | MC_Q +NODE | RA_11_OBUF$Q | 10770 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_11_OBUF.Q | RA_11_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<3> | 11308 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<3> | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | RA<0> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_0_OBUF | 11271 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_0_OBUF.Q | RA_0_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<0> | 11309 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<0> | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | RA<10> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_10_OBUF | 11272 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_10_OBUF.Q | RA_10_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<10> | 11310 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<10> | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | RA<1> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_1_OBUF | 11273 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_1_OBUF.Q | RA_1_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<1> | 11311 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<1> | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | RA<2> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_2_OBUF | 11274 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_2_OBUF.Q | RA_2_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<2> | 11312 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<2> | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | RA<4> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_4_OBUF | 11275 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_4_OBUF.Q | RA_4_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<4> | 11313 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<4> | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | RA<5> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_5_OBUF | 11276 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_5_OBUF.Q | RA_5_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<5> | 11314 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<5> | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | RA<6> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_6_OBUF | 11277 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_6_OBUF.Q | RA_6_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<6> | 11315 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<6> | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | RA<7> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_7_OBUF | 11278 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_7_OBUF.Q | RA_7_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<7> | 11316 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<7> | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | RA<8> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_8_OBUF | 11279 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_8_OBUF.Q | RA_8_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<8> | 11317 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<8> | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | RA<9> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_9_OBUF | 11280 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_9_OBUF.Q | RA_9_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<9> | 11318 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<9> | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nOE | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nOE_OBUF | 11281 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nOE_OBUF.Q | nOE_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nOE | 11319 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nOE | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nROMWE | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nROMWE_OBUF | 11282 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMWE_OBUF.Q | nROMWE_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nROMWE | 11320 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nROMWE | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nADoutLE0 | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nADoutLE0_OBUF | 11283 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE0_OBUF.Q | nADoutLE0_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nADoutLE0 | 11321 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nADoutLE0 | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nCAS | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nCAS_OBUF | 11284 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nCAS_OBUF.Q | nCAS_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nCAS | 11322 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nCAS | 0 | 6 | OI_OUT +NODE | RA<3> | 10880 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<3> | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nDTACK_FSB | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nDTACK_FSB_OBUF | 11285 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 0 | 0 | MC_Q +NODE | nDTACK_FSB_OBUF$Q | 10771 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nDTACK_FSB | 11323 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_FSB | 0 | 6 | OI_OUT +NODE | nDTACK_FSB | 10881 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_FSB | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | RA<0> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | RA_0_OBUF | 10844 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_0_OBUF.Q | RA_0_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | RA<0> | 10882 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<0> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | RA<10> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | RA_10_OBUF | 10845 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_10_OBUF.Q | RA_10_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | RA<10> | 10883 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<10> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | RA<1> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | RA_1_OBUF | 10846 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_1_OBUF.Q | RA_1_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | RA<1> | 10884 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<1> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | RA<2> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | RA_2_OBUF | 10847 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_2_OBUF.Q | RA_2_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | RA<2> | 10885 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<2> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | RA<4> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | RA_4_OBUF | 10848 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_4_OBUF.Q | RA_4_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | RA<4> | 10886 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<4> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | RA<5> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | RA_5_OBUF | 10849 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_5_OBUF.Q | RA_5_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | RA<5> | 10887 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<5> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | RA<6> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | RA_6_OBUF | 10850 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_6_OBUF.Q | RA_6_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | RA<6> | 10888 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<6> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | RA<7> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | RA_7_OBUF | 10851 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_7_OBUF.Q | RA_7_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | RA<7> | 10889 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<7> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | RA<8> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | RA_8_OBUF | 10852 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_8_OBUF.Q | RA_8_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | RA<8> | 10890 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<8> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | RA<9> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | RA_9_OBUF | 10853 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_9_OBUF.Q | RA_9_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | RA<9> | 10891 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<9> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nROMWE | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nROMWE_OBUF | 10854 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMWE_OBUF.Q | nROMWE_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nROMWE | 10892 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nROMWE | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nADoutLE0 | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nADoutLE0_OBUF | 10855 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE0_OBUF.Q | nADoutLE0_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nADoutLE0 | 10893 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nADoutLE0 | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nCAS | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nCAS_OBUF | 10856 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nCAS_OBUF.Q | nCAS_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nCAS | 10894 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nCAS | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nDinLE | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nDinLE_OBUF | 11286 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDinLE_OBUF.Q | nDinLE_OBUF | 0 | 0 | MC_Q +NODE | nDinLE_OBUF | 10857 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDinLE_OBUF.Q | nDinLE_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nDinLE | 11324 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDinLE | 0 | 6 | OI_OUT +NODE | nDinLE | 10895 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDinLE | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nOE | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nOE_OBUF | 10858 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nOE_OBUF.Q | nOE_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nOE | 10896 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nOE | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nRES | WarpSE_COPY_0_COPY_0 | 7 | 2 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | N0 | 11287 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | N0.Q | N0 | 0 | 0 | MC_Q +NODE | N0 | 10859 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | N0.Q | N0 | 0 | 0 | MC_Q INPUT_NODE_TYPE | 2 | 6 | OI_OE -NODE | N0$OE | 11288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | N0.BUFOE.OUT | N0 | 2 | 0 | MC_OE +NODE | N0$OE | 10860 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | N0.BUFOE.OUT | N0 | 2 | 0 | MC_OE OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nRES | 11325 | PIPO | 0 | 64 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nRES | 0 | 6 | OI_OUT +NODE | nRES | 10897 | PIPO | 0 | 64 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nRES | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | RA<11> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_11_OBUF$BUF0 | 11289 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_11_OBUF$BUF0.Q | RA_11_OBUF$BUF0 | 0 | 0 | MC_Q +NODE | RA_11_OBUF$BUF0 | 10861 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_11_OBUF$BUF0.Q | RA_11_OBUF$BUF0 | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<11> | 11326 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<11> | 0 | 6 | OI_OUT +NODE | RA<11> | 10898 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<11> | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nADoutLE1 | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nADoutLE1_OBUF | 11290 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 0 | 0 | MC_Q +NODE | nADoutLE1_OBUF | 10862 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nADoutLE1 | 11327 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nADoutLE1 | 0 | 6 | OI_OUT +NODE | nADoutLE1 | 10899 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nADoutLE1 | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nAoutOE | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nAoutOE_OBUF | 11292 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 0 | 0 | MC_Q +NODE | nAoutOE_OBUF | 10864 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nAoutOE | 11328 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAoutOE | 0 | 6 | OI_OUT +NODE | nAoutOE | 10900 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAoutOE | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nDinOE | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nDinOE_OBUF | 11294 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDinOE_OBUF.Q | nDinOE_OBUF | 0 | 0 | MC_Q +NODE | nDinOE_OBUF | 10866 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDinOE_OBUF.Q | nDinOE_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nDinOE | 11329 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDinOE | 0 | 6 | OI_OUT +NODE | nDinOE | 10901 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDinOE | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nDoutOE | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nDoutOE_OBUF | 11295 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDoutOE_OBUF.Q | nDoutOE_OBUF | 0 | 0 | MC_Q +NODE | nDoutOE_OBUF | 10867 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDoutOE_OBUF.Q | nDoutOE_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nDoutOE | 11330 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDoutOE | 0 | 6 | OI_OUT +NODE | nDoutOE | 10902 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDoutOE | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nRAMLWE | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nRAMLWE_OBUF | 11296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMLWE_OBUF.Q | nRAMLWE_OBUF | 0 | 0 | MC_Q +NODE | nRAMLWE_OBUF | 10868 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMLWE_OBUF.Q | nRAMLWE_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nRAMLWE | 11331 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nRAMLWE | 0 | 6 | OI_OUT +NODE | nRAMLWE | 10903 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nRAMLWE | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nRAMUWE | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nRAMUWE_OBUF | 11297 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMUWE_OBUF.Q | nRAMUWE_OBUF | 0 | 0 | MC_Q +NODE | nRAMUWE_OBUF | 10869 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMUWE_OBUF.Q | nRAMUWE_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nRAMUWE | 11332 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nRAMUWE | 0 | 6 | OI_OUT +NODE | nRAMUWE | 10904 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nRAMUWE | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nROMCS | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nROMCS_OBUF | 11298 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.Q | nROMCS_OBUF | 0 | 0 | MC_Q +NODE | nROMCS_OBUF | 10870 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.Q | nROMCS_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nROMCS | 11333 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nROMCS | 0 | 6 | OI_OUT +NODE | nROMCS | 10905 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nROMCS | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | C25MEN | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | C25MEN_OBUF | 11299 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | C25MEN_OBUF.Q | C25MEN_OBUF | 0 | 0 | MC_Q +NODE | C25MEN_OBUF | 10871 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | C25MEN_OBUF.Q | C25MEN_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | C25MEN | 11334 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C25MEN | 0 | 6 | OI_OUT +NODE | C25MEN | 10906 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C25MEN | 0 | 6 | OI_OUT -MACROCELL_INSTANCE | SoftPfbk | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | WarpSE_COPY_0_COPY_0 | 2181038080 | 37 | 2 +MACROCELL_INSTANCE | SoftPfbk | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | WarpSE_COPY_0_COPY_0 | 2181038080 | 37 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 11182 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 10753 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 11241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 10814 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 11255 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 10829 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 11158 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 10729 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 11160 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 10730 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<10> | 11170 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<10> | 10741 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 11171 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 10742 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 11172 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 10743 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 11173 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 10744 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 11174 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<5> | 10745 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 11175 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<6> | 10746 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 11176 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<7> | 10747 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<8> | 11177 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<8> | 10748 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<9> | 11178 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<9> | 10749 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<11> | 11181 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.Q | cnt/LTimer<11> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<11> | 10752 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.Q | cnt/LTimer<11> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 11211 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd1 | 10785 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 11212 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd2 | 10786 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 11124 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 11125 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 11126 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 11127 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 11128 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 10700 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 11129 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 10701 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 11130 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 10702 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 11131 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 10703 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 11132 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_15_IBUF | 10704 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 11133 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 10705 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 11134 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_12_IBUF | 10706 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 11152 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 10724 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 11153 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_11_IBUF | 10725 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 11154 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_10_IBUF | 10726 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 11184 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10755 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 11251 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 11257 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | A_FSB_8_IBUF | 10826 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM | 11335 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.Q | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | 1 | 0 | MC_UIM +NODE | A_FSB_9_IBUF | 10825 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 11252 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +NODE | cnt/LTimer<9>.EXP | 11539 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.EXP | cnt/LTimer<9> | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP16_.EXP | 11939 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP16_.EXP | EXP16_ | 4 | 0 | MC_EXPORT +NODE | cnt/LTimer<10>.EXP | 11541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.EXP | cnt/LTimer<10> | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM | 11335 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.Q | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.EXP | 11940 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.EXP | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | 4 | 0 | MC_EXPORT +NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM | 10907 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.Q | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.SI | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | 0 | 37 | 3 +SIGNAL_INSTANCE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.SI | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | 0 | 37 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 11182 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 10753 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 11241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 10814 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 11255 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 10829 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 11158 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 10729 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 11160 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 10730 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<10> | 11170 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<10> | 10741 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 11171 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 10742 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 11172 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 10743 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 11173 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 10744 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 11174 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<5> | 10745 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 11175 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<6> | 10746 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 11176 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<7> | 10747 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<8> | 11177 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<8> | 10748 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<9> | 11178 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<9> | 10749 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<11> | 11181 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.Q | cnt/LTimer<11> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<11> | 10752 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.Q | cnt/LTimer<11> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 11211 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd1 | 10785 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 11212 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd2 | 10786 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 11124 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 11125 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 11126 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 11127 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 11128 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 10700 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 11129 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 10701 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 11130 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 10702 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 11131 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 10703 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 11132 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_15_IBUF | 10704 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 11133 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 10705 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 11134 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_12_IBUF | 10706 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 11152 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 10724 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 11153 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_11_IBUF | 10725 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 11154 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_10_IBUF | 10726 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 11184 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10755 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 11251 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 11257 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | A_FSB_8_IBUF | 10826 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM | 11335 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.Q | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | 1 | 0 | MC_UIM +NODE | A_FSB_9_IBUF | 10825 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 11252 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +NODE | cnt/LTimer<9>.EXP | 11539 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.EXP | cnt/LTimer<9> | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP16_.EXP | 11939 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP16_.EXP | EXP16_ | 4 | 0 | MC_EXPORT +NODE | cnt/LTimer<10>.EXP | 11541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.EXP | cnt/LTimer<10> | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.D1 | 11887 | ? | 0 | 4096 | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | NULL | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.D1 | 11455 | ? | 0 | 4096 | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | NULL | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.D2 | 11888 | ? | 0 | 4096 | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | NULL | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | EXP16_.EXP +SIGNAL | NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.D2 | 11456 | ? | 0 | 4096 | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | NULL | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | cnt/LTimer<9>.EXP +SPPTERM | 1 | IV_TRUE | cnt/LTimer<10>.EXP SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SPPTERM | 14 | IV_FALSE | cnt/LTimer<0> | IV_FALSE | cnt/LTimer<1> | IV_FALSE | cnt/LTimer<10> | IV_FALSE | cnt/LTimer<2> | IV_FALSE | cnt/LTimer<3> | IV_FALSE | cnt/LTimer<4> | IV_FALSE | cnt/LTimer<5> | IV_FALSE | cnt/LTimer<6> | IV_FALSE | cnt/LTimer<7> | IV_FALSE | cnt/LTimer<8> | IV_FALSE | cnt/LTimer<9> | IV_FALSE | cnt/LTimer<11> | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 +SPPTERM | 19 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_TRUE | A_FSB_9_IBUF +SPPTERM | 19 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_TRUE | A_FSB_8_IBUF +SPPTERM | 19 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_TRUE | A_FSB_8_IBUF + +SRFF_INSTANCE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.REG | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.D | 11454 | ? | 0 | 0 | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | NULL | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.Q | 11457 | ? | 0 | 0 | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | NULL | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | SoftPfbk | $OpTx$$OpTx$FX_DC$350_INV$537 | WarpSE_COPY_0_COPY_0 | 2181038080 | 22 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 10700 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 10701 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 10702 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 10703 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 10704 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 10705 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 10706 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 10724 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 10725 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 10726 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 10755 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/IS_FSM_FFd1 | 10785 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/IS_FSM_FFd2 | 10786 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 10825 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM | 10907 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.Q | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 10826 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | $OpTx$$OpTx$FX_DC$350_INV$537.UIM | 10908 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | $OpTx$$OpTx$FX_DC$350_INV$537.Q | $OpTx$$OpTx$FX_DC$350_INV$537 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | $OpTx$$OpTx$FX_DC$350_INV$537.EXP | 11498 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | $OpTx$$OpTx$FX_DC$350_INV$537.EXP | $OpTx$$OpTx$FX_DC$350_INV$537 | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | $OpTx$$OpTx$FX_DC$350_INV$537.SI | $OpTx$$OpTx$FX_DC$350_INV$537 | 0 | 22 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 10700 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 10701 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 10702 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 10703 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 10704 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 10705 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 10706 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 10724 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 10725 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 10726 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 10755 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/IS_FSM_FFd1 | 10785 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/IS_FSM_FFd2 | 10786 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 10825 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM | 10907 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.Q | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 10826 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | $OpTx$$OpTx$FX_DC$350_INV$537.D1 | 11459 | ? | 0 | 4096 | $OpTx$$OpTx$FX_DC$350_INV$537 | NULL | NULL | $OpTx$$OpTx$FX_DC$350_INV$537.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | $OpTx$$OpTx$FX_DC$350_INV$537.D2 | 11460 | ? | 0 | 4096 | $OpTx$$OpTx$FX_DC$350_INV$537 | NULL | NULL | $OpTx$$OpTx$FX_DC$350_INV$537.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.EXP | 11929 | ? | 0 | 0 | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | NULL | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.SI | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | $OpTx$$OpTx$FX_DC$350_INV$537.EXP | 11491 | ? | 0 | 0 | $OpTx$$OpTx$FX_DC$350_INV$537 | NULL | NULL | $OpTx$$OpTx$FX_DC$350_INV$537.SI | 7 | 9 | MC_SI_EXPORT SPPTERM | 20 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | fsb/ASrf | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM SPPTERM | 20 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | fsb/ASrf | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM SPPTERM | 20 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | fsb/ASrf | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM -SRFF_INSTANCE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.REG | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | 0 | 1 | 1 +SRFF_INSTANCE | $OpTx$$OpTx$FX_DC$350_INV$537.REG | $OpTx$$OpTx$FX_DC$350_INV$537 | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.D | 11886 | ? | 0 | 0 | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | NULL | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.XOR | 0 | 7 | ALU_F +NODE | $OpTx$$OpTx$FX_DC$350_INV$537.D | 11458 | ? | 0 | 0 | $OpTx$$OpTx$FX_DC$350_INV$537 | NULL | NULL | $OpTx$$OpTx$FX_DC$350_INV$537.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.Q | 11889 | ? | 0 | 0 | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | NULL | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.REG | 0 | 8 | SRFF_Q +NODE | $OpTx$$OpTx$FX_DC$350_INV$537.Q | 11461 | ? | 0 | 0 | $OpTx$$OpTx$FX_DC$350_INV$537 | NULL | NULL | $OpTx$$OpTx$FX_DC$350_INV$537.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | SoftPfbk | $OpTx$$OpTx$FX_DC$348_INV$535 | WarpSE_COPY_0_COPY_0 | 2181038080 | 2 | 1 +MACROCELL_INSTANCE | NULL | EXP10_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 8 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 11191 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 11257 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | $OpTx$$OpTx$FX_DC$348_INV$535.UIM | 11336 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | $OpTx$$OpTx$FX_DC$348_INV$535.Q | $OpTx$$OpTx$FX_DC$348_INV$535 | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | $OpTx$$OpTx$FX_DC$348_INV$535.SI | $OpTx$$OpTx$FX_DC$348_INV$535 | 0 | 2 | 2 +NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 11191 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | $OpTx$$OpTx$FX_DC$350_INV$537.UIM | 10908 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | $OpTx$$OpTx$FX_DC$350_INV$537.Q | $OpTx$$OpTx$FX_DC$350_INV$537 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 11257 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | $OpTx$$OpTx$FX_DC$348_INV$535.D1 | 11891 | ? | 0 | 4096 | $OpTx$$OpTx$FX_DC$348_INV$535 | NULL | NULL | $OpTx$$OpTx$FX_DC$348_INV$535.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | $OpTx$$OpTx$FX_DC$348_INV$535.D2 | 11892 | ? | 0 | 4096 | $OpTx$$OpTx$FX_DC$348_INV$535 | NULL | NULL | $OpTx$$OpTx$FX_DC$348_INV$535.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf - -SRFF_INSTANCE | $OpTx$$OpTx$FX_DC$348_INV$535.REG | $OpTx$$OpTx$FX_DC$348_INV$535 | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | $OpTx$$OpTx$FX_DC$348_INV$535.D | 11890 | ? | 0 | 0 | $OpTx$$OpTx$FX_DC$348_INV$535 | NULL | NULL | $OpTx$$OpTx$FX_DC$348_INV$535.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | $OpTx$$OpTx$FX_DC$348_INV$535.Q | 11893 | ? | 0 | 0 | $OpTx$$OpTx$FX_DC$348_INV$535 | NULL | NULL | $OpTx$$OpTx$FX_DC$348_INV$535.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | NULL | EXP10_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 14 | 1 +NODE | A_FSB_19_IBUF | 10700 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd9 | 11202 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd9.Q | ram/RS_FSM_FFd9 | 1 | 0 | MC_UIM +NODE | A_FSB_18_IBUF | 10701 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd5 | 11215 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd5.Q | ram/RS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | A_FSB_15_IBUF | 10704 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd7 | 11217 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | A_FSB_12_IBUF | 10706 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 11218 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 11233 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd6 | 11235 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd6.Q | ram/RS_FSM_FFd6 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 11234 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 11124 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 11157 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 11180 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 11125 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 11191 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 11257 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<0>.EXP | 11909 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<0>.EXP | cnt/WS<0> | 4 | 0 | MC_EXPORT +NODE | ram/RS_FSM_FFd4.EXP | 11487 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.EXP | ram/RS_FSM_FFd4 | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP10_.EXP | 11908 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP10_.EXP | EXP10_ | 4 | 0 | MC_EXPORT +NODE | EXP10_.EXP | 11476 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP10_.EXP | EXP10_ | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | EXP10_.SI | EXP10_ | 0 | 14 | 1 +SIGNAL_INSTANCE | EXP10_.SI | EXP10_ | 0 | 8 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd9 | 11202 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd9.Q | ram/RS_FSM_FFd9 | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd5 | 11215 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd5.Q | ram/RS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd7 | 11217 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | $OpTx$$OpTx$FX_DC$350_INV$537.UIM | 10908 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | $OpTx$$OpTx$FX_DC$350_INV$537.Q | $OpTx$$OpTx$FX_DC$350_INV$537 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 11218 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | A_FSB_19_IBUF | 10700 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 11233 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | A_FSB_18_IBUF | 10701 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd6 | 11235 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd6.Q | ram/RS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | A_FSB_15_IBUF | 10704 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 11234 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | A_FSB_12_IBUF | 10706 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 11124 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 11157 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 11180 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 11125 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 11191 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 11257 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<0>.EXP | 11909 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<0>.EXP | cnt/WS<0> | 4 | 0 | MC_EXPORT +NODE | ram/RS_FSM_FFd4.EXP | 11487 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.EXP | ram/RS_FSM_FFd4 | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP10_.EXP | 11894 | ? | 0 | 0 | EXP10_ | NULL | NULL | EXP10_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 1 | IV_TRUE | cnt/WS<0>.EXP -SPPTERM | 6 | IV_FALSE | ram/RS_FSM_FFd9 | IV_FALSE | ram/RS_FSM_FFd5 | IV_FALSE | ram/RS_FSM_FFd7 | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd6 -SPPTERM | 6 | IV_FALSE | ram/RS_FSM_FFd9 | IV_FALSE | ram/RS_FSM_FFd5 | IV_FALSE | ram/RS_FSM_FFd7 | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RS_FSM_FFd6 -SPPTERM | 8 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | RefUrg | IV_FALSE | ram/RefDone | IV_TRUE | ram/RS_FSM_FFd9 | IV_FALSE | ram/RS_FSM_FFd5 | IV_FALSE | ram/RS_FSM_FFd7 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd6 -SPPTERM | 8 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | RefUrg | IV_FALSE | ram/RefDone | IV_TRUE | ram/RS_FSM_FFd9 | IV_FALSE | ram/RS_FSM_FFd5 | IV_FALSE | ram/RS_FSM_FFd7 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd6 -SPPTERM | 8 | IV_TRUE | RefUrg | IV_FALSE | ram/RefDone | IV_TRUE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd5 | IV_FALSE | ram/RS_FSM_FFd7 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd6 | IV_FALSE | fsb/ASrf +SIGNAL | NODE | EXP10_.EXP | 11464 | ? | 0 | 0 | EXP10_ | NULL | NULL | EXP10_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 1 | IV_TRUE | ram/RS_FSM_FFd4.EXP +SPPTERM | 3 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | $OpTx$$OpTx$FX_DC$350_INV$537.UIM +SPPTERM | 3 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_FALSE | $OpTx$$OpTx$FX_DC$350_INV$537.UIM +SPPTERM | 3 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_FALSE | $OpTx$$OpTx$FX_DC$350_INV$537.UIM +SPPTERM | 3 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | $OpTx$$OpTx$FX_DC$350_INV$537.UIM +SPPTERM | 3 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_FALSE | $OpTx$$OpTx$FX_DC$350_INV$537.UIM MACROCELL_INSTANCE | NULL | EXP11_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 9 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 11124 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | iobs/TS_FSM_FFd1 | 10774 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IONPReady | 11186 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.Q | IONPReady | 1 | 0 | MC_UIM +NODE | iobs/IOACTr | 10796 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | QoSReady | 11239 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | QoSReady.Q | QoSReady | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10775 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 11191 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | IORDREQ | 10810 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IORDREQ.Q | IORDREQ | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 11257 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | iobs/Sent | 10734 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 11125 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | ALE1 | 10863 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 11126 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10755 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 11127 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | iobs/IORW1 | 10756 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<1>.EXP | 11911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<1>.EXP | cnt/WS<1> | 4 | 0 | MC_EXPORT +NODE | iobs/Clear1.EXP | 11483 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Clear1.EXP | iobs/Clear1 | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP11_.EXP | 11912 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP11_.EXP | EXP11_ | 4 | 0 | MC_EXPORT +NODE | EXP11_.EXP | 11484 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP11_.EXP | EXP11_ | 4 | 0 | MC_EXPORT SIGNAL_INSTANCE | EXP11_.SI | EXP11_ | 0 | 9 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 11124 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | iobs/TS_FSM_FFd1 | 10774 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IONPReady | 11186 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.Q | IONPReady | 1 | 0 | MC_UIM +NODE | iobs/IOACTr | 10796 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | QoSReady | 11239 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | QoSReady.Q | QoSReady | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10775 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 11191 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | IORDREQ | 10810 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IORDREQ.Q | IORDREQ | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 11257 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | iobs/Sent | 10734 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 11125 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | ALE1 | 10863 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 11126 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10755 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 11127 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | iobs/IORW1 | 10756 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<1>.EXP | 11911 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<1>.EXP | cnt/WS<1> | 4 | 0 | MC_EXPORT +NODE | iobs/Clear1.EXP | 11483 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Clear1.EXP | iobs/Clear1 | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP11_.EXP | 11898 | ? | 0 | 0 | EXP11_ | NULL | NULL | EXP11_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 1 | IV_TRUE | cnt/WS<1>.EXP -SPPTERM | 2 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | IONPReady -SPPTERM | 2 | IV_FALSE | IONPReady | IV_FALSE | QoSReady -SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf -SPPTERM | 3 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | IONPReady -SPPTERM | 3 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | IONPReady +SIGNAL | NODE | EXP11_.EXP | 11472 | ? | 0 | 0 | EXP11_ | NULL | NULL | EXP11_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 1 | IV_TRUE | iobs/Clear1.EXP +SPPTERM | 2 | IV_TRUE | iobs/TS_FSM_FFd1 | IV_TRUE | iobs/IOACTr +SPPTERM | 2 | IV_TRUE | iobs/TS_FSM_FFd2 | IV_FALSE | IORDREQ +SPPTERM | 3 | IV_TRUE | iobs/Sent | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 +SPPTERM | 3 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 +SPPTERM | 3 | IV_FALSE | iobs/IORW1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_FALSE | ALE1 -MACROCELL_INSTANCE | NULL | EXP12_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 12 | 1 +MACROCELL_INSTANCE | NULL | EXP12_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 26 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 11157 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 10729 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefReq | 11159 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM +NODE | cnt/LTimer<10> | 10741 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd9 | 11202 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd9.Q | ram/RS_FSM_FFd9 | 1 | 0 | MC_UIM +NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM | 10907 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.Q | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEN | 11216 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM +NODE | cnt/LTimer<8> | 10748 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 11226 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +NODE | cnt/LTimer<9> | 10749 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 11180 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +NODE | cnt/LTimer<11> | 10752 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.Q | cnt/LTimer<11> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 11191 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd5 | 11215 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd5.Q | ram/RS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 11257 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 11124 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 11125 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 10700 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd8.EXP | 11914 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.EXP | ram/RS_FSM_FFd8 | 4 | 0 | MC_EXPORT +NODE | A_FSB_18_IBUF | 10701 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 10702 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 10703 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 10704 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 10705 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 10706 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 10724 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 10725 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 10726 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 10755 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/IS_FSM_FFd1 | 10785 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/IS_FSM_FFd2 | 10786 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 10826 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAoutOE_OBUF.EXP | 11496 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.EXP | nAoutOE_OBUF | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP12_.EXP | 11915 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP12_.EXP | EXP12_ | 4 | 0 | MC_EXPORT +NODE | EXP12_.EXP | 11495 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP12_.EXP | EXP12_ | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | EXP12_.SI | EXP12_ | 0 | 12 | 1 +SIGNAL_INSTANCE | EXP12_.SI | EXP12_ | 0 | 26 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 11157 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 10729 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefReq | 11159 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM +NODE | cnt/LTimer<10> | 10741 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd9 | 11202 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd9.Q | ram/RS_FSM_FFd9 | 1 | 0 | MC_UIM +NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM | 10907 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.Q | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEN | 11216 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM +NODE | cnt/LTimer<8> | 10748 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 11226 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +NODE | cnt/LTimer<9> | 10749 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 11180 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +NODE | cnt/LTimer<11> | 10752 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.Q | cnt/LTimer<11> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 11191 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd5 | 11215 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd5.Q | ram/RS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 11257 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 11124 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 11125 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 10700 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd8.EXP | 11914 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.EXP | ram/RS_FSM_FFd8 | 4 | 0 | MC_EXPORT +NODE | A_FSB_18_IBUF | 10701 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 10702 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 10703 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 10704 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 10705 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 10706 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 10724 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 10725 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 10726 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 10755 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/IS_FSM_FFd1 | 10785 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/IS_FSM_FFd2 | 10786 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 10826 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAoutOE_OBUF.EXP | 11496 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.EXP | nAoutOE_OBUF | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP12_.EXP | 11901 | ? | 0 | 0 | EXP12_ | NULL | NULL | EXP12_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 1 | IV_TRUE | ram/RS_FSM_FFd8.EXP -SPPTERM | 4 | IV_FALSE | RefUrg | IV_FALSE | RefReq | IV_TRUE | ram/RS_FSM_FFd9 | IV_TRUE | ram/RASEN -SPPTERM | 4 | IV_FALSE | RefUrg | IV_TRUE | ram/RS_FSM_FFd9 | IV_TRUE | ram/RASEN | IV_TRUE | ram/BACTr -SPPTERM | 4 | IV_TRUE | ram/RefDone | IV_TRUE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd5 | IV_FALSE | fsb/ASrf -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd9 | IV_TRUE | ram/RASEN -SPPTERM | 5 | IV_FALSE | RefUrg | IV_TRUE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd9 | IV_TRUE | ram/RASEN | IV_FALSE | fsb/ASrf - -MACROCELL_INSTANCE | NULL | EXP13_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 12 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 11124 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 11125 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 11183 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 11191 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd9 | 11202 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd9.Q | ram/RS_FSM_FFd9 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 11257 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 11157 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 11180 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd5 | 11215 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd5.Q | ram/RS_FSM_FFd5 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefReq | 11159 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 11226 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEN.EXP | 11916 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.EXP | ram/RASEN | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP13_.EXP | 11917 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP13_.EXP | EXP13_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP13_.SI | EXP13_ | 0 | 12 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 11124 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 11125 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 11183 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 11191 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd9 | 11202 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd9.Q | ram/RS_FSM_FFd9 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 11257 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 11157 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 11180 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd5 | 11215 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd5.Q | ram/RS_FSM_FFd5 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefReq | 11159 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 11226 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEN.EXP | 11916 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.EXP | ram/RASEN | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP13_.EXP | 11903 | ? | 0 | 0 | EXP13_ | NULL | NULL | EXP13_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 1 | IV_TRUE | ram/RASEN.EXP -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd9 -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay | IV_TRUE | ram/RS_FSM_FFd9 | IV_TRUE | fsb/ASrf -SPPTERM | 5 | IV_TRUE | RefUrg | IV_FALSE | ram/RefDone | IV_TRUE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd9 | IV_FALSE | fsb/ASrf -SPPTERM | 5 | IV_TRUE | RefUrg | IV_FALSE | ram/RefDone | IV_TRUE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd5 | IV_FALSE | fsb/ASrf -SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | RefReq | IV_FALSE | ram/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd9 | IV_FALSE | ram/BACTr - -MACROCELL_INSTANCE | NULL | EXP14_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 10 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 11157 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 11191 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd5 | 11215 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd5.Q | ram/RS_FSM_FFd5 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 11257 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 11180 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 11125 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefReq | 11159 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd9 | 11202 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd9.Q | ram/RS_FSM_FFd9 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEN | 11216 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nROMWE_OBUF.EXP | 11920 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMWE_OBUF.EXP | nROMWE_OBUF | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP14_.EXP | 11919 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP14_.EXP | EXP14_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP14_.SI | EXP14_ | 0 | 10 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 11157 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 11191 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd5 | 11215 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd5.Q | ram/RS_FSM_FFd5 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 11257 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 11180 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 11125 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefReq | 11159 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd9 | 11202 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd9.Q | ram/RS_FSM_FFd9 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEN | 11216 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nROMWE_OBUF.EXP | 11920 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMWE_OBUF.EXP | nROMWE_OBUF | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP14_.EXP | 11905 | ? | 0 | 0 | EXP14_ | NULL | NULL | EXP14_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 1 | IV_TRUE | nROMWE_OBUF.EXP -SPPTERM | 4 | IV_FALSE | RefUrg | IV_TRUE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd5 | IV_FALSE | fsb/ASrf -SPPTERM | 4 | IV_TRUE | ram/RefDone | IV_TRUE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd5 | IV_FALSE | fsb/ASrf -SPPTERM | 5 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | RefUrg | IV_FALSE | RefReq | IV_TRUE | ram/RS_FSM_FFd9 | IV_TRUE | ram/RASEN -SPPTERM | 5 | IV_FALSE | RefUrg | IV_TRUE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd9 | IV_TRUE | ram/RASEN | IV_FALSE | fsb/ASrf -SPPTERM | 5 | IV_TRUE | ram/RefDone | IV_TRUE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd9 | IV_TRUE | ram/RASEN | IV_FALSE | fsb/ASrf - -MACROCELL_INSTANCE | NULL | EXP15_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 26 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 11158 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<10> | 11170 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM | 11335 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.Q | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<8> | 11177 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<9> | 11178 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<11> | 11181 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.Q | cnt/LTimer<11> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 11124 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 11125 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 11126 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 11127 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 11128 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 11129 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 11130 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 11131 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 11132 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 11133 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 11134 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 11152 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 11153 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 11154 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 11184 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 11191 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 11211 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 11212 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 11252 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAoutOE_OBUF.EXP | 11934 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.EXP | nAoutOE_OBUF | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP15_.EXP | 11933 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP15_.EXP | EXP15_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP15_.SI | EXP15_ | 0 | 26 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 11158 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<10> | 11170 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM | 11335 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.Q | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<8> | 11177 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<9> | 11178 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<11> | 11181 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.Q | cnt/LTimer<11> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 11124 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 11125 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 11126 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 11127 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 11128 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 11129 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 11130 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 11131 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 11132 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 11133 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 11134 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 11152 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 11153 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 11154 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 11184 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 11191 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 11211 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 11212 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 11252 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAoutOE_OBUF.EXP | 11934 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.EXP | nAoutOE_OBUF | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP15_.EXP | 11922 | ? | 0 | 0 | EXP15_ | NULL | NULL | EXP15_.SI | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP12_.EXP | 11488 | ? | 0 | 0 | EXP12_ | NULL | NULL | EXP12_.SI | 7 | 9 | MC_SI_EXPORT SPPTERM | 1 | IV_TRUE | nAoutOE_OBUF.EXP SPPTERM | 3 | IV_FALSE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<10> | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM SPPTERM | 3 | IV_FALSE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<8> | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM @@ -8079,253 +8170,177 @@ SPPTERM | 3 | IV_FALSE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<9> | IV_TRUE | cnt SPPTERM | 3 | IV_FALSE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<11> | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM SPPTERM | 20 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM -MACROCELL_INSTANCE | NULL | EXP16_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 22 | 1 +MACROCELL_INSTANCE | NULL | EXP13_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 4 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 11124 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | nBR_IOB_OBUF | 10769 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.Q | nBR_IOB_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 11125 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | cnt/IS_FSM_FFd1 | 10785 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 11126 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | cnt/IS_FSM_FFd2 | 10786 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 11127 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 11128 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 11129 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 11130 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 11131 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 11132 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 11133 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 11134 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 11152 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 11153 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 11154 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 11184 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 11191 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 11211 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 11212 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 11251 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 11252 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 11257 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IONPReady.EXP | 11938 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.EXP | IONPReady | 4 | 0 | MC_EXPORT +NODE | AoutOE | 10865 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP16_.EXP | 11939 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP16_.EXP | EXP16_ | 4 | 0 | MC_EXPORT +NODE | EXP13_.EXP | 11497 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP13_.EXP | EXP13_ | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | EXP16_.SI | EXP16_ | 0 | 22 | 1 +SIGNAL_INSTANCE | EXP13_.SI | EXP13_ | 0 | 4 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 11124 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | nBR_IOB_OBUF | 10769 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.Q | nBR_IOB_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 11125 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | cnt/IS_FSM_FFd1 | 10785 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 11126 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | cnt/IS_FSM_FFd2 | 10786 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 11127 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 11128 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 11129 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 11130 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 11131 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 11132 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 11133 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 11134 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 11152 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 11153 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 11154 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 11184 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 11191 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 11211 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 11212 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 11251 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 11252 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 11257 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IONPReady.EXP | 11938 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.EXP | IONPReady | 4 | 0 | MC_EXPORT +NODE | AoutOE | 10865 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP16_.EXP | 11928 | ? | 0 | 0 | EXP16_ | NULL | NULL | EXP16_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 1 | IV_TRUE | IONPReady.EXP -SPPTERM | 19 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_TRUE | A_FSB_9_IBUF -SPPTERM | 19 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_TRUE | A_FSB_8_IBUF -SPPTERM | 19 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | fsb/ASrf -SPPTERM | 19 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_TRUE | A_FSB_9_IBUF -SPPTERM | 19 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_TRUE | A_FSB_8_IBUF +SIGNAL | NODE | EXP13_.EXP | 11490 | ? | 0 | 0 | EXP13_ | NULL | NULL | EXP13_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 3 | IV_FALSE | nBR_IOB_OBUF | IV_TRUE | cnt/IS_FSM_FFd1 | IV_TRUE | cnt/IS_FSM_FFd2 +SPPTERM | 3 | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_FALSE | AoutOE -MACROCELL_INSTANCE | NULL | EXP17_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 23 | 1 +MACROCELL_INSTANCE | NULL | EXP14_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 23 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 11124 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 11125 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 11126 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 11127 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 11128 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 10700 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 11129 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 10701 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 11130 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 10702 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 11131 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 10703 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 11132 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_15_IBUF | 10704 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 11133 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 10705 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 11134 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_12_IBUF | 10706 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 11152 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 10724 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 11153 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_11_IBUF | 10725 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 11154 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_10_IBUF | 10726 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 11184 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10755 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 11191 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 11211 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd1 | 10785 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 11212 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd2 | 10786 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 11251 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_9_IBUF | 10825 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM | 11335 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.Q | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | 1 | 0 | MC_UIM +NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM | 10907 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.Q | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 11252 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_8_IBUF | 10826 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 11257 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.EXP | 11940 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.EXP | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | 4 | 0 | MC_EXPORT +NODE | $OpTx$$OpTx$FX_DC$350_INV$537.EXP | 11498 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | $OpTx$$OpTx$FX_DC$350_INV$537.EXP | $OpTx$$OpTx$FX_DC$350_INV$537 | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP17_.EXP | 11941 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP17_.EXP | EXP17_ | 4 | 0 | MC_EXPORT +NODE | EXP14_.EXP | 11499 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP14_.EXP | EXP14_ | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | EXP17_.SI | EXP17_ | 0 | 23 | 1 +SIGNAL_INSTANCE | EXP14_.SI | EXP14_ | 0 | 23 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 11124 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 11125 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 11126 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 11127 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 11128 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 10700 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 11129 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 10701 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 11130 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 10702 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 11131 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 10703 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 11132 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_15_IBUF | 10704 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 11133 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 10705 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 11134 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_12_IBUF | 10706 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 11152 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 10724 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 11153 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_11_IBUF | 10725 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 11154 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_10_IBUF | 10726 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 11184 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10755 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 11191 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 11211 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd1 | 10785 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 11212 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd2 | 10786 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 11251 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_9_IBUF | 10825 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM | 11335 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.Q | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | 1 | 0 | MC_UIM +NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM | 10907 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.Q | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 11252 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_8_IBUF | 10826 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 11257 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.EXP | 11940 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.EXP | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | 4 | 0 | MC_EXPORT +NODE | $OpTx$$OpTx$FX_DC$350_INV$537.EXP | 11498 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | $OpTx$$OpTx$FX_DC$350_INV$537.EXP | $OpTx$$OpTx$FX_DC$350_INV$537 | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP17_.EXP | 11930 | ? | 0 | 0 | EXP17_ | NULL | NULL | EXP17_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 1 | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.EXP +SIGNAL | NODE | EXP14_.EXP | 11492 | ? | 0 | 0 | EXP14_ | NULL | NULL | EXP14_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 1 | IV_TRUE | $OpTx$$OpTx$FX_DC$350_INV$537.EXP SPPTERM | 20 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM SPPTERM | 20 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM SPPTERM | 20 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | fsb/ASrf | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM SPPTERM | 20 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM SPPTERM | 20 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM -MACROCELL_INSTANCE | NULL | EXP18_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 8 | 1 +MACROCELL_INSTANCE | NULL | EXP15_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 8 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 11158 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 10729 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 11172 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 10743 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM | 11335 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.Q | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | 1 | 0 | MC_UIM +NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM | 10907 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.Q | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 11173 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 10744 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 11174 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<5> | 10745 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 11175 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<6> | 10746 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 11176 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<7> | 10747 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1>.EXP | 11942 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.EXP | cnt/LTimer<1> | 4 | 0 | MC_EXPORT +NODE | cnt/LTimer<1>.EXP | 11500 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.EXP | cnt/LTimer<1> | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP18_.EXP | 11943 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP18_.EXP | EXP18_ | 4 | 0 | MC_EXPORT +NODE | EXP15_.EXP | 11501 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP15_.EXP | EXP15_ | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | EXP18_.SI | EXP18_ | 0 | 8 | 1 +SIGNAL_INSTANCE | EXP15_.SI | EXP15_ | 0 | 8 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 11158 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 10729 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 11172 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 10743 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM | 11335 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.Q | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | 1 | 0 | MC_UIM +NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM | 10907 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.Q | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 11173 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 10744 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 11174 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<5> | 10745 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 11175 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<6> | 10746 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 11176 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<7> | 10747 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1>.EXP | 11942 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.EXP | cnt/LTimer<1> | 4 | 0 | MC_EXPORT +NODE | cnt/LTimer<1>.EXP | 11500 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.EXP | cnt/LTimer<1> | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP18_.EXP | 11932 | ? | 0 | 0 | EXP18_ | NULL | NULL | EXP18_.SI | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP15_.EXP | 11494 | ? | 0 | 0 | EXP15_ | NULL | NULL | EXP15_.SI | 7 | 9 | MC_SI_EXPORT SPPTERM | 1 | IV_TRUE | cnt/LTimer<1>.EXP SPPTERM | 3 | IV_FALSE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM SPPTERM | 3 | IV_FALSE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM @@ -8333,153 +8348,209 @@ SPPTERM | 3 | IV_FALSE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt SPPTERM | 3 | IV_FALSE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM SPPTERM | 3 | IV_FALSE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<7> | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM -MACROCELL_INSTANCE | NULL | EXP19_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 9 | 1 +MACROCELL_INSTANCE | NULL | EXP16_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 5 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 11201 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IORDREQ | 11237 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IORDREQ.Q | IORDREQ | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 11164 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 11291 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 11184 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW1 | 11185 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 11124 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 11125 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_6_OBUF.EXP | 11960 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_6_OBUF.EXP | RA_6_OBUF | 4 | 0 | MC_EXPORT +NODE | cs/nOverlay | 10754 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP19_.EXP | 11961 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP19_.EXP | EXP19_ | 4 | 0 | MC_EXPORT +NODE | EXP16_.EXP | 11517 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP16_.EXP | EXP16_ | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | EXP19_.SI | EXP19_ | 0 | 9 | 1 +SIGNAL_INSTANCE | EXP16_.SI | EXP16_ | 0 | 5 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 11201 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IORDREQ | 11237 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IORDREQ.Q | IORDREQ | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 11164 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 11291 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 11184 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW1 | 11185 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 11124 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 11125 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_6_OBUF.EXP | 11960 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_6_OBUF.EXP | RA_6_OBUF | 4 | 0 | MC_EXPORT +NODE | cs/nOverlay | 10754 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP19_.EXP | 11951 | ? | 0 | 0 | EXP19_ | NULL | NULL | EXP19_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 1 | IV_TRUE | RA_6_OBUF.EXP -SPPTERM | 2 | IV_TRUE | iobs/TS_FSM_FFd2 | IV_FALSE | IORDREQ -SPPTERM | 3 | IV_TRUE | iobs/Sent | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 -SPPTERM | 3 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 -SPPTERM | 3 | IV_FALSE | iobs/IORW1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_FALSE | ALE1 -SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 +SIGNAL | NODE | EXP16_.EXP | 11503 | ? | 0 | 0 | EXP16_ | NULL | NULL | EXP16_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF +SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | cs/nOverlay -MACROCELL_INSTANCE | NULL | EXP20_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 8 | 1 +MACROCELL_INSTANCE | NULL | EXP17_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 6 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 11125 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | RefUrg | 10740 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 11126 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd4 | 10789 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$$OpTx$FX_DC$348_INV$535.UIM | 11336 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | $OpTx$$OpTx$FX_DC$348_INV$535.Q | $OpTx$$OpTx$FX_DC$348_INV$535 | 1 | 0 | MC_UIM +NODE | ram/RefDone | 10757 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 11128 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd8 | 10784 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 11129 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd7 | 10801 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 11132 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 11134 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IORDREQ.EXP | 11962 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IORDREQ.EXP | IORDREQ | 4 | 0 | MC_EXPORT +NODE | RefReq | 10751 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP20_.EXP | 11963 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP20_.EXP | EXP20_ | 4 | 0 | MC_EXPORT +NODE | EXP17_.EXP | 11518 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP17_.EXP | EXP17_ | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | EXP20_.SI | EXP20_ | 0 | 8 | 1 +SIGNAL_INSTANCE | EXP17_.SI | EXP17_ | 0 | 6 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 11125 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | RefUrg | 10740 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 11126 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd4 | 10789 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$$OpTx$FX_DC$348_INV$535.UIM | 11336 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | $OpTx$$OpTx$FX_DC$348_INV$535.Q | $OpTx$$OpTx$FX_DC$348_INV$535 | 1 | 0 | MC_UIM +NODE | ram/RefDone | 10757 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 11128 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd8 | 10784 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 11129 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd7 | 10801 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 11132 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 11134 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IORDREQ.EXP | 11962 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IORDREQ.EXP | IORDREQ | 4 | 0 | MC_EXPORT +NODE | RefReq | 10751 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP20_.EXP | 11953 | ? | 0 | 0 | EXP20_ | NULL | NULL | EXP20_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 1 | IV_TRUE | IORDREQ.EXP -SPPTERM | 3 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | $OpTx$$OpTx$FX_DC$348_INV$535.UIM -SPPTERM | 3 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_FALSE | $OpTx$$OpTx$FX_DC$348_INV$535.UIM -SPPTERM | 3 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_FALSE | $OpTx$$OpTx$FX_DC$348_INV$535.UIM -SPPTERM | 3 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | $OpTx$$OpTx$FX_DC$348_INV$535.UIM -SPPTERM | 3 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_FALSE | $OpTx$$OpTx$FX_DC$348_INV$535.UIM +SIGNAL | NODE | EXP17_.EXP | 11504 | ? | 0 | 0 | EXP17_ | NULL | NULL | EXP17_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 2 | IV_FALSE | RefUrg | IV_TRUE | ram/RS_FSM_FFd4 +SPPTERM | 2 | IV_TRUE | ram/RefDone | IV_TRUE | ram/RS_FSM_FFd8 +SPPTERM | 2 | IV_TRUE | ram/RefDone | IV_TRUE | ram/RS_FSM_FFd4 +SPPTERM | 2 | IV_TRUE | ram/RefDone | IV_TRUE | ram/RS_FSM_FFd7 +SPPTERM | 3 | IV_FALSE | RefUrg | IV_FALSE | RefReq | IV_TRUE | ram/RS_FSM_FFd8 -MACROCELL_INSTANCE | NULL | EXP21_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 11 | 1 +MACROCELL_INSTANCE | NULL | EXP18_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 5 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 11191 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10755 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 11201 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 11257 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 11291 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ram/DTACKr | 10798 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/DTACKr.Q | ram/DTACKr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 11124 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 11125 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 11126 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 11127 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 11131 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 11184 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nRAS_OBUF.EXP | 11988 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAS_OBUF.EXP | nRAS_OBUF | 4 | 0 | MC_EXPORT +NODE | ram/BACTr | 10824 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP21_.EXP | 11987 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP21_.EXP | EXP21_ | 4 | 0 | MC_EXPORT +NODE | EXP18_.EXP | 11520 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP18_.EXP | EXP18_ | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | EXP21_.SI | EXP21_ | 0 | 11 | 1 +SIGNAL_INSTANCE | EXP18_.SI | EXP18_ | 0 | 5 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 11191 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10755 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 11201 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 11257 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 11291 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ram/DTACKr | 10798 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/DTACKr.Q | ram/DTACKr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 11124 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 11125 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 11126 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 11127 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 11131 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 11184 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nRAS_OBUF.EXP | 11988 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAS_OBUF.EXP | nRAS_OBUF | 4 | 0 | MC_EXPORT +NODE | ram/BACTr | 10824 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP21_.EXP | 11972 | ? | 0 | 0 | EXP21_ | NULL | NULL | EXP21_.SI | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP18_.EXP | 11506 | ? | 0 | 0 | EXP18_ | NULL | NULL | EXP18_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 1 | IV_FALSE | nWE_FSB_IBUF +SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +SPPTERM | 2 | IV_TRUE | ram/DTACKr | IV_TRUE | ram/BACTr + +MACROCELL_INSTANCE | NULL | EXP19_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 10 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RefUrg | 10740 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefDone | 10757 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd8 | 10784 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEN | 10790 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 10754 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IONPReady.EXP | 11527 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.EXP | IONPReady | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP19_.EXP | 11528 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP19_.EXP | EXP19_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP19_.SI | EXP19_ | 0 | 10 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RefUrg | 10740 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefDone | 10757 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd8 | 10784 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEN | 10790 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEN.Q | ram/RASEN | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 10754 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IONPReady.EXP | 11527 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.EXP | IONPReady | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP19_.EXP | 11514 | ? | 0 | 0 | EXP19_ | NULL | NULL | EXP19_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 1 | IV_TRUE | IONPReady.EXP +SPPTERM | 4 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | RefUrg | IV_FALSE | ram/RefDone | IV_TRUE | ram/RS_FSM_FFd8 +SPPTERM | 4 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | RefUrg | IV_FALSE | ram/RefDone | IV_TRUE | ram/RS_FSM_FFd8 +SPPTERM | 4 | IV_TRUE | RefUrg | IV_FALSE | ram/RefDone | IV_TRUE | ram/RS_FSM_FFd8 | IV_FALSE | ram/RASEN +SPPTERM | 5 | IV_TRUE | RefUrg | IV_FALSE | ram/RefDone | IV_TRUE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd8 | IV_FALSE | fsb/ASrf +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd8 | IV_TRUE | ram/RASEN + +MACROCELL_INSTANCE | NULL | EXP20_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 11 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd2 | 10775 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 10863 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 10703 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 10755 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nRAS_OBUF.EXP | 11560 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAS_OBUF.EXP | nRAS_OBUF | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP20_.EXP | 11559 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP20_.EXP | EXP20_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP20_.SI | EXP20_ | 0 | 11 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd2 | 10775 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 10863 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 10703 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 10755 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nRAS_OBUF.EXP | 11560 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAS_OBUF.EXP | nRAS_OBUF | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP20_.EXP | 11544 | ? | 0 | 0 | EXP20_ | NULL | NULL | EXP20_.SI | 7 | 9 | MC_SI_EXPORT SPPTERM | 1 | IV_TRUE | nRAS_OBUF.EXP SPPTERM | 4 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_FALSE | fsb/ASrf | IV_TRUE | ALE1 SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 @@ -8487,180 +8558,180 @@ SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_16_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 -MACROCELL_INSTANCE | NULL | EXP22_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 3 | 1 +MACROCELL_INSTANCE | NULL | EXP21_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 3 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 11184 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10755 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nUDS_FSB_IBUF | 11189 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nUDS_FSB_IBUF | 10761 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 11199 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 10773 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP22_.EXP | 11990 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP22_.EXP | EXP22_ | 4 | 0 | MC_EXPORT +NODE | EXP21_.EXP | 11562 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP21_.EXP | EXP21_ | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | EXP22_.SI | EXP22_ | 0 | 3 | 1 +SIGNAL_INSTANCE | EXP21_.SI | EXP21_ | 0 | 3 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 11184 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10755 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nUDS_FSB_IBUF | 11189 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nUDS_FSB_IBUF | 10761 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 11199 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 10773 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP22_.EXP | 11975 | ? | 0 | 0 | EXP22_ | NULL | NULL | EXP22_.SI | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP21_.EXP | 11547 | ? | 0 | 0 | EXP21_ | NULL | NULL | EXP21_.SI | 7 | 9 | MC_SI_EXPORT SPPTERM | 3 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nUDS_FSB_IBUF | IV_TRUE | ram/RASEL -MACROCELL_INSTANCE | NULL | EXP23_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 17 | 1 +MACROCELL_INSTANCE | NULL | EXP22_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 17 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 11125 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 11164 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | iobs/Sent | 10734 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 11183 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 10754 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 11184 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10755 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 11200 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 10774 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 11201 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10775 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 11257 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 11291 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 10863 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 11126 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 11127 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 11128 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 10700 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 11129 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 10701 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 11130 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 10702 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 11131 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 10703 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 11133 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 10705 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 11191 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 11152 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 10724 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP23_.EXP | 11992 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP23_.EXP | EXP23_ | 4 | 0 | MC_EXPORT +NODE | EXP22_.EXP | 11564 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP22_.EXP | EXP22_ | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | EXP23_.SI | EXP23_ | 0 | 17 | 1 +SIGNAL_INSTANCE | EXP22_.SI | EXP22_ | 0 | 17 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 11125 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 11164 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | iobs/Sent | 10734 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 11183 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 10754 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 11184 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10755 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 11200 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 10774 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 11201 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10775 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 11257 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 11291 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 10863 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 11126 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 11127 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 11128 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 10700 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 11129 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 10701 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 11130 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 10702 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 11131 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 10703 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 11133 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 10705 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 11191 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 11152 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 10724 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP23_.EXP | 11977 | ? | 0 | 0 | EXP23_ | NULL | NULL | EXP23_.SI | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP22_.EXP | 11549 | ? | 0 | 0 | EXP22_ | NULL | NULL | EXP22_.SI | 7 | 9 | MC_SI_EXPORT SPPTERM | 8 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | cs/nOverlay | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 SPPTERM | 13 | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 SPPTERM | 13 | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 SPPTERM | 13 | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 SPPTERM | 13 | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 -MACROCELL_INSTANCE | NULL | EXP24_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 2 | 1 +MACROCELL_INSTANCE | NULL | EXP23_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOBERR | 11166 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM +NODE | IOBERR | 10736 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_FSB_OBUF.UIM | 11193 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.Q | nBERR_FSB_OBUF | 1 | 0 | MC_UIM +NODE | nBERR_FSB_OBUF.UIM | 10765 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.Q | nBERR_FSB_OBUF | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP24_.EXP | 11993 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP24_.EXP | EXP24_ | 4 | 0 | MC_EXPORT +NODE | EXP23_.EXP | 11565 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP23_.EXP | EXP23_ | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | EXP24_.SI | EXP24_ | 0 | 2 | 1 +SIGNAL_INSTANCE | EXP23_.SI | EXP23_ | 0 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOBERR | 11166 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM +NODE | IOBERR | 10736 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_FSB_OBUF.UIM | 11193 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.Q | nBERR_FSB_OBUF | 1 | 0 | MC_UIM +NODE | nBERR_FSB_OBUF.UIM | 10765 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.Q | nBERR_FSB_OBUF | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP24_.EXP | 11978 | ? | 0 | 0 | EXP24_ | NULL | NULL | EXP24_.SI | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP23_.EXP | 11550 | ? | 0 | 0 | EXP23_ | NULL | NULL | EXP23_.SI | 7 | 9 | MC_SI_EXPORT SPPTERM | 2 | IV_FALSE | IOBERR | IV_TRUE | nBERR_FSB_OBUF.UIM -MACROCELL_INSTANCE | NULL | EXP25_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 12 | 1 +MACROCELL_INSTANCE | NULL | EXP24_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 12 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOU1 | 11190 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOU1.Q | iobs/IOU1 | 1 | 0 | MC_UIM +NODE | iobs/IOU1 | 10762 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOU1.Q | iobs/IOU1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOU0 | 11229 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM +NODE | IOU0 | 10803 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 11291 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 10863 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 11191 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 11201 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10775 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 11257 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 11124 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 11125 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 11126 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 11127 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 11184 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10755 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_FSB_OBUF.EXP | 11994 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.EXP | nBERR_FSB_OBUF | 4 | 0 | MC_EXPORT +NODE | nBERR_FSB_OBUF.EXP | 11566 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.EXP | nBERR_FSB_OBUF | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP25_.EXP | 11995 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP25_.EXP | EXP25_ | 4 | 0 | MC_EXPORT +NODE | EXP24_.EXP | 11567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP24_.EXP | EXP24_ | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | EXP25_.SI | EXP25_ | 0 | 12 | 1 +SIGNAL_INSTANCE | EXP24_.SI | EXP24_ | 0 | 12 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOU1 | 11190 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOU1.Q | iobs/IOU1 | 1 | 0 | MC_UIM +NODE | iobs/IOU1 | 10762 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOU1.Q | iobs/IOU1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOU0 | 11229 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM +NODE | IOU0 | 10803 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 11291 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 10863 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 11191 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 11201 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10775 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 11257 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 11124 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 11125 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 11126 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 11127 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 11184 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10755 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_FSB_OBUF.EXP | 11994 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.EXP | nBERR_FSB_OBUF | 4 | 0 | MC_EXPORT +NODE | nBERR_FSB_OBUF.EXP | 11566 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.EXP | nBERR_FSB_OBUF | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP25_.EXP | 11980 | ? | 0 | 0 | EXP25_ | NULL | NULL | EXP25_.SI | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP24_.EXP | 11552 | ? | 0 | 0 | EXP24_ | NULL | NULL | EXP24_.SI | 7 | 9 | MC_SI_EXPORT SPPTERM | 1 | IV_TRUE | nBERR_FSB_OBUF.EXP SPPTERM | 3 | IV_FALSE | iobs/IOU1 | IV_FALSE | IOU0 | IV_FALSE | ALE1 SPPTERM | 4 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_FALSE | fsb/ASrf | IV_TRUE | ALE1 @@ -8668,61 +8739,61 @@ SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 -MACROCELL_INSTANCE | NULL | EXP26_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 12 | 1 +MACROCELL_INSTANCE | NULL | EXP25_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 12 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOL1 | 11188 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOL1.Q | iobs/IOL1 | 1 | 0 | MC_UIM +NODE | iobs/IOL1 | 10760 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOL1.Q | iobs/IOL1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOL0 | 11228 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM +NODE | IOL0 | 10802 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 11291 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 10863 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 11191 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 11201 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10775 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 11257 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 11124 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 11125 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 11126 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 11127 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 11184 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10755 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW1.EXP | 11998 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.EXP | iobs/IORW1 | 4 | 0 | MC_EXPORT +NODE | iobs/IORW1.EXP | 11570 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.EXP | iobs/IORW1 | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP26_.EXP | 11999 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP26_.EXP | EXP26_ | 4 | 0 | MC_EXPORT +NODE | EXP25_.EXP | 11571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP25_.EXP | EXP25_ | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | EXP26_.SI | EXP26_ | 0 | 12 | 1 +SIGNAL_INSTANCE | EXP25_.SI | EXP25_ | 0 | 12 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOL1 | 11188 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOL1.Q | iobs/IOL1 | 1 | 0 | MC_UIM +NODE | iobs/IOL1 | 10760 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOL1.Q | iobs/IOL1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOL0 | 11228 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM +NODE | IOL0 | 10802 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 11291 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 10863 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 11191 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10763 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 11201 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10775 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 11257 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10831 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 11124 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 11125 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 11126 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 11127 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 11184 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10755 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW1.EXP | 11998 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.EXP | iobs/IORW1 | 4 | 0 | MC_EXPORT +NODE | iobs/IORW1.EXP | 11570 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.EXP | iobs/IORW1 | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP26_.EXP | 11984 | ? | 0 | 0 | EXP26_ | NULL | NULL | EXP26_.SI | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP25_.EXP | 11556 | ? | 0 | 0 | EXP25_ | NULL | NULL | EXP25_.SI | 7 | 9 | MC_SI_EXPORT SPPTERM | 1 | IV_TRUE | iobs/IORW1.EXP SPPTERM | 3 | IV_FALSE | iobs/IOL1 | IV_FALSE | IOL0 | IV_FALSE | ALE1 SPPTERM | 4 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_FALSE | fsb/ASrf | IV_TRUE | ALE1 @@ -8731,102 +8802,100 @@ SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 FB_INSTANCE | FOOBAR1_ | WarpSE_COPY_0_COPY_0 | 0 | 0 | 0 -FBPIN | 1 | ram/BACTr | 1 | NULL | 0 | NULL | 0 -FBPIN | 2 | nRESout | 1 | A_FSB_13_IBUF | 1 | NULL | 0 | 11 | 49152 -FBPIN | 3 | iobs/Clear1 | 1 | A_FSB_14_IBUF | 1 | NULL | 0 | 12 | 49152 -FBPIN | 4 | iobm/Er | 1 | NULL | 0 | NULL | 0 -FBPIN | 5 | fsb/ASrf | 1 | A_FSB_15_IBUF | 1 | NULL | 0 | 13 | 49152 -FBPIN | 6 | cnt/nIPL2r | 1 | A_FSB_16_IBUF | 1 | NULL | 0 | 14 | 49152 -FBPIN | 7 | cnt/Er<1> | 1 | NULL | 0 | NULL | 0 -FBPIN | 8 | cnt/Er<0> | 1 | A_FSB_17_IBUF | 1 | NULL | 0 | 15 | 49152 -FBPIN | 9 | ALE0S | 1 | A_FSB_18_IBUF | 1 | NULL | 0 | 16 | 49152 -FBPIN | 10 | $OpTx$$OpTx$FX_DC$348_INV$535 | 1 | NULL | 0 | NULL | 0 -FBPIN | 11 | iobs/TS_FSM_FFd1 | 1 | A_FSB_19_IBUF | 1 | NULL | 0 | 17 | 49152 -FBPIN | 12 | iobs/IOU1 | 1 | A_FSB_20_IBUF | 1 | NULL | 0 | 18 | 49152 -FBPIN | 13 | iobs/IOL1 | 1 | NULL | 0 | NULL | 0 -FBPIN | 14 | cnt/Timer<0> | 1 | A_FSB_21_IBUF | 1 | NULL | 0 | 19 | 49152 -FBPIN | 15 | RefUrg | 1 | A_FSB_22_IBUF | 1 | NULL | 0 | 20 | 49152 -FBPIN | 16 | IOBERR | 1 | NULL | 0 | NULL | 0 -FBPIN | 17 | iobm/ES<2> | 1 | C16M_IBUF | 0 | NULL | 0 | 22 | 57344 -FBPIN | 18 | cnt/Timer<1> | 1 | NULL | 0 | NULL | 0 +FBPIN | 1 | ram/RS_FSM_FFd3 | 1 | NULL | 0 | NULL | 0 +FBPIN | 2 | ram/RS_FSM_FFd2 | 1 | A_FSB_13_IBUF | 1 | NULL | 0 | 11 | 49152 +FBPIN | 3 | ram/RS_FSM_FFd1 | 1 | A_FSB_14_IBUF | 1 | NULL | 0 | 12 | 49152 +FBPIN | 4 | cnt/Er<1> | 1 | NULL | 0 | NULL | 0 +FBPIN | 5 | cnt/Er<0> | 1 | A_FSB_15_IBUF | 1 | NULL | 0 | 13 | 49152 +FBPIN | 6 | ram/RefDone | 1 | A_FSB_16_IBUF | 1 | NULL | 0 | 14 | 49152 +FBPIN | 7 | iobs/IOU1 | 1 | NULL | 0 | NULL | 0 +FBPIN | 8 | iobs/IOL1 | 1 | A_FSB_17_IBUF | 1 | NULL | 0 | 15 | 49152 +FBPIN | 9 | cnt/TimerTC | 1 | A_FSB_18_IBUF | 1 | NULL | 0 | 16 | 49152 +FBPIN | 10 | cnt/Timer<0> | 1 | NULL | 0 | NULL | 0 +FBPIN | 11 | cnt/IS_FSM_FFd2 | 1 | A_FSB_19_IBUF | 1 | NULL | 0 | 17 | 49152 +FBPIN | 12 | RefUrg | 1 | A_FSB_20_IBUF | 1 | NULL | 0 | 18 | 49152 +FBPIN | 13 | RefReq | 1 | NULL | 0 | NULL | 0 +FBPIN | 14 | iobm/ES<2> | 1 | A_FSB_21_IBUF | 1 | NULL | 0 | 19 | 49152 +FBPIN | 15 | cnt/Timer<1> | 1 | A_FSB_22_IBUF | 1 | NULL | 0 | 20 | 49152 +FBPIN | 16 | cnt/Timer<3> | 1 | NULL | 0 | NULL | 0 +FBPIN | 17 | cnt/Timer<2> | 1 | C16M_IBUF | 0 | NULL | 0 | 22 | 57344 +FBPIN | 18 | ram/RS_FSM_FFd7 | 1 | NULL | 0 | NULL | 0 FB_INSTANCE | FOOBAR2_ | WarpSE_COPY_0_COPY_0 | 0 | 0 | 0 -FBPIN | 3 | ram/RS_FSM_FFd6 | 1 | NULL | 0 | NULL | 0 -FBPIN | 4 | ram/RS_FSM_FFd4 | 1 | NULL | 0 | NULL | 0 -FBPIN | 5 | ram/RS_FSM_FFd3 | 1 | NULL | 0 | NULL | 0 | 1 | 53248 -FBPIN | 6 | ram/RS_FSM_FFd2 | 1 | A_FSB_5_IBUF | 1 | NULL | 0 | 2 | 53248 -FBPIN | 7 | ram/RS_FSM_FFd1 | 1 | NULL | 0 | NULL | 0 -FBPIN | 8 | ram/RASrf | 1 | A_FSB_6_IBUF | 1 | NULL | 0 | 3 | 53248 -FBPIN | 9 | iobs/IODONEr | 1 | A_FSB_7_IBUF | 1 | NULL | 0 | 4 | 53248 -FBPIN | 10 | iobs/IOACTr | 1 | NULL | 0 | NULL | 0 -FBPIN | 11 | iobm/VPAr | 1 | A_FSB_8_IBUF | 1 | NULL | 0 | 6 | 49152 -FBPIN | 12 | iobm/IOWRREQr | 1 | A_FSB_9_IBUF | 1 | NULL | 0 | 7 | 49152 -FBPIN | 13 | iobm/IOS_FSM_FFd5 | 1 | NULL | 0 | NULL | 0 -FBPIN | 14 | iobm/IOS_FSM_FFd4 | 1 | A_FSB_10_IBUF | 1 | NULL | 0 | 8 | 49152 -FBPIN | 15 | iobm/IOS_FSM_FFd1 | 1 | A_FSB_11_IBUF | 1 | NULL | 0 | 9 | 49152 -FBPIN | 16 | iobm/IORDREQr | 1 | NULL | 0 | NULL | 0 -FBPIN | 17 | iobm/C8Mr | 1 | A_FSB_12_IBUF | 1 | NULL | 0 | 10 | 49152 -FBPIN | 18 | iobm/IOS_FSM_FFd2 | 1 | NULL | 0 | NULL | 0 +FBPIN | 4 | ram/RASrf | 1 | NULL | 0 | NULL | 0 +FBPIN | 5 | ram/DTACKr | 1 | NULL | 0 | NULL | 0 | 1 | 53248 +FBPIN | 6 | iobs/IODONEr | 1 | A_FSB_5_IBUF | 1 | NULL | 0 | 2 | 53248 +FBPIN | 7 | iobs/IOACTr | 1 | NULL | 0 | NULL | 0 +FBPIN | 8 | iobm/VPAr | 1 | A_FSB_6_IBUF | 1 | NULL | 0 | 3 | 53248 +FBPIN | 9 | iobm/IOWRREQr | 1 | A_FSB_7_IBUF | 1 | NULL | 0 | 4 | 53248 +FBPIN | 10 | iobm/IOS_FSM_FFd5 | 1 | NULL | 0 | NULL | 0 +FBPIN | 11 | iobm/IOS_FSM_FFd4 | 1 | A_FSB_8_IBUF | 1 | NULL | 0 | 6 | 49152 +FBPIN | 12 | iobm/IOS_FSM_FFd1 | 1 | A_FSB_9_IBUF | 1 | NULL | 0 | 7 | 49152 +FBPIN | 13 | iobm/IORDREQr | 1 | NULL | 0 | NULL | 0 +FBPIN | 14 | iobm/Er | 1 | A_FSB_10_IBUF | 1 | NULL | 0 | 8 | 49152 +FBPIN | 15 | iobm/C8Mr | 1 | A_FSB_11_IBUF | 1 | NULL | 0 | 9 | 49152 +FBPIN | 16 | cnt/nIPL2r | 1 | NULL | 0 | NULL | 0 +FBPIN | 17 | iobm/IOS_FSM_FFd2 | 1 | A_FSB_12_IBUF | 1 | NULL | 0 | 10 | 49152 +FBPIN | 18 | IOBERR | 1 | NULL | 0 | NULL | 0 FB_INSTANCE | FOOBAR3_ | WarpSE_COPY_0_COPY_0 | 0 | 0 | 0 FBPIN | 1 | EXP10_ | 1 | NULL | 0 | NULL | 0 -FBPIN | 2 | cnt/WS<0> | 1 | C8M_IBUF | 1 | NULL | 0 | 23 | 57344 -FBPIN | 3 | ram/RefDone | 1 | NULL | 0 | NULL | 0 -FBPIN | 4 | ram/RS_FSM_FFd7 | 1 | NULL | 0 | NULL | 0 +FBPIN | 2 | QoSReady | 1 | C8M_IBUF | 1 | NULL | 0 | 23 | 57344 +FBPIN | 3 | cnt/WS<2> | 1 | NULL | 0 | NULL | 0 +FBPIN | 4 | ram/RS_FSM_FFd6 | 1 | NULL | 0 | NULL | 0 FBPIN | 5 | ram/RS_FSM_FFd5 | 1 | A_FSB_23_IBUF | 1 | NULL | 0 | 24 | 49152 -FBPIN | 6 | cnt/WS<2> | 1 | E_IBUF | 1 | NULL | 0 | 25 | 49152 -FBPIN | 7 | cnt/WS<1> | 1 | NULL | 0 | NULL | 0 -FBPIN | 8 | EXP11_ | 1 | FCLK_IBUF | 0 | NULL | 0 | 27 | 57344 +FBPIN | 6 | iobs/TS_FSM_FFd1 | 1 | E_IBUF | 1 | NULL | 0 | 25 | 49152 +FBPIN | 7 | ram/RASEL | 1 | NULL | 0 | NULL | 0 +FBPIN | 8 | cs/nOverlay | 1 | FCLK_IBUF | 0 | NULL | 0 | 27 | 57344 FBPIN | 9 | nDTACK_FSB_OBUF | 1 | NULL | 0 | nDTACK_FSB | 1 | 28 | 49152 -FBPIN | 10 | ram/RS_FSM_FFd8 | 1 | NULL | 0 | NULL | 0 -FBPIN | 11 | EXP12_ | 1 | nWE_FSB_IBUF | 1 | NULL | 0 | 29 | 49152 -FBPIN | 12 | ram/RASEN | 1 | nLDS_FSB_IBUF | 1 | NULL | 0 | 30 | 49152 -FBPIN | 13 | EXP13_ | 1 | NULL | 0 | NULL | 0 -FBPIN | 14 | ram/CAS | 1 | nAS_FSB_IBUF | 1 | NULL | 0 | 32 | 49152 -FBPIN | 15 | ram/RS_FSM_FFd9 | 1 | nUDS_FSB_IBUF | 1 | NULL | 0 | 33 | 49152 -FBPIN | 16 | EXP14_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 10 | cnt/WS<3> | 1 | NULL | 0 | NULL | 0 +FBPIN | 11 | cnt/WS<1> | 1 | nWE_FSB_IBUF | 1 | NULL | 0 | 29 | 49152 +FBPIN | 12 | iobs/Load1 | 1 | nLDS_FSB_IBUF | 1 | NULL | 0 | 30 | 49152 +FBPIN | 13 | iobs/Clear1 | 1 | NULL | 0 | NULL | 0 +FBPIN | 14 | EXP11_ | 1 | nAS_FSB_IBUF | 1 | NULL | 0 | 32 | 49152 +FBPIN | 15 | IORDREQ | 1 | nUDS_FSB_IBUF | 1 | NULL | 0 | 33 | 49152 +FBPIN | 16 | iobs/Sent | 1 | NULL | 0 | NULL | 0 FBPIN | 17 | nROMWE_OBUF | 1 | NULL | 0 | nROMWE | 1 | 34 | 49152 -FBPIN | 18 | RAMReady | 1 | NULL | 0 | NULL | 0 +FBPIN | 18 | ram/RS_FSM_FFd4 | 1 | NULL | 0 | NULL | 0 FB_INSTANCE | FOOBAR4_ | WarpSE_COPY_0_COPY_0 | 0 | 0 | 0 -FBPIN | 1 | EXP15_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 1 | EXP12_ | 1 | NULL | 0 | NULL | 0 FBPIN | 2 | nAoutOE_OBUF | 1 | NULL | 0 | nAoutOE | 1 | 87 | 49152 -FBPIN | 3 | cnt/LTimer<9> | 1 | NULL | 0 | NULL | 0 -FBPIN | 4 | cnt/LTimer<8> | 1 | NULL | 0 | NULL | 0 +FBPIN | 3 | EXP13_ | 1 | NULL | 0 | NULL | 0 FBPIN | 5 | nDoutOE_OBUF | 1 | NULL | 0 | nDoutOE | 1 | 89 | 49152 FBPIN | 6 | nDinOE_OBUF | 1 | NULL | 0 | nDinOE | 1 | 90 | 49152 -FBPIN | 7 | cnt/LTimer<7> | 1 | NULL | 0 | NULL | 0 +FBPIN | 7 | ram/BACTr | 1 | NULL | 0 | NULL | 0 FBPIN | 8 | N0 | 1 | N01 | 1 | nRES | 1 | 91 | 49152 -FBPIN | 9 | cnt/LTimer<11> | 1 | nIPL2_IBUF | 1 | NULL | 0 | 92 | 49152 -FBPIN | 10 | cnt/LTimer<10> | 1 | NULL | 0 | NULL | 0 +FBPIN | 9 | nRESout | 1 | nIPL2_IBUF | 1 | NULL | 0 | 92 | 49152 +FBPIN | 10 | fsb/ASrf | 1 | NULL | 0 | NULL | 0 FBPIN | 11 | nVPA_FSB_OBUF | 1 | NULL | 0 | nVPA_FSB | 1 | 93 | 49152 -FBPIN | 12 | IONPReady | 1 | A_FSB_1_IBUF | 1 | NULL | 0 | 94 | 49152 -FBPIN | 13 | EXP16_ | 1 | NULL | 0 | NULL | 0 -FBPIN | 14 | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | 1 | A_FSB_2_IBUF | 1 | NULL | 0 | 95 | 49152 -FBPIN | 15 | EXP17_ | 1 | A_FSB_3_IBUF | 1 | NULL | 0 | 96 | 49152 +FBPIN | 12 | cnt/LTimerTC | 1 | A_FSB_1_IBUF | 1 | NULL | 0 | 94 | 49152 +FBPIN | 13 | ALE0S | 1 | NULL | 0 | NULL | 0 +FBPIN | 14 | $OpTx$$OpTx$FX_DC$350_INV$537 | 1 | A_FSB_2_IBUF | 1 | NULL | 0 | 95 | 49152 +FBPIN | 15 | EXP14_ | 1 | A_FSB_3_IBUF | 1 | NULL | 0 | 96 | 49152 FBPIN | 16 | cnt/LTimer<1> | 1 | NULL | 0 | NULL | 0 -FBPIN | 17 | EXP18_ | 1 | A_FSB_4_IBUF | 1 | NULL | 0 | 97 | 49152 +FBPIN | 17 | EXP15_ | 1 | A_FSB_4_IBUF | 1 | NULL | 0 | 97 | 49152 FBPIN | 18 | cnt/LTimer<0> | 1 | NULL | 0 | NULL | 0 FB_INSTANCE | FOOBAR5_ | WarpSE_COPY_0_COPY_0 | 0 | 0 | 0 -FBPIN | 1 | QoSReady | 1 | NULL | 0 | NULL | 0 +FBPIN | 1 | ram/RS_FSM_FFd8 | 1 | NULL | 0 | NULL | 0 FBPIN | 2 | nROMCS_OBUF | 1 | NULL | 0 | nROMCS | 1 | 35 | 49152 -FBPIN | 3 | ram/RASEL | 1 | NULL | 0 | NULL | 0 -FBPIN | 4 | cs/nOverlay | 1 | NULL | 0 | NULL | 0 +FBPIN | 3 | EXP16_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 4 | EXP17_ | 1 | NULL | 0 | NULL | 0 FBPIN | 5 | nCAS_OBUF | 1 | NULL | 0 | nCAS | 1 | 36 | 49152 FBPIN | 6 | nOE_OBUF | 1 | NULL | 0 | nOE | 1 | 37 | 49152 -FBPIN | 7 | cnt/WS<3> | 1 | NULL | 0 | NULL | 0 -FBPIN | 8 | ram/RASrr | 1 | NULL | 0 | NULL | 0 | 39 | 49152 +FBPIN | 7 | EXP18_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 8 | cnt/WS<0> | 1 | NULL | 0 | NULL | 0 | 39 | 49152 FBPIN | 9 | RA_4_OBUF | 1 | NULL | 0 | RA<4> | 1 | 40 | 49152 -FBPIN | 10 | iobs/Load1 | 1 | NULL | 0 | NULL | 0 +FBPIN | 10 | ram/RASEN | 1 | NULL | 0 | NULL | 0 FBPIN | 11 | RA_11_OBUF | 1 | NULL | 0 | RA<3> | 1 | 41 | 49152 FBPIN | 12 | RA_5_OBUF | 1 | NULL | 0 | RA<5> | 1 | 42 | 49152 -FBPIN | 13 | iobs/Sent | 1 | NULL | 0 | NULL | 0 +FBPIN | 13 | RAMReady | 1 | NULL | 0 | NULL | 0 FBPIN | 14 | RA_2_OBUF | 1 | NULL | 0 | RA<2> | 1 | 43 | 49152 FBPIN | 15 | RA_6_OBUF | 1 | NULL | 0 | RA<6> | 1 | 46 | 49152 -FBPIN | 16 | EXP19_ | 1 | NULL | 0 | NULL | 0 -FBPIN | 17 | IORDREQ | 1 | NULL | 0 | NULL | 0 | 49 | 49152 -FBPIN | 18 | EXP20_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 16 | IONPReady | 1 | NULL | 0 | NULL | 0 +FBPIN | 17 | EXP19_ | 1 | NULL | 0 | NULL | 0 | 49 | 49152 +FBPIN | 18 | ram/RASrr | 1 | NULL | 0 | NULL | 0 FB_INSTANCE | FOOBAR6_ | WarpSE_COPY_0_COPY_0 | 0 | 0 | 0 FBPIN | 1 | iobm/IOS_FSM_FFd6 | 1 | NULL | 0 | NULL | 0 @@ -8849,44 +8918,44 @@ FBPIN | 17 | nDinLE_OBUF | 1 | NULL | 0 | nDinLE | 1 | 86 | 49152 FBPIN | 18 | IOACT | 1 | NULL | 0 | NULL | 0 FB_INSTANCE | FOOBAR7_ | WarpSE_COPY_0_COPY_0 | 0 | 0 | 0 -FBPIN | 1 | cnt/LTimerTC | 1 | NULL | 0 | NULL | 0 +FBPIN | 1 | cnt/LTimer<9> | 1 | NULL | 0 | NULL | 0 FBPIN | 2 | RA_1_OBUF | 1 | NULL | 0 | RA<1> | 1 | 50 | 49152 -FBPIN | 3 | cnt/TimerTC | 1 | NULL | 0 | NULL | 0 -FBPIN | 4 | cnt/IS_FSM_FFd2 | 1 | NULL | 0 | NULL | 0 +FBPIN | 3 | cnt/LTimer<8> | 1 | NULL | 0 | NULL | 0 +FBPIN | 4 | cnt/LTimer<7> | 1 | NULL | 0 | NULL | 0 FBPIN | 5 | RA_7_OBUF | 1 | NULL | 0 | RA<7> | 1 | 52 | 49152 FBPIN | 6 | RA_0_OBUF | 1 | NULL | 0 | RA<0> | 1 | 53 | 49152 -FBPIN | 7 | RefReq | 1 | NULL | 0 | NULL | 0 +FBPIN | 7 | cnt/LTimer<6> | 1 | NULL | 0 | NULL | 0 FBPIN | 8 | RA_8_OBUF | 1 | NULL | 0 | RA<8> | 1 | 54 | 49152 FBPIN | 9 | RA_10_OBUF | 1 | NULL | 0 | RA<10> | 1 | 55 | 49152 -FBPIN | 10 | cnt/LTimer<6> | 1 | NULL | 0 | NULL | 0 +FBPIN | 10 | cnt/LTimer<5> | 1 | NULL | 0 | NULL | 0 FBPIN | 11 | RA_9_OBUF | 1 | NULL | 0 | RA<9> | 1 | 56 | 49152 FBPIN | 12 | C25MEN_OBUF | 1 | NULL | 0 | C25MEN | 1 | 58 | 49152 -FBPIN | 13 | cnt/LTimer<5> | 1 | NULL | 0 | NULL | 0 -FBPIN | 14 | cnt/LTimer<4> | 1 | NULL | 0 | NULL | 0 | 59 | 49152 -FBPIN | 15 | cnt/LTimer<3> | 1 | NULL | 0 | NULL | 0 | 60 | 49152 -FBPIN | 16 | cnt/LTimer<2> | 1 | NULL | 0 | NULL | 0 -FBPIN | 17 | cnt/Timer<3> | 1 | NULL | 0 | NULL | 0 | 61 | 49152 -FBPIN | 18 | cnt/Timer<2> | 1 | NULL | 0 | NULL | 0 +FBPIN | 13 | cnt/LTimer<4> | 1 | NULL | 0 | NULL | 0 +FBPIN | 14 | cnt/LTimer<3> | 1 | NULL | 0 | NULL | 0 | 59 | 49152 +FBPIN | 15 | cnt/LTimer<2> | 1 | NULL | 0 | NULL | 0 | 60 | 49152 +FBPIN | 16 | cnt/LTimer<11> | 1 | NULL | 0 | NULL | 0 +FBPIN | 17 | cnt/LTimer<10> | 1 | NULL | 0 | NULL | 0 | 61 | 49152 +FBPIN | 18 | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | 1 | NULL | 0 | NULL | 0 FB_INSTANCE | FOOBAR8_ | WarpSE_COPY_0_COPY_0 | 0 | 0 | 0 FBPIN | 1 | IOL0 | 1 | NULL | 0 | NULL | 0 FBPIN | 2 | RA_11_OBUF$BUF0 | 1 | NULL | 0 | RA<11> | 1 | 63 | 49152 FBPIN | 3 | iobs/TS_FSM_FFd2 | 1 | NULL | 0 | NULL | 0 -FBPIN | 4 | EXP21_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 4 | EXP20_ | 1 | NULL | 0 | NULL | 0 FBPIN | 5 | nRAS_OBUF | 1 | NULL | 0 | nRAS | 1 | 64 | 49152 FBPIN | 6 | nRAMLWE_OBUF | 1 | NULL | 0 | nRAMLWE | 1 | 65 | 49152 -FBPIN | 7 | EXP22_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 7 | EXP21_ | 1 | NULL | 0 | NULL | 0 FBPIN | 8 | nRAMUWE_OBUF | 1 | NULL | 0 | nRAMUWE | 1 | 66 | 49152 FBPIN | 9 | IOWRREQ | 1 | NULL | 0 | NULL | 0 | 67 | 49152 -FBPIN | 10 | EXP23_ | 1 | NULL | 0 | NULL | 0 -FBPIN | 11 | EXP24_ | 1 | NULL | 0 | NULL | 0 | 68 | 49152 +FBPIN | 10 | EXP22_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 11 | EXP23_ | 1 | NULL | 0 | NULL | 0 | 68 | 49152 FBPIN | 12 | nBERR_FSB_OBUF | 1 | NULL | 0 | nBERR_FSB | 1 | 70 | 49152 -FBPIN | 13 | EXP25_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 13 | EXP24_ | 1 | NULL | 0 | NULL | 0 FBPIN | 14 | IOU0 | 1 | NULL | 0 | NULL | 0 | 71 | 49152 FBPIN | 15 | nBR_IOB_OBUF | 1 | NULL | 0 | nBR_IOB | 1 | 72 | 49152 FBPIN | 16 | cnt/IS_FSM_FFd1 | 1 | NULL | 0 | NULL | 0 FBPIN | 17 | iobs/IORW1 | 1 | NULL | 0 | NULL | 0 | 73 | 49152 -FBPIN | 18 | EXP26_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 18 | EXP25_ | 1 | NULL | 0 | NULL | 0 FB_INSTANCE | INPUTPINS_FOOBAR9_ | WarpSE_COPY_0_COPY_0 | 0 | 0 | 0 @@ -8894,96 +8963,99 @@ BUSINFO | A_FSB<23:1> | 23 | 0 | 0 | A_FSB<10> | 13 | A_FSB<11> | 12 | A_FSB<12> BUSINFO | RA<11:0> | 12 | 0 | 1 | RA<0> | 11 | RA<10> | 1 | RA<11> | 0 | RA<1> | 10 | RA<2> | 9 | RA<3> | 8 | RA<4> | 7 | RA<5> | 6 | RA<6> | 5 | RA<7> | 4 | RA<8> | 3 | RA<9> | 2 BUSINFO | SW<3:1> | 3 | 0 | 0 | SW<1> | 2 | SW<2> | 1 | SW<3> | 0 -FB_ORDER_OF_INPUTS | FOOBAR1_ | 0 | nLDS_FSB | 30 | 2 | iobs/TS_FSM_FFd2 | NULL | 6 | nIPL2 | 92 | 8 | nAS_FSB | 32 | 9 | iobs/IOACTr | NULL -FB_ORDER_OF_INPUTS | FOOBAR1_ | 12 | nUDS_FSB | 33 | 15 | cnt/IS_FSM_FFd1 | NULL | 16 | iobm/ES<2> | NULL | 17 | cnt/Timer<2> | NULL | 19 | iobs/TS_FSM_FFd1 | NULL -FB_ORDER_OF_INPUTS | FOOBAR1_ | 20 | fsb/ASrf | NULL | 27 | cnt/IS_FSM_FFd2 | NULL | 28 | iobm/ES<0> | NULL | 29 | cnt/Timer<3> | NULL | 30 | iobs/Load1 | NULL -FB_ORDER_OF_INPUTS | FOOBAR1_ | 32 | iobm/ES<1> | NULL | 33 | E | 25 | 34 | cnt/Timer<1> | NULL | 36 | cnt/TimerTC | NULL | 38 | cnt/Er<0> | NULL -FB_ORDER_OF_INPUTS | FOOBAR1_ | 43 | cnt/Er<1> | NULL | 46 | nBERR_IOB | 76 | 47 | iobm/Er | NULL | 48 | cnt/Timer<0> | NULL | 52 | nAS_IOBout | NULL +FB_ORDER_OF_INPUTS | FOOBAR1_ | 0 | ram/RS_FSM_FFd8 | NULL | 2 | ram/RS_FSM_FFd1 | NULL | 3 | cnt/Er<1> | NULL | 4 | cnt/Er<0> | NULL | 8 | nAS_FSB | 32 +FB_ORDER_OF_INPUTS | FOOBAR1_ | 9 | cnt/Timer<0> | NULL | 11 | iobs/Load1 | NULL | 13 | iobm/Er | NULL | 14 | cnt/Timer<1> | NULL | 15 | cnt/Timer<3> | NULL +FB_ORDER_OF_INPUTS | FOOBAR1_ | 16 | cnt/Timer<2> | NULL | 17 | ram/RS_FSM_FFd4 | NULL | 19 | cnt/IS_FSM_FFd1 | NULL | 22 | ram/RS_FSM_FFd2 | NULL | 23 | A_FSB<23> | 24 +FB_ORDER_OF_INPUTS | FOOBAR1_ | 24 | A_FSB<22> | 20 | 28 | iobm/ES<0> | NULL | 29 | nLDS_FSB | 30 | 30 | ram/RASEN | NULL | 31 | cnt/TimerTC | NULL +FB_ORDER_OF_INPUTS | FOOBAR1_ | 32 | iobm/ES<1> | NULL | 33 | E | 25 | 34 | ram/RS_FSM_FFd7 | NULL | 35 | ram/BACTr | NULL | 36 | fsb/ASrf | NULL +FB_ORDER_OF_INPUTS | FOOBAR1_ | 39 | cnt/IS_FSM_FFd2 | NULL | 41 | RefUrg | NULL | 42 | ram/RS_FSM_FFd3 | NULL | 44 | ram/RefDone | NULL | 48 | iobm/ES<2> | NULL +FB_ORDER_OF_INPUTS | FOOBAR1_ | 51 | nUDS_FSB | 33 | 52 | RefReq | NULL | 53 | cnt/LTimerTC | NULL -FB_IMUX_INDEX | FOOBAR1_ | 260 | -1 | 128 | -1 | -1 | -1 | 150 | -1 | 268 | 27 | -1 | -1 | 272 | -1 | -1 | 141 | 16 | 125 | -1 | 10 | 4 | -1 | -1 | -1 | -1 | -1 | -1 | 111 | 94 | 124 | 81 | -1 | 96 | 242 | 17 | -1 | 110 | -1 | 7 | -1 | -1 | -1 | -1 | 6 | -1 | -1 | 175 | 3 | 13 | -1 | -1 | -1 | 101 | -1 +FB_IMUX_INDEX | FOOBAR1_ | 72 | -1 | 2 | 3 | 4 | -1 | -1 | -1 | 268 | 9 | -1 | 47 | -1 | 31 | 14 | 15 | 16 | 53 | -1 | 141 | -1 | -1 | 1 | 240 | 230 | -1 | -1 | -1 | 94 | 260 | 81 | 8 | 96 | 242 | 17 | 60 | 63 | -1 | -1 | 10 | -1 | 11 | 0 | -1 | 5 | -1 | -1 | -1 | 13 | -1 | -1 | 272 | 12 | 65 -FB_ORDER_OF_INPUTS | FOOBAR2_ | 3 | ram/RS_FSM_FFd4 | NULL | 4 | ram/RS_FSM_FFd3 | NULL | 8 | IOWRREQ | NULL | 9 | IODONE | NULL | 11 | nVPA_IOB | 77 -FB_ORDER_OF_INPUTS | FOOBAR2_ | 12 | C8M | 23 | 15 | IOBERR | NULL | 16 | iobm/C8Mr | NULL | 17 | IOACT | NULL | 18 | ram/RS_FSM_FFd8 | NULL -FB_ORDER_OF_INPUTS | FOOBAR2_ | 22 | ram/RS_FSM_FFd7 | NULL | 24 | iobm/IOS_FSM_FFd6 | NULL | 33 | iobm/IOS_FSM_FFd3 | NULL | 40 | iobm/IOS_FSM_FFd2 | NULL | 47 | iobm/IOS_FSM_FFd5 | NULL -FB_ORDER_OF_INPUTS | FOOBAR2_ | 48 | ram/RS_FSM_FFd2 | NULL | 49 | IORDREQ | NULL +FB_ORDER_OF_INPUTS | FOOBAR2_ | 3 | ram/RS_FSM_FFd6 | NULL | 6 | nIPL2 | 92 | 8 | nDTACK_FSB_OBUF | NULL | 9 | iobm/IOS_FSM_FFd5 | NULL | 11 | nAS_IOBout | NULL +FB_ORDER_OF_INPUTS | FOOBAR2_ | 12 | C8M | 23 | 15 | nBERR_IOB | 76 | 16 | E | 25 | 17 | IOBERR | NULL | 24 | iobm/IOS_FSM_FFd6 | NULL +FB_ORDER_OF_INPUTS | FOOBAR2_ | 33 | iobm/IOS_FSM_FFd3 | NULL | 37 | iobm/C8Mr | NULL | 41 | IOWRREQ | NULL | 42 | IORDREQ | NULL | 45 | nVPA_IOB | 77 +FB_ORDER_OF_INPUTS | FOOBAR2_ | 46 | iobm/IOS_FSM_FFd2 | NULL | 47 | IODONE | NULL | 53 | IOACT | NULL -FB_IMUX_INDEX | FOOBAR2_ | -1 | -1 | -1 | 21 | 22 | -1 | -1 | -1 | 134 | 99 | -1 | 171 | 238 | -1 | -1 | 15 | 34 | 107 | 45 | -1 | -1 | -1 | 39 | -1 | 90 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | 93 | -1 | -1 | -1 | -1 | -1 | -1 | 35 | -1 | -1 | -1 | -1 | -1 | -1 | 30 | 23 | 88 | -1 | -1 | -1 | -1 +FB_IMUX_INDEX | FOOBAR2_ | -1 | -1 | -1 | 39 | -1 | -1 | 150 | -1 | 44 | 27 | -1 | 101 | 238 | -1 | -1 | 175 | 242 | 35 | -1 | -1 | -1 | -1 | -1 | -1 | 90 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | 93 | -1 | -1 | -1 | 32 | -1 | -1 | -1 | 134 | 50 | -1 | -1 | 171 | 34 | 99 | -1 | -1 | -1 | -1 | -1 | 107 -FB_ORDER_OF_INPUTS | FOOBAR3_ | 0 | ram/BACTr | NULL | 2 | A_FSB<21> | 19 | 3 | ram/RS_FSM_FFd7 | NULL | 4 | fsb/ASrf | NULL | 5 | ram/RS_FSM_FFd2 | NULL -FB_ORDER_OF_INPUTS | FOOBAR3_ | 6 | ram/RS_FSM_FFd1 | NULL | 7 | A_FSB<17> | 15 | 9 | ram/RS_FSM_FFd8 | NULL | 11 | ram/RASEN | NULL | 13 | ALE1 | NULL -FB_ORDER_OF_INPUTS | FOOBAR3_ | 14 | RefUrg | NULL | 17 | RAMReady | NULL | 18 | ram/RS_FSM_FFd6 | NULL | 19 | A_FSB<20> | 18 | 20 | A_FSB<16> | 14 -FB_ORDER_OF_INPUTS | FOOBAR3_ | 21 | A_FSB<19> | 17 | 23 | A_FSB<23> | 24 | 24 | A_FSB<22> | 20 | 25 | ram/RS_FSM_FFd3 | NULL | 27 | cnt/WS<0> | NULL -FB_ORDER_OF_INPUTS | FOOBAR3_ | 28 | nWE_FSB | 29 | 32 | ram/RefDone | NULL | 33 | cnt/WS<1> | NULL | 35 | A_FSB<14> | 12 | 36 | nAS_FSB | 32 -FB_ORDER_OF_INPUTS | FOOBAR3_ | 37 | cnt/WS<2> | NULL | 39 | ram/RS_FSM_FFd4 | NULL | 41 | RefReq | NULL | 42 | ram/RS_FSM_FFd9 | NULL | 44 | A_FSB<13> | 11 -FB_ORDER_OF_INPUTS | FOOBAR3_ | 45 | A_FSB<18> | 16 | 47 | QoSReady | NULL | 51 | ram/RS_FSM_FFd5 | NULL | 52 | cs/nOverlay | NULL | 53 | IONPReady | NULL +FB_ORDER_OF_INPUTS | FOOBAR3_ | 0 | ram/RS_FSM_FFd8 | NULL | 1 | QoSReady | NULL | 2 | cnt/WS<2> | NULL | 3 | ram/RS_FSM_FFd6 | NULL | 4 | ram/DTACKr | NULL +FB_ORDER_OF_INPUTS | FOOBAR3_ | 5 | iobs/TS_FSM_FFd1 | NULL | 6 | iobs/IOACTr | NULL | 7 | cs/nOverlay | NULL | 8 | nAS_FSB | 32 | 9 | cnt/WS<3> | NULL +FB_ORDER_OF_INPUTS | FOOBAR3_ | 10 | cnt/WS<1> | NULL | 12 | RAMReady | NULL | 13 | $OpTx$$OpTx$FX_DC$350_INV$537.UIM | NULL | 14 | IORDREQ | NULL | 15 | iobs/Sent | NULL +FB_ORDER_OF_INPUTS | FOOBAR3_ | 16 | iobs/IORW1 | NULL | 17 | cnt/LTimer<0> | NULL | 19 | A_FSB<20> | 18 | 20 | A_FSB<16> | 14 | 21 | A_FSB<19> | 17 +FB_ORDER_OF_INPUTS | FOOBAR3_ | 23 | A_FSB<23> | 24 | 28 | nWE_FSB | 29 | 30 | ram/RASEN | NULL | 31 | A_FSB<10> | 8 | 34 | A_FSB<17> | 15 +FB_ORDER_OF_INPUTS | FOOBAR3_ | 35 | A_FSB<14> | 12 | 36 | fsb/ASrf | NULL | 37 | iobs/TS_FSM_FFd2 | NULL | 39 | A_FSB<22> | 20 | 40 | A_FSB<8> | 6 +FB_ORDER_OF_INPUTS | FOOBAR3_ | 41 | nRES | 91 | 42 | A_FSB<12> | 10 | 43 | IONPReady | NULL | 44 | A_FSB<13> | 11 | 45 | A_FSB<18> | 16 +FB_ORDER_OF_INPUTS | FOOBAR3_ | 46 | A_FSB<15> | 13 | 47 | A_FSB<21> | 19 | 48 | cnt/WS<0> | NULL | 49 | ALE1 | NULL | 50 | cnt/LTimer<1> | NULL +FB_ORDER_OF_INPUTS | FOOBAR3_ | 51 | ram/RS_FSM_FFd5 | NULL | 52 | A_FSB<11> | 9 | 53 | A_FSB<9> | 7 -FB_IMUX_INDEX | FOOBAR3_ | 0 | -1 | 228 | 39 | 4 | 23 | 24 | 216 | -1 | 45 | -1 | 47 | -1 | 103 | 14 | -1 | -1 | 53 | 20 | 226 | 214 | 222 | -1 | 240 | 230 | 22 | -1 | 37 | 256 | -1 | -1 | -1 | 38 | 42 | -1 | 210 | 268 | 41 | -1 | 21 | -1 | 114 | 50 | -1 | 208 | 218 | -1 | 72 | -1 | -1 | -1 | 40 | 75 | 65 +FB_IMUX_INDEX | FOOBAR3_ | 72 | 37 | 38 | 39 | 22 | 41 | 24 | 43 | 268 | 45 | 46 | -1 | 84 | 67 | 50 | 51 | 142 | 71 | -1 | 226 | 214 | 222 | -1 | 240 | -1 | -1 | -1 | -1 | 256 | -1 | 81 | 198 | -1 | -1 | 216 | 210 | 63 | 128 | -1 | 230 | 194 | 148 | 206 | 87 | 208 | 218 | 212 | 228 | 79 | 103 | 69 | 40 | 202 | 196 -FB_ORDER_OF_INPUTS | FOOBAR4_ | 0 | A_FSB<20> | 18 | 1 | nRESout | NULL | 2 | cnt/LTimer<9> | NULL | 3 | cnt/LTimer<8> | NULL | 4 | A_FSB<22> | 20 -FB_ORDER_OF_INPUTS | FOOBAR4_ | 5 | A_FSB<16> | 14 | 6 | cnt/Er<1> | NULL | 7 | cnt/Er<0> | NULL | 8 | iobs/IODONEr | NULL | 9 | cnt/LTimer<10> | NULL -FB_ORDER_OF_INPUTS | FOOBAR4_ | 10 | A_FSB<11> | 9 | 11 | iobm/IOWRREQr | NULL | 12 | iobs/Sent | NULL | 13 | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM | NULL | 14 | cnt/LTimer<3> | NULL -FB_ORDER_OF_INPUTS | FOOBAR4_ | 15 | iobm/IORDREQr | NULL | 16 | A_FSB<13> | 11 | 17 | cnt/LTimer<0> | NULL | 19 | cnt/LTimer<2> | NULL | 20 | fsb/ASrf | NULL -FB_ORDER_OF_INPUTS | FOOBAR4_ | 21 | A_FSB<19> | 17 | 22 | A_FSB<12> | 10 | 23 | A_FSB<23> | 24 | 24 | A_FSB<15> | 13 | 26 | A_FSB<9> | 7 -FB_ORDER_OF_INPUTS | FOOBAR4_ | 27 | cnt/IS_FSM_FFd2 | NULL | 28 | nWE_FSB | 29 | 30 | cnt/IS_FSM_FFd1 | NULL | 31 | A_FSB<10> | 8 | 33 | nAS_FSB | 32 -FB_ORDER_OF_INPUTS | FOOBAR4_ | 34 | A_FSB<17> | 15 | 35 | cnt/LTimer<7> | NULL | 36 | cnt/TimerTC | NULL | 38 | cnt/LTimer<11> | NULL | 39 | nBR_IOB_OBUF | NULL -FB_ORDER_OF_INPUTS | FOOBAR4_ | 40 | A_FSB<8> | 6 | 42 | iobm/DoutOE | NULL | 43 | iobm/IOS0 | NULL | 44 | A_FSB<14> | 12 | 45 | A_FSB<18> | 16 -FB_ORDER_OF_INPUTS | FOOBAR4_ | 46 | cnt/LTimer<4> | NULL | 47 | A_FSB<21> | 19 | 48 | cnt/LTimer<5> | NULL | 49 | cnt/LTimer<6> | NULL | 50 | cnt/LTimer<1> | NULL -FB_ORDER_OF_INPUTS | FOOBAR4_ | 51 | AoutOE | NULL | 53 | IONPReady | NULL +FB_ORDER_OF_INPUTS | FOOBAR4_ | 0 | cnt/LTimer<9> | NULL | 1 | AoutOE | NULL | 2 | cnt/LTimer<8> | NULL | 3 | cnt/LTimer<7> | NULL | 4 | A_FSB<9> | 7 +FB_ORDER_OF_INPUTS | FOOBAR4_ | 5 | A_FSB<16> | 14 | 6 | cnt/LTimer<6> | NULL | 7 | iobm/DoutOE | NULL | 8 | iobm/IOWRREQr | NULL | 9 | fsb/ASrf | NULL +FB_ORDER_OF_INPUTS | FOOBAR4_ | 10 | cnt/IS_FSM_FFd2 | NULL | 12 | iobm/IORDREQr | NULL | 13 | cnt/LTimer<3> | NULL | 14 | cnt/LTimer<2> | NULL | 15 | cnt/LTimer<1> | NULL +FB_ORDER_OF_INPUTS | FOOBAR4_ | 16 | cnt/LTimer<10> | NULL | 17 | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM | NULL | 19 | A_FSB<20> | 18 | 21 | A_FSB<19> | 17 | 22 | A_FSB<12> | 10 +FB_ORDER_OF_INPUTS | FOOBAR4_ | 23 | A_FSB<23> | 24 | 28 | nWE_FSB | 29 | 29 | iobm/IOS0 | NULL | 30 | cnt/IS_FSM_FFd1 | NULL | 31 | A_FSB<10> | 8 +FB_ORDER_OF_INPUTS | FOOBAR4_ | 33 | cnt/LTimer<11> | NULL | 34 | A_FSB<17> | 15 | 35 | A_FSB<14> | 12 | 36 | nAS_FSB | 32 | 37 | iobs/TS_FSM_FFd2 | NULL +FB_ORDER_OF_INPUTS | FOOBAR4_ | 38 | nRESout | NULL | 39 | A_FSB<22> | 20 | 40 | A_FSB<8> | 6 | 42 | nBR_IOB_OBUF | NULL | 43 | IONPReady | NULL +FB_ORDER_OF_INPUTS | FOOBAR4_ | 44 | A_FSB<13> | 11 | 45 | A_FSB<21> | 19 | 46 | A_FSB<15> | 13 | 47 | cnt/LTimer<0> | NULL | 48 | cnt/LTimer<4> | NULL +FB_ORDER_OF_INPUTS | FOOBAR4_ | 49 | cnt/LTimer<5> | NULL | 50 | A_FSB<18> | 16 | 52 | A_FSB<11> | 9 -FB_IMUX_INDEX | FOOBAR4_ | 226 | 1 | 56 | 57 | 230 | 214 | 6 | 7 | 26 | 63 | 202 | 29 | 84 | 67 | 122 | 33 | 208 | 71 | -1 | 123 | 4 | 222 | 206 | 240 | 212 | -1 | 196 | 111 | 256 | -1 | 141 | 198 | -1 | 268 | 216 | 60 | 110 | -1 | 62 | 140 | 194 | -1 | 97 | 102 | 210 | 218 | 121 | 228 | 120 | 117 | 69 | 55 | -1 | 65 +FB_IMUX_INDEX | FOOBAR4_ | 108 | 55 | 110 | 111 | 196 | 214 | 114 | 97 | 26 | 63 | 10 | -1 | 30 | 121 | 122 | 69 | 124 | 125 | -1 | 226 | -1 | 222 | 206 | 240 | -1 | -1 | -1 | -1 | 256 | 102 | 141 | 198 | -1 | 123 | 216 | 210 | 268 | 128 | 62 | 230 | 194 | -1 | 140 | 87 | 208 | 228 | 212 | 71 | 120 | 117 | 218 | -1 | 202 | -1 -FB_ORDER_OF_INPUTS | FOOBAR5_ | 0 | QoSReady | NULL | 1 | cnt/WS<0> | NULL | 2 | A_FSB<8> | 6 | 3 | ram/RS_FSM_FFd4 | NULL | 4 | fsb/ASrf | NULL -FB_ORDER_OF_INPUTS | FOOBAR5_ | 5 | cnt/WS<2> | NULL | 6 | cnt/WS<1> | NULL | 7 | A_FSB<17> | 15 | 8 | A_FSB<3> | 96 | 9 | A_FSB<18> | 16 -FB_ORDER_OF_INPUTS | FOOBAR5_ | 10 | A_FSB<11> | 9 | 11 | ram/RASEN | NULL | 12 | A_FSB<4> | 97 | 13 | ram/CAS | NULL | 14 | ram/RS_FSM_FFd9 | NULL -FB_ORDER_OF_INPUTS | FOOBAR5_ | 15 | cnt/LTimer<1> | NULL | 16 | IORDREQ | NULL | 17 | cnt/LTimer<0> | NULL | 18 | ram/RS_FSM_FFd8 | NULL | 19 | iobs/TS_FSM_FFd1 | NULL -FB_ORDER_OF_INPUTS | FOOBAR5_ | 20 | ram/RASEL | NULL | 21 | A_FSB<19> | 17 | 22 | ram/RS_FSM_FFd7 | NULL | 23 | A_FSB<23> | 24 | 24 | A_FSB<15> | 13 -FB_ORDER_OF_INPUTS | FOOBAR5_ | 26 | A_FSB<9> | 7 | 28 | nWE_FSB | 29 | 30 | A_FSB<20> | 18 | 31 | A_FSB<10> | 8 | 33 | iobs/TS_FSM_FFd2 | NULL -FB_ORDER_OF_INPUTS | FOOBAR5_ | 34 | A_FSB<5> | 2 | 35 | A_FSB<14> | 12 | 36 | nAS_FSB | 32 | 37 | iobs/Sent | NULL | 38 | iobs/IOACTr | NULL -FB_ORDER_OF_INPUTS | FOOBAR5_ | 39 | A_FSB<22> | 20 | 40 | A_FSB<7> | 4 | 41 | nRES | 91 | 42 | A_FSB<12> | 10 | 44 | A_FSB<13> | 11 -FB_ORDER_OF_INPUTS | FOOBAR5_ | 45 | A_FSB<21> | 19 | 47 | iobs/IORW1 | NULL | 49 | ALE1 | NULL | 50 | cnt/WS<3> | NULL | 51 | $OpTx$$OpTx$FX_DC$348_INV$535.UIM | NULL -FB_ORDER_OF_INPUTS | FOOBAR5_ | 52 | cs/nOverlay | NULL | 53 | A_FSB<16> | 14 +FB_ORDER_OF_INPUTS | FOOBAR5_ | 0 | ram/RS_FSM_FFd3 | NULL | 1 | ram/RS_FSM_FFd2 | NULL | 2 | A_FSB<21> | 19 | 4 | ram/RS_FSM_FFd5 | NULL | 5 | ram/RefDone | NULL +FB_ORDER_OF_INPUTS | FOOBAR5_ | 6 | ram/BACTr | NULL | 7 | cs/nOverlay | NULL | 8 | nAS_FSB | 32 | 9 | ram/RASEN | NULL | 10 | A_FSB<11> | 9 +FB_ORDER_OF_INPUTS | FOOBAR5_ | 11 | RefUrg | NULL | 12 | RefReq | NULL | 13 | A_FSB<19> | 17 | 14 | A_FSB<7> | 4 | 15 | iobs/Sent | NULL +FB_ORDER_OF_INPUTS | FOOBAR5_ | 16 | A_FSB<13> | 11 | 17 | ram/RS_FSM_FFd7 | NULL | 19 | A_FSB<20> | 18 | 20 | A_FSB<16> | 14 | 22 | A_FSB<12> | 10 +FB_ORDER_OF_INPUTS | FOOBAR5_ | 23 | A_FSB<23> | 24 | 24 | A_FSB<22> | 20 | 25 | ram/DTACKr | NULL | 27 | ram/RS_FSM_FFd1 | NULL | 28 | nWE_FSB | 29 +FB_ORDER_OF_INPUTS | FOOBAR5_ | 30 | A_FSB<4> | 97 | 33 | ram/RASEL | NULL | 34 | A_FSB<17> | 15 | 35 | A_FSB<14> | 12 | 36 | fsb/ASrf | NULL +FB_ORDER_OF_INPUTS | FOOBAR5_ | 38 | ram/RS_FSM_FFd6 | NULL | 39 | A_FSB<5> | 2 | 41 | cnt/WS<0> | NULL | 42 | A_FSB<3> | 96 | 43 | IONPReady | NULL +FB_ORDER_OF_INPUTS | FOOBAR5_ | 45 | A_FSB<18> | 16 | 47 | ram/RS_FSM_FFd8 | NULL | 48 | iobs/IODONEr | NULL | 50 | ram/RS_FSM_FFd4 | NULL -FB_IMUX_INDEX | FOOBAR5_ | 72 | 37 | 194 | 21 | 4 | 41 | 42 | 216 | 168 | 218 | 202 | 47 | 172 | 49 | 50 | 69 | 88 | 71 | 45 | 10 | 74 | 222 | 39 | 240 | 212 | -1 | 196 | -1 | 256 | -1 | 226 | 198 | -1 | 128 | 182 | 210 | 268 | 84 | 27 | 230 | 190 | 148 | 206 | -1 | 208 | 228 | -1 | 142 | -1 | 103 | 78 | 9 | 75 | 214 +FB_IMUX_INDEX | FOOBAR5_ | 0 | 1 | 228 | -1 | 40 | 5 | 60 | 43 | 268 | 81 | 202 | 11 | 12 | 222 | 190 | 51 | 208 | 17 | -1 | 226 | 214 | -1 | 206 | 240 | 230 | 22 | -1 | 2 | 256 | -1 | 172 | -1 | -1 | 42 | 216 | 210 | 63 | -1 | 39 | 182 | -1 | 79 | 168 | 87 | -1 | 218 | -1 | 72 | 23 | -1 | 53 | -1 | -1 | -1 -FB_ORDER_OF_INPUTS | FOOBAR6_ | 0 | iobm/IOS_FSM_FFd6 | NULL | 1 | AoutOE | NULL | 2 | iobs/Clear1 | NULL | 3 | iobm/Er | NULL | 4 | iobm/ES<0> | NULL -FB_ORDER_OF_INPUTS | FOOBAR6_ | 5 | iobm/ES<3> | NULL | 6 | iobm/ES<1> | NULL | 7 | nDTACK_IOB | 78 | 8 | ALE0S | NULL | 9 | iobs/Load1 | NULL -FB_ORDER_OF_INPUTS | FOOBAR6_ | 10 | iobm/VPAr | NULL | 11 | nAS_IOBout | NULL | 12 | iobm/IOS_FSM_FFd5 | NULL | 13 | iobm/IOS_FSM_FFd4 | NULL | 14 | iobm/IOS_FSM_FFd1 | NULL -FB_ORDER_OF_INPUTS | FOOBAR6_ | 15 | ALE0M | NULL | 16 | E | 25 | 17 | iobm/IOS_FSM_FFd2 | NULL | 20 | IOL0 | NULL | 25 | IOU0 | NULL -FB_ORDER_OF_INPUTS | FOOBAR6_ | 27 | nUDS_IOBout | NULL | 29 | iobm/IOS0 | NULL | 30 | iobm/IOS_FSM_FFd7 | NULL | 33 | iobm/IOS_FSM_FFd3 | NULL | 41 | nLDS_IOBout | NULL -FB_ORDER_OF_INPUTS | FOOBAR6_ | 42 | iobm/DoutOE | NULL | 43 | iobm/IOWRREQr | NULL | 44 | nVMA_IOBout | NULL | 45 | iobm/ES<2> | NULL | 46 | iobm/C8Mr | NULL -FB_ORDER_OF_INPUTS | FOOBAR6_ | 47 | IODONE | NULL | 49 | ALE1 | NULL | 50 | IOBERR | NULL | 51 | nRES | 91 | 52 | iobm/IORDREQr | NULL -FB_ORDER_OF_INPUTS | FOOBAR6_ | 53 | IOACT | NULL +FB_ORDER_OF_INPUTS | FOOBAR6_ | 0 | iobm/IOS_FSM_FFd6 | NULL | 1 | nVMA_IOBout | NULL | 2 | iobm/IOS_FSM_FFd7 | NULL | 3 | iobm/IOS_FSM_FFd3 | NULL | 4 | iobm/ES<0> | NULL +FB_ORDER_OF_INPUTS | FOOBAR6_ | 5 | iobm/ES<3> | NULL | 6 | iobm/ES<1> | NULL | 7 | nDTACK_IOB | 78 | 8 | nLDS_IOBout | NULL | 9 | IODONE | NULL +FB_ORDER_OF_INPUTS | FOOBAR6_ | 10 | iobm/IOS_FSM_FFd4 | NULL | 11 | iobm/IOS_FSM_FFd1 | NULL | 12 | iobs/Clear1 | NULL | 13 | iobm/ES<2> | NULL | 14 | iobm/C8Mr | NULL +FB_ORDER_OF_INPUTS | FOOBAR6_ | 15 | ALE0M | NULL | 16 | iobm/IOS_FSM_FFd2 | NULL | 17 | IOACT | NULL | 20 | IOL0 | NULL | 21 | iobm/IOWRREQr | NULL +FB_ORDER_OF_INPUTS | FOOBAR6_ | 27 | nUDS_IOBout | NULL | 29 | iobm/IOS0 | NULL | 33 | E | 25 | 34 | iobm/VPAr | NULL | 38 | iobm/IOS_FSM_FFd5 | NULL +FB_ORDER_OF_INPUTS | FOOBAR6_ | 40 | IOBERR | NULL | 41 | nRES | 91 | 42 | iobm/DoutOE | NULL | 43 | IOU0 | NULL | 46 | ALE1 | NULL +FB_ORDER_OF_INPUTS | FOOBAR6_ | 47 | iobm/IORDREQr | NULL | 48 | iobs/Load1 | NULL | 49 | ALE0S | NULL | 50 | iobm/Er | NULL | 51 | AoutOE | NULL +FB_ORDER_OF_INPUTS | FOOBAR6_ | 52 | nAS_IOBout | NULL -FB_IMUX_INDEX | FOOBAR6_ | 90 | 55 | 2 | 3 | 94 | 95 | 96 | 167 | 8 | 81 | 28 | 101 | 30 | 31 | 32 | 105 | 242 | 35 | -1 | -1 | 126 | -1 | -1 | -1 | -1 | 139 | -1 | 100 | -1 | 102 | 92 | -1 | -1 | 93 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | 98 | 97 | 29 | 91 | 16 | 34 | 99 | -1 | 103 | 15 | 148 | 33 | 107 +FB_IMUX_INDEX | FOOBAR6_ | 90 | 91 | 92 | 93 | 94 | 95 | 96 | 167 | 98 | 99 | 28 | 29 | 48 | 13 | 32 | 105 | 34 | 107 | -1 | -1 | 126 | 26 | -1 | -1 | -1 | -1 | -1 | 100 | -1 | 102 | -1 | -1 | -1 | 242 | 25 | -1 | -1 | -1 | 27 | -1 | 35 | 148 | 97 | 139 | -1 | -1 | 103 | 30 | 47 | 66 | 31 | 55 | 101 | -1 -FB_ORDER_OF_INPUTS | FOOBAR7_ | 0 | cnt/LTimerTC | NULL | 1 | A_FSB<14> | 12 | 2 | A_FSB<21> | 19 | 3 | cnt/IS_FSM_FFd2 | NULL | 4 | A_FSB<2> | 95 -FB_ORDER_OF_INPUTS | FOOBAR7_ | 6 | cnt/Er<1> | NULL | 7 | cnt/Er<0> | NULL | 8 | cnt/LTimer<11> | NULL | 9 | cnt/LTimer<6> | NULL | 10 | A_FSB<6> | 3 -FB_ORDER_OF_INPUTS | FOOBAR7_ | 12 | cnt/LTimer<5> | NULL | 13 | cnt/Timer<0> | NULL | 14 | A_FSB<7> | 4 | 15 | cnt/LTimer<2> | NULL | 16 | cnt/Timer<3> | NULL -FB_ORDER_OF_INPUTS | FOOBAR7_ | 17 | cnt/Timer<1> | NULL | 24 | A_FSB<15> | 13 | 25 | cnt/LTimer<3> | NULL | 26 | A_FSB<9> | 7 | 30 | cnt/IS_FSM_FFd1 | NULL -FB_ORDER_OF_INPUTS | FOOBAR7_ | 31 | A_FSB<10> | 8 | 33 | cnt/LTimer<9> | NULL | 34 | A_FSB<17> | 15 | 35 | cnt/LTimer<7> | NULL | 36 | cnt/LTimer<10> | NULL -FB_ORDER_OF_INPUTS | FOOBAR7_ | 39 | ram/RASEL | NULL | 40 | cnt/Timer<2> | NULL | 42 | cnt/LTimer<8> | NULL | 43 | cnt/LTimer<1> | NULL | 44 | cnt/TimerTC | NULL -FB_ORDER_OF_INPUTS | FOOBAR7_ | 45 | A_FSB<1> | 94 | 46 | cnt/LTimer<4> | NULL | 47 | cnt/LTimer<0> | NULL | 49 | A_FSB<8> | 6 | 50 | A_FSB<18> | 16 +FB_ORDER_OF_INPUTS | FOOBAR7_ | 0 | cnt/LTimer<9> | NULL | 1 | A_FSB<14> | 12 | 2 | A_FSB<8> | 6 | 3 | cnt/Er<1> | NULL | 4 | cnt/Er<0> | NULL +FB_ORDER_OF_INPUTS | FOOBAR7_ | 6 | ram/RASEL | NULL | 7 | A_FSB<17> | 15 | 8 | cnt/TimerTC | NULL | 9 | A_FSB<18> | 16 | 10 | A_FSB<6> | 3 +FB_ORDER_OF_INPUTS | FOOBAR7_ | 12 | cnt/LTimer<4> | NULL | 13 | A_FSB<19> | 17 | 14 | cnt/LTimer<2> | NULL | 15 | cnt/LTimer<11> | NULL | 16 | A_FSB<13> | 11 +FB_ORDER_OF_INPUTS | FOOBAR7_ | 17 | A_FSB<22> | 20 | 19 | A_FSB<20> | 18 | 20 | A_FSB<16> | 14 | 22 | A_FSB<12> | 10 | 23 | A_FSB<23> | 24 +FB_ORDER_OF_INPUTS | FOOBAR7_ | 24 | A_FSB<15> | 13 | 26 | cnt/LTimer<0> | NULL | 28 | nWE_FSB | 29 | 29 | cnt/LTimer<10> | NULL | 30 | cnt/IS_FSM_FFd1 | NULL +FB_ORDER_OF_INPUTS | FOOBAR7_ | 31 | A_FSB<10> | 8 | 33 | nAS_FSB | 32 | 36 | fsb/ASrf | NULL | 38 | A_FSB<11> | 9 | 39 | cnt/IS_FSM_FFd2 | NULL +FB_ORDER_OF_INPUTS | FOOBAR7_ | 40 | A_FSB<7> | 4 | 44 | cnt/LTimer<8> | NULL | 45 | A_FSB<1> | 94 | 46 | cnt/LTimer<3> | NULL | 47 | A_FSB<21> | 19 +FB_ORDER_OF_INPUTS | FOOBAR7_ | 48 | A_FSB<2> | 95 | 49 | cnt/LTimer<5> | NULL | 50 | cnt/LTimer<1> | NULL | 51 | cnt/LTimer<7> | NULL | 52 | cnt/LTimer<6> | NULL +FB_ORDER_OF_INPUTS | FOOBAR7_ | 53 | A_FSB<9> | 7 -FB_IMUX_INDEX | FOOBAR7_ | 108 | 210 | 228 | 111 | 164 | -1 | 6 | 7 | 62 | 117 | 186 | -1 | 120 | 13 | 190 | 123 | 124 | 17 | -1 | -1 | -1 | -1 | -1 | -1 | 212 | 122 | 196 | -1 | -1 | -1 | 141 | 198 | -1 | 56 | 216 | 60 | 63 | -1 | -1 | 74 | 125 | -1 | 57 | 69 | 110 | 156 | 121 | 71 | -1 | 194 | 218 | -1 | -1 | -1 +FB_IMUX_INDEX | FOOBAR7_ | 108 | 210 | 194 | 3 | 4 | -1 | 42 | 216 | 8 | 218 | 186 | -1 | 120 | 222 | 122 | 123 | 208 | 230 | -1 | 226 | 214 | -1 | 206 | 240 | 212 | -1 | 71 | -1 | 256 | 124 | 141 | 198 | -1 | 268 | -1 | -1 | 63 | -1 | 202 | 10 | 190 | -1 | -1 | -1 | 110 | 156 | 121 | 228 | 164 | 117 | 69 | 111 | 114 | 196 -FB_ORDER_OF_INPUTS | FOOBAR8_ | 0 | IOL0 | NULL | 1 | A_FSB<14> | 12 | 2 | ram/RASEL | NULL | 3 | cs/nOverlay | NULL | 4 | A_FSB<22> | 20 -FB_ORDER_OF_INPUTS | FOOBAR8_ | 5 | A_FSB<16> | 14 | 7 | ram/RASrf | NULL | 8 | nAS_FSB | 32 | 9 | iobs/IOACTr | NULL | 11 | iobs/IOU1 | NULL -FB_ORDER_OF_INPUTS | FOOBAR8_ | 12 | iobs/IOL1 | NULL | 13 | ALE1 | NULL | 14 | nBR_IOB_OBUF | NULL | 15 | IOBERR | NULL | 16 | A_FSB<13> | 11 -FB_ORDER_OF_INPUTS | FOOBAR8_ | 19 | A_FSB<20> | 18 | 20 | fsb/ASrf | NULL | 21 | A_FSB<19> | 17 | 23 | A_FSB<23> | 24 | 25 | IOU0 | NULL -FB_ORDER_OF_INPUTS | FOOBAR8_ | 27 | cnt/IS_FSM_FFd2 | NULL | 28 | nWE_FSB | 29 | 29 | nLDS_FSB | 30 | 30 | cnt/IS_FSM_FFd1 | NULL | 33 | iobs/TS_FSM_FFd2 | NULL -FB_ORDER_OF_INPUTS | FOOBAR8_ | 34 | A_FSB<17> | 15 | 35 | cnt/nIPL2r | NULL | 36 | cnt/TimerTC | NULL | 37 | iobs/Sent | NULL | 38 | cnt/Er<0> | NULL -FB_ORDER_OF_INPUTS | FOOBAR8_ | 39 | iobs/TS_FSM_FFd1 | NULL | 41 | ram/RASrr | NULL | 43 | cnt/Er<1> | NULL | 44 | nBERR_FSB_OBUF.UIM | NULL | 45 | A_FSB<18> | 16 -FB_ORDER_OF_INPUTS | FOOBAR8_ | 47 | A_FSB<21> | 19 | 48 | ram/RASEN | NULL | 50 | cnt/LTimerTC | NULL | 51 | nUDS_FSB | 33 | 52 | iobs/IORW1 | NULL -FB_ORDER_OF_INPUTS | FOOBAR8_ | 53 | IOWRREQ | NULL +FB_ORDER_OF_INPUTS | FOOBAR8_ | 0 | A_FSB<20> | 18 | 2 | iobs/TS_FSM_FFd2 | NULL | 3 | ram/RASrf | NULL | 4 | A_FSB<22> | 20 | 5 | A_FSB<16> | 14 +FB_ORDER_OF_INPUTS | FOOBAR8_ | 6 | iobs/IOU1 | NULL | 7 | iobs/IOL1 | NULL | 8 | nAS_FSB | 32 | 9 | fsb/ASrf | NULL | 10 | cnt/IS_FSM_FFd2 | NULL +FB_ORDER_OF_INPUTS | FOOBAR8_ | 11 | nBERR_FSB_OBUF.UIM | NULL | 12 | nUDS_FSB | 33 | 13 | ALE1 | NULL | 14 | A_FSB<23> | 24 | 15 | cnt/nIPL2r | NULL +FB_ORDER_OF_INPUTS | FOOBAR8_ | 16 | iobs/IORW1 | NULL | 17 | IOBERR | NULL | 19 | cnt/IS_FSM_FFd1 | NULL | 21 | A_FSB<19> | 17 | 23 | ram/RASEL | NULL +FB_ORDER_OF_INPUTS | FOOBAR8_ | 27 | IOL0 | NULL | 28 | nWE_FSB | 29 | 29 | nLDS_FSB | 30 | 30 | ram/RASEN | NULL | 33 | cnt/TimerTC | NULL +FB_ORDER_OF_INPUTS | FOOBAR8_ | 34 | A_FSB<17> | 15 | 35 | A_FSB<14> | 12 | 36 | cnt/Er<0> | NULL | 37 | iobs/TS_FSM_FFd1 | NULL | 39 | nBR_IOB_OBUF | NULL +FB_ORDER_OF_INPUTS | FOOBAR8_ | 41 | IOWRREQ | NULL | 42 | iobs/IOACTr | NULL | 43 | IOU0 | NULL | 44 | A_FSB<13> | 11 | 45 | A_FSB<21> | 19 +FB_ORDER_OF_INPUTS | FOOBAR8_ | 46 | ram/RASrr | NULL | 47 | cnt/Er<1> | NULL | 49 | cs/nOverlay | NULL | 50 | A_FSB<18> | 16 | 52 | iobs/Sent | NULL +FB_ORDER_OF_INPUTS | FOOBAR8_ | 53 | cnt/LTimerTC | NULL -FB_IMUX_INDEX | FOOBAR8_ | 126 | 210 | 74 | 75 | 230 | 214 | -1 | 25 | 268 | 27 | -1 | 11 | 12 | 103 | 140 | 15 | 208 | -1 | -1 | 226 | 4 | 222 | -1 | 240 | -1 | 139 | -1 | 111 | 256 | 260 | 141 | -1 | -1 | 128 | 216 | 5 | 110 | 84 | 7 | 10 | -1 | 79 | -1 | 6 | 137 | 218 | -1 | 228 | 47 | -1 | 108 | 272 | 142 | 134 +FB_IMUX_INDEX | FOOBAR8_ | 226 | -1 | 128 | 21 | 230 | 214 | 6 | 7 | 268 | 63 | 10 | 137 | 272 | 103 | 240 | 33 | 142 | 35 | -1 | 141 | -1 | 222 | -1 | 42 | -1 | -1 | -1 | 126 | 256 | 260 | 81 | -1 | -1 | 8 | 216 | 210 | 4 | 41 | -1 | 140 | -1 | 134 | 24 | 139 | 208 | 228 | 89 | 3 | -1 | 43 | 218 | -1 | 51 | 65 GLOBAL_FCLK | C16M | 0 | 0 | C8M | 1 | 1 | FCLK | 2 | 2 diff --git a/cpld/XC95144XL/WarpSE.xml b/cpld/XC95144XL/WarpSE.xml index 2249b81..f855bfa 100644 --- a/cpld/XC95144XL/WarpSE.xml +++ b/cpld/XC95144XL/WarpSE.xml @@ -1,3 +1,3 @@ -WarpSE.rptC:/Xilinx/14.7/ISE_DS/ISE/xc9500xl/data/xc95144xl.chpWarpSE.mfd
    Cpld - Unable to retrieve the path to the iSE Project Repository. Will use the default filename of 'WarpSE.ise'.INFO:Cpld - Inferring BUFG constraint for signal 'C16M' based upon the LOC constraint 'P22'. It is recommended that you declare this BUFG explicitedly in your design. Note that for certain device families the output of a BUFG constraint can not drive a gated clock, and the BUFG constraint will be ignored.INFO:Cpld - Inferring BUFG constraint for signal 'C8M' based upon the LOC constraint 'P23'. It is recommended that you declare this BUFG explicitedly in your design. Note that for certain device families the output of a BUFG constraint can not drive a gated clock, and the BUFG constraint will be ignored.INFO:Cpld - Inferring BUFG constraint for signal 'FCLK' based upon the LOC constraint 'P27'. It is recommended that you declare this BUFG explicitedly in your design. Note that for certain device families the output of a BUFG constraint can not drive a gated clock, and the BUFG constraint will be ignored.Cpld:1007 - Removing unused input(s) 'C20MEN'. The input(s) are unused after optimization. Please verify functionality via simulation.Cpld:1007 - Removing unused input(s) 'SW<1>'. The input(s) are unused after optimization. Please verify functionality via simulation.Cpld:1007 - Removing unused input(s) 'SW<2>'. The input(s) are unused after optimization. Please verify functionality via simulation.Cpld:1007 - Removing unused input(s) 'SW<3>'. The input(s) are unused after optimization. Please verify functionality via simulation.Cpld:1007 - Removing unused input(s) 'nBG_IOB'. The input(s) are unused after optimization. Please verify functionality via simulation. +WarpSE.rptC:/Xilinx/14.7/ISE_DS/ISE/xc9500xl/data/xc95144xl.chpWarpSE.mfd
    Cpld - Unable to retrieve the path to the iSE Project Repository. Will use the default filename of 'WarpSE.ise'.INFO:Cpld - Inferring BUFG constraint for signal 'C16M' based upon the LOC constraint 'P22'. It is recommended that you declare this BUFG explicitedly in your design. Note that for certain device families the output of a BUFG constraint can not drive a gated clock, and the BUFG constraint will be ignored.INFO:Cpld - Inferring BUFG constraint for signal 'C8M' based upon the LOC constraint 'P23'. It is recommended that you declare this BUFG explicitedly in your design. Note that for certain device families the output of a BUFG constraint can not drive a gated clock, and the BUFG constraint will be ignored.INFO:Cpld - Inferring BUFG constraint for signal 'FCLK' based upon the LOC constraint 'P27'. It is recommended that you declare this BUFG explicitedly in your design. Note that for certain device families the output of a BUFG constraint can not drive a gated clock, and the BUFG constraint will be ignored.Cpld:1007 - Removing unused input(s) 'C20MEN'. The input(s) are unused after optimization. Please verify functionality via simulation.Cpld:1007 - Removing unused input(s) 'SW<1>'. The input(s) are unused after optimization. Please verify functionality via simulation.Cpld:1007 - Removing unused input(s) 'SW<2>'. The input(s) are unused after optimization. Please verify functionality via simulation.Cpld:1007 - Removing unused input(s) 'SW<3>'. The input(s) are unused after optimization. Please verify functionality via simulation.Cpld:1007 - Removing unused input(s) 'nBG_IOB'. The input(s) are unused after optimization. Please verify functionality via simulation. diff --git a/cpld/XC95144XL/WarpSE_ngdbuild.xrpt b/cpld/XC95144XL/WarpSE_ngdbuild.xrpt index a4bd05b..04a1cb7 100644 --- a/cpld/XC95144XL/WarpSE_ngdbuild.xrpt +++ b/cpld/XC95144XL/WarpSE_ngdbuild.xrpt @@ -5,7 +5,7 @@ The structure and the elements are likely to change over the next few releases. This means code written to parse this file will need to be revisited each subsequent release.--> - +
    @@ -66,8 +66,8 @@
    - - + + @@ -76,25 +76,25 @@ - + - - + +
    - - + + - + - - + + diff --git a/cpld/XC95144XL/WarpSE_pad.csv b/cpld/XC95144XL/WarpSE_pad.csv index f249635..5c215fa 100644 --- a/cpld/XC95144XL/WarpSE_pad.csv +++ b/cpld/XC95144XL/WarpSE_pad.csv @@ -1,7 +1,7 @@ Release 8.1i - Fit P.20131013 Copyright(c) 1995-2003 Xilinx Inc. All rights reserved - 4-10-2023 4:05AM + 4-15-2023 5:21AM NOTE: This file is designed to be imported into a spreadsheet program such as Microsoft Excel for viewing, printing and sorting. The comma ',' diff --git a/cpld/XC95144XL/WarpSE_xst.xrpt b/cpld/XC95144XL/WarpSE_xst.xrpt index c8a5063..78484e4 100644 --- a/cpld/XC95144XL/WarpSE_xst.xrpt +++ b/cpld/XC95144XL/WarpSE_xst.xrpt @@ -5,7 +5,7 @@ The structure and the elements are likely to change over the next few releases. This means code written to parse this file will need to be revisited each subsequent release.--> - +
    @@ -79,8 +79,8 @@ - - + + @@ -93,8 +93,8 @@ - - + +
    @@ -114,13 +114,13 @@
    - - - + + + - - + + diff --git a/cpld/XC95144XL/_ngo/netlist.lst b/cpld/XC95144XL/_ngo/netlist.lst index 6eb542a..b035ce2 100644 --- a/cpld/XC95144XL/_ngo/netlist.lst +++ b/cpld/XC95144XL/_ngo/netlist.lst @@ -1,2 +1,2 @@ -C:\Users\Wolf\Documents\GitHub\Warp-SE\cpld\XC95144XL\WarpSE.ngc 1681113892 +C:\Users\Wolf\Documents\GitHub\Warp-SE\cpld\XC95144XL\WarpSE.ngc 1681550499 OK diff --git a/cpld/XC95144XL/iseconfig/WarpSE.projectmgr b/cpld/XC95144XL/iseconfig/WarpSE.projectmgr index e258ae2..b7d832a 100644 --- a/cpld/XC95144XL/iseconfig/WarpSE.projectmgr +++ b/cpld/XC95144XL/iseconfig/WarpSE.projectmgr @@ -23,13 +23,13 @@ Design Utilities - + 0 0 000000ff000000000000000100000001000000000000000000000000000000000000000000000000f8000000010000000100000000000000000000000064ffffffff000000810000000000000001000000f80000000100000000 false - + @@ -64,13 +64,13 @@ User Constraints - Generate Timing + 0 0 000000ff000000000000000100000001000000000000000000000000000000000000000000000000e7000000010000000100000000000000000000000064ffffffff000000810000000000000001000000e70000000100000000 false - Generate Timing + 000000ff00000000000000020000011b0000011b01000000050100000002 Implementation diff --git a/cpld/XC95144XL/iseconfig/WarpSE.xreport b/cpld/XC95144XL/iseconfig/WarpSE.xreport index fcfb24c..7e00b39 100644 --- a/cpld/XC95144XL/iseconfig/WarpSE.xreport +++ b/cpld/XC95144XL/iseconfig/WarpSE.xreport @@ -1,9 +1,9 @@
    - 2023-04-10T03:54:15 + 2023-04-15T05:22:02 WarpSE - 2023-04-09T23:24:43 + 2023-04-10T19:23:46 C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/iseconfig/WarpSE.xreport C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL\ 2023-04-07T01:51:28 diff --git a/cpld/XC95144XL/webtalk_pn.xml b/cpld/XC95144XL/webtalk_pn.xml index 7b4dcd4..3b60da4 100644 --- a/cpld/XC95144XL/webtalk_pn.xml +++ b/cpld/XC95144XL/webtalk_pn.xml @@ -3,7 +3,7 @@ - +
    diff --git a/cpld/XC95144XL/xlnx_auto_0_xdb/cst.xbcd b/cpld/XC95144XL/xlnx_auto_0_xdb/cst.xbcd index fd0657823add558ecf64d845d972390cb25a34a3..c9433b1638b507db739e1497db9d57b404f5f992 100644 GIT binary patch literal 5188 zcmX|CdpwhW*d`=7KXS^-q0PdqkT&WkhhYa49jtO{h){ASXGtFC4I?J8B$Xs82{|lL z!pOw05DP_Va@bGO`^@`(-u z)7$&Ix97K6AGfHeXz_CF;IW0ajkmwQvEpUlb0V`d4TIgRW-gESU$z>aC=-!>ceUA8 zc2zn<3KQ^EedJ20yOncaKXqtVe#+RM>nqWJLrzGaYE7I>RepcQ`qX&DZiFIo)BRp`AEh3w? zVFK@`9W936JgHZ#H}s}A*t+E-n{!&m9gtP_qN003qQ+R zZ5-=6$C7RT`a2?0bF{hRS6eYMt?&wAx4-nSfg^qoBO=2iq?W@A#Klu=Q?9Iu+cZY3 zz*c02=ua1W*wW$4t|kM`QI}^gXGeH|9f1${d)!@hWjo$HTvN3FRD9@Q_1goN$d2y& z##~;u#92o>9(LEu7T)C|^M1(&+%Pq@**#!A+OSgABJ#)i4f&7P=pDNTd&Iz<3_`Kt zBYV}C_7&dAbIH$d*lY>6Yn2f_q{e-wX;wt5lMhbGsnh17;65V3DYOvz$5ve?3c)h8 z5aE$BZcH)*!EKT-k?sC3fl=XwvFw$9>~9&aIU?OX>$R1!It2}tUc6otlve*tR&3)Umv9~;$N-<<$*pgNgD_aMoVX&zeH`LC?K|G7$us_%|lpCtG zr_yTeu_uIF=7uIw2fL|Ey>gc~w%O>{6SKknY(+kOF?lCj|GA?dC!xsted`l0;KzkK z>f)+QMEqnNuNvX19>Iy33=PKK1A#*C_V|vy+ybat4KkxE7D{Q8vmppaottg1nv+m4wRD`h_wq&Fb;@3MwnVh<-M|EkZ6Z`_0=J zRdWo^&wfNAwekP&(@CX-qcuHt+fS_@-QC=})7NkJVbcMp3sU3wucSk}tdUyHj*}qX z_Mcz_hu-9F8HA5rmny!O&t{n(@4vY93^cfqjTk{LAY9it|KevHT&%2MEiWde6rHgtGulC zh2t0V)?@G8wM8EQg5N)#u<6!%ll#gh;Q>RES(%b(e|9hC{_11(tI;Fl@Th&i4N428 zwez?s+!@nN?;up}H&;F_CWG_50IXITL6v64q#=P@rx*(H@ zsaSKIe0XP3W^z4FuH5Tv1Gh}-DKB;~JGcn>Wt9-jQq4Mn_^>E4+&A+o@MWRRF`5$!{8;?ipUm@%Qzb zyVrl5H~Zgsc3V&<^pY7o&kfav+kJ^ccfzRP$Cy(kBF)=O#jmKrZ;CXh#;cdQ!nCZN zKQCU{@zaWAKngI}Cq{Ryk4aX#fef!ZcP6F6d*DLb(xYPOg;(29<0_-+s+abicUq;G zzrmyssH!6_{NKS?S}t+BHYG&9vI`Y}R?zY`aXOg4!>(o8@SKy^w6XG7v`JPT2_dHN z@Ojr*de75ohMZ0xb+PKUhXi^inLst+Fx0f3!@BJeVx&tZ7`wEd2h$x6Vp%8f(1U>g zQjdF?8J9{@(_mGF#O?I=i_iD#7^WA=E;IwSx)rn}ME&~g*xYQ|ii@-Q7dKIAlQckZ zW2x_f)Nju;W@^$psEiX%{UM(^_v;LVe6mc<`+^_Ye2~`y<}pL>Q?xb@RI ze*VuLf2sVHUUKy3B!(++tzyC!E&SS8b078Fj&iii+~r<W0~Y2goTgszr^ukLTyq&0^oLoLlXh{IL5#i|LKu9f1N(8hbPwq$ZyoFUJAgWz(z&4L{ z7!`Cck>y{@l0)(eg`@--S#ltgNHL=qUeE|Kp*JMu^3#M|x}gH2Gw?1Ia3v4$^j4sz z18@!j+!YgsH$|-A4^IM;wX6UU5aR*PVZj=SRy7lek%$^3fY}RLlPOt=tl~$kOa^OM zY(m??GH&!8Zc+(Rt3v8A&S_92!DisQl@tEOLMeerAYw0wAX9E8vP#I4qDY>!a6@`- zPVDL>t)OfgISnzbhX0t3_%Q|7PK%zvgaCb@d!Qz;st0*4|Z=51LXa&-x;TtlfrtDn)Q=#>LkeV~08;SUJCJif1LK)=nktklA!=!{T-^anS z*B~|lA9IYr$U@L};@2hVe5kJ>!hB~3OE=y4LVOI4z(_>URq^X;bpBo>uU=^V5K?pB zi@#U#>yy`+4MECm9d0s&I2nKnf-cHTEsM!u)rd_VnA2L8^wd9GK6BTL3}~(2*CX~Fhc>2q~I-Ch}|=A?R3f3z{@~iy8AdB7YiXF7W5IDKteuBH*~1{8#Lcmzy~TBMmqeCux=p~2MyApWp@B{*8y!%LC~eC zsbv{4Sn6UE7)CM!&ex~%OK4plV2Ct4E*o+43p@@f6c5dJQ@=XM3L&<)0r#cgH(vw$ zAbZLQ$|e#f2Fn1IpG)hafJ0k>_)cISbYC(vwXY(GA9r5LUt^7$Yh6chRHSOdo*bhD z=z~{vBR0K=}j3QIakUXR?xs}j$ zq4OG!LZ$6J2R=w-QBbwXx%@gI)E7AS@Qk6BV~t=@nTUX2$P_Uo@39c0lh744JP5K> zi4=W$SMlw>b6`Fr+3ubxG#o~aJ_=w+9?KN2i?Y^| zvJ0tM$s1MxrHPb1bZnm>A%F|-lmMYgM#}|g0p199GJ>VoG{wQT~4 z`AK*SaucX;D8`5CfZOTV@lD_hyk*}epdY14ZNL)(Isr9%fyOHaE7veKD>uiaq(Bbb zji?nP9k?OrhnvHs`2PZxvAD?u+J`j6!A;;QgC*;|219CwQ1&5!0v%|lmj}?yt|MeZ zfFrc8Ay9+4|GO%~QS4111q-UbT{3a7JTI5Mxhlo!cMAm?dRVa1OF;FDku4A2&%;U5 zKWt_^oQB6~ZTh_)D@|=UMX0BM`r2PZ$djfHla-scq9&pCs^zg9P_;vX589f;21_bPI8qJCqw&KIQ5&oX^`7AOts%7$6i|V|I-nV(jO3N` z!!XnaTY^ghB3$MFw&k5J$n~Vy4KrK#4`*NqC-KK)aqWchOkojQ*Rk-a^S?n(O|R`E z3HMn~UO4pDa1sjY-Te<8O^awxE(qPv`C+Cr7Np?jB+L2xV_Roc<1B^rt~+my!y0uy z+G68+>xKB9YRS;4*?iIJw|=XX`moa%Va;i`!VKo`?;mYcIhfTeSC2l|EXS-Sk*9nM zZiRjRYOQk7HFBqI4Z_zIz2=wk6s?@e!~pf^#U>SIb^76{+3gl{13i}@;9UmunL<7K zZj&gpT2gmv_FxjnJLexLeXFYNf|jpqQ-ds&p*%I)ELu&Hi8dH*~i~>9-BYL(JqfraEN*{L&dHFiK;N zllmL6zT2Q$u1?ObLNZ@fJALP9H?eDfAZI}~P$%V(SsQW|bBi~akc^pvZG7IXF!tLo zDz5IHr5a&Rc{m_>>gly#IC=wl}m)U_k&DzOue4}#keZO=UbU1@*yPK4r13Nk~m*vYF_)!1z*Rm5t2C8+lM{s!>E?9 zKRcjzljIK-RIia0S9B}PqE!uYrvU{ix59S*4O6*T(y4BHgw${P-$o`B#Hic8H19X{ z-nf$S)Zs=p(_P!QWHwI3<}9-DHQRG=U~oK{&H4H@Xz6zS?L{?%QPZl!SvTqQ?Qse= zXDgFlv+D+chCs-b=nw3bxHF^6`VeRMF57(s61_!{S)E%kHLG|*r}s6K`O4&+u$I2T ze+MBYI|p(Co`h`K#jG9#6_hjvXbB6gzH{)2WUNI)EZDz&3+@c@8+7GLXhn~NsC4|5eb8~*=JEJ3sCQpW1;+`+ zft|CN#&kllAgZDVw)xJTcq`Bn?fwmESr`hms(H}mbMUtlShI=IgNXKQ63LmRvN<}* zf>ba*u)}wb^-_=;+WZXi(C8-cK>KuP|EOsb>Y!&KJTv8D-;`jP~s YR?*5(*UAu3F^KG{*MEg=g`4#M2i4J*y#N3J literal 5179 zcmX9;dpuMBALdI+6poNvF`J1|qsyk;*032!qMAh1M%HGGa*ZUpWJrh# zlPTo#&8?786wyj0X?|zl-}&d9_jzBQ=XrlVuZI*8d(7;BEk*}?P^Zaz#BbzYQ?uBy zFF7$(tAC8;t zT~=T)_AY8~ACFm#^^aNlI$D{R`QmA9sq??0xrz`APm{(`swil_J}M5Ll^Um|q0w_L ze|~;`r#kw=;_@fCTSIpyl>fp_J6G*tRprfr_f{Sjk4+pc+hI8rb-%IFl+aA6cKI|n z`uLekXcgy|c8>7`dTd~5@aZ4x5^6(z|7PKk@X8noJ=RaXUouc6TGZ6a3{lL7wi*8^ zCx^Rsgui*2@9-${zBem8ras2~Rc2<;y42y$*A)^HD$e7rU99Q*36O4~{dUo&8HHc@ zHfuV$m#OxgJM9N+q^Yqfw6e!DjYAEnxZ|m3N&}r|BYd4N1Vx-W*AR0uqHgxc(yg(w zv9S~R2kKj=MBj@oE?v9!dU=S5psYG6u6M;8?;5O*L=E=6t1XTC#RwVfz2;~~MMXv5 zyHjj%dD7&yq_(%zzsq)Xk-0fBf53LEfiWdwOU<4}n5EV4(hPH@*X=qxa1RmUIu!02 zyl=|fFRY$^MO(RAso%kluCz5FUt`kYQ@Kdjo7Hn$NnBOzJX-prCNj6iC>Ai_>0#nmFb(5K@KLdfP zN!8eQm27IX=EIaDWzz|gjnk2;mDUUx|pAz z>$+p(CLu8Uq}o&O&pFBvH*U=(zRxYuD^*rUYnw)z>+6+D*%RU0(c0#b<}B8rZrmsm z%%CF8Tlt@6x<*xwnl$#;zCxm&Xq`L1;VCTZh+Y$Hw?mKmktmu*1LqJX%^T{FY_Kof zx<~I!M6!X(F}pIBT#|Bflgtq{8=v(Z&vm=`CAw;-FUmM7AT0`R>2>pM6XabtNCikD zB+&%kjmYgJ-?jX|*kU7)^6r?C)`RPGZaPkFX6!qWsRVYMC6bYrSdp$8NVNxU3El?fa8);Tf^I1N|C}+u?!j zr>>{h>dzpcfpOnPPNGK;6X6Ow2gzTSbG)aA$mP0^>bufU1sH!+meVDdF3e8#kE-hp zk+Vr}p~>i+mdT&##-Ei zpfv9w$jtrF*3@*{40vjqga=yfg~^tyPkyB=9;s&bJ`u#D=&_yJ8K<2{oKmeBJPKJi2C-Bv~zf8pSU%}rqvZM z=!v*BW_*=hFiW!V-);9E)zddDe)(=_f zJ5=AOz&bXz7GZO5tW1^{@7yiptyb}^G*8GPWt&QZ=3OH+OK{K#wPg0^!*d*az1+HJ)xE3ekrq`PY60s?iL=vFTyTY z*e$=?#5HnQZsVEck8Gg%q|6!1DYg5TWexiWXQ~s*gZ+c|Z6~x)X)dy^4x>niE`*>;=>Dl zyv!4Ug_o6cxz2*W&;7udy}0?u0y*dqdSJ-0Q~9sf!$hV= z2-|T>q9_(HZ?iYQ-KAubrah%YD--SC8+s(wcs|Mdu%1^;@`;qr zs`bnA3^S2_*Yu5@-yc4Q#ciqBzeIDKX+lSDsj%(aS6y!-*jKG8ESQcm!rYxR%q_Q< zw5r=&%TrBtJ?UW^rB}y4#Lbc$tU(y(5asS&Z}DC+usa#%I%g2jSe)%-ks{d@x|t0z ztNH!)*M|rwJad~P@1q`(utCzo7xlDewW{U){?sXO>0+y!)AT&@2q0A zTcJG%b<88P0&+u!M6fAnv|68 z(x%^vWqejj+1-=)o}TM?mWSH`>av4YS%$-APTxCj@$jhjm&Sa{S7(*54?>x^2exZS zh^D^n#j4?L5VTeo9=5?^_$)}+V%R7Nhh}6D#6OJq-ggQBt4DY9M50=YKQgP=FJ1pb z^jTS_+A}^>9*%1%{u{pb^F_Fo>@#f*!-5^Cv)|up+J8x#yli@z{mOgyk2i0+VrGC~ z=D-8r&n>I(e>DkD>8UJi6)|3Pmc`F3(3&$GdT09Vug1?zPi=b?_jPgQy?qsuAKdfl z%-P2Np6NA(zT+(($^p;=e)pV|EnSx43r(h&>U;f;MJflp-8;y z;d`>FpZ_ZK4NKSRcN4SHTcltB=5iwPAAfZzxz=`?9-lR~t-7|NbeEc+9I) z|MqC_9d)*H&QV|IpGI)hhcDH+TbYlaeDjNszBo8@!b}$a_umNiQ=LP@OxIe=pi6Np ziu;)RAF5bAOg&zibM-~JKVI`0ajilDTJ;>zMA3I|er`v^>?bR;Mk=89$aJ9$aGXpx z&gErEpEQE&zUGHwi;m(Q+W}>H=R5 z*Zt)N1z<3w6v5Gy*|3=qG7EhQkvNEw9gTUY4;;+oIUm&53u7po+(!vva8uoE|_H|gm zcAQoR(21glZ+;#vP7Ev4H8Xfr1aiJ=KO|vX83N(}D$kMzv*h8M zO(d*(@cd7=3v>aia;Qc%Z?hD=pdHxx5&F5~fbe^6QtsgI4G^IcjH^nh*vnk8MF@`) z$+^rG7!lKp{AMz(JIaTcf9s&|n(!P|-F5HHDqM8!EcNW-4IgiBJ&+AJPV#-2xQ>1nO-z$p9)! zVKbeb;+>!(JAB9sU>@vJB{cK!2mpxh#PdrVp230jLTD8amaz&*1GxaQok%TlGZAvCw)E4Bf?v(Oo6>j4_?Kqgj|;2o?ZG)4#w;aoQyHHXdoj+xX2 zCf$LpoDs5)&;lXUfO8#j)B-kB+0{x1uyO;?2<{tefjvnOO(ehJ1(}kHczRRE$}EO9 z0v8b6o|80fUj>-{zh*#rG7n3{5FMQ$06Q}&6{fM}DSa1-YzSv50fQ)6^wIr!xb7ps z4o;9MGn)yP=`@eIDAc9MJuo+mv>Q@ z%K=qZ&C_@nVO(uOgZ`%|5?KmPNoJ1l@qG1hCoH)(eIx;Ph`kIdO=geiK%xkguM)W*VQBb<&b=V;ynawna03yelaZm>b&ogufzy+;JRuyo^)(Zx`98v+-YD|Y?MeF+_?zAbbZMaa?~9F z&8Soif$GLPZUaE0bo4xgfi`7gHxRu2#Sjb%z)s?UM%m42&d0qgg#J89_Yv;{G)i&} zb8ZTHia6_pEjo^0=m2`e3D79BAyCjLO=s&0aJg>4pK4y1cu}BHW*b6fP_nm_FPX6# zZ1D@7!0G=I*Vx(mP#LuBE&f3)wAYX>MJnom#%(3YM*)M$yiIUjGnze}hlWuU32u&{ z3|?q1PhOXj&t_ieRip8~I25T67La1$F%f`SGA|FL+x|2a_pTB;R?R!{ABF-PNx;2( zh36j<{-N>yItUawBY|RtJKjSBRjl6Ni3W8x2V-6}vM<~yU<**}8t!lwptWQy5n>^~2 z(YIUwp|g7wqg=BbNDjXABQLAt&kfn#!I=%BuOEKD(fpduI2$*7T4-|XYdZ18s$N#d zlb|-j=hoHNS6fm0ESpALV$dZ|qq#p%^M~)tox4fC8=VlQ)sj#c?ePV9qu!)Et@yEG zoyoP9t;Ln8e$#UZn|WYp9y!*Ow2$#~lc!q##FhS`dSJ1@289sQ4$>P);e!S!B5=04m{n zfmE;v#^o!8(SF0hpx%X);@v=}V z1%f#>5Fe4(5j3Azf?Bmr96D_m4vI7_My(!}u1vjp4b*}%V2JkE%%|rofs;Sci>(~` zniK=K6<5kp1amh*W(DZ$AdDGhxf4{`@-C(SmieoqEYzy9bS1$(tUYMnB@?yEmadd@ z>;+jT;I;nl0)ceZK-LH-L$udsp3Ha)0%hwlF4GI6WnXxKfpoD+^rB;+CKyLrt>}&3>3it(`TNxzT-wc zar$PlFDxjJ8o%4l3qIM||4^xpcI<6n5=Z0lH;Z2h_`-kX@l;xzl<>kj9&x;qe zEcO|ftx1C2hBu1?OG*;0X#GQF?!d$l9f{o{=~cKR~KzgY5NE334ER29-1eeTP?xj zH2skV%NO;<-riE)Ya0At^yVA5y1X;D#8^7NwZJXl#8@T!EJ5AD zX<}+!v?UPt6i+;8TN`*h=E-}+gM>@qZu3^M#I04o#M>Muh&j|;5-)QUCLZ&mMZC-D n&o18smj6k@JOei+8!8?e9JJIKG{3h_Jah*6V-;zS$j=4@^sG<&tF>q5 z$@`$3^3i6kyk_(>N3_I{ z_;+;j^0jU3Snn{e?mBC6&78^W<+Jc1uqoWrcnFWTYA~L{yv)}RG9EHLF_9~sIkYZf zvYB7G6&v^RpN?&-7T2Wpux_n$Kx@>x)E{wmj*JIC?>l277WF>xX>Ac_o&WO6bML=> z`Pc9GKXJa)`Yr2x({DAN-#Yh&$&MWTuH{p#aGvAz#ordMVLG;)dqTE`Y~#4Dahe&! z-x>2Xs%u?Z&#%q-Yx%kc=X-5*Uy9H3)aw!J*7McF^W497@4uGMJ>+XrAL{L)9{&F| z5AW^VbN+iHre5&35T6s+!~8d>c>bOlt9~g*juEeg)#5X@7OrFYOSKd?a2f`36+SoN z<-yfC0VhZ&$n220AoD`_c+1u-4p|zq9ArhvDiF41Ey(&1o~oNdwt#RsJ3xj*c7By{t?oy1s3LJNX5?zs?#&(K+wC8ze2t( z-%X2|G0zG?KMR~K1-4osf3YKE6y#vY36S$3*Ff%sJPLUU@&)pAfLsc>0rDC!9I*5( zcF7l98(ib?-Xl+kG0fM?6Z@xsc}vay%kz6AgeS^g5bk;mgs+DOK*m81f*b-F4>=ri zB;;twv5?~-Cqhn!oC;ypGa+X~Y7SpflUg|gfjGcwa{EWmP?U`)N^c`nr81%?=&D3M zxBl#rygj6Y{4CG5)?6d-nIJPO*yp;*>Bsm69FC6m-x&!(YohfVNWD_Cz&ERceV*P@ zof*%89Mjdj_YlZ2TjPBfb&j&4)oj<+0c+=hUzQ~+I3fRC>fDVI@sqSMPvbR3ov+cF zpLxv%8m}p8w?=FJ(`zo&cui3k2{k*kbv*6IgW#{d=3-Sf8=@`|YIba^W=9YFz-um5 zRkI=LGL6=p;x&6TUQ^WN8?E^lui3NlnxgjlSxo}St6skEHCC=sqfY3mA?HkO9j}=@ z@b6xucU6sssB1J@^B-QbPvbR3?Hg)#qK=fh4anB^JHgi+XX|F;wbzrRjxe)GOqH6X53Ql`%X4FmGE;knq*Qb^x_-!(JtBfpkXb9}w)?#!M{m^S{UR9$Z>Xwbxoa{BXZoHw?TWPaHlYl>9fap_aB&(?FL_mOy7(UaSoQEDt4!?L#B@}AL1M;MP$2s z*CEq~m(WUb|6w9m-Im=cOnc@n+iooGKO!gFO|lM|zRnPFj*?<`ACTyYWg zOIT~=Q*yFhwd;`Sn>~rb4w=5Lfqa(8vzp>8d!2>;9U?i- zAn~%^i*c}d#XLX5E&z5(#GqTP46`?th=F$z?&Z_M+~~v%CLBqR1Mw@u8nc9uYp`gj03u2 zuXfws-GS@JB3nn1=f#bX-zy$^hMi$0x zklUR&XC%()ponGz``Boe%AJ_+@|d|IajuMLHn4Y{_y^4Qc+A|9XdfCFBqwK#NyISj zh1^E~^SqHbFF}kS7=`gbX+YtF z)_N-L<^}!=0>>MnV3kkF-5(E};^JlvLq0C#?Z}1AM*SJ`PKLkk_FM2xaJ@V9xS8%1 zchuTUclt2C0*PZ%XJ-Z`r z$uhR}sEbdz>tFx5X;W?^0!s2htFt0Pa zayd8lGsfv@K^S|4=aRy1{>UYqeb9a0vBIBs3OrdCx|J{M_2S*vFs>bO^Z2@iv7X({ z;dHE+57-hh4tjh*SB?0fhkQLDD`mLOxn<#7*?owsy6*IJ zT-Oq_fyMrKOo313w)WMOi!(;-kMglUYtRXfF!e_@Vt-U)Ew9lhoNek;ZS3Ll#l)SU5rC~ zmuN$e{ZWk#y~dyz3ryE%)vU$c*tvtNxy1%p%SMn*GJKuVF4l*y*?lV^N9>QzIX!in zjo8>9k100u`a_kAGe+!BYd+N6f=+OR=|$LVxZ)l?rr6qRY?X1 zZ0wJIr=z|8-pa)pBlbu6*qV`D7Vu`lER z$blKYS7{gfz;}@QRzr^1A6;v8{+f;0*dLE6#(Vukm5Vb*?2q!XKZnx^jxarEsYdLN zY8>S?j?B0nq7A(k_gLp16JxQCBOpgZj?3^vO1n4=zT@4;dr<68Z^u>NY+$iJ9#fp` z^-oeR&KR*j%E$hkN+&qNI!7CN+@oro;WbW=vB2~UZgF+EXFK<-YHo2F)^aA~oD4s) zw2M>VJJ)@$iZo3Ts@z)#l6kBw^nnD-(xMeKyJ_QYfHPh0lquj zhi9d#{;cV^zuQ&J1{V9{F$KR_^4a!o<>HJH`=fm9&mZXoN0^?mR3r9BHSYHs_hno? zf3(GY$hi+zbBlYimIojYXZT&EUEBlTBkt>i9P#|obE=;6%|>kOkH-}JLdpI-u3Vfk zVt{`?~%;bd2JadOqR^P`rJ$%&_=ktGM_QYZ!8{v2R8$3*+DGkT)U@ zeF}V)h&li53?u)~khf~!6M(hkX5#p$S$yWWudR5ww2Rl^``mqNbH@0Q*{EPLj5gxF%rLIyt7@#k zK8kOvv62k@d&k%DIYk>fKgz1EVlunH2g3sAa4{uvtwN>XM@fE|`vIr)$`HJKrtKj| zLe7R<3(*C3UFUNTQz1XPEnIb5ZRAF5vX>?3>oFd~ey3D~VdiL$Sp2RMXRImB4AH~n z`i#ej`!x(a-E`fy>qb$ATMc_bh8-`EhOsE)Fu9$YP}A}`z5! zh9QQ>Z5D`LmLonJ@Gh)@IN?mo<2Dy$9?1NVZjgmN&OR1EkH^n2@YF}QQ&;fpBdBvX z=8O@KpJ9RPcA6X9-4?oq0WgtHH^d<1J0t5#XO$e&0LQ?H7s!5 zPD_BhWZ-V@dhDrTf$Mf!8r)?9cMI2JPYnZC=YiX)2e``x?v}2{o*EXoZYO*oO+5qG z&(kzJ@Hrrh&8_w_!X+ zJXVH*r)#>~2`}%dcNuPL+}8DYtPBHJJ##zZ#U!m6xZAlNkCkCuFnyTZPHTbNH*mLi zJsvB=0@v--A6(s+nR5r{#$#m|d|I>HX>D-r-bD`lJNnGtuMg3+TDbZpo6BMEn;}CX zTR^skXrDXzTH+rbgvH}w7;-gveYevfl^0zBG)kZl5^cog8}LKMXqvg0DL2e zu0_K=XFSG+fm89EZl@vO*)_uP5zdXr*s#ELJ8cH8e!pDL&d!a;*s#ELJ8cQ>Rvu68 zF0RL8Y#4kx#%`x=z}0z7?ylrA4)_ib9ZTUl2C@-qu}3&>H?JL^3k_o+2G56`A-h3F zLPkMGJ9l^I#^Yxgch@f$MhK3*5Z}cNBHTVX&wBLB>K3f*cAt-2Hnw zC-&4Z5@QTFV<7u_JaemF?5Saa>vqD2)pS7M+A)P4d#dBCx^Aa&;2s#b`?wx^Y8dM3 zc(|Po2KSJ_9pifJsbPWZb{Y@vVS(%CY1#?+Fo34C z`s#K%3EYzdcbx0-m>3r7x}8o1_q4#(v5m*Xu)uXYodNEdfqM{jt`GP*kaOJ@u71g8 zO8y=$fLsi@400vp8s{C%86)=IFz`Cif!XbJ9(d;y;{dMnEcV_o_|$v1(}mz(R5Fcw zsOz!!h6S$M=@M`+^?24D?|SULVS(#*x*Xgq0$1mE?7d-u>vp;d+^Yju*AVvpI>`0( zfhS!3lFgLtJw8aLTOhYX?tAku3;F9#u)H! zg52!!vp;o+}i@ze(SJf?+puFx6>Wq-Wj;ZxE_0NSm3&y?gsY{fos2c z#Ig7HLhhpvws7@JHdC_q4?-S+JPvsh@{IG2^W3rbhLIR!z_}mtfXB1u@vg`7-mt)R zJ3R#M!-1>oPwc&6f$Mg96x_!G_e9rY?+pXDpbxX#2_Ik66M=h@>#_HS1+LraDR7?- z+_kBr#5d(!(X}joOEQePz;IOVt=60pj25khk4;I%kYYbW*`2EFL?< z;8P!D^IOL&kXIuY_REmhh;e{-hR-S5&|?k7XdP~+H<9ZN$Xk(%oEQn;N%`F>y#sj< zf^UiGQwW|1(-a7L*rb|voku)-H~})*^9fg7v*DY{3NZ$H{AMK{dpa@3!N&QQCK2O6 z8r_Ro`!A3Wb3EG6V{LJ@7Pk}5we$gb9H?=&&#dtg&b|HyDX8(AED zv9_>STlrY~+v;2ie-KIE5#vDZ^L%FQe?q2ac>8EWkF{I5F$OtsKBXUsaiE6X|1q=1 zPdIn=dlTLv#zBuY6q9ih@|ORv1m|AhGio$7L6lRtuJvYTH58*7Za1|<9^1d?_Ul!8 I5qqip4`fLPmjD0& literal 21649 zcmeHN2eeg1vc27xoTGsOMa+sAFb$(3f*HjDF)IcT<3llH!klx?Ip>_lF{d$(S;z1U zaISa@4=V8-s|~Nsni*gARUGb zS#s=%^@i+SvCI9@w}ZFn z)|v}*6>}8p%9w~neU-4zh;z;V;Z?4!|J3E@`*c>Xmua}J`ayVo#pi|JV|*^~zAyUu zJn?;CzYJt^$QHu=dE?iu_q;c#vv-}>wPWmEheV5mAzb!` zkWC<*_STSz5H^lc9yyvbXhUfjIf7U8SV;S^leQlut=LZx3OTv6FVUB)^5-reVB|J0J8smIJS28grcqX*+hrxYfDj^W9h<$~lm7!x#>_ z6@P_p>w}=jA^aWtHsr66e?Y#k{G?4C z(c@xC3qpoMP_Q%xvL$3^2-cI1f}8=l3~~#&Z6WtVzYih55elYgd zTzS3z__Md7*?LeZAU3edvj1Bnq)New(pwMJhccoD=<5TiZ@QB)IIG&u{Y=kK3oXtO zcqd3_4_04uaQe}{0f!A+hSre~`ZA4fkXos_!`H)u)z56Iu8e2H7&BG84-v?QOR7Sw zpW3Hh;#ZZFr8(-)>D0OE&G|3o#BK6Rsr~CE^0sH2r~aHy9T;-9ZS7`gtH7@-DNBQ@ za@Iv%AmnV{T28-!|E`=1RpqRUx=6h_zf;b|>d)!a!S&|kqnvF>{W+bwRI{7}Fs9e? zBgt;4|*$~*Vwf(Xu5Q4u@j^(S?Q5SW^dUJlOoWttR>C}}%PJa{^dtZKMq+10t zoE-GDORGY>&OVkqN5VJCgKP9ngNyt*IBY!gluD~Pi^iIeTB+88Z*32*(br0~hEi9i zEpm&A6!{HGe@>@vQ*X{Mm26hDNS3J*yHa)75ZN)WHV-200(lQ7`(19Q29X_eGJQOa zJ7+IfJkZ|ZHOTaJ2;_Z=WP2IcAk&ARXib^-E0XO6U4u*?e<)=6_jhvDn^#W9e9e3- z+iObx1B+yP57r>l*9GF7gI%!~BuMtI64^1&OkY=sPy3J}*-c_`%e)=HrTFdo0!< z)7LwYPbiY@`BsBWAHOhowNG+#cM6uoT@lhy>yxz>YIXTqkYC8w9_GH z5J2C|G$54Xjbbi_u@Am_CtcKW`c2PWEW;6GOWUaybF?b4KEv1kv#2$J&(@(*phX zYkXfBrr4_)3*9lkdafy8*8;n~fRWDFKM0?jJ(?Z)slaC#dcKivh#2(vjEQkK#W?Vo z<3`BM5r=MTjTmCQa6aCxfNo<98}xqa{n9lXm|cB^m^%^A+;>DAdd%zN`L`ao?*{K4 z^4LP&yP)4&L96O>3-H?^_bJ}@;yT&=;bRT`UhMA+^_P^7$z=ZV;1lR<54aP0mCpy4 zKW?1I#l;$iF*uP&A{RCb^)Teo0{%zY55f1C^a0T0Vg|Ifm;ofA{Szd%Co85^ugXUp ze#hd~d9PM4;zAne&(aSJ#UnBv# z$Hn7{WA>Pfafs(UUxK{c0{$xSS0WC*5m>2!y>S5Huvj8>4OyO zciSN1X!gU93jB`o)ax}hOx(X0;^I7G+$V)Ndn-i@<8eLA{b>t0<7X6b*7&mm_K|G9 zygrvcKlHdC^AkslhZ)D>;oUHCzajy;$HjHVxUUOwah)E=b@D#{CdR@h{%^>?3OMKf zZ2|j2Hcv0#NnZ$hT<1c>(Rhvc-Z>Tz?1qW^BMHzQ`$0C}s#NJ)Sh3k{bNuvEJY_8` zEMDJ+Rk*2K;Kq8!IQtF6oQg+{QX5FS$k&+dtFe?Sc0x^32l*B+%zbg;c7TV^Y+&(l zub9MN1I%BHjeD$E?nCP4Io11l$#cXX$DX67a@euY=Y!slOs#SIh+CBh^T5G0^@8-R zo=@sju~S$0=8$hN#)#L@VBx-BW&?}$QB3Nm{C+C&*jOJQkM;2vtz$lK=f(OcCe5oH z^Z0z#dwp8t4ieX&pFSpD)M+5Z?@z~dI!V8QZvo{Qf-z!!h6q>;;vlHO~1ulR)P#K;LBF*v;usq%C|Jei1qQB+NTTn%tmaik7Cj&5tU;%biN1TZp~w1oj*2G-af!J4X#CWdNDsgFb z_|_L^8Tey;{JQe|W+OJ%M=@!v^8en)VviQ<*aja{!p~w1ojy;rPYK#Tu=NyZx z!QETjy{frscg$r^$UX&pmx`UHz_+h_%VCUIAAi<*ezOr9>!X--fb#F}W3fkz_3`mo zpM&TG$FVNah92wVISx^d=`j{qn`qbI9xm=-F&6VU7;-4&hyp&XVy6S)J5s*oF-EM< z^1?m8*}!6b6qAls{$qSB_Gqy_J|63HJe}Y;);ZeHV|_fwNy>3zj0NWBGmERiJyqOO zs=4U|%;jXrX$Ab~ik*&w?{xX_E~~1~3c_{PE}0E1)<-evY~?@8$6}8b>*M3GKIhU2 zj$?ktG8?W~AH}2#l;ivY*UulVaW5A4qH1nB4|BN?a!CO{vtp-n;JZ}56){G-*Ol_eODVsOF|? zFqi8gHx=;9D|WgHzMJJ6R{0eP3xnAq3@U&0qNQ6I#0JBC{Nd@vGmJK3Z;x>V@ZTNP zSh^F~y%B?MxeA#5A3hNS?{3IF#Mm&ta;LjwuVfDW6KKRZJ8=I{z&OAAtFiP)U=LPf z6*BP0g!^IBZ*a5W`;3u=n1Y=i#yAf_9x28Fdld9e=pV=RIv-?t$hr{R?D=BI9T0o~ z%G!EDD1msECj(h$l8#xf02P$qjd$d^Z;f7T2i(%mMBL5Tp&<%t79dyrQ znBty+uPF`~=lB%l>4-!Bqy;`>pMyLbV>QMLz@HbsDtojzf5S9~7wLrVas0^RbM-jp zdI|EfVn?t?i(@(#`Hsc)8>ZZ^a}el`G0&@z*IK~e1pbEb;p#z6_=uTak!^=_=riE( zH5dky`|`Hpd|l+f1@RB0J`dvWLf(nv0%U6k{6om!AlR3L2VKGkxb&4`N3utY*ScZg z`VRux>3#4%sDxV`MoEtkPs5m)K6J7ZK8B@_0(W)km{(J*VK9@pvJ*aHr;h`74e5=6 zYgltTeG2Z3z+F>%thr%GQx{OZrD4*0APw`U2c919xrd@fvh2ex!FS&d)I9 z;Pr;F_6yvNq{lrmEO2G#{@@M>T-`qj=aqzi2a?O3tZWGDR7q#+^wX?y*3P7U$5+pk2rbRz@0#yalr8dE9PXO2M z4|3pd5I3I54P#z3?$h>=9U;3wra-1b_7Z=J_;H^M1Ghtr0cRq_uSw>%4~>Y4`{W-V zeV=6K$>91mLGJF#8~4dD_^G@LU-jF+0+(zi0$FSyh-W6Q05xIMa8~4euz?GeM z1J~zC?w;bteKIU?W#>J>^>}i<-VEiQ><2jjaxmmj$PtjEIX?V*D^J`L!$^)X;Oqn0 zSMlWTBR%ekVSy_NyOOTKiHJ&`_C{Yrq5%* zI|Xv8;)zd}9{1WX_`K$_^XcH85xBm0jfnL;h6S$dd=|K82kxQL<6avU#*&@S1^2wb zJxqGsYr_IpcE$(Sd|}|)HN*J$kh;hpv*TyZh_n`?hzV4 z?ww)ac8W3JTn4#Z@yvUq^mv~d#>C>v&R2qaRp9zH9QV$!z?Ge^0r%R#wPy_M#t`ez z9p87^`Fe0~2wb}lV8^}ld&B1}JKqHE&4GKY^tgA1g?Y%%w}N|H;2uXEaooE*A$Q4k zyi>vEkled_A@@TbgggRy9P*T6k7th-_s%eIJJW$)cD@_Ddx)_CxBDJy+&h03cpYTt z`@pqtuHv4^9xd*jVHnrBvNOKJ<_9bqf8h3ezcIub*4)kyf%|aao-94?one71J3k8U zV}W~$^tgA11+MJ;1h`KIu03-Q$Gv+R@(g{j9rs$h%^|sW&qH2>yaIV0@)yWE;-04Q z>Z}Mj78uGwPiG0r^VYbJ?TCy)%qtI?&0^?}PUNF*e}-E{J<)Sm4Uee+BoW3e&jf zOOJbJSm4Ue__mlo4%`c*H-=cln%f!QPVB=dJ9Fn&i&|_afD(<`VJZo)|`Qi~%3N2lF?I=lJ#> zg&p_A->uG-o&OE)w}E?^^tdO6g}k!!_u&2zxc)9}46%kaxATwSI+b(2LPp#Z!{GBh zkzK~MUv479f2Fu_PYerO+2uCiw)MpDhds&vBo1t)N}nE(eJy)5>{CcOo=>@p?CZ=?y$-_= x%XRdQal-mkW97bx>lZQTKOokxE6)+HAJ37&ohx!-&k32E7#oIBY2>$QG&;Y9m*vW$<$2R_$Iv3r7$ejk<-R z+feM!V?XI|IJ;sWjC}&sG>(I?D0jQpG4eL{AF%&|y%wVidn2}>kz60?KCWy-|EQ8y zu|Aq&+sh#weNffaE>tpaxJ>X~ygpP^sOvcHhkVE;}_^j|?jljnk z90ZfPx&4Dg9gkZfEnXBJ5}aTMcSx`-)e~TPw+x7-rm(|(T09^?)~MWRcCfl0a5{s2 zbj+r!9ZuFbl0fZyk!fiEpR1X;33Jxyd`j%#&k}^gEC^e8n?@{c8jg`fX~@Myw|N~fmflT<};Xw%fO|y zcy&9t(o@wFkewbMr511+72$vr>B(v*97<1f-Jk&GFNFTxQ`C3Rt9zPjkJbR%_egQ= z)3YTsi`}eeFX^+Pt1}F$QsV9$rWJhNsHx?4!o|cnDCiLj)hW$lS=p#_$|(neJrV-j zNTC@H!)e53IMad9&e=JdIiiAtSQAHjn>-BU?I%u>sEy@E;CN;fq9wD z)mWI5>GqEmu3s_CqH!M)fbOi3>tQ=++)fNalPphQoc5K^B5P!Ege_P!whwK=8i7ac zps};u0zA&(cnr28Kt6ILQX|VsCLe%RS*>Azmfx#R@DE53$0yQr7nn#Awi-e({ggR1 zew9fm$Q@vkj(tNU9pk{7kuNnfS5?>5)ERTGs&K8d!CIdggo zqEZtV>Lqq*;-a01;#G`b9jb<+h)pp^bw!W( zZ>O%q8O*v|meX2Q!aX_3iTGeCyjj#uoitU$H}v=`#{PgAISFbzEXS-}up_5!hWZ=j zf3OopYfaPmpL+a@u7ZvgVO(C4B3PW`Zc{{2Z1N(oW}GJlF|!B`=G@WKh@eda>>=3k z8kkXYbm|S?i5s}Hp^nX<5!(^C+Q%E?;&NC%N-`|y6RoNYAd-QZW?JwXWaoNgqU>^| zAxGQgU54zo%e5#s56se*U*ice!5TgQPOn}3ydJQr9lTx-*i3?Ocl##zT2+(l2|T4M zkv}_YR7nEov50q-RWfS?wy=Zp4_$(HNzm8^KCQALqoAkQ1q z#xCz88JguqtIc#2){cQ<+Em9B>uf5#gB8-E+*Bpl(GJQ@Rf3%voDQqr7jaMe4}?SgJb}5|LcZ0rMi%$7 z1&h_ff;9p&7@VgaPYIAU0<$C-AQ(T}tg0m0i^&DrQr?EFk!6k@#0|%ClwdA{FK9<~ z79R9@p|*cCZ0-~Rg}#XR{>+qW=8`Zgg>0WEmLtdOM8^8!)J2%b;+rcpBFE65+6~bD@S|ELo`ekUC6*AWf ze#@2MD8Ug7F43TTaa#g62gKptpONo{Mef4Ppy*EH6s zSnDgB#T%j`)(Et|vX|3a+87zKzOq;7#pJbMjXbQc?3Eh)Of1G4fz~(oVn`mC2tx*T Na688{OSyFH_!nNGY@`4H delta 4311 zcmZ{n3s6*57{~XlqAd@R-LjbP5E4l>Cuvjc@VXrj{nyl?rgm2q2GGKdPo1a>X-{4|ktk?o!K`~-E3<`>MoW_E{ z8E((GVZ(2{s3J@AMufTBRf)VZxkz`A|yssz@CsU;#a5%i4x^t zZMQ+Jf{pFEiM4Q~U8k@zq)%Ba);<{d7}G(7I-z}NfDC77SkH|}yp3@V;~K`_7(OK1 z;FL!5VVl(Fz}?&PLl<=unNWG3!<$JWwhyu3Q41X*&f+dAHE9GsW)_dO08VBwn_RG+ z*Fe(jB$DM+CUZgbb$D}0$404XM#``G>NFD_oNfm9w*VHJ!9ym{Sk^2i^WfSrhscBY z0nVg6wGO=4#^qs+7Ce`W@(DyHNcH(}Eym$x)kKM6EPWE=DW<8%MwTVbU=%;n=9Y#E zEH#6-%pim%bQC*5B)IGg81~RS*y^Rc$Si*?;5$Ie#oY61OqWj*HYOV2tHgwm zA0=iHFA@jq6MKlmFgPi}c0}m_AxUxKW7vw?1*NS9Z&IA?q8hzSqr@F*^r|*r0cutX z(y%X3xjn?P2Jy*`QJj&UoKZcpVm-zNlimuo%I2C_=O2sLF!wra7#J~Pw>H~T{O?`y;( z>i8_>=omZJ=r;bqEPm4hxXl3NE~R^HH&SXceV5X~9cED9rF3v7gX5Lsd2Lmsbt9eD zzl+I*n_DLj z=p=y$TL7yVe4Hq3pJ*U!H1}Z!r}#NvfB9h204rD|@(VM0ShJi0#Y4iyWq4^wq-Uyf zl6RmoVvUTxWg`~ljrc#{cMMLWXLZOll(Ugt_GJ~tfT}{+uT;Z(07r(3i56oRvx!I<9 zXOoT%8DrkrG_Q>_ryw?^N7n}Q_zU9(!HCK%j<5U2;!ixj38g6!VlS*si569G5UcP< zSp0)=TUE_bbffC=%7}lVLuv%R0`^Od7ELfVHP&mz3uDC%-r8D~&Gq+I^{=-I%mt`i zG4D97I#2nhJR05Nc%$i zLgi6Q=ZkCWtHyN4FHy(1n;1_DYjhs{*?I}xK6mP=1Wqlbw`uQE-xq}+dK0W4r}r2_Q}#Tq$| zW^fgPy$Ej9EJ>tB1vsKQd%}qS}%>YLs2R#4) delta 18 ZcmX@u#(1!eaYH>1v+-f6%}qS}%>YJv2NeJS diff --git a/cpld/XC95144XL/xst/work/vlg79/_i_o_b_s.bin b/cpld/XC95144XL/xst/work/vlg79/_i_o_b_s.bin index f27c82a72d499d6e7a45c8883690a62f39b53c04..955d13fda0b18ecdb3e05e8a10cb98b69c074255 100644 GIT binary patch delta 16 XcmZ3Mvn*#rAQ!V$&ZNyDT$i)~I(i0q delta 16 XcmZ3Mvn*#rAQ!XoVX4g_T$i)~InxGF