From f6ac67ba30226c316306b08781bcc193f2ab9b41 Mon Sep 17 00:00:00 2001 From: Zane Kaminski Date: Sun, 9 Apr 2023 23:32:44 -0400 Subject: [PATCH] Works. IOPWReady eliminated --- cpld/XC95144XL/WarpSE.cmd_log | 6 + cpld/XC95144XL/WarpSE.data | 1329 ++++ cpld/XC95144XL/WarpSE.gise | 16 +- cpld/XC95144XL/WarpSE.gyd | 48 +- cpld/XC95144XL/WarpSE.jed | 2330 +++--- cpld/XC95144XL/WarpSE.mfd | 1003 +-- cpld/XC95144XL/WarpSE.mod | 223 + cpld/XC95144XL/WarpSE.nga | 2 +- cpld/XC95144XL/WarpSE.ngc | 2 +- cpld/XC95144XL/WarpSE.ngd | 2 +- cpld/XC95144XL/WarpSE.ngr | 2 +- cpld/XC95144XL/WarpSE.pad | 2 +- cpld/XC95144XL/WarpSE.rpt | 501 +- cpld/XC95144XL/WarpSE.syr | 32 +- cpld/XC95144XL/WarpSE.tim | 1077 +++ cpld/XC95144XL/WarpSE.vm6 | 7904 +++++++++---------- cpld/XC95144XL/WarpSE.xml | 2 +- cpld/XC95144XL/WarpSE_ngdbuild.xrpt | 14 +- cpld/XC95144XL/WarpSE_pad.csv | 2 +- cpld/XC95144XL/WarpSE_xst.xrpt | 20 +- cpld/XC95144XL/_ngo/netlist.lst | 2 +- cpld/XC95144XL/iseconfig/WarpSE.xreport | 4 +- cpld/XC95144XL/webtalk_pn.xml | 4 +- cpld/XC95144XL/xlnx_auto_0_xdb/cst.xbcd | Bin 5187 -> 5186 bytes cpld/XC95144XL/xst/work/hdllib.ref | 14 +- cpld/XC95144XL/xst/work/vlg14/_r_a_m.bin | Bin 21297 -> 21297 bytes cpld/XC95144XL/xst/work/vlg22/_c_s.bin | Bin 13206 -> 12861 bytes cpld/XC95144XL/xst/work/vlg37/_f_s_b.bin | Bin 5100 -> 5204 bytes cpld/XC95144XL/xst/work/vlg52/_warp_s_e.bin | Bin 20062 -> 20062 bytes cpld/XC95144XL/xst/work/vlg65/_c_n_t.bin | Bin 10687 -> 10647 bytes cpld/XC95144XL/xst/work/vlg73/_i_o_b_m.bin | Bin 17217 -> 17217 bytes cpld/XC95144XL/xst/work/vlg79/_i_o_b_s.bin | Bin 14198 -> 13862 bytes 32 files changed, 8507 insertions(+), 6034 deletions(-) create mode 100644 cpld/XC95144XL/WarpSE.data create mode 100644 cpld/XC95144XL/WarpSE.mod diff --git a/cpld/XC95144XL/WarpSE.cmd_log b/cpld/XC95144XL/WarpSE.cmd_log index 2c95150..0e6b217 100644 --- a/cpld/XC95144XL/WarpSE.cmd_log +++ b/cpld/XC95144XL/WarpSE.cmd_log @@ -660,3 +660,9 @@ XSLTProcess WarpSE_build.xml tsim -intstyle ise WarpSE WarpSE.nga hprep6 -s IEEE1149 -n WarpSE -i WarpSE taengine -intstyle ise -f WarpSE -w --format html1 -l WarpSE_html/tim/timing_report.htm +xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd +tsim -intstyle ise WarpSE WarpSE.nga +hprep6 -s IEEE1149 -n WarpSE -i WarpSE +taengine -intstyle ise -f WarpSE -l WarpSE.tim -e {C:\Users\Wolf\Documents\GitHub\Warp-SE\cpld\XC95144XL\taengine.err} diff --git a/cpld/XC95144XL/WarpSE.data b/cpld/XC95144XL/WarpSE.data new file mode 100644 index 0000000..e108a0b --- /dev/null +++ b/cpld/XC95144XL/WarpSE.data @@ -0,0 +1,1329 @@ +MODELDATA +MODELDATA_VERSION "v1998.8" +DESIGN "WarpSE"; + +/* port drive, load, max capacitance and max transition in data file */ +PORTDATA +A_FSB<23>: MAXTRANS(0.0); +A_FSB<22>: MAXTRANS(0.0); +A_FSB<21>: MAXTRANS(0.0); +A_FSB<20>: MAXTRANS(0.0); +A_FSB<19>: MAXTRANS(0.0); +A_FSB<18>: MAXTRANS(0.0); +A_FSB<17>: MAXTRANS(0.0); +A_FSB<16>: MAXTRANS(0.0); +A_FSB<15>: MAXTRANS(0.0); +A_FSB<13>: MAXTRANS(0.0); +A_FSB<12>: MAXTRANS(0.0); +C8M: MAXTRANS(0.0); +C16M: MAXTRANS(0.0); +A_FSB<14>: MAXTRANS(0.0); +A_FSB<11>: MAXTRANS(0.0); +A_FSB<10>: MAXTRANS(0.0); +FCLK: MAXTRANS(0.0); +nWE_FSB: MAXTRANS(0.0); +nAS_FSB: MAXTRANS(0.0); +A_FSB<8>: MAXTRANS(0.0); +A_FSB<9>: MAXTRANS(0.0); +nBERR_IOB: MAXTRANS(0.0); +nDTACK_IOB: MAXTRANS(0.0); +nLDS_FSB: MAXTRANS(0.0); +nUDS_FSB: MAXTRANS(0.0); +E: MAXTRANS(0.0); +nIPL2: MAXTRANS(0.0); +nVPA_IOB: MAXTRANS(0.0); +A_FSB<1>: MAXTRANS(0.0); +A_FSB<7>: MAXTRANS(0.0); +A_FSB<2>: MAXTRANS(0.0); +A_FSB<3>: MAXTRANS(0.0); +A_FSB<4>: MAXTRANS(0.0); +A_FSB<5>: MAXTRANS(0.0); +A_FSB<6>: MAXTRANS(0.0); +nRES: MAXTRANS(0.0); +nVMA_IOB: MAXTRANS(0.0); +nAS_IOB: MAXTRANS(0.0); +nLDS_IOB: MAXTRANS(0.0); +nUDS_IOB: MAXTRANS(0.0); +nBERR_FSB: MAXTRANS(0.0); +nVPA_FSB: MAXTRANS(0.0); +nRAS: MAXTRANS(0.0); +nBR_IOB: MAXTRANS(0.0); +RA<3>: MAXTRANS(0.0); +RA<0>: MAXTRANS(0.0); +RA<10>: MAXTRANS(0.0); +RA<1>: MAXTRANS(0.0); +RA<2>: MAXTRANS(0.0); +RA<4>: MAXTRANS(0.0); +RA<5>: MAXTRANS(0.0); +RA<6>: MAXTRANS(0.0); +RA<7>: MAXTRANS(0.0); +RA<8>: MAXTRANS(0.0); +RA<9>: MAXTRANS(0.0); +nOE: MAXTRANS(0.0); +nROMWE: MAXTRANS(0.0); +nADoutLE0: MAXTRANS(0.0); +nCAS: MAXTRANS(0.0); +nDTACK_FSB: MAXTRANS(0.0); +nDinLE: MAXTRANS(0.0); +RA<11>: MAXTRANS(0.0); +nADoutLE1: MAXTRANS(0.0); +nAoutOE: MAXTRANS(0.0); +nDinOE: MAXTRANS(0.0); +nDoutOE: MAXTRANS(0.0); +nRAMLWE: MAXTRANS(0.0); +nRAMUWE: MAXTRANS(0.0); +nROMCS: MAXTRANS(0.0); +C25MEN: MAXTRANS(0.0); +ENDPORTDATA + +/* timing arc data */ +TIMINGDATA + +ARCDATA +A_FSB<1>_RA<0>_delay: +CELL_RISE(scalar) { + VALUES("10"); +} +CELL_FALL(scalar) { + VALUES("10"); +} +ENDARCDATA + +ARCDATA +A_FSB<9>_RA<0>_delay: +CELL_RISE(scalar) { + VALUES("10"); +} +CELL_FALL(scalar) { + VALUES("10"); +} +ENDARCDATA + +ARCDATA +A_FSB<7>_RA<10>_delay: +CELL_RISE(scalar) { + VALUES("10"); +} +CELL_FALL(scalar) { + VALUES("10"); +} +ENDARCDATA + +ARCDATA +A_FSB<17>_RA<10>_delay: +CELL_RISE(scalar) { + VALUES("10"); +} +CELL_FALL(scalar) { + VALUES("10"); +} +ENDARCDATA + +ARCDATA +A_FSB<20>_RA<11>_delay: +CELL_RISE(scalar) { + VALUES("11"); +} +CELL_FALL(scalar) { + VALUES("11"); +} +ENDARCDATA + +ARCDATA +A_FSB<19>_RA<11>_delay: +CELL_RISE(scalar) { + VALUES("11"); +} +CELL_FALL(scalar) { + VALUES("11"); +} +ENDARCDATA + +ARCDATA +A_FSB<10>_RA<1>_delay: +CELL_RISE(scalar) { + VALUES("10"); +} +CELL_FALL(scalar) { + VALUES("10"); +} +ENDARCDATA + +ARCDATA +A_FSB<2>_RA<1>_delay: +CELL_RISE(scalar) { + VALUES("10"); +} +CELL_FALL(scalar) { + VALUES("10"); +} +ENDARCDATA + +ARCDATA +A_FSB<16>_RA<2>_delay: +CELL_RISE(scalar) { + VALUES("10"); +} +CELL_FALL(scalar) { + VALUES("10"); +} +ENDARCDATA + +ARCDATA +A_FSB<7>_RA<2>_delay: +CELL_RISE(scalar) { + VALUES("10"); +} +CELL_FALL(scalar) { + VALUES("10"); +} +ENDARCDATA + +ARCDATA +A_FSB<19>_RA<3>_delay: +CELL_RISE(scalar) { + VALUES("10"); +} +CELL_FALL(scalar) { + VALUES("10"); +} +ENDARCDATA + +ARCDATA +A_FSB<20>_RA<3>_delay: +CELL_RISE(scalar) { + VALUES("10"); +} +CELL_FALL(scalar) { + VALUES("10"); +} +ENDARCDATA + +ARCDATA +A_FSB<11>_RA<4>_delay: +CELL_RISE(scalar) { + VALUES("10"); +} +CELL_FALL(scalar) { + VALUES("10"); +} +ENDARCDATA + +ARCDATA +A_FSB<3>_RA<4>_delay: +CELL_RISE(scalar) { + VALUES("10"); +} +CELL_FALL(scalar) { + VALUES("10"); +} +ENDARCDATA + +ARCDATA +A_FSB<12>_RA<5>_delay: +CELL_RISE(scalar) { + VALUES("10"); +} +CELL_FALL(scalar) { + VALUES("10"); +} +ENDARCDATA + +ARCDATA +A_FSB<4>_RA<5>_delay: +CELL_RISE(scalar) { + VALUES("10"); +} +CELL_FALL(scalar) { + VALUES("10"); +} +ENDARCDATA + +ARCDATA +A_FSB<5>_RA<6>_delay: +CELL_RISE(scalar) { + VALUES("10"); +} +CELL_FALL(scalar) { + VALUES("10"); +} +ENDARCDATA + +ARCDATA +A_FSB<13>_RA<6>_delay: +CELL_RISE(scalar) { + VALUES("10"); +} +CELL_FALL(scalar) { + VALUES("10"); +} +ENDARCDATA + +ARCDATA +A_FSB<6>_RA<7>_delay: +CELL_RISE(scalar) { + VALUES("10"); +} +CELL_FALL(scalar) { + VALUES("10"); +} +ENDARCDATA + +ARCDATA +A_FSB<14>_RA<7>_delay: +CELL_RISE(scalar) { + VALUES("10"); +} +CELL_FALL(scalar) { + VALUES("10"); +} +ENDARCDATA + +ARCDATA +A_FSB<18>_RA<8>_delay: +CELL_RISE(scalar) { + VALUES("10"); +} +CELL_FALL(scalar) { + VALUES("10"); +} +ENDARCDATA + +ARCDATA +A_FSB<21>_RA<8>_delay: +CELL_RISE(scalar) { + VALUES("10"); +} +CELL_FALL(scalar) { + VALUES("10"); +} +ENDARCDATA + +ARCDATA +A_FSB<15>_RA<9>_delay: +CELL_RISE(scalar) { + VALUES("10"); +} +CELL_FALL(scalar) { + VALUES("10"); +} +ENDARCDATA + +ARCDATA +A_FSB<8>_RA<9>_delay: +CELL_RISE(scalar) { + VALUES("10"); +} +CELL_FALL(scalar) { + VALUES("10"); +} +ENDARCDATA + +ARCDATA +A_FSB<23>_nDinOE_delay: +CELL_RISE(scalar) { + VALUES("10"); +} +CELL_FALL(scalar) { + VALUES("10"); +} +ENDARCDATA + +ARCDATA +nAS_FSB_nDinOE_delay: +CELL_RISE(scalar) { + VALUES("10"); +} +CELL_FALL(scalar) { + VALUES("10"); +} +ENDARCDATA + +ARCDATA +A_FSB<20>_nDinOE_delay: +CELL_RISE(scalar) { + VALUES("10"); +} +CELL_FALL(scalar) { + VALUES("10"); +} +ENDARCDATA + +ARCDATA +A_FSB<22>_nDinOE_delay: +CELL_RISE(scalar) { + VALUES("10"); +} +CELL_FALL(scalar) { + VALUES("10"); +} +ENDARCDATA + +ARCDATA +nWE_FSB_nDinOE_delay: +CELL_RISE(scalar) { + VALUES("10"); +} +CELL_FALL(scalar) { + VALUES("10"); +} +ENDARCDATA + +ARCDATA +A_FSB<21>_nDinOE_delay: +CELL_RISE(scalar) { + VALUES("10"); +} +CELL_FALL(scalar) { + VALUES("10"); +} +ENDARCDATA + +ARCDATA +nWE_FSB_nOE_delay: +CELL_RISE(scalar) { + VALUES("10"); +} +CELL_FALL(scalar) { + VALUES("10"); +} +ENDARCDATA + +ARCDATA +nAS_FSB_nOE_delay: +CELL_RISE(scalar) { + VALUES("10"); +} +CELL_FALL(scalar) { + VALUES("10"); +} +ENDARCDATA + +ARCDATA +nLDS_FSB_nRAMLWE_delay: +CELL_RISE(scalar) { + VALUES("10"); +} +CELL_FALL(scalar) { + VALUES("10"); +} +ENDARCDATA + +ARCDATA +nAS_FSB_nRAMLWE_delay: +CELL_RISE(scalar) { + VALUES("10"); +} +CELL_FALL(scalar) { + VALUES("10"); +} +ENDARCDATA + +ARCDATA +nWE_FSB_nRAMLWE_delay: +CELL_RISE(scalar) { + VALUES("10"); +} +CELL_FALL(scalar) { + VALUES("10"); +} +ENDARCDATA + +ARCDATA +nWE_FSB_nRAMUWE_delay: +CELL_RISE(scalar) { + VALUES("11"); +} +CELL_FALL(scalar) { + VALUES("11"); +} +ENDARCDATA + +ARCDATA +nAS_FSB_nRAMUWE_delay: +CELL_RISE(scalar) { + VALUES("11"); +} +CELL_FALL(scalar) { + VALUES("11"); +} +ENDARCDATA + +ARCDATA +nUDS_FSB_nRAMUWE_delay: +CELL_RISE(scalar) { + VALUES("11"); +} +CELL_FALL(scalar) { + VALUES("11"); +} +ENDARCDATA + +ARCDATA +nAS_FSB_nRAS_delay: +CELL_RISE(scalar) { + VALUES("11"); +} +CELL_FALL(scalar) { + VALUES("11"); +} +ENDARCDATA + +ARCDATA +A_FSB<22>_nRAS_delay: +CELL_RISE(scalar) { + VALUES("11"); +} +CELL_FALL(scalar) { + VALUES("11"); +} +ENDARCDATA + +ARCDATA +A_FSB<23>_nRAS_delay: +CELL_RISE(scalar) { + VALUES("11"); +} +CELL_FALL(scalar) { + VALUES("11"); +} +ENDARCDATA + +ARCDATA +A_FSB<22>_nROMCS_delay: +CELL_RISE(scalar) { + VALUES("10"); +} +CELL_FALL(scalar) { + VALUES("10"); +} +ENDARCDATA + +ARCDATA +A_FSB<20>_nROMCS_delay: +CELL_RISE(scalar) { + VALUES("10"); +} +CELL_FALL(scalar) { + VALUES("10"); +} +ENDARCDATA + +ARCDATA +A_FSB<23>_nROMCS_delay: +CELL_RISE(scalar) { + VALUES("10"); +} +CELL_FALL(scalar) { + VALUES("10"); +} +ENDARCDATA + +ARCDATA +A_FSB<21>_nROMCS_delay: +CELL_RISE(scalar) { + VALUES("10"); +} +CELL_FALL(scalar) { + VALUES("10"); +} +ENDARCDATA + +ARCDATA +nWE_FSB_nROMWE_delay: +CELL_RISE(scalar) { + VALUES("10"); +} +CELL_FALL(scalar) { + VALUES("10"); +} +ENDARCDATA + +ARCDATA +nAS_FSB_nROMWE_delay: +CELL_RISE(scalar) { + VALUES("10"); +} +CELL_FALL(scalar) { + VALUES("10"); +} +ENDARCDATA + +ARCDATA +FCLK_nRES_delay: +CELL_RISE(scalar) { + VALUES("14.5"); +} +CELL_FALL(scalar) { + VALUES("14.5"); +} +ENDARCDATA + +ARCDATA +FCLK_nVMA_IOB_delay: +CELL_RISE(scalar) { + VALUES("14.5"); +} +CELL_FALL(scalar) { + VALUES("14.5"); +} +ENDARCDATA + +ARCDATA +FCLK_nAS_IOB_delay: +CELL_RISE(scalar) { + VALUES("14.5"); +} +CELL_FALL(scalar) { + VALUES("14.5"); +} +ENDARCDATA + +ARCDATA +FCLK_nLDS_IOB_delay: +CELL_RISE(scalar) { + VALUES("14.5"); +} +CELL_FALL(scalar) { + VALUES("14.5"); +} +ENDARCDATA + +ARCDATA +FCLK_nUDS_IOB_delay: +CELL_RISE(scalar) { + VALUES("14.5"); +} +CELL_FALL(scalar) { + VALUES("14.5"); +} +ENDARCDATA + +ARCDATA +FCLK_nBERR_FSB_delay: +CELL_RISE(scalar) { + VALUES("5.8"); +} +CELL_FALL(scalar) { + VALUES("5.8"); +} +ENDARCDATA + +ARCDATA +FCLK_nVPA_FSB_delay: +CELL_RISE(scalar) { + VALUES("5.8"); +} +CELL_FALL(scalar) { + VALUES("5.8"); +} +ENDARCDATA + +ARCDATA +FCLK_nRAS_delay: +CELL_RISE(scalar) { + VALUES("14.5"); +} +CELL_FALL(scalar) { + VALUES("14.5"); +} +ENDARCDATA + +ARCDATA +FCLK_nBR_IOB_delay: +CELL_RISE(scalar) { + VALUES("5.8"); +} +CELL_FALL(scalar) { + VALUES("5.8"); +} +ENDARCDATA + +ARCDATA +FCLK_RA<3>_delay: +CELL_RISE(scalar) { + VALUES("13.5"); +} +CELL_FALL(scalar) { + VALUES("13.5"); +} +ENDARCDATA + +ARCDATA +FCLK_RA<0>_delay: +CELL_RISE(scalar) { + VALUES("13.5"); +} +CELL_FALL(scalar) { + VALUES("13.5"); +} +ENDARCDATA + +ARCDATA +FCLK_RA<10>_delay: +CELL_RISE(scalar) { + VALUES("13.5"); +} +CELL_FALL(scalar) { + VALUES("13.5"); +} +ENDARCDATA + +ARCDATA +FCLK_RA<1>_delay: +CELL_RISE(scalar) { + VALUES("13.5"); +} +CELL_FALL(scalar) { + VALUES("13.5"); +} +ENDARCDATA + +ARCDATA +FCLK_RA<2>_delay: +CELL_RISE(scalar) { + VALUES("13.5"); +} +CELL_FALL(scalar) { + VALUES("13.5"); +} +ENDARCDATA + +ARCDATA +FCLK_RA<4>_delay: +CELL_RISE(scalar) { + VALUES("13.5"); +} +CELL_FALL(scalar) { + VALUES("13.5"); +} +ENDARCDATA + +ARCDATA +FCLK_RA<5>_delay: +CELL_RISE(scalar) { + VALUES("13.5"); +} +CELL_FALL(scalar) { + VALUES("13.5"); +} +ENDARCDATA + +ARCDATA +FCLK_RA<6>_delay: +CELL_RISE(scalar) { + VALUES("13.5"); +} +CELL_FALL(scalar) { + VALUES("13.5"); +} +ENDARCDATA + +ARCDATA +FCLK_RA<7>_delay: +CELL_RISE(scalar) { + VALUES("13.5"); +} +CELL_FALL(scalar) { + VALUES("13.5"); +} +ENDARCDATA + +ARCDATA +FCLK_RA<8>_delay: +CELL_RISE(scalar) { + VALUES("13.5"); +} +CELL_FALL(scalar) { + VALUES("13.5"); +} +ENDARCDATA + +ARCDATA +FCLK_RA<9>_delay: +CELL_RISE(scalar) { + VALUES("13.5"); +} +CELL_FALL(scalar) { + VALUES("13.5"); +} +ENDARCDATA + +ARCDATA +FCLK_nADoutLE0_delay: +CELL_RISE(scalar) { + VALUES("13.5"); +} +CELL_FALL(scalar) { + VALUES("13.5"); +} +ENDARCDATA + +ARCDATA +FCLK_nCAS_delay: +CELL_RISE(scalar) { + VALUES("5.8"); +} +CELL_FALL(scalar) { + VALUES("5.8"); +} +ENDARCDATA + +ARCDATA +FCLK_nDTACK_FSB_delay: +CELL_RISE(scalar) { + VALUES("5.8"); +} +CELL_FALL(scalar) { + VALUES("5.8"); +} +ENDARCDATA + +ARCDATA +FCLK_RA<11>_delay: +CELL_RISE(scalar) { + VALUES("14.5"); +} +CELL_FALL(scalar) { + VALUES("14.5"); +} +ENDARCDATA + +ARCDATA +FCLK_nADoutLE1_delay: +CELL_RISE(scalar) { + VALUES("5.8"); +} +CELL_FALL(scalar) { + VALUES("5.8"); +} +ENDARCDATA + +ARCDATA +FCLK_nAoutOE_delay: +CELL_RISE(scalar) { + VALUES("5.8"); +} +CELL_FALL(scalar) { + VALUES("5.8"); +} +ENDARCDATA + +ARCDATA +FCLK_nDoutOE_delay: +CELL_RISE(scalar) { + VALUES("13.5"); +} +CELL_FALL(scalar) { + VALUES("13.5"); +} +ENDARCDATA + +ARCDATA +FCLK_nRAMLWE_delay: +CELL_RISE(scalar) { + VALUES("13.5"); +} +CELL_FALL(scalar) { + VALUES("13.5"); +} +ENDARCDATA + +ARCDATA +FCLK_nRAMUWE_delay: +CELL_RISE(scalar) { + VALUES("14.5"); +} +CELL_FALL(scalar) { + VALUES("14.5"); +} +ENDARCDATA + +ARCDATA +FCLK_nROMCS_delay: +CELL_RISE(scalar) { + VALUES("13.5"); +} +CELL_FALL(scalar) { + VALUES("13.5"); +} +ENDARCDATA + +ARCDATA +C16M_nAS_IOB_delay: +CELL_RISE(scalar) { + VALUES("5.8"); +} +CELL_FALL(scalar) { + VALUES("5.8"); +} +ENDARCDATA + +ARCDATA +C16M_nLDS_IOB_delay: +CELL_RISE(scalar) { + VALUES("5.8"); +} +CELL_FALL(scalar) { + VALUES("5.8"); +} +ENDARCDATA + +ARCDATA +C16M_nUDS_IOB_delay: +CELL_RISE(scalar) { + VALUES("5.8"); +} +CELL_FALL(scalar) { + VALUES("5.8"); +} +ENDARCDATA + +ARCDATA +C16M_nADoutLE0_delay: +CELL_RISE(scalar) { + VALUES("13.5"); +} +CELL_FALL(scalar) { + VALUES("13.5"); +} +ENDARCDATA + +ARCDATA +C16M_nDinLE_delay: +CELL_RISE(scalar) { + VALUES("5.8"); +} +CELL_FALL(scalar) { + VALUES("5.8"); +} +ENDARCDATA + +ARCDATA +C16M_nDoutOE_delay: +CELL_RISE(scalar) { + VALUES("13.5"); +} +CELL_FALL(scalar) { + VALUES("13.5"); +} +ENDARCDATA + +ARCDATA +C8M_nVMA_IOB_delay: +CELL_RISE(scalar) { + VALUES("5.8"); +} +CELL_FALL(scalar) { + VALUES("5.8"); +} +ENDARCDATA + +ARCDATA +A_FSB<10>_FCLK_setup: +CONSTRAINT(scalar) { + VALUES("19.6"); +} +ENDARCDATA + +ARCDATA +A_FSB<11>_FCLK_setup: +CONSTRAINT(scalar) { + VALUES("19.6"); +} +ENDARCDATA + +ARCDATA +A_FSB<12>_FCLK_setup: +CONSTRAINT(scalar) { + VALUES("19.6"); +} +ENDARCDATA + +ARCDATA +A_FSB<13>_FCLK_setup: +CONSTRAINT(scalar) { + VALUES("19.6"); +} +ENDARCDATA + +ARCDATA +A_FSB<14>_FCLK_setup: +CONSTRAINT(scalar) { + VALUES("19.6"); +} +ENDARCDATA + +ARCDATA +A_FSB<15>_FCLK_setup: +CONSTRAINT(scalar) { + VALUES("19.6"); +} +ENDARCDATA + +ARCDATA +A_FSB<16>_FCLK_setup: +CONSTRAINT(scalar) { + VALUES("19.6"); +} +ENDARCDATA + +ARCDATA +A_FSB<17>_FCLK_setup: +CONSTRAINT(scalar) { + VALUES("19.6"); +} +ENDARCDATA + +ARCDATA +A_FSB<18>_FCLK_setup: +CONSTRAINT(scalar) { + VALUES("19.6"); +} +ENDARCDATA + +ARCDATA +A_FSB<19>_FCLK_setup: +CONSTRAINT(scalar) { + VALUES("19.6"); +} +ENDARCDATA + +ARCDATA +A_FSB<20>_FCLK_setup: +CONSTRAINT(scalar) { + VALUES("19.6"); +} +ENDARCDATA + +ARCDATA +A_FSB<21>_FCLK_setup: +CONSTRAINT(scalar) { + VALUES("19.6"); +} +ENDARCDATA + +ARCDATA +A_FSB<22>_FCLK_setup: +CONSTRAINT(scalar) { + VALUES("19.6"); +} +ENDARCDATA + +ARCDATA +A_FSB<23>_FCLK_setup: +CONSTRAINT(scalar) { + VALUES("19.6"); +} +ENDARCDATA + +ARCDATA +A_FSB<8>_FCLK_setup: +CONSTRAINT(scalar) { + VALUES("19.6"); +} +ENDARCDATA + +ARCDATA +A_FSB<9>_FCLK_setup: +CONSTRAINT(scalar) { + VALUES("19.6"); +} +ENDARCDATA + +ARCDATA +E_FCLK_setup: +CONSTRAINT(scalar) { + VALUES("9.5"); +} +ENDARCDATA + +ARCDATA +nAS_FSB_FCLK_setup: +CONSTRAINT(scalar) { + VALUES("19.6"); +} +ENDARCDATA + +ARCDATA +nIPL2_FCLK_setup: +CONSTRAINT(scalar) { + VALUES("9.5"); +} +ENDARCDATA + +ARCDATA +nLDS_FSB_FCLK_setup: +CONSTRAINT(scalar) { + VALUES("9.5"); +} +ENDARCDATA + +ARCDATA +nRES_FCLK_setup: +CONSTRAINT(scalar) { + VALUES("9.5"); +} +ENDARCDATA + +ARCDATA +nUDS_FSB_FCLK_setup: +CONSTRAINT(scalar) { + VALUES("9.5"); +} +ENDARCDATA + +ARCDATA +nWE_FSB_FCLK_setup: +CONSTRAINT(scalar) { + VALUES("19.6"); +} +ENDARCDATA + +ARCDATA +A_FSB<10>_FCLK_hold: +CONSTRAINT(scalar) { + VALUES("-13.1"); +} +ENDARCDATA + +ARCDATA +A_FSB<11>_FCLK_hold: +CONSTRAINT(scalar) { + VALUES("-13.1"); +} +ENDARCDATA + +ARCDATA +A_FSB<12>_FCLK_hold: +CONSTRAINT(scalar) { + VALUES("-13.1"); +} +ENDARCDATA + +ARCDATA +A_FSB<13>_FCLK_hold: +CONSTRAINT(scalar) { + VALUES("-13.1"); +} +ENDARCDATA + +ARCDATA +A_FSB<14>_FCLK_hold: +CONSTRAINT(scalar) { + VALUES("-13.1"); +} +ENDARCDATA + +ARCDATA +A_FSB<15>_FCLK_hold: +CONSTRAINT(scalar) { + VALUES("-13.1"); +} +ENDARCDATA + +ARCDATA +A_FSB<16>_FCLK_hold: +CONSTRAINT(scalar) { + VALUES("-13.1"); +} +ENDARCDATA + +ARCDATA +A_FSB<17>_FCLK_hold: +CONSTRAINT(scalar) { + VALUES("-13.1"); +} +ENDARCDATA + +ARCDATA +A_FSB<18>_FCLK_hold: +CONSTRAINT(scalar) { + VALUES("-13.1"); +} +ENDARCDATA + +ARCDATA +A_FSB<19>_FCLK_hold: +CONSTRAINT(scalar) { + VALUES("-13.1"); +} +ENDARCDATA + +ARCDATA +A_FSB<20>_FCLK_hold: +CONSTRAINT(scalar) { + VALUES("-13.1"); +} +ENDARCDATA + +ARCDATA +A_FSB<21>_FCLK_hold: +CONSTRAINT(scalar) { + VALUES("-13.1"); +} +ENDARCDATA + +ARCDATA +A_FSB<22>_FCLK_hold: +CONSTRAINT(scalar) { + VALUES("-13.1"); +} +ENDARCDATA + +ARCDATA +A_FSB<23>_FCLK_hold: +CONSTRAINT(scalar) { + VALUES("-13.1"); +} +ENDARCDATA + +ARCDATA +A_FSB<8>_FCLK_hold: +CONSTRAINT(scalar) { + VALUES("-13.1"); +} +ENDARCDATA + +ARCDATA +A_FSB<9>_FCLK_hold: +CONSTRAINT(scalar) { + VALUES("-13.1"); +} +ENDARCDATA + +ARCDATA +E_FCLK_hold: +CONSTRAINT(scalar) { + VALUES("-3"); +} +ENDARCDATA + +ARCDATA +nAS_FSB_FCLK_hold: +CONSTRAINT(scalar) { + VALUES("-13.1"); +} +ENDARCDATA + +ARCDATA +nIPL2_FCLK_hold: +CONSTRAINT(scalar) { + VALUES("-3"); +} +ENDARCDATA + +ARCDATA +nLDS_FSB_FCLK_hold: +CONSTRAINT(scalar) { + VALUES("-3"); +} +ENDARCDATA + +ARCDATA +nRES_FCLK_hold: +CONSTRAINT(scalar) { + VALUES("-3"); +} +ENDARCDATA + +ARCDATA +nUDS_FSB_FCLK_hold: +CONSTRAINT(scalar) { + VALUES("-3"); +} +ENDARCDATA + +ARCDATA +nWE_FSB_FCLK_hold: +CONSTRAINT(scalar) { + VALUES("-13.1"); +} +ENDARCDATA + +ARCDATA +C8M_C16M_setup: +CONSTRAINT(scalar) { + VALUES("9.5"); +} +ENDARCDATA + +ARCDATA +C8M_C16M_hold: +CONSTRAINT(scalar) { + VALUES("-3"); +} +ENDARCDATA + +ARCDATA +E_C8M_setup: +CONSTRAINT(scalar) { + VALUES("9.5"); +} +ENDARCDATA + +ARCDATA +nBERR_IOB_C8M_setup: +CONSTRAINT(scalar) { + VALUES("9.5"); +} +ENDARCDATA + +ARCDATA +nDTACK_IOB_C8M_setup: +CONSTRAINT(scalar) { + VALUES("9.5"); +} +ENDARCDATA + +ARCDATA +nRES_C8M_setup: +CONSTRAINT(scalar) { + VALUES("9.5"); +} +ENDARCDATA + +ARCDATA +nVPA_IOB_C8M_setup: +CONSTRAINT(scalar) { + VALUES("9.5"); +} +ENDARCDATA + +ARCDATA +E_C8M_hold: +CONSTRAINT(scalar) { + VALUES("-3"); +} +ENDARCDATA + +ARCDATA +nBERR_IOB_C8M_hold: +CONSTRAINT(scalar) { + VALUES("-3"); +} +ENDARCDATA + +ARCDATA +nDTACK_IOB_C8M_hold: +CONSTRAINT(scalar) { + VALUES("-3"); +} +ENDARCDATA + +ARCDATA +nRES_C8M_hold: +CONSTRAINT(scalar) { + VALUES("-3"); +} +ENDARCDATA + +ARCDATA +nVPA_IOB_C8M_hold: +CONSTRAINT(scalar) { + VALUES("-3"); +} +ENDARCDATA + +ENDTIMINGDATA +ENDMODELDATA diff --git a/cpld/XC95144XL/WarpSE.gise b/cpld/XC95144XL/WarpSE.gise index 74f7a5e..25e7848 100644 --- a/cpld/XC95144XL/WarpSE.gise +++ b/cpld/XC95144XL/WarpSE.gise @@ -59,15 +59,15 @@ - + - + - + @@ -83,11 +83,11 @@ - + - + @@ -96,7 +96,7 @@ - + @@ -114,12 +114,12 @@ - + - + diff --git a/cpld/XC95144XL/WarpSE.gyd b/cpld/XC95144XL/WarpSE.gyd index d4cf90c..b50dc84 100644 --- a/cpld/XC95144XL/WarpSE.gyd +++ b/cpld/XC95144XL/WarpSE.gyd @@ -76,31 +76,31 @@ nVPA_FSB S:PIN93 ;The remaining section of the .gyd file is for documentation purposes only. ;It shows where your internal equations were placed in the last successful fit. -PARTITION FB1_1 iobs/Clear1 iobm/Er cnt/nIPL2r cnt/Er<1> - cnt/Er<0> ram/RS_FSM_FFd4 iobs/TS_FSM_FFd1 iobs/IOU1 - cnt/Timer<0> cnt/IS_FSM_FFd2 RefUrg RefReq - IOPWReady IOBERR iobm/ES<2> cnt/Timer<1> +PARTITION FB1_1 ram/RS_FSM_FFd2 ram/RS_FSM_FFd1 iobm/Er cnt/Er<1> + cnt/Er<0> ram/RefDone ram/RS_FSM_FFd4 iobs/TS_FSM_FFd1 + iobs/IOU1 iobs/IOL1 cnt/Timer<0> cnt/IS_FSM_FFd2 + RefUrg RefReq iobm/ES<2> cnt/Timer<1> cnt/Timer<3> cnt/Timer<2> -PARTITION FB2_4 ram/RS_FSM_FFd5 ram/RS_FSM_FFd3 ram/RS_FSM_FFd2 ram/RS_FSM_FFd1 - ram/RASrf iobs/IODONEr iobs/IOACTr iobm/VPAr - iobm/IOWRREQr iobm/IOS_FSM_FFd5 iobm/IOS_FSM_FFd4 iobm/IOS_FSM_FFd1 - iobm/IORDREQr iobm/C8Mr iobm/IOS_FSM_FFd2 -PARTITION FB3_1 iobs/Sent ram/RefDone ram/RS_FSM_FFd7 cs/nOverlay - ram/RS_FSM_FFd6 ram/RASrr ram/RASEL ram/Once +PARTITION FB2_4 ram/RS_FSM_FFd5 ram/RS_FSM_FFd3 ram/RASrf iobs/IODONEr + iobs/IOACTr iobm/VPAr iobm/IOWRREQr iobm/IOS_FSM_FFd5 + iobm/IOS_FSM_FFd4 iobm/IOS_FSM_FFd1 iobm/IORDREQr iobm/C8Mr + cnt/nIPL2r iobm/IOS_FSM_FFd2 IOBERR +PARTITION FB3_1 iobs/Sent iobs/Clear1 ram/RS_FSM_FFd7 ram/RS_FSM_FFd6 + ram/RASEL ram/RASrr ram/Once cs/nOverlay nDTACK_FSB_OBUF RAMReady ram/RS_FSM_FFd8 ram/RAMEN EXP10_ ram/CAS iobs/Load1 IORDREQ nROMWE_OBUF EXP11_ -PARTITION FB4_1 QoSReady nAoutOE_OBUF fsb/ASrf cnt/WS<0> - nDoutOE_OBUF nDinOE_OBUF $OpTx$$OpTx$FX_DC$354_INV$541 N0 - iobs/IOL1 cs/ODCSr nVPA_FSB_OBUF cnt/WS<2> - cnt/WS<1> IONPReady cnt/LTimer<1> cnt/WS<3> - nRESout EXP12_ -PARTITION FB5_1 EXP13_ nROMCS_OBUF +PARTITION FB4_1 QoSReady nAoutOE_OBUF nRESout fsb/ASrf + nDoutOE_OBUF nDinOE_OBUF cnt/WS<0> N0 + ALE0S $OpTx$$OpTx$FX_DC$354_INV$541 nVPA_FSB_OBUF cnt/WS<3> + cnt/WS<2> cnt/WS<1> IONPReady EXP12_ + cnt/LTimer<1> EXP13_ +PARTITION FB5_1 EXP14_ nROMCS_OBUF PARTITION FB5_5 nCAS_OBUF nOE_OBUF PARTITION FB5_9 RA_4_OBUF -PARTITION FB5_11 RA_11_OBUF RA_5_OBUF cnt/LTimerTC RA_2_OBUF - RA_6_OBUF ALE0S EXP14_ cnt/LTimer<0> - +PARTITION FB5_11 RA_11_OBUF RA_5_OBUF +PARTITION FB5_14 RA_2_OBUF RA_6_OBUF cnt/LTimerTC EXP15_ + cnt/LTimer<0> PARTITION FB6_1 iobm/IOS_FSM_FFd6 nVMA_IOBout iobm/IOS_FSM_FFd7 iobm/IOS_FSM_FFd3 iobm/ES<0> iobm/ES<3> iobm/ES<1> iobm/DoutOE nLDS_IOBout IODONE nUDS_IOBout nAS_IOBout @@ -111,9 +111,9 @@ PARTITION FB7_1 cnt/LTimer<9> RA_1_OBUF cnt/LTimer<8> cnt/LTimer<7> RA_10_OBUF cnt/LTimer<5> RA_9_OBUF C25MEN_OBUF cnt/LTimer<4> cnt/LTimer<3> cnt/LTimer<2> cnt/LTimer<11> cnt/LTimer<10> cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 -PARTITION FB8_1 IOL0 RA_11_OBUF$BUF0 iobs/TS_FSM_FFd2 EXP15_ - nRAS_OBUF nRAMLWE_OBUF EXP16_ nRAMUWE_OBUF - IOWRREQ EXP17_ EXP18_ nBERR_FSB_OBUF - EXP19_ IOU0 nBR_IOB_OBUF cnt/IS_FSM_FFd1 - iobs/IORW1 EXP20_ +PARTITION FB8_1 IOL0 RA_11_OBUF$BUF0 iobs/TS_FSM_FFd2 EXP16_ + nRAS_OBUF nRAMLWE_OBUF EXP17_ nRAMUWE_OBUF + IOWRREQ EXP18_ EXP19_ nBERR_FSB_OBUF + EXP20_ IOU0 nBR_IOB_OBUF cnt/IS_FSM_FFd1 + iobs/IORW1 EXP21_ diff --git a/cpld/XC95144XL/WarpSE.jed b/cpld/XC95144XL/WarpSE.jed index 6a2f20e..5eb8d77 100644 --- a/cpld/XC95144XL/WarpSE.jed +++ b/cpld/XC95144XL/WarpSE.jed @@ -1,5 +1,5 @@ Programmer Jedec Bit Map -Date Extracted: Sun Apr 09 05:01:53 2023 +Date Extracted: Sun Apr 09 23:31:34 2023 QF93312* QP100* @@ -90,553 +90,553 @@ N PPMAP 153 95* N PPMAP 155 96* N PPMAP 157 97* N PPMAP 160 99* -L0000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0000064 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* -L0000128 00000000 00000000 10000000 00001000 00000000 00000000 00000000 00000000* -L0000192 00000000 00000000 10000000 00000000 00000000 00000000 00000000 10000000* -L0000256 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* +L0000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* +L0000064 00000000 00000000 10000000 00000000 00000000 00000100 00000000 00000000* +L0000128 00000000 00000000 10000000 00000000 00000000 00000100 00000000 00000000* +L0000192 00000000 00000000 10000000 00000000 00000000 00000100 00000000 10000000* +L0000256 00000000 00000000 10000000 00000000 00000000 00001100 00000000 00000000* L0000320 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0000384 00000000 00000000 00000000 00000000 00000000 00001000 00000000 00000000* -L0000448 00000000 00000000 10001000 00000000 00000000 00000000 00000000 00000000* -L0000512 00000000 00000000 10001000 00000000 00000000 00000000 00000000 00000000* -L0000576 000000 000000 100010 000000 000000 000000 000000 000000* -L0000624 000000 000000 000011 000000 000000 000000 000000 000001* -L0000672 000000 000000 000011 000000 000000 000000 000000 000000* -L0000720 000000 000000 000011 000000 000000 000000 000000 000000* -L0000768 000000 000000 000011 000000 000000 000000 000000 000000* +L0000384 00000000 00000000 10000000 00000000 00000000 00100000 00000000 00000000* +L0000448 00000000 00000000 10001000 00001000 00000000 00100000 00000000 00000000* +L0000512 00000000 00000000 10001000 00000000 00000000 00100000 00000000 00000000* +L0000576 000000 000000 000010 000000 000000 000000 000000 000000* +L0000624 000000 000000 000011 000000 000000 000100 000000 000001* +L0000672 010000 000000 000011 000000 000000 000000 000000 000000* +L0000720 000000 000000 000011 000000 000000 000100 000000 000000* +L0000768 000000 000000 000011 000000 000000 000100 000000 000000* L0000816 000000 000000 000011 000000 000000 000000 000000 000000* -L0000864 00000000 00001000 10000000 00000000 00001000 00000000 00000000 00000000* +L0000864 00000000 00000000 10000000 00000000 00000100 00000000 00000000 00000000* L0000928 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10000000* L0000992 00000000 00000000 00000000 00000000 10000000 00000000 10000000 10000000* L0001056 00000000 00000000 00000000 00000000 00000000 00000000 10000000 00000000* L0001120 00000000 00000000 00000100 00000000 00000000 00000000 10000000 00000000* -L0001184 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0001184 10000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0001248 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0001312 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0001376 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* -L0001440 000000 000000 000000 000000 000000 000000 000001 000000* +L0001440 000000 000000 000000 000000 000000 000100 000001 000000* L0001488 000000 000000 000000 000000 000000 000000 000000 000000* L0001536 000000 000000 000000 000000 000000 000000 000000 000000* -L0001584 000000 000000 000000 000000 000000 000000 000001 000000* +L0001584 000000 000000 000000 000000 000000 000001 000001 000000* L0001632 000000 000000 000000 000000 000000 000000 000001 000000* -L0001680 000000 000000 000000 000000 000000 000000 000001 000000* -L0001728 00000000 00000000 00001000 00000000 00000000 00000000 00000000 00000000* -L0001792 00000010 00000000 00001000 00000000 00000000 00000000 00000000 00000000* -L0001856 00000010 00000000 00011000 00000000 00000000 00000000 00000000 00000000* -L0001920 00000010 00000000 00010000 00000000 00000100 00000000 00000000 00000000* -L0001984 00000000 00000000 00000000 00000000 00000100 00000000 00000000 00000000* -L0002048 00000000 00000000 01000000 01000000 00000000 00000000 00000000 00000000* -L0002112 00000000 00000000 11010000 01000000 00000000 00000000 00000000 00000000* -L0002176 00000000 00000000 01010000 00000000 00000000 01000000 00000000 00000000* -L0002240 00000000 00000000 00000000 00000000 10000000 00000000 00000000 01000000* -L0002304 000000 000000 000100 000000 100000 000000 000000 000001* +L0001680 000000 000000 000000 000000 000000 001000 000001 000000* +L0001728 00100000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0001792 00100010 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0001856 00000010 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0001920 00000010 00000000 00000000 00000100 00000100 00000000 10000000 00000000* +L0001984 00000000 00000000 00000000 00000100 00000100 00000000 10000000 00000000* +L0002048 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0002112 00000000 00000000 00000000 00000000 00000000 00001000 00000000 00000000* +L0002176 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0002240 00000000 00000000 00000000 10000000 10000000 00000000 00000000 01000000* +L0002304 000000 000000 000000 000000 100000 000000 000001 000001* L0002352 000000 000000 000000 000000 000000 000000 000000 000000* -L0002400 010000 000000 000000 100000 000000 000000 000000 000000* -L0002448 000000 000000 000000 000000 000000 010000 000000 000000* -L0002496 000000 000000 000000 000000 000000 000000 000000 000100* -L0002544 000000 000000 000100 000000 000000 000000 000000 000000* +L0002400 000000 000000 000000 000000 000000 000000 000000 000000* +L0002448 000000 000000 000000 000000 000000 000000 000000 000000* +L0002496 000000 000000 000000 000010 000000 000000 000000 000100* +L0002544 000000 000000 000000 000010 000000 000000 000001 000000* L0002592 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0002656 00000000 00000000 00000000 00000000 00000100 00000000 00000000 00000000* -L0002720 00000000 00000000 00000000 00000000 00000100 00100000 00000000 00000000* +L0002656 00000000 00000000 00000000 00000100 00000100 00000000 00000000 00000000* +L0002720 00000000 00000000 00000000 00000100 00000100 00000000 10000000 00000000* L0002784 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00010100* L0002848 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00010100* -L0002912 00000000 00000000 00000000 00000000 00000000 01000000 00000000 00000000* +L0002912 00000000 00000000 00000000 00000000 00000000 00000000 01000000 00000000* L0002976 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0003040 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000100* -L0003104 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* -L0003168 000000 000000 000000 000000 000000 000000 000000 000000* -L0003216 000000 000000 000000 000000 000000 010000 000000 000000* -L0003264 000000 000000 000000 000000 000000 000000 000000 000000* -L0003312 000000 000000 000000 000000 000000 000000 000000 000000* -L0003360 000000 000000 000000 000000 000000 000000 000000 000000* -L0003408 000000 000000 000000 000000 000000 000000 000000 000000* -L0003456 00000000 00000000 00000000 01100100 00000000 00000000 00000000 00000000* -L0003520 00000000 00000000 00000000 00001000 00000000 00000100 00000000 01000000* -L0003584 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00001000* -L0003648 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* -L0003712 00000000 00000000 00000000 00000000 00000000 00001000 00000000 00000000* -L0003776 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0003840 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0003904 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* -L0003968 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* -L0004032 000000 000000 000000 000000 000000 000000 000000 110000* -L0004080 000000 000000 000000 000100 000000 100000 000000 000000* -L0004128 000000 000000 000000 000000 000000 000000 000000 000000* -L0004176 000000 000000 000000 000000 000000 000100 000000 000001* -L0004224 000000 000000 000000 000100 000000 000000 000000 000000* -L0004272 000000 000000 000000 000000 000000 000000 000000 000100* -L0004320 10100000 00000000 00100000 00000000 00001000 10000100 00000000 00000000* -L0004384 00000000 00000000 00000000 00010000 10000000 10001000 00000000 00010100* -L0004448 00000000 00000000 00000000 00000100 00000000 00001000 10000000 00010100* -L0004512 00000000 00000000 00000000 00000100 00000000 00001000 10000000 00010100* -L0004576 00000000 00000000 00000000 00000100 00000000 00010100 10000000 00010100* -L0004640 00000000 00000000 00001000 00000000 00000000 00100000 00000000 00100100* -L0004704 00000000 00000000 00000000 00010000 00000000 00000100 00000000 00100100* -L0004768 00000000 00000000 00000000 00001000 00000000 00000000 00000000 00000100* +L0003104 00000000 00000000 10000000 00000000 00000000 00000000 00000100 00000100* +L0003168 000000 000000 001010 000000 000000 000000 000000 000000* +L0003216 000000 000000 000000 000010 000000 000000 000000 000000* +L0003264 000000 000000 000000 000010 000000 000000 000000 000000* +L0003312 000000 000000 000010 000010 000000 000000 000001 000000* +L0003360 000000 000000 000010 000000 000000 000000 000001 000000* +L0003408 000000 000000 000011 000000 000000 000000 000000 000000* +L0003456 00000000 00000000 00000000 00000000 00000000 00000000 00000000 01010000* +L0003520 00000000 00000000 00000000 00000000 00000000 00000000 00000000 01011000* +L0003584 00000000 00000000 00000000 00000000 00000000 00000000 00000000 01011000* +L0003648 00000000 00000000 00000000 00000000 00000000 00000000 00000000 01011000* +L0003712 00000000 00000000 00000000 00000000 00000000 00000000 00000000 11011000* +L0003776 00000000 00000000 00000000 00000000 00000000 00000000 00000000 11100000* +L0003840 00000000 00000000 00000000 00100000 00000000 00000000 00000000 11100000* +L0003904 00000000 00000000 00000000 00000000 00000000 01000000 00000000 11100000* +L0003968 00000000 00000000 00000000 00000000 00000000 00000000 00000000 11100000* +L0004032 000000 000000 000000 000000 000000 000000 000000 111011* +L0004080 000000 000000 000000 000000 000000 000000 000000 100000* +L0004128 000000 000000 000000 000000 000000 000000 000000 000001* +L0004176 000000 000000 000000 000000 000000 010000 000000 101111* +L0004224 000000 000000 000000 000000 000000 000000 000000 001111* +L0004272 000000 000000 000000 000000 000000 000000 000000 001111* +L0004320 00000000 00000000 00000000 00000000 00000100 00000000 00000000 00000000* +L0004384 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* +L0004448 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000100* +L0004512 00000000 00000000 00000000 00000000 00000000 00000000 10000000 00000000* +L0004576 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* +L0004640 00100000 00000000 01001000 00000000 00000000 01000000 00000000 00000000* +L0004704 00000000 00000000 00000000 00000000 00000000 00000000 00010000 00000100* +L0004768 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0004832 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000100* -L0004896 000000 000000 000000 000010 000000 001000 000000 000000* -L0004944 000000 000000 000100 000000 000000 000000 000000 000000* -L0004992 000000 000000 000000 000000 000000 000000 000000 000000* -L0005040 000000 000000 000000 000100 000000 000000 000000 000000* +L0004896 000000 000000 000000 000000 000000 000000 000000 000000* +L0004944 000000 000000 000100 000000 000000 010000 000000 001000* +L0004992 000000 000000 000000 000000 000000 000000 000000 001000* +L0005040 000000 000000 000000 000000 000000 000000 000001 000000* L0005088 000000 000000 000000 000000 000000 000000 000000 000000* -L0005136 000000 000000 000000 000000 000000 000001 000000 000000* -L0005184 00000010 00000000 00000000 01000000 00000000 00000100 00000000 00010000* -L0005248 00000010 00000000 00000000 00000000 00000000 00000100 00000000 00000000* +L0005136 000000 000000 000000 000000 000000 000000 000001 000000* +L0005184 00000010 00000000 00000000 00111000 00000000 00000100 00000000 01000000* +L0005248 00000010 00000000 00000000 00000000 00000000 00000100 00000000 00001000* L0005312 00000010 00000000 00000000 00000000 00000000 00000100 00000000 00000000* L0005376 00000011 00000000 00000000 00000000 00000000 00000100 00000000 00000000* L0005440 00000010 00000000 00000000 00000000 00000000 00001100 00000000 00000000* -L0005504 00000001 00000000 00000000 00000000 00000000 00000100 00000000 00000000* -L0005568 00000100 00000000 00000000 00000000 10000000 00100000 00000000 00000000* +L0005504 00000001 00000000 00000000 00001000 00000000 00000100 00000000 00000000* +L0005568 00000100 00000000 00000000 00001000 00000000 00100000 00000000 00000000* L0005632 00000010 00000000 00000000 00000000 00000000 00100000 00000000 00000000* L0005696 00000000 00000000 00000000 00000000 00000000 00100100 00000000 00000000* -L0005760 000000 000000 000000 000000 000000 000000 000000 001000* -L0005808 000000 000000 000000 000000 000000 000100 000000 000000* -L0005856 000000 000000 000000 000000 000000 000000 000000 000000* +L0005760 000000 000000 000000 000000 000000 000000 000000 000000* +L0005808 000000 000000 000000 000100 000000 000100 000000 000100* +L0005856 000000 000000 000000 000000 000000 000000 000000 000001* L0005904 000000 000000 000000 000000 000000 000100 000000 000000* L0005952 000000 000000 000000 000000 000000 000100 000000 000000* -L0006000 000000 000000 000000 000100 000000 000000 000000 000000* -L0006048 00010010 00000000 00001000 00001000 00000000 00000000 00000000 00000000* -L0006112 00010011 00000000 00000000 00000100 00000000 01000000 11111100 00000000* -L0006176 00000100 00000000 00000000 00000100 00000000 01000000 01111100 00000000* -L0006240 00000101 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0006304 00000010 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0006368 00000011 00000000 01000000 00000000 00000000 00010000 00000000 00000000* -L0006432 00000000 00000000 00000000 00000000 00000000 00000000 00001100 00000000* +L0006000 000000 000000 000000 000000 000000 000000 000000 000000* +L0006048 00000010 00000000 01001000 00000000 00000100 00000000 00000000 00010000* +L0006112 00000011 00000000 00000000 00000000 00000000 01000000 11111100 00000100* +L0006176 00000100 00000000 00000000 00001000 00000000 01000000 01111100 00010100* +L0006240 00001101 00000000 00000000 00000000 00000000 00000000 00000000 00000100* +L0006304 00000010 00000000 00000000 00000000 00000000 00000000 00000000 00010100* +L0006368 00010011 01000000 00000000 00000000 00000000 00010000 00000000 00000000* +L0006432 00000000 00000000 00000000 00010000 00000000 00000000 00001100 00100000* L0006496 00000000 00000000 00000000 00000000 00000000 00000100 10001100 00000000* -L0006560 00010100 00000000 00000000 00000100 00000000 00000000 00000000 00000000* -L0006624 000001 000000 000000 000000 000000 000000 000000 110000* -L0006672 001000 000000 000000 000000 000000 001000 000001 000000* -L0006720 000000 000000 000000 000100 000000 000000 100010 000000* -L0006768 000000 000000 000000 000100 000000 000000 100010 000000* -L0006816 001101 000000 000000 000000 000000 000001 000000 010000* -L0006864 000001 000000 000000 000000 000000 000000 000000 000100* -L0006912 00010000 00000000 01000000 00000000 00000000 00000000 00000000 00000000* -L0006976 00010000 00000000 00000000 00000000 00000100 00100000 11111100 01000100* -L0007040 00000100 00000000 00000000 00000000 00000100 00000000 01111100 01001100* -L0007104 00000100 00000000 00000000 00000000 00000100 00010000 00000000 01001100* -L0007168 00000000 00000000 00000000 00000000 10000100 00000000 00000000 01001100* -L0007232 00000000 00000000 00000000 00000000 00000000 10000000 00000000 11000100* -L0007296 00000000 00000000 00000000 00000000 00000000 01000000 00001100 11000100* -L0007360 00000000 00000000 00000000 00000000 10000000 01000000 10001100 11000100* -L0007424 00010100 00000000 00000000 00000000 10000000 00000000 00000000 11000100* -L0007488 000001 000000 000000 000000 100000 000000 000000 000001* -L0007536 001000 000000 000000 000000 000000 000000 000001 000000* -L0007584 000000 000000 000000 000000 000000 000000 100010 000000* -L0007632 000000 000000 000000 000000 000000 000000 100010 000111* -L0007680 001101 000000 000000 000000 000000 000000 000000 010111* -L0007728 000001 000000 000000 000000 000000 000000 000000 000011* -L0007776 01000000 00000000 00000000 00000000 00000000 00100000 00000000 00010000* -L0007840 00000000 00000000 01000000 00000000 00000000 00000000 00000000 00000000* -L0007904 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0007968 00000000 00000000 00000000 00000100 00000000 00100000 00000000 00000000* +L0006560 00011100 00000000 00000000 00000000 00000000 00000000 00000000 00100000* +L0006624 000001 000000 000000 000000 000001 000000 000000 000000* +L0006672 000100 000000 000000 000000 000000 001000 000001 000000* +L0006720 000100 000000 000000 000010 000000 000000 100010 000000* +L0006768 000000 000000 000000 000110 000000 000000 100010 000000* +L0006816 000001 000000 000000 000010 000000 000001 000000 000000* +L0006864 000001 000000 000000 000010 000000 000000 000000 001000* +L0006912 00000000 00000000 00000000 00000000 00000000 00010000 00000000 00000000* +L0006976 00000000 00000000 00000000 00000000 00000100 00000000 11111100 00000000* +L0007040 00000100 00000000 00000000 00000000 00000100 00000000 01111100 00000000* +L0007104 00001100 00000000 00000000 00000000 00000100 00000000 00000000 00000000* +L0007168 00000000 00000000 00000000 00000000 10000100 00000000 00000000 00000000* +L0007232 00010000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0007296 00000000 00000000 00000000 00000000 00000000 00000000 00001100 00000000* +L0007360 00000000 00000000 00000000 00000000 10000000 00000000 10001100 00000000* +L0007424 00011100 00000000 00000000 00000000 10000000 00000000 00000000 00000000* +L0007488 000001 000000 000000 000000 100000 000000 000000 000000* +L0007536 000100 000000 000000 000000 000000 000000 000001 000000* +L0007584 000100 000000 000000 000000 000000 000000 100010 000000* +L0007632 000000 000000 000000 000000 000000 000000 100010 000000* +L0007680 000001 000000 000000 000000 000000 000000 000000 000000* +L0007728 000001 000000 000000 000000 000000 000000 000000 100000* +L0007776 01000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0007840 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0007904 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00000000* +L0007968 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0008032 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00000000* -L0008096 00000100 00000000 00000000 00000000 10000000 01000000 00000000 00100000* -L0008160 00000000 00000000 00000000 00001000 00000000 00000000 00000000 00100000* -L0008224 00000000 00000000 00000000 00000100 00000000 10000000 00000000 00100000* -L0008288 00000000 00000000 00000000 00001000 00000000 00000000 00000000 00100000* -L0008352 000000 000000 000000 000000 000000 000000 000000 001000* -L0008400 000000 010000 000000 000000 000000 000000 000000 000000* -L0008448 000000 000000 000000 000000 000000 010000 000000 000000* -L0008496 000000 000000 000000 000000 000000 010000 000000 000000* -L0008544 000000 000000 000000 000000 000000 010000 000000 000000* -L0008592 000000 000000 000000 000000 000000 000000 000000 000000* -L0008640 00000000 00000000 00010000 00000000 00000000 00000000 00000000 00000000* -L0008704 00000000 00000000 00010000 00000000 00000000 00000000 00000000 00000000* -L0008768 00000000 00000000 00010000 00000000 00000000 00000000 00000000 00000000* +L0008096 00000100 00000000 00000000 00000000 10000000 00000000 00000000 00000000* +L0008160 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0008224 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0008288 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00000000* +L0008352 000000 000000 000000 000000 000000 000000 000000 000000* +L0008400 000000 000000 010000 000000 000000 000000 000000 000000* +L0008448 000000 000000 000000 000000 000000 000000 000000 000000* +L0008496 000000 000000 000000 000010 000000 000000 000000 000000* +L0008544 000000 000000 000000 000000 000000 000000 000000 100000* +L0008592 000000 000000 000000 000010 000000 000000 000000 000000* +L0008640 00000000 00000000 01001000 00000000 00000000 00000000 00000000 00000000* +L0008704 00000000 00000000 01001000 00000000 00000000 00000000 00000000 00000000* +L0008768 00000000 00000000 01011000 00000000 00000000 00000000 00000000 00000000* L0008832 00000000 00000000 00010000 00000000 00000000 00000000 00000000 00000000* -L0008896 00000000 00000000 00010000 00000000 00000000 00000000 00000000 00000000* -L0008960 00000000 00000000 00010000 00000000 00000000 10000000 00000000 00000000* +L0008896 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0008960 00000000 00000000 00000000 00000000 00000000 10000000 00000000 00000000* L0009024 00000000 00000000 00010000 10000000 00000000 01000000 00000000 00000000* L0009088 00000000 00000000 00010000 00000000 00000000 11000000 00000000 00000000* -L0009152 00000000 00000000 00010000 00000000 00000000 00000000 00000000 00000000* -L0009216 000000 000000 000000 000000 000000 000000 000000 000000* +L0009152 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0009216 000000 000000 000100 000000 000000 000000 000000 000000* L0009264 000000 000000 000000 000000 000000 000000 000000 000000* L0009312 000000 000000 000000 000000 000000 000000 000000 000000* L0009360 000000 000000 000000 000000 000000 000000 000000 000000* -L0009408 000000 000000 000100 000000 000000 000000 000000 000000* -L0009456 000000 000000 000000 000000 000000 000000 000000 000000* -L0009504 00000000 00100000 00000000 00000000 00000000 00000000 00000000 00000000* -L0009568 00000000 00000000 00000000 00000000 00000100 00000000 00000000 00000000* -L0009632 00000000 00000000 00000000 00000000 00000100 00000000 10000000 00000000* +L0009408 000000 000000 000000 000000 000000 000000 000000 000000* +L0009456 000000 000000 000100 000000 000000 000000 000000 000000* +L0009504 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0009568 00000000 00000000 00000000 00000100 00000100 00000000 00000000 00000000* +L0009632 00000000 00000000 00000000 00000100 00000100 00000000 10000000 00000000* L0009696 00000000 00000000 00000000 00000100 00000100 00110000 10000000 00000000* -L0009760 00000000 00000000 00000000 00001100 10000100 00000000 10000000 00000000* -L0009824 00000000 00000000 00000000 00011000 00001000 00000000 00000000 00000000* -L0009888 00000000 00000000 00000000 00011000 00000000 00000000 00000000 00000000* -L0009952 00000000 00000000 00000000 00001000 10000000 00000000 00000000 00000000* -L0010016 00000000 00000000 00000000 00001000 10000000 00000000 00000100 00000000* +L0009760 00000000 00000000 00000000 00000100 10000100 00000000 10000000 00000000* +L0009824 00000000 00000000 00000000 00010000 00001000 00000000 00000000 00000000* +L0009888 00000000 00000000 00000000 00010000 00000000 00000000 00000000 00000000* +L0009952 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* +L0010016 00000000 00000000 00000000 00000000 10000000 00000000 00000100 00000000* L0010080 000000 000000 000000 000010 100000 000000 000001 000000* -L0010128 000000 000000 000000 000000 000000 010000 000000 000000* -L0010176 000000 000000 000000 000000 000000 000000 000000 000000* -L0010224 000000 000000 000000 000000 000000 000000 000001 010000* +L0010128 000000 000000 000000 000010 000000 010000 000000 000000* +L0010176 000000 000000 000000 000010 000000 000000 000000 000000* +L0010224 000000 000000 000000 000010 000000 000000 000001 010000* L0010272 000000 000000 000000 000010 000000 010000 000001 000000* L0010320 000000 000000 000000 000010 000000 000000 000001 000000* -L0010368 10000011 00000001 00000011 10000011 00000000 00000011 00000011 00010010* -L0010432 00000011 00000001 00000011 10000001 00000011 00100011 00000011 00010000* -L0010496 00000011 00000001 00000011 00000011 00000001 00000011 00000010 00010011* -L0010560 00000011 00000011 00000010 10000011 00000001 00010011 00000011 00010000* -L0010624 00000011 00000011 00000011 10000011 00000011 00000011 00000011 00010001* -L0010688 00000011 00000011 00000011 10000011 00001011 10000011 00000011 00100011* -L0010752 00000011 00000011 00000011 10000111 00010001 01000011 00000011 00100001* -L0010816 00000011 00000011 00000011 10000101 00000000 11000011 00000011 00100001* -L0010880 00000011 00000011 00000010 10000110 00000011 00000011 00000011 00100010* -L0010944 000000 000000 000000 100000 000000 000000 000000 001000* -L0010992 000000 000000 000000 000001 001110 000000 000000 001000* -L0011040 000000 000000 000000 000001 000000 000000 000001 000000* -L0011088 000000 000000 000000 000001 000000 000000 000000 001000* -L0011136 000000 000000 000000 000001 000000 010000 000000 001000* -L0011184 000000 000000 000000 000001 000000 000000 000000 001000* -L0011232 00000000 00000000 00000000 00000000 00000010 00100000 00100000 10000001* -L0011296 00100000 00000000 00000000 00000010 00000000 00000000 11000100 00000111* -L0011360 00000000 00000000 00000000 00000000 00000000 00000000 01000100 00000000* -L0011424 00000000 00000000 00000001 00000000 00000000 00000000 00000000 00000111* +L0010368 00000011 00000001 00010011 00000011 00000000 00000011 00000011 00000010* +L0010432 00000011 00000001 00010011 00000001 00000011 00100011 00000011 00000000* +L0010496 00000011 00000001 00010011 00000011 00000001 00000011 00000010 00000011* +L0010560 00000011 00000011 00010010 00000011 00000000 00010011 10000011 00000000* +L0010624 00000011 00000011 00010011 00000011 00000011 00000011 10000011 00000001* +L0010688 00000011 00000011 00010011 00000011 00000011 10000011 00000011 00000011* +L0010752 00000011 00000011 00010011 00000010 00000001 01000011 00000011 00000001* +L0010816 00000011 00000011 00010011 00000001 00000000 11000011 00000011 00000001* +L0010880 00000011 00000011 00010010 00001010 00000011 00000011 00000011 00000010* +L0010944 000000 000000 000000 000000 000000 000000 000001 000000* +L0010992 000000 000000 000000 000000 000000 000000 000000 000000* +L0011040 000000 000000 000000 000000 000000 000000 000000 000000* +L0011088 000000 000000 000000 000000 000000 000000 000000 000000* +L0011136 000000 000000 000100 000000 000000 010000 000000 000000* +L0011184 000000 000000 000000 000000 000000 000000 000001 000000* +L0011232 00000000 00000100 00000000 00000000 00000110 00100000 00000000 00000001* +L0011296 00000000 00000000 00000000 00000010 00000000 00000000 00000000 00000011* +L0011360 00000000 00000000 00000000 00000000 00000000 00000000 10000000 00000000* +L0011424 00000000 00000000 00000001 00000000 00000000 00000000 00000000 00000011* L0011488 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000010* -L0011552 00000000 00000000 00000000 00000000 00010000 00000000 00000000 00001100* -L0011616 00000000 00000000 00000000 00000000 00001000 00000000 00000100 00000010* -L0011680 00000000 00000000 00000000 00000000 00000001 00000000 10000100 00000110* -L0011744 00000000 00000000 00000001 00000001 00000000 00000000 00000000 00000001* +L0011552 00000000 00000000 00000000 00000000 00000000 00000000 10000000 00000000* +L0011616 00000000 00000000 00000000 00000001 00000000 00000000 00000000 00000010* +L0011680 00000000 00000000 00000000 00000000 00000001 00000000 00000000 00000010* +L0011744 00000000 00000000 00000001 00000001 00000100 00000000 00000100 00000001* L0011808 000000 000000 000000 000000 000000 000000 000000 000000* -L0011856 000000 000000 000000 000000 000000 000000 000000 100000* -L0011904 000000 000000 000000 000000 001110 010000 100000 100000* -L0011952 000000 000000 000000 000000 000000 010000 100000 000000* -L0012000 000000 000000 000000 000000 000000 000000 000000 000000* +L0011856 000000 000000 000000 000000 000000 000000 000000 000000* +L0011904 000000 000000 000000 000000 000000 010000 000000 000000* +L0011952 000000 000000 000000 000000 000000 010000 000001 000000* +L0012000 000000 000000 000000 000000 000000 000000 000001 000000* L0012048 000000 000000 000000 000000 000000 000000 000000 000000* -L0012096 00000001 00000000 00000011 00000011 00000000 00000011 00000011 00001010* -L0012160 00000000 00000000 00000011 00000001 00000011 00010011 00000011 00000000* -L0012224 00000000 00000000 00000011 00000001 00000001 00000011 00000010 00000011* -L0012288 00000001 00000000 00100010 00000000 00000000 00000011 00000011 00000000* -L0012352 00000000 00000000 00100011 00000010 00000001 00000011 00000011 00000001* -L0012416 00000011 00000000 00000011 00000011 00000001 00000010 00000011 00000001* -L0012480 00000011 00000000 00000011 00000001 00000000 00000011 00000011 00000000* -L0012544 00000001 00000000 00000000 00000010 00000000 00000010 00000011 00000001* -L0012608 00000001 00000001 00000010 00000000 00000011 00000011 00000011 00000010* -L0012672 000000 000000 000000 000000 000000 000000 000000 000000* -L0012720 000000 000000 000000 000000 000000 000000 000000 000000* +L0012096 00000000 00000000 00000011 00000010 00000000 00000011 00000011 00010010* +L0012160 00000000 00000000 00000001 00000001 00000011 00010011 00000011 00010000* +L0012224 00000001 00000000 00000011 00000001 00000001 00000011 00000010 00010011* +L0012288 00000000 00000000 00000010 00000001 00000000 00000011 00000011 00010000* +L0012352 00000000 00000000 00000011 00000010 00000001 00000011 00000011 00010001* +L0012416 00000011 00000000 00000011 00000010 00000001 00000010 00000011 00100001* +L0012480 00000011 00000000 00000011 00000000 10000000 00000011 00000011 00100000* +L0012544 00000011 00000001 00000000 00000011 00000000 00000010 00000011 00100001* +L0012608 00000001 00000000 00000010 00000000 00000011 00000011 00000011 00100010* +L0012672 000000 000000 000000 000000 000000 000000 000000 001000* +L0012720 000000 000000 000000 000000 000000 000000 000000 001000* L0012768 000000 000000 000000 000000 000000 000000 000000 000000* -L0012816 000000 000000 000000 000000 000000 000000 000000 000000* -L0012864 000000 000000 000000 000000 000000 000000 000000 000000* -L0012912 000000 000000 000000 000000 000000 000000 000000 000000* -L0012960 00000000 00000000 00000000 00000000 00000010 00000000 00000000 00000001* -L0013024 00000000 00000000 00000000 00000010 00000100 00000011 00000000 00000010* -L0013088 00000000 00000000 00000000 00000010 00000100 00000001 00000000 00000000* -L0013152 00000000 00000000 00000001 00000001 00000100 00000000 00000000 00000011* -L0013216 00000000 00000000 00000000 00000001 10000100 00000000 00000000 00000010* -L0013280 00000000 00000000 00100000 01000000 00000000 00000000 00000000 00000010* -L0013344 00000000 00000000 00000000 00000000 00000001 00000000 00000000 00000001* -L0013408 00000000 00000000 00000011 00000011 10000001 00000001 00000000 00001010* -L0013472 00000000 00000000 00000001 00000001 10000000 00000010 00000000 00000001* -L0013536 000000 000000 000000 000000 100000 000000 000000 000000* -L0013584 000000 000000 000000 000000 000000 000000 000000 000000* -L0013632 000000 000000 000100 000000 000000 000000 000000 000000* -L0013680 000000 000000 000100 000000 000000 000000 000000 000000* -L0013728 000000 000000 000000 000000 000000 000000 000000 000000* -L0013776 000000 000000 000000 000000 000000 000000 000000 000000* -L0013824 00000000 00000000 00000011 00000010 00000000 00000001 00000001 00100010* -L0013888 00000100 00000000 10100001 00000000 00000000 00000011 00000000 00010000* -L0013952 00000000 00000000 00000001 00000001 00000000 00000011 00000010 00000010* -L0014016 00000000 00000000 10101000 00001000 00000000 00000011 00000011 00010000* -L0014080 00000001 00000000 10000010 00000000 00000000 00000010 00000001 00000001* -L0014144 00000001 00000000 00000111 00000011 00000000 00000010 00000001 00100100* -L0014208 00000001 00000000 00000011 00000001 00000000 00000011 00000011 00000100* -L0014272 00000001 00000000 10000000 00000000 00000000 00000010 00000001 00100101* -L0014336 00000001 00000000 00010010 00000000 00000001 00000011 00000001 00000110* -L0014400 000000 000000 000000 000000 000000 000000 000001 001000* -L0014448 001101 000000 100010 000000 000000 000000 000000 010000* -L0014496 000000 000000 000110 000000 000000 000000 000000 000000* -L0014544 000000 000000 010011 000000 000000 000000 000001 000000* -L0014592 000000 000000 000010 000000 000000 000000 000001 011000* -L0014640 000000 000000 000001 000000 000000 001000 000001 000000* -L0014688 00000100 00000000 11000000 00000000 00000010 00000001 00000010 01000001* -L0014752 00000000 00000000 01011010 00000010 00000010 00000000 00000010 00001010* -L0014816 00000000 00000000 00011000 00000010 00000000 00000000 00000000 00000001* -L0014880 00000000 00000000 00010001 00000001 00000000 00000000 00000000 00000011* -L0014944 00000001 00000000 00000001 00000001 00000000 00000000 00000000 00000010* -L0015008 00010100 00000000 00100000 00000000 00000000 00000000 00000000 00000011* -L0015072 00000100 00000000 01010000 00000000 00000001 00000000 00000000 00000001* -L0015136 00000100 00000000 01010011 00000001 00000001 00000001 00000000 00000010* -L0015200 00000000 00000000 00000101 00000001 00000000 00000000 00000000 00000001* -L0015264 000000 000000 000100 000000 000000 000000 000000 000000* -L0015312 000000 000100 000000 000000 000000 000000 000000 000100* -L0015360 000000 000000 000000 000000 001000 000000 000000 000001* -L0015408 000001 000000 001000 000000 000000 000000 000000 000000* +L0012816 000000 000000 000000 000000 000000 000000 000000 001000* +L0012864 000000 000000 000000 000000 000000 000000 000000 001000* +L0012912 000000 000000 000000 000000 000000 000000 000000 001000* +L0012960 00000000 00000000 00000000 00000000 00000010 00000000 00000000 10000001* +L0013024 00000000 00000000 00000110 00000010 00000000 00000011 00000000 00000110* +L0013088 00000000 00000000 00000000 00000010 00000000 00000001 10000000 00000000* +L0013152 00000000 00000000 00000001 00000000 00000000 00000000 10000000 00000111* +L0013216 00000000 00000000 00000000 00000001 00000000 00000000 10000000 00000010* +L0013280 00000000 00000000 00000000 01000001 00000000 00000000 00000000 00001110* +L0013344 00100000 00000000 00000000 00000001 00000001 00000000 00000000 00000001* +L0013408 00000000 00000000 00000011 00000010 00000001 00000001 00000000 00000110* +L0013472 00000000 00000000 00000001 00000001 00000000 00000010 00000100 00000001* +L0013536 000000 000000 000000 000000 000000 000000 000001 000000* +L0013584 000000 000000 000000 000000 000000 000000 001000 100000* +L0013632 000000 000000 000000 000000 000000 000000 000000 100000* +L0013680 000000 000000 000000 000000 000000 000000 000001 000000* +L0013728 000000 000000 000000 000000 000000 000000 000001 000000* +L0013776 000000 000000 000000 000000 000000 000000 000001 000000* +L0013824 00000000 00000000 00000011 01000010 00000000 00000001 00000001 00001010* +L0013888 00000000 00000000 00000001 00001100 00000000 00000011 00000000 00000000* +L0013952 00000000 00000000 00000001 00000101 00000000 00000011 00000010 00000010* +L0014016 00000000 00000000 00000010 00000101 00000000 00000011 00000011 00000000* +L0014080 00000000 00000000 00000010 00000100 00000000 00000010 00000001 00000001* +L0014144 00000001 00000000 00000011 00010010 00000000 00000010 00000001 00000000* +L0014208 00000001 00000000 00000011 00000000 00000000 00000011 00000011 00000000* +L0014272 00000001 00000000 00000000 00000000 00000000 00000010 00000001 00000001* +L0014336 00000001 00000001 00000010 00000000 00000001 00000011 00000001 00000010* +L0014400 000000 000000 000000 000000 000000 000000 000000 000000* +L0014448 000000 000000 000000 010000 000000 000000 000000 000000* +L0014496 000000 000000 000000 010100 000000 000000 000000 000000* +L0014544 000000 000000 000000 010000 000000 000000 000000 000000* +L0014592 000000 000000 000000 000000 000000 000000 000000 000000* +L0014640 000000 000000 000000 000000 000000 000000 000000 000000* +L0014688 00000000 00010000 00000000 00111000 00000010 00000001 00000010 00000001* +L0014752 00000000 00000000 00000010 00000010 00000010 00000000 00000010 00000010* +L0014816 00000000 00000000 00000000 00000010 00000000 00000000 00000000 00000001* +L0014880 00000000 00000000 00000001 00000000 00000000 00000000 00000000 00000011* +L0014944 00000000 00000000 00000001 00000001 00000000 00000000 00000000 00000010* +L0015008 00000000 00000000 00000000 00001001 00000000 00000000 00000000 00000011* +L0015072 00000000 00000000 00000000 00001001 00000001 00000000 00000000 00000001* +L0015136 00000000 00000000 00000011 00000001 00000001 10000001 00000000 00001010* +L0015200 00000000 00000001 00000001 00010001 00000000 00000000 00000000 00000001* +L0015264 000000 000000 000000 000000 000000 000000 000000 000000* +L0015312 000000 000000 000000 000100 000000 000000 000000 000000* +L0015360 000000 000000 000000 000000 001000 000000 000000 000000* +L0015408 000000 000000 000000 000000 000000 000000 000000 000000* L0015456 000000 000000 000000 000000 000000 000000 000000 000000* -L0015504 000000 000000 000100 000000 000000 000000 000000 000000* -L0015552 00000000 00000000 00000011 00000010 00000000 00000001 00000000 00000010* +L0015504 000000 000000 000000 000000 000000 000000 000000 000000* +L0015552 00000001 00000000 00010011 00000010 00000000 00000001 00000000 00000010* L0015616 00000001 00000000 00000001 00000001 00000000 00000001 00000000 00000000* -L0015680 00000001 00000000 00000001 00000000 00000000 01000001 00000000 00000000* -L0015744 00000000 00000000 00000000 00000100 00000000 00000001 00000000 00000000* -L0015808 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00000001* -L0015872 00000000 00000000 00000011 00000101 00000000 00000010 00000000 00000000* -L0015936 00000001 00000000 00000001 00001000 00000000 00000011 00000000 00000000* -L0016000 00000011 00000000 00000000 00001000 00000000 00000110 00000000 00000001* -L0016064 00000011 00000000 00000010 00001010 00000001 00000011 00000001 00000010* -L0016128 000000 000000 000000 000010 000000 000000 000000 000000* -L0016176 000000 000000 000000 000000 000000 000000 000000 000010* -L0016224 000000 000000 000000 100000 000000 000000 000000 000000* +L0015680 00000000 00000000 00000001 00000000 00000000 01000001 00000000 00000000* +L0015744 00000001 00000000 00000000 00000000 00000000 00000001 00000000 00000000* +L0015808 00000001 00000000 00000000 00000000 00000000 00000000 00000000 00000001* +L0015872 00000000 00000000 00000011 00000000 00000000 00000010 00000000 00000000* +L0015936 00000001 00000000 00100001 01000000 00000000 00000011 00000000 00000000* +L0016000 00000001 00000000 00000000 00000000 00000000 00000110 00000000 00000001* +L0016064 00000011 00000000 00000010 00000000 00000001 00000011 00000001 00000010* +L0016128 000000 000000 000000 000000 000000 000000 000000 000000* +L0016176 000000 000000 000000 000000 000000 000000 000000 000001* +L0016224 000000 000000 000000 000000 000000 000000 000000 000000* L0016272 000000 000000 000000 000000 000000 000000 000000 000000* -L0016320 000000 000000 000000 000010 000000 000000 000000 000000* -L0016368 000000 000000 000000 000010 000000 000000 000000 000000* -L0016416 00000000 00000000 00000000 00000000 00001010 00000000 00000010 00000101* -L0016480 00000001 00000000 00000110 00000011 00000010 00000000 00000000 00000010* -L0016544 00000001 00000000 00000000 00000001 00000000 00000000 10000000 00000011* -L0016608 00000000 00000000 00000001 00000001 00000000 00000000 10000000 00000011* -L0016672 00000000 00000000 00000001 00000001 00000000 00000000 10000000 00000010* -L0016736 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000011* +L0016320 000000 000000 000000 000000 000000 000000 000000 000000* +L0016368 000000 000000 000000 000000 000000 000000 000000 000000* +L0016416 00000001 00000000 00000000 00000000 00000110 00000000 00000010 00000001* +L0016480 00000001 00000000 00000010 00000011 00000010 00000000 00000000 00000010* +L0016544 00000000 00000000 00000000 00000000 00000000 00000000 10000000 10000011* +L0016608 00000001 00000000 00000001 00000001 00000000 00000000 10000000 00000011* +L0016672 00000001 00000000 00000001 00000001 00000000 00000000 10000000 00000010* +L0016736 00000000 00000000 00000000 00000001 00000000 00000000 00000000 00000011* L0016800 00000001 00000000 00000010 00000001 00000001 00000000 00100000 00000001* -L0016864 00000011 00000000 00000011 00000001 00000101 00000001 00000001 00000010* -L0016928 00000011 00000000 00000001 00000011 00000000 00000000 00000100 00000001* +L0016864 00000001 00000000 00000011 00000001 00000101 00000001 00000001 00000010* +L0016928 00000011 00000000 00000001 00000001 00000000 00000000 00000100 00000001* L0016992 000000 000000 000000 000000 000000 000000 000001 000000* -L0017040 000000 001000 000000 000000 000000 000000 000000 000000* -L0017088 000000 000001 000000 000000 000000 000000 000000 000010* -L0017136 000000 000000 000000 100000 000000 000000 000001 000000* +L0017040 000000 000000 000000 000000 000000 000000 000000 000000* +L0017088 000000 000000 000000 000000 000000 000000 000000 000000* +L0017136 000000 000000 000000 000000 000000 000000 000001 000000* L0017184 000000 000000 000000 000000 000000 000000 000001 000000* L0017232 000000 000000 000000 000000 000000 000000 000001 000000* -L0017280 00000000 00000000 00000010 00000010 00000000 00000000 00000000 00000010* -L0017344 00000000 00000000 00000000 00000000 00000000 00000001 00000000 00000000* -L0017408 00000000 00000000 00000001 00000000 00000000 00000000 00000000 00000000* -L0017472 00000000 00000000 00000000 00000000 00000100 00000001 00000000 00000000* -L0017536 00000000 00000000 00000000 00000100 00000100 00000000 00000000 00000001* -L0017600 00000000 00000000 10000001 00000001 00000000 00000000 00000000 00000000* -L0017664 00000000 00000000 00000001 00000100 00000000 00000001 00000000 00000000* -L0017728 00000001 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0017792 00000001 00000000 00000010 00001000 10000001 00000011 00000001 00000010* -L0017856 000000 000000 000000 000010 100000 000000 000000 000000* -L0017904 000000 000000 000000 000000 000000 000000 000000 000000* +L0017280 00000000 00000000 00010010 00000010 00000000 00000100 00000000 00000010* +L0017344 00000100 00000000 00010000 00000000 00000000 00000101 00000000 00000000* +L0017408 00000000 00000000 00000001 00000000 00000000 00000100 00000000 00000000* +L0017472 00000000 00000000 00000000 00000100 00000000 00000101 00000000 00000000* +L0017536 00000000 00000000 00000000 00000100 00000000 00001100 00000000 00000001* +L0017600 00011000 00000000 00010001 00000000 00000000 00000000 00000000 00000000* +L0017664 00000000 00000000 00000001 00000000 00000000 00100001 00000000 00000000* +L0017728 00000001 00000000 00000000 00000100 00000000 00100000 00000000 00000000* +L0017792 00000001 00000000 00000010 00000000 00000001 00100011 00000001 00000010* +L0017856 000000 000000 000000 000000 000000 000000 000000 000000* +L0017904 000001 000000 000000 000000 000000 000100 000000 000000* L0017952 000000 000000 000000 000000 000000 000000 000000 000000* -L0018000 000000 000000 000000 000000 000000 000000 000000 000000* -L0018048 000000 000000 000000 000000 000000 000000 000000 000000* +L0018000 000000 000000 000000 000000 000000 000100 000000 000000* +L0018048 000000 000000 000100 000010 000000 000100 000000 000000* L0018096 000000 000000 000000 000010 000000 000000 000000 000000* -L0018144 00000000 00000000 00001001 00000000 00000010 00000001 00000010 00000001* -L0018208 00000000 00000000 00001011 00000010 00000110 00000000 11111100 00000010* -L0018272 00000000 00000000 00010000 00000001 00000100 00000000 01111100 00000011* -L0018336 00000000 00000000 00000001 00000101 00000000 00000000 00000000 00000011* -L0018400 00000000 00000000 00000001 00000001 10000000 00000000 00000000 00000010* -L0018464 00000000 00000000 01000000 00000000 00000000 00000000 00000000 00000001* -L0018528 00000000 00000000 01010010 00001001 00000001 00000000 00001100 00000001* -L0018592 00000000 00000000 00000011 00001000 10000001 10000010 10001101 00000011* -L0018656 00000000 00000000 00000001 00000001 00000000 00000000 00000000 00000001* +L0018144 00001100 00000000 00000001 00000000 00000010 00000001 00000010 00000001* +L0018208 00000000 00000000 01101001 00000110 00000010 00000000 00000000 00000010* +L0018272 00000000 00000000 01101000 00000100 00000000 00000000 00000000 00000011* +L0018336 00000000 00000000 00001001 00000001 00000000 00000000 00000000 00000011* +L0018400 00000000 00000000 00001001 00000001 00000000 00000000 00000000 00000010* +L0018464 00000100 00000000 00000000 00000001 00000000 00000000 00000000 00000001* +L0018528 00000100 00000000 01000010 00000001 00000001 00000000 01000000 00000001* +L0018592 00000100 00000000 01000011 00000001 00000001 00000010 00000001 00000011* +L0018656 00000000 00000000 00000001 00000001 00000000 00010000 00000000 00000001* L0018720 000000 000000 000100 000000 000000 000000 000000 000000* -L0018768 000000 000000 000000 000000 001000 000000 000001 000000* -L0018816 000000 000000 000000 000000 000000 000000 100010 000000* -L0018864 000000 000000 000000 000000 000000 000000 100010 000000* -L0018912 000000 000000 000000 000010 000000 000000 000000 000000* -L0018960 000000 000000 000000 000000 000000 000000 000000 000000* -L0019008 00000000 00000000 00000001 00000000 00000000 00000000 00000000 00000010* -L0019072 00000000 00000000 00000011 00000011 00000010 00000001 00000000 00000000* -L0019136 00000001 00000000 00000001 00000000 00000000 00000010 00000001 00000010* -L0019200 00000000 00000000 00000000 00000011 00000000 00000000 00000000 00000000* -L0019264 00000000 00000000 00000000 00000011 00000000 00000011 00000000 00000011* -L0019328 00000010 00010000 10000000 00000011 00000010 00000000 00000000 00000010* -L0019392 00000001 00000000 00000001 01000000 00000000 00000011 00000000 00000000* -L0019456 00000000 00000000 00000001 00000000 00000000 00000001 00000000 00000010* -L0019520 00000011 00000000 00000000 00000000 00000000 00000010 00000000 00000000* -L0019584 000000 000000 000000 000000 000000 000000 000000 000000* -L0019632 000000 000000 000000 000000 000000 000000 000000 000000* -L0019680 000000 000000 000000 000000 000000 000000 000000 000000* -L0019728 000000 000000 000000 000000 000000 000000 000000 000000* -L0019776 000000 000000 000000 000000 000000 000000 000000 000000* -L0019824 000000 000000 000000 000000 000000 000000 000000 000000* -L0019872 00000000 00000000 00000010 00000010 00000000 00000000 00000000 00100010* +L0018768 000000 000000 100000 000000 000000 000000 000000 000000* +L0018816 000000 000000 100000 000010 000000 000001 000000 000000* +L0018864 000001 000000 010000 000010 000000 000000 000000 000000* +L0018912 000000 000000 010000 000000 000000 001000 000000 000000* +L0018960 000000 000000 000100 000000 000000 000000 000000 000000* +L0019008 00000000 00000000 00000001 00000000 00000000 00000100 11111100 00000010* +L0019072 00000001 00000000 00000001 00000111 00000010 00000101 00000000 00000000* +L0019136 00000000 00000000 00000001 00000100 00000000 00000110 10000001 00000010* +L0019200 00000000 00000000 00000000 00000100 00000000 00000100 10000000 00000000* +L0019264 00000001 00000000 00000000 00000111 00000000 00001111 10000000 00000011* +L0019328 00000010 00000000 00000000 00000011 00000010 00000100 00001100 00000010* +L0019392 00000011 00000000 00000001 00000010 00000000 00100011 00000000 00000000* +L0019456 00000000 00000000 00000001 00000001 00000000 00100001 00000000 00000010* +L0019520 00000001 00000000 00000000 00000000 00000000 00100010 00000100 00000000* +L0019584 000000 000000 000000 000000 000000 000000 000001 000000* +L0019632 000000 000000 000000 100000 000000 000100 100010 000000* +L0019680 000100 000000 000000 100010 000000 000000 000001 000000* +L0019728 000000 000000 000000 000010 000000 000100 000001 000000* +L0019776 000000 000000 000000 000010 000000 000100 000001 000000* +L0019824 000000 000000 000000 000010 000000 000000 000001 000000* +L0019872 00000000 00000000 00000010 00000010 00000000 01000000 00000000 00100010* L0019936 00000000 00000000 00000001 00000000 00000000 00000001 00000000 00000000* -L0020000 00000000 00000000 00001001 00000000 00000000 00010000 00000000 00000001* -L0020064 00000000 00000000 00010000 00000001 00000000 00000000 00000000 00000000* +L0020000 00000000 00000000 00000001 00000000 00000000 00000000 01111100 00000001* +L0020064 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0020128 00000000 00000000 00000001 00000001 00000000 00000000 00000000 00000001* L0020192 00000000 00000000 00000001 00000001 00000000 00000000 00000000 00000000* L0020256 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0020320 00000000 00000000 01010010 00000000 00000000 00000000 00000000 00000011* +L0020320 00000000 00000000 00000010 00000001 00000000 00010000 10001100 00000011* L0020384 00000000 00000000 00000010 00000000 00000001 00000011 00000001 00000010* L0020448 000000 000000 000000 000000 000000 000000 000000 000000* -L0020496 000000 000000 000000 000000 000000 000000 000000 010000* +L0020496 000100 000000 000000 000000 000000 000001 000000 010000* L0020544 000000 000000 000000 000000 000000 000000 000000 000000* -L0020592 000000 000000 000000 000000 000000 000000 000000 000000* +L0020592 000000 000001 000000 100000 000000 001000 100010 000000* L0020640 000000 000000 000000 000000 000000 000000 000000 010000* -L0020688 000000 000000 000100 000000 000000 000000 000000 000000* -L0020736 00000000 00000000 00000010 00000010 00000000 00000100 00000010 00000010* -L0020800 00000000 00000000 00000000 00000010 00000000 00000100 00000000 00000010* -L0020864 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000010* -L0020928 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* -L0020992 00000000 00000000 00000000 00000000 00000000 00001100 00000000 00000011* -L0021056 00100000 00000000 00000000 00000001 00000000 00000000 00000000 00000000* -L0021120 00000000 00000000 00000001 00000000 00000000 00100000 00000000 00000000* -L0021184 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* -L0021248 00000000 00000000 00100000 00000000 00000001 00100000 00000001 00000010* -L0021312 000000 000000 001000 000000 000000 000000 000000 000000* -L0021360 000000 000000 000000 000000 000000 000100 000000 000000* -L0021408 000000 000000 000000 000000 000000 000000 000001 000000* -L0021456 000000 000000 000000 000000 000000 000100 000000 000000* -L0021504 000000 000000 000000 000000 000000 000100 000000 000000* -L0021552 000000 000000 000000 000100 000000 000000 000000 000000* -L0021600 00000000 00000000 00000000 00001000 00000010 00000000 00001010 00000101* -L0021664 00000000 00000000 00000010 00000110 00000010 00000000 11110110 00000010* -L0021728 00000000 00000000 00000000 00000110 00000000 00000000 01110100 00000010* +L0020688 000000 000000 000000 000000 000000 000000 000000 000000* +L0020736 00000000 00000000 00000010 00000010 00000000 00000000 00000010 00100010* +L0020800 00000000 00000000 00000000 00000010 00000000 00000000 00000000 00000010* +L0020864 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000010* +L0020928 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0020992 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000011* +L0021056 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0021120 00000000 00000000 00000001 00000000 00000000 00000000 00000000 00001000* +L0021184 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0021248 00000000 00000000 00000000 00000000 00000001 00000100 00000001 00000010* +L0021312 000000 000000 000000 000000 000000 000000 000000 000000* +L0021360 000000 000000 000000 000000 000000 100000 000000 000000* +L0021408 000000 000000 000000 000000 000000 100000 000000 000000* +L0021456 000000 000000 000000 000000 000000 100000 000000 000000* +L0021504 000000 000000 000000 000000 000000 000000 000000 000000* +L0021552 000000 000000 000000 000000 000000 000000 000000 000000* +L0021600 00000000 00000000 01001000 00001000 00000110 00001000 00000010 00000001* +L0021664 00000000 00000000 01001010 00000010 00000010 00000100 11111110 00000010* +L0021728 00000000 00000000 00010000 00000010 00000000 00000000 01111100 00000010* L0021792 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000010* L0021856 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000010* -L0021920 00000000 00000100 00000000 00000000 00000000 00000000 00000000 00000011* -L0021984 00000000 00000000 00000000 00000001 00000000 00000000 00000100 00000000* -L0022048 00000000 00000000 00000001 00000000 00000000 00000000 10000100 00000000* -L0022112 00000000 00000000 00000000 00000100 00000000 00010000 00000000 00000000* -L0022176 000000 000000 000000 000000 000000 000000 000000 000000* -L0022224 000000 000000 000000 000000 000000 000000 000000 000000* -L0022272 000000 000000 000000 000100 000100 000001 100000 000000* -L0022320 000000 000000 000000 000100 000000 000000 100000 000000* -L0022368 000000 000000 000000 000000 000000 001000 000000 000000* +L0021920 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000011* +L0021984 00000000 00000000 00010000 00000000 00000100 00000000 00001100 00000000* +L0022048 00000000 00000000 00000001 00000000 00000000 00000000 10001100 00000000* +L0022112 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00001000* +L0022176 000000 000000 000100 000001 000000 000000 000000 000000* +L0022224 000000 000010 000000 000000 000000 000000 000001 000000* +L0022272 000000 000000 000000 000100 000000 000000 100010 000000* +L0022320 000000 000000 000000 000100 000000 000000 100010 000000* +L0022368 000000 000000 000000 000000 000000 000000 000000 000000* L0022416 000000 000000 000000 000000 000000 000000 000000 000000* -L0022464 00000011 00000001 00000011 00000011 00000000 00000111 00000011 00000010* -L0022528 00000011 00000001 00000011 00000011 00000011 00000111 00000011 00000010* -L0022592 00000011 00000001 00000011 00001011 00000001 00000111 00000011 00000011* -L0022656 00000011 00000011 00000010 00001011 00000001 00000111 00000011 00000000* -L0022720 00000011 00000011 00000011 00001011 00000011 00001111 00000011 00000011* -L0022784 00000011 00000011 00000011 00001011 01000011 00000111 00000011 00010011* -L0022848 00000011 00000011 00000111 00000011 00000001 00100011 00000011 00000001* -L0022912 00000011 00000011 00000011 00000011 00000000 00100011 00000011 00000011* -L0022976 00000011 00000011 00000010 00000010 00000011 00100011 00000011 00000010* +L0022464 00000011 00000001 00000011 00000011 00000000 00000011 00000011 00001010* +L0022528 00000011 00000001 00000011 00000011 00000011 00000011 00000011 00000010* +L0022592 00000011 00000001 00000011 00000011 00000001 00000011 00000011 10000011* +L0022656 00000011 00000011 00000010 00000011 00000000 00000011 00000011 00000000* +L0022720 00000011 00000011 00000011 00000011 00000011 00000011 00000011 00000011* +L0022784 00000011 00000011 00000011 00000011 00000011 00000011 00000011 00000011* +L0022848 00000011 00000011 00000011 01000010 00000001 00001011 00000011 00000001* +L0022912 00000011 00000011 00000011 00000011 00000000 00000011 00000011 00001011* +L0022976 00000011 00000011 00000010 00000010 00000011 00000011 00000011 00000010* L0023040 000000 000000 000000 000000 000000 000000 000000 000000* -L0023088 000000 000000 000000 000000 000000 000100 000000 000000* -L0023136 000000 000000 000000 000000 000000 000000 000001 000000* -L0023184 000000 000000 000000 000000 000000 000100 000000 000000* -L0023232 000000 000000 000000 000000 000000 000100 000000 000000* +L0023088 000000 000000 000000 000000 000000 000000 000000 000001* +L0023136 000000 000000 000000 000000 000000 000000 000000 000000* +L0023184 000000 000000 000000 000000 000000 000000 000000 001000* +L0023232 000000 000000 000000 000000 000000 000000 000000 000000* L0023280 000000 000000 000000 000000 000000 000000 000000 000000* -L0023328 00000000 00000000 00000000 00000000 00000000 01000000 00000000 00000000* -L0023392 00001000 00000000 10000000 00000000 00000000 00000000 11111100 00000000* -L0023456 00000000 00000000 10000000 00000000 00000000 00000000 01111100 00000000* -L0023520 00000000 00000000 10000100 00000000 00000000 00000000 00000000 00000000* -L0023584 00000000 00000000 10000100 00000000 00000000 00000000 00000000 00000000* -L0023648 00000000 00000000 00000000 00010000 00000000 00000000 00001000 00000000* -L0023712 00000000 00000000 00000000 00010000 00000000 00000000 00000100 00000000* -L0023776 00000000 00000000 10001100 00000000 00000000 00010000 10000100 00000000* -L0023840 00000000 00000000 10001100 00000000 00000000 00000000 00000000 00000000* -L0023904 000000 000000 100011 000000 000000 000000 000000 000000* -L0023952 000000 000001 000011 000000 000000 000001 000000 000000* -L0024000 000000 000000 000011 000000 000000 000000 100000 000000* -L0024048 000000 000000 000011 000000 000000 001000 100000 000000* -L0024096 000000 000000 000011 000000 000000 000000 000000 000000* -L0024144 000000 000000 000011 000000 000000 000000 000000 000000* +L0023328 00000000 00000000 00000000 00000000 00000100 00000000 00000000 01010000* +L0023392 00000000 00000000 00000000 00000000 00000000 00000000 00000000 11011100* +L0023456 00000000 00000000 01001000 00000000 00000000 00000000 10000000 01011100* +L0023520 00000000 00000000 00010000 00000000 00000000 00000000 10000000 11011100* +L0023584 00000000 00000000 00000000 00000000 00000000 00000000 10000000 11011100* +L0023648 00000000 00000000 00000000 00000000 00000100 00000000 00000000 11100100* +L0023712 00000000 00000000 00000000 00000000 00000000 00000000 00000000 11101100* +L0023776 00000000 00000000 00010000 00000000 00000000 00000000 00000000 11100100* +L0023840 00000000 00000000 00000000 00000000 00000000 00000000 00000100 11101100* +L0023904 000000 000000 000000 000000 000000 000000 000001 111011* +L0023952 000000 000000 000000 000000 000000 000000 000000 000000* +L0024000 000000 000000 000000 000000 000000 000000 000000 000001* +L0024048 000000 000000 000000 000000 000000 000000 000001 100111* +L0024096 000000 000000 000000 000000 000000 000000 000001 001111* +L0024144 000000 000000 000100 000000 000000 000000 000001 001111* L0024192 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0024256 00001000 00000000 00000000 00000000 00000000 00000000 00000000 01000100* -L0024320 00000000 00000000 00000000 00000000 00000000 00000000 00000000 01001100* -L0024384 00000000 00000000 00000000 00000000 00000000 00110000 00000000 01001100* -L0024448 00000000 00000000 00000000 00000000 00000000 00000000 00000000 01001100* -L0024512 00000000 00000000 00000000 00000000 00000000 10000000 00000000 11000100* -L0024576 00000000 00000000 00000000 00000000 00000000 01000000 00000000 11000100* -L0024640 00000000 00000000 00000000 00000000 00000000 01000000 00000000 11000100* -L0024704 00000000 00000000 00000000 00000000 00000000 00000000 00000000 11000100* -L0024768 000000 000000 000000 000000 000000 000000 000000 110001* -L0024816 000000 000000 000000 000000 000000 000000 000000 000000* -L0024864 000000 000000 000000 000000 000000 000000 000001 000000* -L0024912 000000 000000 000000 000000 000000 000000 000000 000111* -L0024960 000000 000000 000000 000000 000000 010000 000000 010111* -L0025008 000000 000000 000000 000000 000000 000000 000000 000111* -L0025056 00000000 00000000 00000000 00000000 00001000 00000000 00000000 00000000* -L0025120 00000000 00000000 00000000 00000000 00000000 00000000 11111100 00000000* -L0025184 00000000 00000000 00000000 00000000 00000000 00000000 01111100 00000000* -L0025248 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0024256 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* +L0024320 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* +L0024384 00000000 00000000 00101000 00000000 00000000 00110000 00000000 00000000* +L0024448 00000000 00000000 00111000 00000000 00000000 00000000 00000000 00000000* +L0024512 00000000 00000100 00000000 00000000 00000000 10000000 00000000 00000000* +L0024576 00000000 00000100 11000000 00000000 00000000 01000000 00000000 00000000* +L0024640 00000000 00000000 11001100 00001000 00000000 01000000 00000000 00000000* +L0024704 00000000 00000000 10011000 00001000 00000000 00000000 00000000 00000000* +L0024768 000000 000000 000011 000010 000000 000000 000000 000000* +L0024816 000000 000000 100010 000010 000000 000000 000000 000000* +L0024864 000000 000000 100010 000000 000000 000000 000000 000010* +L0024912 000000 000000 010010 000000 000000 000000 000000 000000* +L0024960 000000 000000 010010 000000 000000 010000 000000 000000* +L0025008 000000 000000 000011 000000 000000 000000 000000 000000* +L0025056 00000000 00000000 00000000 00000000 00000100 00000000 00000000 00000000* +L0025120 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* +L0025184 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* +L0025248 00010000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0025312 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0025376 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0025440 00000000 00000000 00000000 00000000 00000000 00000000 00001100 00000000* -L0025504 00000000 00000000 00000000 00000000 00000000 10000000 10001100 00000000* -L0025568 00100000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0025376 00000000 00000000 00000000 00010000 00000000 00000000 00000000 00000000* +L0025440 00000000 00000000 00000000 00010000 00000000 00000000 00000000 00000000* +L0025504 00000000 00000000 00100000 00000000 00000000 10000000 00000000 00000000* +L0025568 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0025632 000000 000000 000000 000000 000000 000000 000000 000000* -L0025680 000000 000000 000000 000000 000000 000000 000010 000000* -L0025728 000000 000000 000000 000000 000000 010000 100000 000000* -L0025776 000000 000000 000000 000000 000000 010000 100000 000000* -L0025824 000000 000000 000000 001000 000001 000000 000000 001000* -L0025872 000000 000000 000000 000000 000000 000000 000000 001000* -L0025920 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* -L0025984 00000100 00000000 00000000 00000011 00000011 00000000 00000011 00000010* +L0025680 000000 000000 001000 000000 000000 000000 000000 000010* +L0025728 000000 000000 000000 000000 000000 010000 001000 000000* +L0025776 000000 000000 000000 000000 000000 010000 000000 000000* +L0025824 001000 000000 000000 000000 000000 000000 000000 000000* +L0025872 000000 000000 000000 000000 000001 000000 000000 000000* +L0025920 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0025984 00000100 00000000 00000000 00000011 00000011 00000000 11111111 00000010* L0026048 00000000 00000000 00000100 00000000 00000001 00000000 00000001 00000001* -L0026112 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0026112 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* L0026176 00000000 00000000 00000000 00000010 00000011 00000001 00000010 00000010* -L0026240 00010000 00000000 00000000 00000010 00000011 00000001 00000010 00000011* -L0026304 00000000 00000000 00000000 01000000 00000000 00000000 00000000 00000000* +L0026240 00000000 00000000 00000000 00000010 00000011 00000001 00000010 00000011* +L0026304 00000000 00000000 00000000 00000000 00000000 00000000 00001100 00000000* L0026368 00000000 00000000 00000001 00000000 00000000 00000001 00000010 00000010* L0026432 00000000 00000000 00000010 00000000 00000010 00000000 00000010 00000000* L0026496 000000 000000 000000 000000 000000 000000 000000 000000* -L0026544 000000 000000 000000 000000 000000 000010 000000 000010* -L0026592 000001 000000 000000 000000 000000 000000 000001 000010* +L0026544 000000 000001 000000 000000 000000 000010 000000 000000* +L0026592 000001 000000 000000 000000 000000 000000 100010 000010* L0026640 000000 000000 000000 000000 000000 000000 000000 000000* L0026688 000000 000000 000000 000000 000000 000000 000000 000000* L0026736 000000 000000 000000 000000 000000 000000 000000 000000* -L0026784 00000100 00000000 00000000 00000000 00001000 00000000 00000100 00000000* -L0026848 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0026912 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0026976 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* -L0027040 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0027104 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0026784 00000100 00000000 00000000 00000000 00000100 00000000 11111100 00000100* +L0026848 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00000000* +L0026912 00000000 00000000 00000000 00000100 00000000 00000000 10000000 00000000* +L0026976 00000000 00000000 00000000 00000100 10000000 00000000 10000000 00000000* +L0027040 00000000 00000000 00000000 00000100 00000000 00000000 10000000 00000000* +L0027104 00001100 00000000 00000000 00000000 00000000 00000000 00001100 00000000* L0027168 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0027232 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0027296 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0027360 000000 000000 000000 000000 000000 000000 000000 000000* -L0027408 000100 000000 000000 000000 000000 000000 000000 000000* -L0027456 000000 000000 000000 000000 000000 000000 000000 000000* -L0027504 000001 000000 000000 000000 000000 000000 000000 000000* -L0027552 000000 000000 000000 000000 000000 000000 000000 000000* -L0027600 000000 000000 000000 000000 000000 000000 000000 000000* -L0027648 00000000 00000000 00000000 00000000 00000000 10000000 00000000 00000000* -L0027712 00000000 00000000 00000000 00000000 00000011 10000000 00000011 00000010* -L0027776 00000000 00000000 00000000 00000000 00000001 00000000 00000001 00000000* +L0027296 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* +L0027360 000000 000000 000000 000000 000000 000000 000001 000000* +L0027408 000000 000000 000000 100000 000000 000000 100010 000000* +L0027456 000000 000000 000000 100010 000000 000000 000001 000000* +L0027504 000001 000000 000000 100010 000000 000000 000001 000000* +L0027552 000000 000000 000000 000010 000000 000000 000001 000000* +L0027600 000000 000000 000000 000010 000000 000000 000001 000000* +L0027648 00000000 00000000 00000000 00000001 00000000 00000000 00000000 00000000* +L0027712 00000000 00000000 00000000 00000000 00000011 00000000 00000011 00000010* +L0027776 00000000 00000000 00000000 10000000 00000001 00000000 00000001 00000000* L0027840 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0027904 00000000 00000000 00000000 00000010 00000001 00010000 00000010 00000010* -L0027968 00000000 00000000 00000000 00000010 00000011 00100001 00000010 00000010* -L0028032 00000000 00000000 00000100 00100010 00000000 00000000 00000000 00000000* +L0027904 00000000 00000000 00000000 00000010 00000001 00001000 00000010 00000010* +L0027968 00000000 00000000 00000000 00000110 00000011 00000001 00000010 00000010* +L0028032 00000000 00000000 00000100 00000100 00000000 00000000 00000000 00000000* L0028096 00000000 00000000 00000001 00000010 00000000 00000000 00000010 00000010* -L0028160 00000000 00000000 00000000 00000000 00000010 00000000 00000011 01000000* -L0028224 000000 000000 000000 000000 000000 001000 000000 000001* +L0028160 00000000 00000000 00000000 00000000 00000010 00000100 00000011 00000000* +L0028224 000000 000000 000000 000000 000000 000000 000000 000000* L0028272 000000 000000 000000 000000 000000 000000 000000 000000* -L0028320 000000 000000 000000 000000 000000 100000 000000 000000* -L0028368 000000 000000 000000 000000 000000 100000 000000 000000* -L0028416 000000 000000 000000 000000 000000 000000 000000 000100* +L0028320 000000 000000 000000 000000 000000 000000 000001 000000* +L0028368 000000 000000 000000 000000 000000 000000 000000 001000* +L0028416 000000 000000 000000 000000 000000 000000 000000 000000* L0028464 000000 000000 000000 000000 000000 000000 000000 000000* -L0028512 00000011 00000001 00000011 00000011 10001000 00000000 00000011 00000010* -L0028576 00000001 00000000 00000011 00000011 00000000 01000000 00000000 00010100* -L0028640 00000011 00000000 00000011 00000011 00000000 00001000 10000010 00010111* -L0028704 00000011 00000010 00000010 00000011 00000001 00000000 10000011 00000000* -L0028768 00000010 00000010 00000011 00000001 00000010 00000001 10000001 00000001* -L0028832 00010010 00000010 00000011 00000001 00000000 00000000 00000001 00000101* -L0028896 00000011 00000010 00000011 00000001 00000001 00100000 00000011 00000101* -L0028960 00000011 00000010 00000010 00000001 00000000 00000000 00000001 00000001* -L0029024 00000011 00000010 00000010 00000010 00000001 00000000 00000100 00000010* -L0029088 000001 000000 000000 000000 000000 000000 000001 000000* -L0029136 000100 000000 000000 000000 000000 000100 000000 000000* -L0029184 000000 000000 000000 000000 000000 000000 000000 000000* -L0029232 000000 000000 000000 000000 000000 000000 000001 000000* -L0029280 000000 000000 000000 000000 000000 000001 000001 000000* -L0029328 000000 000000 000000 000000 000000 000000 000001 000000* -L0029376 00000000 00000000 00000000 00000000 00000000 00000010 00000000 00000000* -L0029440 00000000 00000000 00000000 00000000 00000000 00000001 00000000 00000000* +L0028512 00001011 00000000 00000011 00000110 10000100 00000000 00000011 00000010* +L0028576 00000011 00000000 00000011 00000011 00000000 00000000 11111100 00000100* +L0028640 00000001 00000000 00000011 00000011 00000000 00000100 01111110 00000111* +L0028704 00000011 00000010 00000010 00000011 00000000 00000000 00000011 00000100* +L0028768 00000011 00000010 00000011 00000001 00000010 00000001 00000001 00000101* +L0028832 00001010 00000010 00000011 00000001 00000000 00000000 00000001 00000101* +L0028896 00000011 00000011 00000011 00000010 00000001 00000000 00001111 00000101* +L0028960 00000011 00000010 00000010 00000001 00000000 00000000 10001101 00000101* +L0029024 00000011 00000000 00000010 00000010 00000001 00000000 00000000 00000110* +L0029088 000001 000000 000000 000000 000000 000000 000000 000000* +L0029136 000000 000000 000000 000000 000000 000000 000000 000000* +L0029184 000000 000000 000000 000000 000000 000000 100010 000000* +L0029232 000000 000000 000000 000000 000000 000000 100010 000000* +L0029280 000000 000000 000000 000000 000000 000000 000000 000000* +L0029328 000000 000000 000000 000000 000000 000000 000000 000000* +L0029376 00001000 00000001 00000000 00000000 00000000 00000010 00000000 00000000* +L0029440 00000000 00000001 00000000 00000000 00000000 00000001 00000000 00000000* L0029504 00000000 00000001 00000000 10000000 00000000 00000011 00000000 00000000* L0029568 00000000 00000001 00000000 00000000 00000000 00000011 00000000 00000000* -L0029632 00000000 00000001 00000000 00000000 00000000 00001000 00000000 00000000* -L0029696 00010000 00000001 00000000 00000000 00000000 00000000 00000000 00000000* -L0029760 00000000 00000001 00000000 00000000 00000000 00000001 00000000 00000000* +L0029632 00000000 00000001 00000000 00000000 00000000 00000000 00000000 00000000* +L0029696 00001000 00000001 00000000 00000100 00000000 00000000 00000000 00010000* +L0029760 00000000 00000000 00000000 00000000 00000000 00000001 00000000 00000000* L0029824 00000000 00000001 00000000 00000000 00000000 00000011 00000000 00000000* -L0029888 00000000 00000001 00000000 00000000 00000000 00000111 00000000 00000000* +L0029888 00000000 00000000 00000000 00000000 00000000 00000011 00000000 00000000* L0029952 000000 000000 000000 000000 000000 000000 000000 000000* -L0030000 000101 000000 000000 000010 000001 000000 000000 000000* +L0030000 000001 000000 000000 000000 000001 000000 000000 000000* L0030048 000001 000000 000000 000000 000000 000000 000001 000000* L0030096 000000 000000 000000 000000 000000 000000 000000 000000* L0030144 000000 000000 000000 000000 000000 000000 000000 000000* L0030192 000000 000000 000000 000000 000000 000000 000000 000000* -L0030240 00000000 00010000 00000000 00000000 10000000 00000001 00000000 00000000* -L0030304 00000010 00000001 00000000 00000000 10000100 00000001 11111100 00000000* -L0030368 00000000 00000000 00000000 00000010 10000100 00000101 01111100 00000000* -L0030432 00000000 00000000 00000000 00000000 10000100 00000000 00000000 00000000* -L0030496 00000001 00000000 00000000 00000000 10000110 00000010 00000000 00000000* -L0030560 00000101 00000000 00000000 00000000 00000100 00000010 00000000 00000000* +L0030240 00000000 00000000 00000000 00000100 10000000 00000001 00000000 00000000* +L0030304 00000000 00000000 00000000 00000000 10000100 00000001 11111100 00000000* +L0030368 00000010 00000000 00000000 00000000 10000100 00000001 01111100 00000000* +L0030432 00000000 00000000 00000000 00000010 10000100 00000000 00000000 00000000* +L0030496 00000000 00000000 00000000 00000000 10000110 00000010 00000000 00000000* +L0030560 00000101 00000110 00000000 00000000 00000100 00000010 00000000 00000000* L0030624 00000100 00000000 00000000 00000000 00000100 00000010 00001100 00000000* -L0030688 00000100 00000010 00000000 00000000 10000100 00000001 10001100 00000000* -L0030752 00000000 00000000 00000000 00000000 10000100 00000010 00000000 00000000* +L0030688 00000100 00000000 00000000 00000000 10000100 10000001 10001100 00000000* +L0030752 00000000 00000011 00000000 00000000 10000100 00000110 00000000 00000000* L0030816 000000 000000 000000 000000 100001 000000 000000 000000* L0030864 000000 000000 000000 000000 000000 000000 000000 000000* L0030912 000000 000000 000000 000000 000001 000000 100010 000000* -L0030960 000001 000000 000000 000010 000001 000000 100010 000000* +L0030960 000001 000000 000000 000000 000001 000000 100010 000000* L0031008 000000 000000 000000 000000 000001 000000 000000 000000* L0031056 000000 000000 000000 000000 000001 000000 000000 000000* -L0031104 00000000 00000000 00010000 00000000 00000000 00000000 00000000 00000000* +L0031104 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0031168 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0031232 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0031296 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0031360 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0031424 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0031488 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* +L0031488 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0031552 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0031616 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0031680 000000 000000 000000 000000 000000 000000 000000 000000* @@ -645,26 +645,26 @@ L0031776 000000 000000 000000 000000 000000 000000 000000 000000* L0031824 000000 000000 000000 000000 000000 000000 000000 000000* L0031872 000000 000000 000000 000000 000000 000000 000000 000000* L0031920 000000 000000 000000 000000 000000 000000 000000 000000* -L0031968 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0031968 00000001 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0032032 00000001 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0032096 00000001 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0032160 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0032224 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0032096 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0032160 00000001 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0032224 00000001 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0032288 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0032352 00000001 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0032416 00000011 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0032480 00000011 00000000 00000000 00000010 00000000 00000000 00000000 00000000* +L0032416 00000001 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0032480 00000011 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0032544 000000 000000 000000 000000 000000 000000 000000 000000* L0032592 000000 000000 000000 000000 000000 000000 000000 000000* L0032640 000000 000000 000000 000000 000000 000000 000000 000000* L0032688 000000 000000 000000 000000 000000 000000 000000 000000* L0032736 000000 000000 000000 000000 000000 000000 000000 000000* L0032784 000000 000000 000000 000000 000000 000000 000000 000000* -L0032832 00000000 00000000 00000000 00010000 00000000 00000000 00000000 00000000* -L0032896 00000000 00000000 00000000 00010000 00000000 00000000 00000000 00000000* +L0032832 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0032896 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0032960 00000000 00000000 00000000 00000000 00000000 00000000 00000000 01000000* -L0033024 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00001000* -L0033088 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0033024 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00001000* +L0033088 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* L0033152 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* L0033216 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* L0033280 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* @@ -675,21 +675,21 @@ L0033504 000000 000000 000000 000000 000000 000000 000000 000000* L0033552 000000 000000 000000 000000 000000 000000 000000 000000* L0033600 000000 000000 000000 000000 000000 000000 000000 000001* L0033648 000000 000000 000000 000000 000000 000000 000000 000100* -L0033696 00000001 00000000 00000010 00000000 00000000 00000000 00000011 00000010* -L0033760 00000000 00000000 00000000 00000000 00000100 00000010 00000000 00010100* -L0033824 00000000 00000000 00000000 00000001 00000100 00000000 00000010 00010100* -L0033888 00000000 00000000 00000010 00000110 00000100 00000000 00000011 00010100* -L0033952 00000000 00000000 10000000 10001100 10000100 00000010 00000001 00010101* -L0034016 00000001 00000000 00000000 00011000 00010000 00000010 00000001 00000101* -L0034080 00000000 00000000 00000000 00011001 00000000 00000000 00000011 00000101* -L0034144 00000001 00000000 10101010 00001000 10000000 00000010 00000001 00100101* -L0034208 00000010 00000000 10101000 00001000 10000000 00000000 00000000 00100100* -L0034272 000000 000000 101010 000010 100000 000000 000000 000000* +L0033696 00000000 00000000 00000010 00000000 00000000 00000000 00000011 00000010* +L0033760 00000001 00000000 00000000 00000000 00000100 00000010 00000000 00010100* +L0033824 00000001 00000000 00000000 00000001 00000100 00000000 00000010 00010100* +L0033888 00000000 00000000 00000000 00000001 00000100 00000000 00000011 00010100* +L0033952 00000000 00000000 10000000 00000000 10000100 00000010 00000001 00010101* +L0034016 00000001 00000000 00000000 00000000 00010000 00000010 00000001 00000101* +L0034080 00000000 00000000 10000010 00000010 00000000 00000000 10000011 00000101* +L0034144 00000001 00000000 10101010 00000000 10000000 00000010 00000001 00100101* +L0034208 00000000 00000000 10101000 00000000 10000000 00000000 00000000 00100100* +L0034272 000000 000000 001010 000000 100000 000000 000000 000000* L0034320 000000 000000 000010 000000 000000 000000 000000 000000* L0034368 000000 000000 000011 000000 000000 000000 000000 000000* -L0034416 000000 000000 000010 010000 000000 000000 000000 000000* -L0034464 000000 000000 000010 000010 000000 000000 000000 100000* -L0034512 000000 000000 001011 000010 000000 000000 000000 000000* +L0034416 000000 000000 000010 000000 000000 000000 000000 000000* +L0034464 000000 000000 000010 000000 000000 000000 000000 100000* +L0034512 000000 000000 001011 000000 000000 000000 000000 000000* L0034560 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0034624 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0034688 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* @@ -711,15 +711,15 @@ L0035552 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00010100 L0035616 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00010100* L0035680 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00010100* L0035744 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* -L0035808 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* -L0035872 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* -L0035936 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* -L0036000 000000 000000 000000 000000 000000 001000 000000 000000* -L0036048 100000 000000 000000 000000 000000 001000 000000 000000* -L0036096 000000 000000 000000 000000 000000 000000 000000 000000* -L0036144 000000 000000 000000 000000 000000 001000 000000 000000* -L0036192 000000 000000 000000 000000 000000 001000 000000 000000* -L0036240 000000 000000 000000 000000 000000 001000 000000 000000* +L0035808 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000100* +L0035872 00000000 00000000 10101000 00000000 00000000 00000000 00000000 00000100* +L0035936 00000000 00000000 10101000 00000000 00000000 00000000 00000000 00000100* +L0036000 000000 000000 001010 000000 000000 001000 000000 000000* +L0036048 000000 000000 000010 000000 000000 001000 000000 000000* +L0036096 000000 000000 000010 000000 000000 000000 000000 000000* +L0036144 000000 000000 000010 000000 000000 001000 000000 000000* +L0036192 000000 000000 000010 000000 000000 001000 000000 000000* +L0036240 000000 000000 000011 000000 000000 001000 000000 000000* L0036288 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0036352 00000000 00000000 00000001 00000010 00000000 00000000 00000000 00000000* L0036416 00000000 00000000 00000000 00000000 00000000 00000010 00000000 00000000* @@ -736,146 +736,146 @@ L0037008 000000 000000 000000 000000 000000 000000 000000 000100* L0037056 000000 000000 000000 000000 000000 000000 000000 000000* L0037104 000000 000000 000000 000000 000000 000000 000000 000000* L0037152 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0037216 00000000 00000000 00000000 00000000 00000100 00000000 00000000 00010100* -L0037280 00000000 00000000 00000000 00000000 00000100 00000000 10000000 00010100* -L0037344 00000000 00000000 00000000 00000100 00000100 00000000 10000000 00010100* -L0037408 00000000 00000000 00000000 00001100 10000100 00000000 10000000 00010100* -L0037472 00000000 00000000 00000000 00011000 00000000 00000000 00000000 00000100* -L0037536 00000000 00000000 00000000 00011000 00010000 00000000 00000000 00000100* -L0037600 00000000 00000000 10101000 00001000 10000000 00000000 00000000 00000100* -L0037664 00000000 00000000 10101000 00001000 10000000 00000000 00000100 00000100* -L0037728 000000 000000 101010 000010 100000 000000 000001 000000* -L0037776 000000 000000 000010 000000 000000 000000 000000 000000* -L0037824 000000 000000 000010 000001 000000 000000 000000 000000* -L0037872 000000 000000 000010 000000 000000 000000 000001 000000* -L0037920 000000 000000 000010 000010 000000 000000 000001 000000* -L0037968 000000 000000 000011 000010 000000 000000 000001 100000* +L0037216 00000000 00000000 00000000 00000100 00000100 00000000 00000000 00010100* +L0037280 00000000 00000000 00000000 00000100 00000100 00000000 00000000 00010100* +L0037344 00000000 00000000 00000000 00000100 00000100 00000000 00000000 00010100* +L0037408 00000000 00000000 00000000 00000100 10000100 00000000 00000000 00010100* +L0037472 00000000 00000000 00000000 00010000 00000000 00000000 00000000 00000100* +L0037536 00000000 00000000 10000000 00010000 00010000 00000000 00000000 00000100* +L0037600 00000000 00000000 10101000 00000000 10000000 00000000 00000000 00000100* +L0037664 00000000 00000000 10101000 00000000 10000000 00000000 00000000 00000100* +L0037728 000000 000000 001010 000010 100000 000000 000000 000000* +L0037776 000000 000000 000010 000010 000000 000000 000000 000000* +L0037824 000000 000000 000010 000011 000000 000000 000000 000000* +L0037872 000000 000000 000010 000010 000000 000000 000000 000000* +L0037920 000000 000000 000010 000010 000000 000000 000000 000000* +L0037968 000000 000000 000011 000010 000000 000000 000000 100000* L0038016 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0038080 00000000 00000000 00000000 00000011 00000011 00000011 00000011 00000010* L0038144 00000000 00000000 00000000 00000000 00000001 00000001 00000001 00000001* -L0038208 00000000 00000000 00000000 00000000 00000100 00000000 10000000 00000000* +L0038208 00000000 00000000 00000000 00000100 00000100 00000000 10000000 00000000* L0038272 00000000 00000000 00000000 00000110 00000111 00000001 10000010 00000010* L0038336 00000000 00000000 00000000 00000010 00000011 00000001 00000010 00000011* L0038400 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0038464 00000000 00000000 00000001 00000010 00000000 00000001 00000010 00000010* -L0038528 00000000 00000000 00000010 00001000 10000010 00000010 00000010 00000000* -L0038592 000000 000000 000000 000010 100000 000000 000001 000000* -L0038640 000000 000000 000000 000000 000000 000000 000000 000001* -L0038688 000010 000000 000000 000000 000000 000000 000000 000000* +L0038528 00000000 00000000 00000010 00000000 10000010 00000010 00000010 00000000* +L0038592 000000 000000 000000 000000 100000 000000 000001 000000* +L0038640 000000 000000 000000 000000 000000 000010 000000 000000* +L0038688 000000 000000 000000 000000 000000 000000 000000 000000* L0038736 000000 000000 000000 000000 000000 000000 000000 000000* -L0038784 000000 000000 000000 000000 000000 000000 000000 000000* +L0038784 000000 000000 000000 000010 000000 000000 000000 000000* L0038832 000000 000000 000000 000010 000000 000000 000001 000000* L0038880 00000011 00000001 00000011 00000011 00000010 00000011 00000011 00000011* -L0038944 00000011 00000001 00000011 00000011 00000111 00000011 00000011 00000011* -L0039008 00000011 00000001 00000011 00000011 00000101 00000011 10000010 10000011* -L0039072 00000011 00000011 00000011 00000111 00000001 00000011 00000011 00000011* +L0038944 00000011 00000001 00000011 00000111 00000111 00000011 00000011 00000011* +L0039008 00000011 00000001 00000011 00000111 00000101 00000011 10000010 00000011* +L0039072 00000011 00000011 00000011 00000011 00000000 00000011 00000011 00000011* L0039136 00000011 00000011 00000011 00000011 10000011 00000011 00000011 00000011* L0039200 00000011 00000011 00000011 00000011 00000011 00000011 00000011 00000011* -L0039264 00000011 00000011 00000011 00001011 00000001 00000011 00000011 00000011* -L0039328 00000011 00000011 00000011 00001001 10000001 00000011 00000011 00000011* +L0039264 00000011 00000011 00000011 00000011 00000001 00000011 00000011 00000011* +L0039328 00000011 00000011 00000011 00000001 10000001 00000011 00000011 00000011* L0039392 00000011 00000011 00000011 00000011 00000011 00000011 00000111 00000011* L0039456 000000 000000 000000 000000 000000 000000 000000 000000* L0039504 000000 000000 000000 000000 000100 000000 000000 000000* -L0039552 000000 000000 000000 000000 000000 000000 000000 000000* -L0039600 000010 000000 000000 000000 000000 000000 000001 000000* -L0039648 000000 000000 000000 000010 000000 000000 000001 000000* +L0039552 000000 000000 000000 000010 000000 000000 000000 000000* +L0039600 000000 000000 000000 000010 000000 000000 000001 000000* +L0039648 000000 000000 000000 000000 000000 000000 000001 000000* L0039696 000000 000000 000000 000001 000000 000000 000000 000000* -L0039744 00000001 00000000 00000011 00000011 00000010 00000011 00000011 01000011* -L0039808 00000000 00000000 00100011 00000011 00000111 00000011 00000011 00001010* -L0039872 00000000 00000000 00100011 00000011 00000101 00000011 10000010 00000011* -L0039936 00000001 00000000 00101011 00000001 00000100 00000011 10000011 00000011* -L0040000 00000000 00000000 00111011 00000011 10000101 00000011 10000011 00000011* -L0040064 00000011 00000000 00000011 00000011 10000001 00000010 00000011 00000011* -L0040128 00000011 00000000 00000011 00000001 10000001 00000011 00000011 00000001* -L0040192 00000001 00000000 10001111 00000011 10000001 00000011 00000011 00000011* -L0040256 00000001 00000001 10011011 00000001 10000011 00000011 00000111 00000011* -L0040320 000000 000000 100011 000000 100000 000000 000001 000000* -L0040368 000000 000000 100010 000000 000000 000000 000000 000100* -L0040416 000000 000000 100010 000000 000000 000000 000000 000001* -L0040464 000000 000000 010010 000000 000000 000000 000001 000000* -L0040512 000000 000000 010010 000000 000000 000000 000001 000000* -L0040560 000000 000000 000011 000000 000000 000000 000001 000000* -L0040608 00000000 00000000 00000011 00000010 00000010 00000001 00000011 00010011* -L0040672 00000000 00000000 10000011 00000010 00000010 00000011 00000010 00000110* -L0040736 00000000 00000000 10000001 00000011 00000000 00000011 00000010 00010111* -L0040800 00000000 00000000 00000001 00000001 00000000 00000011 00000011 00000111* -L0040864 00000001 00000000 00000011 00000001 00000000 00000010 00000001 00010111* -L0040928 00000001 00000000 00000011 00000011 00000000 00000010 00000001 00000011* -L0040992 00000001 00000000 00000011 00000001 00000001 00000011 00000011 00100001* -L0041056 00000001 00000000 00100011 00000001 00000001 00000011 00000001 00000011* -L0041120 00000001 00000000 00000011 00000001 00000001 00000011 00000001 00100011* +L0039744 00000000 00000000 00000011 00000010 00000010 00000011 00000011 00000011* +L0039808 00000000 00000000 00000011 00000111 00000111 00000011 00000011 01000110* +L0039872 00000001 00000000 00000011 00000111 00000101 00000011 10000010 01001111* +L0039936 00000000 00000000 00000011 00000101 00000100 00000011 10000011 01001111* +L0040000 00000000 00000000 00000011 00000111 10000101 00000011 10000011 01001111* +L0040064 00000011 00000000 00000011 00000011 10000001 00000010 00000011 11000111* +L0040128 00000011 00000000 00000011 00000001 10000001 00000011 00000011 11000101* +L0040192 00000011 00000001 00000011 00000011 10000001 00000011 00000011 11000111* +L0040256 00000001 00000000 00000011 00000001 10000011 00000011 00000111 11000111* +L0040320 000000 000000 000000 000010 100000 000000 000001 110001* +L0040368 000000 000000 000000 000010 000000 000000 000000 000000* +L0040416 000000 000000 000000 000010 000000 000000 000000 000000* +L0040464 000000 000000 000000 000010 000000 000000 000001 000111* +L0040512 000000 000000 000000 000010 000000 000000 000001 010111* +L0040560 000000 000000 000000 000010 000000 000000 000001 000111* +L0040608 00000000 00000000 00000011 10000010 00000010 00000001 00000011 00000011* +L0040672 00000000 00000000 00000011 00000010 00000010 00000011 00000010 00000010* +L0040736 00000000 00000000 00000001 00000011 00000000 00000011 00000010 00000011* +L0040800 00000000 00000000 00000011 00000001 00000000 00000011 00000011 00000011* +L0040864 00000000 00000000 00000011 00000001 00000000 00000010 00000001 00000011* +L0040928 00000001 00000000 00000011 00010011 00000000 00000010 00000001 00000011* +L0040992 00000001 00000000 00000011 00010001 00000001 00000011 00000011 00000001* +L0041056 00000001 00000000 00000011 00000001 00000001 00000011 00000001 00000011* +L0041120 00000001 00000001 00000011 00000001 00000001 00000011 00000001 00000011* L0041184 000000 000000 000000 000000 000000 000000 000000 000000* -L0041232 000000 000000 000000 000000 000000 000000 000000 000000* -L0041280 000000 000000 001000 000000 000000 000000 000000 000000* +L0041232 000000 000000 000000 010000 000000 000000 000000 000000* +L0041280 000000 000000 000000 000000 000000 000000 000000 000000* L0041328 000000 000000 000000 000000 000000 000000 000000 000000* -L0041376 000000 000000 000000 000000 000000 000000 000000 000000* +L0041376 000000 000000 000000 000000 000000 000000 000000 001000* L0041424 000000 000000 000000 000000 000000 000000 000000 001000* -L0041472 00000000 00000000 00000011 00000010 00000010 00000101 00000010 00000011* -L0041536 00000001 00000000 00000011 00000011 00000010 00000101 00000000 00000010* -L0041600 00000001 00000000 00000001 00000001 00000000 00000101 00000000 00000011* -L0041664 00000000 00000000 00000001 00000001 00000000 00000101 00000000 00000011* -L0041728 00000000 00000000 00000001 00000001 00000000 00001100 00000000 00000011* +L0041472 00000001 00000000 00000011 00000010 00000010 00000001 00000010 00000011* +L0041536 00000001 00000000 00000011 00000011 00000010 00000001 00000000 00000010* +L0041600 00000000 00000000 00000001 00000000 00000000 00000001 00000000 00000011* +L0041664 00000001 00000000 00000001 00000001 00000000 00000001 00000000 00000011* +L0041728 00000001 00000000 00000001 00000001 00000000 00000000 00000000 00000011* L0041792 00000000 00000000 00000011 00000001 00000000 00000010 00000000 00000011* -L0041856 00000001 00000000 00000011 00000001 00000001 00100011 00000000 00000001* -L0041920 00000011 00000000 00000011 00000001 00000001 00100011 00000001 00000011* -L0041984 00000011 00000000 00000011 00000011 00000001 00100011 00000001 00000011* +L0041856 00000001 00000000 00000011 00000001 00000001 00000011 00000000 00000001* +L0041920 00000001 00000000 00000011 00000001 00000001 00000011 00000001 00000011* +L0041984 00000011 00000000 00000011 00000001 00000001 00000011 00000001 00000011* L0042048 000000 000000 000000 000000 000000 000000 000000 000000* -L0042096 000000 000000 000000 000000 000000 000100 000000 000000* -L0042144 000000 000000 000000 000000 000000 000000 000001 000000* -L0042192 000000 000000 000000 000000 000000 000100 000000 000000* -L0042240 000000 000000 000000 000000 000000 000100 000000 000000* +L0042096 000000 000000 000000 000000 000000 000000 000000 000000* +L0042144 000000 000000 000000 000000 000000 000000 000000 000000* +L0042192 000000 000000 000000 000000 000000 000000 000000 000000* +L0042240 000000 000000 000000 000000 000000 000000 000000 000000* L0042288 000000 000000 000000 000000 000000 000000 000000 000000* -L0042336 00000000 00000000 00000011 00000010 00000010 00000001 00010010 00000011* -L0042400 00000000 00000000 00000011 00000010 00000110 00000001 11100100 00000010* -L0042464 00000000 00000000 00000001 00000001 00000100 00000000 01100100 00000011* -L0042528 00000000 00000000 00000001 00000001 00000100 00000001 00000000 00000011* -L0042592 00000000 00000000 00000001 00000001 10000100 00000000 00000000 00000011* -L0042656 00000000 00000000 00000001 00000001 00000000 00000000 00000000 00000001* -L0042720 00000000 00000000 00000011 00000001 00000001 00000001 00000100 00000001* -L0042784 00000001 00000000 00000011 00000000 10000001 00000010 10000101 00000011* -L0042848 00000001 00000000 00000011 00000001 10000001 00000011 00000001 00000011* -L0042912 000000 000000 000000 000000 100000 000100 000000 000000* +L0042336 00000000 00000000 00000011 00000010 00000010 00000001 00000010 00000011* +L0042400 00000000 00000000 00000001 00000010 00000110 00000001 00000000 00000010* +L0042464 00000000 00000000 00000001 00000000 00000100 00000000 10000000 00000011* +L0042528 00000000 00000000 00000001 00000001 00000100 00000001 10000000 00000011* +L0042592 00000000 00000000 00000001 00000001 10000100 00000000 10000000 00000011* +L0042656 00000000 00010000 00000001 00000001 00000000 00000000 00010000 00000001* +L0042720 00000000 00000000 00000011 00000001 00000001 00000001 00000000 00000001* +L0042784 00000001 00000000 00000011 00000001 10000001 00000010 00000001 00000011* +L0042848 00000001 00000000 00000011 00000001 10000001 00000011 00000101 00000011* +L0042912 000000 000000 000000 000000 100000 000000 000001 000000* L0042960 000000 000000 000000 000000 000000 000000 000000 000000* -L0043008 000000 000000 000000 000000 000000 000000 100000 000000* -L0043056 000000 000000 000000 000000 000000 000001 100000 000000* -L0043104 000000 000000 000000 000000 000000 000000 000000 000000* -L0043152 000000 000000 000000 000000 000000 001000 000000 000000* -L0043200 00000000 00000000 00000010 00000010 00000011 00000000 00000001 00000010* -L0043264 00000000 00000010 00000000 00000000 00000011 00000001 00000011 00000010* -L0043328 00000001 00000010 00000000 00000000 00000000 00000001 00000010 00000010* +L0043008 000000 000000 000000 000000 000000 000000 000000 000000* +L0043056 000000 000000 000000 000000 000000 000000 000001 000000* +L0043104 000000 000000 000000 000000 000000 000000 000001 000000* +L0043152 000000 000000 000000 000000 000000 000000 000001 000000* +L0043200 00000000 00000000 00000010 00000010 00000010 00000000 00000001 00001010* +L0043264 00000000 00000000 00000000 00000000 00000010 00000011 00000011 00000010* +L0043328 00000000 00000000 00000000 00000000 00000000 00000011 00000010 00000010* L0043392 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0043456 00000001 00000010 00000010 00000010 00000011 00000011 00000001 00000011* +L0043456 00000011 00000000 00000010 00000010 00000010 00000011 00000001 00000010* L0043520 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0043584 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* +L0043584 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0043648 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0043712 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0043712 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00001000* L0043776 000000 000000 000000 000000 000000 000000 000000 000000* L0043824 000000 000000 000000 000000 000000 000000 000000 000000* -L0043872 000000 000000 000000 000000 000000 000000 000000 000000* +L0043872 010000 000000 000000 000000 000000 000000 000001 000000* L0043920 000000 000000 000000 000000 000000 000000 000000 000000* L0043968 000000 000000 000000 000000 000000 000000 000000 000000* L0044016 000000 000000 000000 000000 000000 000000 000000 000000* -L0044064 00000010 00000000 00000011 00000011 00000011 00000000 00000001 00000011* -L0044128 00000001 00000000 00000001 00000001 00000001 00000000 00000001 00000001* -L0044192 00000001 00000000 00000001 00000011 00000011 00000000 00000001 00000011* +L0044064 10000000 00000000 00000011 00000011 00000011 00000010 00000011 00000011* +L0044128 00000001 00000000 00000001 00000001 00000001 00000001 11111101 00000001* +L0044192 00000001 00000001 00000011 00000011 00000011 00000001 01111111 00000011* L0044256 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0044320 00000011 00000000 00000010 00000010 00000000 00010010 00000000 00000000* +L0044320 00000011 00000001 00000000 00000000 00000000 00010011 00000000 00000000* L0044384 00000000 00000000 00000000 00000000 00000000 00010000 00000000 00000000* -L0044448 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0044512 00000000 00000000 00000000 00000000 00000000 00010000 00000000 00000000* +L0044448 00000000 00000000 00000000 00000000 00000000 00000000 00001100 00001000* +L0044512 00000000 00000000 00000000 00000000 00000000 00010000 10001100 00001000* L0044576 00000000 00000000 00000000 00000000 00000000 00010000 00000000 00000000* L0044640 000000 000000 000000 000000 000000 000100 000000 000000* -L0044688 000000 000000 010000 000000 000000 000000 000000 000000* -L0044736 000000 000000 000000 000000 000000 000000 000000 000000* -L0044784 000000 000000 000000 000000 000000 000000 000000 000000* +L0044688 000000 000000 000000 000000 000000 000000 000010 000000* +L0044736 000000 000000 000000 000000 000000 000000 100000 000000* +L0044784 000000 000000 000000 000000 000000 000000 100000 000000* L0044832 000000 000000 000000 000000 000000 000000 000000 000000* L0044880 000000 000000 000000 000000 000000 000000 000000 000000* -L0044928 00000010 00000000 00000010 00000010 00000010 00000000 00000011 00000000* -L0044992 00000010 00000000 00000000 00000001 00000010 00000011 00000001 00000010* -L0045056 00000010 00000000 00000000 00000011 00000000 00000011 00000010 00000010* -L0045120 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000001* -L0045184 00000010 00000000 00000010 00000011 00000010 00000011 00000011 00000000* -L0045248 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0044928 00000010 00000000 00000010 00000010 00000010 00000000 00000001 00000010* +L0044992 00000010 00000000 00000000 00000000 00000010 00000000 00000001 00000010* +L0045056 00000010 00000000 00000000 00000010 00000000 00000000 00000010 00000010* +L0045120 00000001 00000000 00000000 00000000 00000000 00000000 00000000 00000001* +L0045184 00000010 00000000 00000010 00000010 00000010 00000010 00000001 00000010* +L0045248 00000000 00000000 00000000 00000000 01000000 00000000 00000000 00000000* L0045312 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0045376 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0045440 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* @@ -885,13 +885,13 @@ L0045600 000000 000000 000000 000000 000000 000000 000000 000000* L0045648 000000 000000 000000 000000 000000 000000 000000 000000* L0045696 000000 000000 000000 000000 000000 000000 000000 000000* L0045744 000000 000000 000000 000000 000000 000000 000000 000000* -L0045792 00000001 00000000 00000000 00000011 00000011 00000000 00000001 00000010* -L0045856 00000001 00000000 00000000 00000001 00000001 00000010 00000001 00000000* -L0045920 00000001 00000000 00000010 00000011 00000001 00000010 00000001 00000000* +L0045792 00000001 00000010 00000000 00000010 00000011 00000000 00000000 00000011* +L0045856 00000001 00000000 00000000 00000001 00000011 00000011 00000000 00000001* +L0045920 00000001 00000000 00000010 00000011 00000001 00000011 00000000 00000011* L0045984 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0046048 00000011 00000000 00000010 00000011 00000011 00000010 00000011 00000010* +L0046048 00000011 00000010 00000010 00000010 00000011 00000011 00000010 00000011* L0046112 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0046176 00000000 00000000 00000000 00000000 00000000 00000000 01000000 00000000* +L0046176 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0046240 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0046304 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0046368 000000 000000 000000 000000 000000 000000 000000 000000* @@ -900,71 +900,71 @@ L0046464 000000 000000 000000 000000 000000 000000 000000 000000* L0046512 000000 000000 000000 000000 000000 000000 000000 000000* L0046560 000000 000000 000000 000000 000000 000000 000000 000000* L0046608 000000 000000 000000 000000 000000 000000 000000 000000* -L0046656 00000000 00000000 00000010 00000001 00000000 00000000 00000001 00000000* -L0046720 00000000 00000000 00000000 00000000 00000010 00000010 00000000 00000010* -L0046784 00000000 00000010 00000000 00000011 00000010 00000010 00000001 00000010* +L0046656 00000000 00000000 00000001 00000000 00000000 00000010 00000000 00000010* +L0046720 00000000 00000000 00000000 00000000 00000010 00000000 00000000 00000000* +L0046784 00000000 00000000 00000011 00000011 00000011 00000000 00000000 00000000* L0046848 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0046912 00000010 00000010 00000000 00000001 00000000 00000010 00000011 00000000* +L0046912 00000010 00000000 00000011 00000000 00000000 00000000 00000010 00000010* L0046976 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0047040 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0047104 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0047168 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0047232 000000 000000 000000 000000 000000 000100 000000 000000* -L0047280 010000 000000 000000 000000 000000 000000 000000 000000* -L0047328 000000 000000 000000 000000 000000 000000 000001 000010* +L0047280 000000 000000 000000 000000 000000 000000 000000 000000* +L0047328 000010 000000 000000 000000 000000 000000 000001 000000* L0047376 000000 000000 000000 000000 000000 000000 000000 000000* L0047424 000000 000000 000000 000000 000000 000000 000000 000000* L0047472 000000 000000 000000 000000 000000 000000 000000 000000* -L0047520 00000000 00000000 00000000 00000010 00001010 00000000 01000010 00000110* +L0047520 00000000 00000000 00000000 00000010 00000010 00000000 01000010 00000010* L0047584 00000001 00000000 00000000 00000000 00000000 00000010 10000100 00000000* -L0047648 00000001 00000010 00000000 00000010 00000010 00000010 00000110 00000000* +L0047648 00000001 00000000 00000000 00000010 00000010 00000010 00000110 00000000* L0047712 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0047776 00000001 00000010 00000010 00000000 00000000 00000010 00000000 00000010* +L0047776 00000001 00000000 00000010 00000000 00000000 00000010 00000000 00000010* L0047840 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0047904 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* L0047968 00000000 00000000 00000000 00000000 00000000 00000000 10000100 00000000* L0048032 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0048096 000000 000000 000000 000000 000001 000000 000000 000000* +L0048096 000000 000000 000000 000000 000000 000000 000000 000000* L0048144 000000 000000 000000 000000 000000 000000 000000 000000* L0048192 000000 000000 000000 000000 000000 000000 100000 000000* -L0048240 000000 000000 000000 000000 000000 000000 100000 000000* +L0048240 000010 000000 000000 000000 000000 000000 100000 000000* L0048288 000000 000000 000000 000000 000000 000000 000000 000000* L0048336 000000 000000 000000 000000 000000 000000 000000 000000* -L0048384 00000000 00000000 00000001 00000010 00000011 00000000 00000011 00110001* -L0048448 00000001 00000001 00000000 10000000 00000100 00000011 00000010 00010100* -L0048512 00000001 00000001 00000001 00000010 00000101 00000011 10000000 00010100* -L0048576 00000000 00000000 00000100 00000101 00000100 00000000 10000000 00010100* -L0048640 00000010 00000001 00000001 00001110 10000111 00000010 10000011 00010111* -L0048704 00000000 00000000 00000100 00001000 00000000 00000000 00000000 00100100* -L0048768 00000000 00000000 00000000 00001000 00000000 00000000 00000000 00100100* -L0048832 00000000 00000000 10001000 00001000 10000000 00000000 00000000 00100100* -L0048896 00000000 00000000 10101000 00001000 10000000 00000000 00000100 00100100* -L0048960 000000 000000 101010 000010 100000 000000 000001 001000* -L0049008 000000 000000 000010 000000 000000 000000 000000 010000* -L0049056 000000 000000 000010 000000 000000 000000 000000 000000* -L0049104 000000 000000 000010 000000 000000 000000 000001 000000* +L0048384 00000000 00000010 00000001 00000000 00000010 00000000 00000001 00110000* +L0048448 00000001 00000001 00000000 10000100 00000110 00100011 00000001 00010100* +L0048512 00000001 00000001 00000000 00000110 00000100 00000011 10000010 00010100* +L0048576 00000000 00000000 00000100 00000100 00000101 00010000 10000000 00010101* +L0048640 00000000 00000001 00000011 00000110 10000110 00000010 10000001 00010100* +L0048704 00000000 00000000 00000100 00000000 00000000 10000000 00000000 00100100* +L0048768 00000000 00000000 10000000 00000000 00000000 01000000 00000000 00100100* +L0048832 00000000 00000000 10001000 00000000 10000000 01000000 00000000 00100100* +L0048896 00000000 00000000 10101000 00000000 10000000 00000000 00000100 00100100* +L0048960 000000 000000 001010 000010 100000 000000 000001 001000* +L0049008 000000 000000 000010 000010 000000 000000 000000 010000* +L0049056 000000 000000 000010 000010 000000 000000 000000 000000* +L0049104 000000 000000 000010 000010 000000 000000 000001 000000* L0049152 000000 000000 000010 000010 000000 000000 000001 001000* L0049200 000000 000000 000011 000010 000000 000000 000001 001000* -L0049248 00000000 00000000 00000011 00000001 00000011 00000000 00000001 00000000* -L0049312 00000000 00000000 00000000 00000010 00000001 00000011 00000000 00000000* -L0049376 00000000 00000000 00000000 00000011 00000010 00000001 00000001 00000001* -L0049440 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0049504 00000000 00000000 00000011 00000010 00000000 00000001 00000000 01001011* -L0049568 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0049248 00000000 00000000 00000001 00000001 00000011 00100000 00000010 00000000* +L0049312 00000000 00000000 00000000 00000010 00000000 00000011 00000000 00000000* +L0049376 00000001 00000000 00000011 00000011 00000001 00000001 00000010 00000001* +L0049440 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* +L0049504 00000011 00000000 00000010 00000010 00000010 00000001 00000000 01001011* +L0049568 00000000 00000000 00000000 00000000 00000000 01000000 00000000 00000000* L0049632 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0049696 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0049696 00000000 00000000 00000000 00000000 00000000 10000000 00000000 00000000* L0049760 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0049824 000000 000000 000000 000000 000000 000000 000000 000000* -L0049872 000010 000000 000000 010000 010000 000000 000000 000000* -L0049920 000010 000000 000000 010000 000000 000000 000000 000000* -L0049968 000000 000000 000000 010000 000000 000000 000000 000000* -L0050016 000000 000000 000000 000000 000000 000000 000000 000000* +L0049872 000010 000100 000000 010000 010000 000000 000000 000000* +L0049920 000010 000000 000000 010000 000000 010000 000000 000000* +L0049968 000000 000000 000000 010000 000000 010000 000000 000000* +L0050016 000000 000000 000000 000000 000000 010000 000000 000000* L0050064 000000 000000 000000 000000 000000 000000 000000 000001* -L0050112 00000000 00000010 00000001 00000001 00000000 00000000 00000001 00000001* -L0050176 00000000 00000010 00000000 00000000 00000010 00000010 00000000 10000001* -L0050240 00000000 00000010 00000001 00000011 00000000 00000010 00000001 00000001* -L0050304 00000000 00000000 00000010 00000000 00000000 00000000 00000010 00000010* -L0050368 00000010 00000010 00000000 00000010 00000000 00000010 00000000 00000001* +L0050112 00010000 00000010 00000000 00000001 00000000 00000000 00000000 00000001* +L0050176 00000000 00000010 00000000 00000000 00000010 00000000 00000000 10000001* +L0050240 00000000 00000010 00000000 00000001 00000000 00000010 00000000 00000001* +L0050304 00000000 00000000 00000000 00000010 00000000 00000000 00000000 00000000* +L0050368 00000000 00000010 00000000 00000001 00000000 00000010 00000000 00000011* L0050432 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0050496 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0050560 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* @@ -975,13 +975,13 @@ L0050784 000000 000000 000000 000000 000000 000000 000001 000000* L0050832 000000 000000 000000 000000 000000 000000 000000 000000* L0050880 000000 000000 000000 000000 000000 000000 000000 000000* L0050928 000000 000000 000000 000000 000000 000000 000000 000000* -L0050976 00000000 00000000 00000001 00000000 00000010 00000000 00000010 00000000* -L0051040 00000000 00000010 00000000 00000001 00000010 00000010 00000100 00000000* -L0051104 00000001 00000010 00000011 00000001 00000000 00000010 00000110 00000000* -L0051168 00000000 00000000 00000000 00000000 00000001 00000000 00000000 10000000* -L0051232 00000001 00000010 00000011 00000010 00000010 00001010 00000000 00000011* +L0050976 00000000 00000000 00000010 00000001 00000010 00000000 00000010 00000000* +L0051040 00000000 00000000 00000000 00000000 00000011 00000010 00000100 00000000* +L0051104 00000000 00000000 00000000 00000011 00000000 00000010 00000110 00000000* +L0051168 00000000 00000000 00000001 00000000 00000000 00000000 00000001 10000000* +L0051232 00000000 00000000 00000010 00000011 00000010 00000010 00000000 00000011* L0051296 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* -L0051360 00000000 00000000 00000000 01000000 00000000 00000000 00000000 00000000* +L0051360 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0051424 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0051488 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0051552 000000 000000 000000 000000 000000 000000 000000 000000* @@ -990,71 +990,71 @@ L0051648 000000 000000 000000 000000 000000 000000 000000 000000* L0051696 000000 000000 000000 000000 000000 000000 000000 000000* L0051744 000000 000000 000000 000000 000000 000000 000000 000000* L0051792 000000 000000 000000 000000 000000 000000 000000 000000* -L0051840 00000000 00000000 00000001 00000000 00000001 00000000 00000000 00000001* -L0051904 00010001 00000000 00000001 00000000 00000001 00000000 11111100 00000001* -L0051968 00000000 00000000 00000001 00000010 00000011 00000011 00000000 00000001* -L0052032 00000000 00000000 00000000 00000001 00000000 00000000 00000000 00000000* -L0052096 00000001 00000000 00000011 00000000 00000001 00000011 00000010 00000001* +L0051840 00000000 00000000 00000011 00000001 00000001 00000000 00000010 00000100* +L0051904 00000000 00000000 00000001 00000001 00000000 00000100 00000010 00000000* +L0051968 00000000 00000000 00000001 00000011 00000001 00000111 00000001 00000001* +L0052032 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* +L0052096 00000010 00000000 00000011 00000001 00000001 00001011 00000000 00000000* L0052160 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0052224 00000000 00000000 00000000 00000000 00000000 00000000 00001100 00000000* -L0052288 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0052352 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0052224 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0052288 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* +L0052352 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* L0052416 000000 000000 000000 000000 000000 000000 000000 000000* -L0052464 000000 000000 000000 000000 000000 000000 000000 000000* -L0052512 000000 000000 000000 000000 000001 000000 100010 000000* -L0052560 000000 000000 000000 000000 000000 000000 000000 000000* +L0052464 000000 000000 000000 000000 000000 100000 000000 000010* +L0052512 000100 000000 000000 000000 000001 000000 000000 000010* +L0052560 000000 000000 000000 000000 000000 000100 000000 000000* L0052608 000000 000000 000000 000000 000000 000000 000000 000000* L0052656 000000 000000 000000 000000 000000 000000 000000 000000* -L0052704 00010000 00000000 00000001 00000000 00000000 00000000 11111100 00000010* -L0052768 00000000 00000010 00000000 00000000 00000100 00000011 00000000 00000000* -L0052832 00000001 00000000 00000000 00000010 00000100 00000011 10000000 00000001* -L0052896 00000000 00000000 00000000 00000100 00000100 00000000 10000000 00000000* -L0052960 00000001 00000000 00000011 00000110 10000100 00000011 10000000 00000011* -L0053024 00000000 00000000 00000000 00000100 00000000 00000000 00001100 00000000* -L0053088 00000000 00000000 00000000 00001000 00000000 00000000 00000000 00000000* -L0053152 00000000 00000000 00000000 00001000 10000000 00000000 00000000 00000000* -L0053216 00000000 00000000 00000000 00001000 10000000 00000000 00000100 00000000* -L0053280 000000 000000 000000 000010 100000 000000 000001 000000* -L0053328 000000 000000 000000 000000 000000 000000 100010 000000* -L0053376 000000 000000 000000 100000 000000 000000 000001 000000* -L0053424 000000 000000 000000 100000 000000 000000 000001 000000* -L0053472 000000 000000 000000 000010 000000 000000 000001 000000* -L0053520 000000 000000 000000 000010 000000 000000 000001 000000* -L0053568 00000000 00000001 00000000 00000010 00000001 00000000 00000010 00000000* -L0053632 00000000 00000010 00000001 00000001 00000011 00000000 00000011 00000010* -L0053696 00000000 00000010 00000001 10000011 00000000 00000010 00000001 00000000* -L0053760 00000010 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0053824 00000001 00000001 00000010 00000010 00000001 00000010 00000010 00000011* +L0052704 00000000 00000000 00000001 00000000 00000000 10000100 00000000 00000010* +L0052768 00000000 00000010 00000000 00000100 00000100 10001000 00000000 00000000* +L0052832 00000000 00000010 00000000 00000100 00000100 00001011 00000001 00000000* +L0052896 00000000 00000000 00000000 00000100 00000100 00001000 00000000 00000000* +L0052960 00000010 00000010 00000001 10000110 10000100 00010111 00000010 00000010* +L0053024 00000000 00000000 00000000 00010000 00000000 00100000 00000000 00000000* +L0053088 00000000 00000000 00000000 00010000 00000000 00000100 00000000 00000000* +L0053152 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* +L0053216 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* +L0053280 000000 000000 000000 000010 100000 001000 000000 000000* +L0053328 000100 000000 000000 000010 000000 000000 000000 000000* +L0053376 000000 000000 000000 000010 000000 000000 000000 000000* +L0053424 000000 000000 000000 010010 000000 000000 000000 000000* +L0053472 000000 000000 000000 000010 000000 000000 000000 000000* +L0053520 000000 000000 000000 000010 000000 000001 000000 000000* +L0053568 00000000 00000001 00000000 00000010 00000011 00000000 00000000 00000000* +L0053632 00000000 00000010 00000001 00000001 00000011 00000000 00000001 00000001* +L0053696 00000001 00000010 00000001 00000011 00000000 00000010 00000001 00000001* +L0053760 00000000 00000000 00000000 00000100 00000100 00000000 00000000 00000010* +L0053824 00000001 00000001 00000010 00000110 00000110 00000010 00000010 00000000* L0053888 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0053952 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0053952 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* L0054016 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0054080 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0054144 000000 000000 000000 000000 000000 000000 000000 000000* -L0054192 000000 000000 000000 000010 000000 000000 000000 000000* -L0054240 000000 000000 000000 000010 000000 000000 000001 000000* -L0054288 000000 000000 000000 000000 000000 000000 000000 000000* -L0054336 000000 000000 000000 000000 000000 000000 000000 000000* -L0054384 000000 000000 000000 000000 000000 000000 000000 000000* -L0054432 00000000 00000000 00000000 00000011 00000011 00000000 00000011 00000000* -L0054496 00000010 00000000 00000010 00000001 00000001 00000000 11111111 00000000* -L0054560 00000010 00000001 00000010 00000010 00000000 00000010 01111100 00000000* +L0054080 00000000 00000000 00100000 00000000 10000000 00000000 00000000 00000000* +L0054144 000000 000000 001000 100000 100000 000000 000000 000000* +L0054192 000000 000000 001000 000000 000000 000000 000000 000000* +L0054240 000000 000000 000000 000000 000000 000000 000000 000000* +L0054288 000000 000000 001000 000000 000000 000000 000000 000000* +L0054336 000000 000000 001000 000010 000000 000000 000000 000000* +L0054384 000000 000000 001000 000010 000000 000000 000000 000000* +L0054432 00000000 00000000 00000000 00000001 00000011 00000000 00000010 00000000* +L0054496 00000000 00000000 00000000 00000101 00000111 00000010 00000000 00000010* +L0054560 00000000 00000000 00000000 00000110 00000100 00000011 00000010 00000010* L0054624 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0054688 00000010 00000011 00000010 00000011 00000010 00000010 00000010 00000010* +L0054688 00000000 00000000 00000010 00000011 10000010 00000011 00000000 00000010* L0054752 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0054816 00000000 00000000 00000000 00000000 00000000 00000000 00001100 00000000* -L0054880 00000000 00000000 00000000 00000000 00000000 00000000 10001100 00000000* +L0054816 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0054880 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* L0054944 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0055008 000000 000000 000000 000000 000000 000000 000000 000000* L0055056 000000 000000 000000 000000 000000 000000 000000 000000* -L0055104 000000 000000 000000 000000 000000 000000 100010 000000* -L0055152 000000 000000 000000 000010 000000 000000 100010 000000* +L0055104 000000 000000 000000 000010 000000 000000 000000 000000* +L0055152 000000 000000 000000 000010 000000 000000 000000 000000* L0055200 000000 000000 000000 000000 000000 000000 000000 000000* L0055248 000000 000000 000000 000000 000000 000000 000000 000000* -L0055296 00000010 00000000 00000001 00000010 00000010 00000001 00000010 00000000* -L0055360 00000000 00000000 00000000 00000000 00000000 00000000 00000010 00000010* -L0055424 00000000 00000000 00000000 00000000 00000010 00000000 00000000 00000010* +L0055296 00000010 00000000 00000000 00000010 00000010 00000000 00000010 00000010* +L0055360 00000000 00000000 00000010 00000000 00000010 00000001 00000010 00000010* +L0055424 00000000 00000010 00000010 00000010 00000000 00000001 00000000 00000010* L0055488 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0055552 00000010 00000000 00000001 00000010 00000010 00000010 00000010 00000000* +L0055552 00000010 00000010 00000000 00000010 00000011 00000011 00000000 00000011* L0055616 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0055680 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0055744 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* @@ -1065,11 +1065,11 @@ L0055968 000000 000000 000000 000000 000000 000000 000000 000000* L0056016 000000 000000 000000 000000 000000 000000 000000 000000* L0056064 000000 000000 000000 000000 000000 000000 000000 000000* L0056112 000000 000000 000000 000000 000000 000000 000000 000000* -L0056160 00000000 00000000 00000010 00000001 00000011 00000001 00000010 00000011* -L0056224 00000000 00000000 00000000 00000001 00000011 00000010 00000010 00000011* -L0056288 00000000 00000000 00000000 00000011 00000000 00000000 00000000 00000011* +L0056160 00000000 00000000 00000011 00000011 00000011 00000001 00000011 00000000* +L0056224 00000000 00000010 00000000 00000011 00000010 00000010 00000010 00000000* +L0056288 00000000 00000000 00000001 00000011 00000001 00000010 00000011 00000010* L0056352 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0056416 00000011 00000000 00000010 00000011 00000011 00000011 00000010 00000011* +L0056416 00000010 00000000 00000011 00000011 00000011 00000011 00000011 00000010* L0056480 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0056544 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0056608 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* @@ -1080,338 +1080,338 @@ L0056832 000010 000000 000000 000000 000000 000000 000000 000000* L0056880 000000 000000 000000 000000 000000 000000 000000 000000* L0056928 000000 000000 000000 000000 000000 000000 000000 000000* L0056976 000000 000000 000000 000000 000000 000000 000000 000000* -L0057024 00000000 00000000 11000010 00010010 00000010 00000000 00000000 00000001* -L0057088 00000000 00000000 01011010 00000100 00000010 00000000 00000000 00000001* -L0057152 00000000 00000000 00011010 00000011 00000001 00100011 00000011 00000011* -L0057216 00000000 00000000 00010000 00000000 00000000 00000000 00000000 00000000* -L0057280 00000010 00000000 00000011 00000010 00000010 00000011 00000000 00000001* -L0057344 00000000 00000000 00100000 00010000 00000000 01000000 11010000 00000000* -L0057408 00000000 00000000 01010000 00001000 00000000 00000000 00100000 00000000* -L0057472 00000000 00000000 01010000 00000000 00000000 00000000 00000000 00000000* -L0057536 00000000 00000000 00000100 00001000 00000000 00000000 00000000 00000000* -L0057600 000000 000000 000100 000000 000000 000000 000000 000000* -L0057648 000000 000000 000000 110000 000000 010000 011000 000000* -L0057696 000000 000000 000000 010100 000000 000000 000000 000000* -L0057744 000010 000000 001000 010000 000000 000000 000000 000000* -L0057792 000000 000000 000000 000010 000000 000000 000000 000000* -L0057840 000000 000000 000100 000010 000000 000000 000000 100000* -L0057888 00000000 00000000 00000000 01100100 00000010 00000000 00000001 00000001* -L0057952 00000000 00000010 00000000 00001010 00000010 00000001 00000011 00000000* -L0058016 00000000 00000010 10100001 00000001 00000101 00000011 00000000 00000000* +L0057024 00000000 00000000 00000010 00000010 00000010 00000000 00000010 00100010* +L0057088 00000001 00000000 00100010 00000001 00000110 00000001 00000000 00010011* +L0057152 00000000 00000000 00100010 00000011 00000000 00100011 00000010 00000010* +L0057216 00000000 00000000 00000000 00000000 00000100 00000000 00000000 00010000* +L0057280 00000011 00000000 00000010 00000011 10000110 00000011 00000010 00000011* +L0057344 00000000 00000000 00000000 00000000 00000000 01000000 00000000 00100100* +L0057408 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* +L0057472 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100100* +L0057536 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* +L0057600 000000 000000 000000 000000 000000 000000 000000 001000* +L0057648 000000 000000 000000 000000 010000 010000 000000 010000* +L0057696 000000 000000 000000 000000 000000 000000 000001 000000* +L0057744 000010 000000 000000 000000 000000 000000 000000 000000* +L0057792 000000 000000 000000 000000 000000 000000 000000 011000* +L0057840 000000 000000 000000 000000 000000 000000 000000 000000* +L0057888 00000000 00000000 00100000 00000000 00000010 00000000 00000101 01000001* +L0057952 00000000 00000000 00000000 00000010 00000010 00000011 00000011 00001000* +L0058016 00000000 00000010 00000001 00000001 00000001 00000011 00000000 00000010* L0058080 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0058144 00000010 00000010 00111000 00000010 00000010 00000011 00000011 00000001* -L0058208 11000000 00000000 00000000 00000000 00000000 00000000 00100000 00000000* -L0058272 00000000 00000000 00000000 00000000 00000000 01000000 11010000 00000000* -L0058336 00000000 00000000 00001000 00000000 10000000 00000000 00000000 00000000* -L0058400 00000000 00000000 10001000 00010000 10000000 00000000 00000000 00000000* -L0058464 000000 000000 100010 000000 100000 000000 000000 000000* -L0058512 000010 000001 000001 000100 000000 000000 000000 000000* -L0058560 000000 000001 100001 000000 000000 010000 011000 000000* +L0058144 00000011 00000010 00000000 00000010 00000010 00000011 00000011 00000011* +L0058208 01000000 00000100 00000000 00000000 00000000 00000000 00000000 00000000* +L0058272 00000000 00000100 00000000 00000000 00000000 01000000 00000000 00000000* +L0058336 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0058400 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0058464 000000 000000 000000 000000 000000 000000 000000 000000* +L0058512 100010 000000 000000 000000 000000 000000 000000 000100* +L0058560 000000 000000 000100 000000 000000 010000 000000 000001* L0058608 000000 000000 000100 000000 000000 000000 000000 000000* -L0058656 000000 000000 010001 000100 000000 000000 000000 100000* -L0058704 000000 000000 000010 000000 000000 000000 000000 000000* -L0058752 00000000 00000000 00000011 00000001 00000001 00000000 00000001 00000001* -L0058816 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0058880 00000000 00000000 00000001 00000001 00000001 00000000 00000000 00000001* +L0058656 000000 000000 000000 000000 000000 000000 000000 000000* +L0058704 000000 000000 000000 000000 000000 000000 000000 000000* +L0058752 00000000 00000000 00000000 00000001 00000000 00000000 00000001 00000000* +L0058816 00000000 00000001 00000001 00000000 00000000 00000000 00000000 00000001* +L0058880 00000000 00000000 00000001 00000001 00000000 00000000 00000000 00000001* L0058944 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0059008 00000000 00000000 00000011 00000000 00000000 00000000 00000000 00000000* +L0059008 00000000 00000000 00000000 00000001 00000000 00000000 00000000 00000000* L0059072 00000000 00000000 00000000 10000000 00000000 00100000 00000000 00000000* L0059136 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0059200 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0059264 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0059328 000000 000000 000000 000000 000000 000000 000000 000000* L0059376 000000 000000 000000 000000 000000 000000 000000 000000* -L0059424 000000 000000 000100 000000 000000 000000 000000 000000* -L0059472 000000 000000 000100 000000 000000 000000 000000 000000* -L0059520 000000 000000 000100 000000 000000 000000 000000 000000* +L0059424 000000 000000 000000 000000 000000 000000 000000 001000* +L0059472 000000 000000 000000 000000 000000 000000 000000 000000* +L0059520 000000 000000 000000 000000 000000 000000 000000 000000* L0059568 000000 000000 000000 000000 000000 000000 000000 000000* -L0059616 00000000 00000000 00000000 00000001 00000000 00000000 00000001 00000000* -L0059680 00000001 00000000 00100010 00000010 00000011 00000001 00000000 00000011* -L0059744 00000000 00000001 00100010 00000011 00000010 00000001 10000001 00000011* -L0059808 00000000 00000000 00101000 00000100 00000000 00000000 10000000 00000000* -L0059872 00000000 00000001 00111000 00001100 00000001 00000001 10000000 00000001* -L0059936 00000000 00000000 00000000 00011000 00000000 00000000 00000000 00000000* -L0060000 00000000 00000000 00000000 00011000 00000000 00100000 00000000 00000000* -L0060064 00000000 00000000 00000000 00001000 00000000 00100000 00000000 00000000* -L0060128 00000000 00000000 00010000 00001000 00000000 00100000 00000100 00000000* -L0060192 000000 000000 000000 000010 000000 000000 000001 000000* -L0060240 000000 000000 100000 000000 000000 000000 001000 000000* -L0060288 000000 000000 100000 000000 000010 000000 000000 010000* -L0060336 000000 000000 010000 000000 000000 000000 000001 000000* -L0060384 000000 000000 010000 000010 000000 000000 000001 000000* -L0060432 000000 000000 000000 000010 000000 000000 000001 000000* -L0060480 00000010 00000000 00000000 00000000 00000000 00000010 00000000 00000010* -L0060544 00000000 00000000 00000001 00000001 00000001 00000010 00000001 00000000* -L0060608 00000000 00000001 00000001 00000001 00000001 00000010 00000001 00000010* -L0060672 00000000 00000000 00000000 00000000 00000000 00000000 10000000 00000000* -L0060736 00000000 00000001 00000000 00000100 00000000 00000010 10000000 00000001* +L0059616 00000000 00000000 00000001 00000001 00000000 00000000 00000001 00000001* +L0059680 00000000 00000000 00000010 00000100 00000111 00000000 00000011 00000010* +L0059744 00000000 00000001 00000010 00000101 00000110 00000000 00000000 00000010* +L0059808 00000000 00000000 00000000 00000100 00000100 00000000 00000000 00000000* +L0059872 00000000 00000001 00000001 00000100 10000101 00000000 00000001 00000001* +L0059936 00000000 00000000 00000000 00010000 00000000 00000000 00000000 00000000* +L0060000 00100000 00000000 10000000 00010000 00000000 00100000 00000000 00000000* +L0060064 00000000 00000000 10101000 00000000 10000000 00100000 00000000 00000000* +L0060128 00000000 00000000 10101000 00000000 10000000 00100000 00000000 00000000* +L0060192 000000 000000 001010 000010 100000 000000 000000 000000* +L0060240 000000 000000 000010 000010 000000 000000 000000 000000* +L0060288 000000 000000 000010 000010 000000 000000 000000 100000* +L0060336 000000 000000 000010 000010 000000 000000 000000 000000* +L0060384 000000 000000 000010 000010 000000 000000 000000 000000* +L0060432 000000 000000 000011 000010 000000 000000 000000 000000* +L0060480 00000000 00000000 00000010 00100000 00000000 00000010 00000000 00000010* +L0060544 00000000 00000001 00000000 00000001 00000001 00000010 00000001 00000000* +L0060608 00000000 00000001 00000010 00000001 00000001 00000010 00000001 00000010* +L0060672 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0060736 00000000 00000001 00000000 00001000 00000000 00000010 00000000 00000001* L0060800 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0060864 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0060928 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0060992 00000000 00000000 00000000 10001000 00000000 00000000 00000000 00000000* -L0061056 000000 000000 000000 000010 000000 000000 000001 000000* +L0060992 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0061056 000000 000000 000000 000000 000000 000000 000000 000000* L0061104 000000 000000 000000 000000 000000 000000 000000 000000* L0061152 000000 000000 000000 000000 000000 000000 000000 000000* L0061200 000000 000000 000000 000000 000000 000000 000000 000000* L0061248 000000 000000 000000 000000 000000 000000 000000 000000* -L0061296 000000 000000 000000 000010 000000 000000 000001 000000* -L0061344 00000000 00000000 00000010 00000010 00000011 00000000 00000010 00000010* -L0061408 00000000 00000000 00000000 00000000 00000001 00000000 00000001 00000000* -L0061472 00000000 00000000 00000011 00000010 00000010 00000000 10000010 00000010* -L0061536 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00000000* -L0061600 00000000 00000000 00000001 00000000 00000001 00000001 00000000 00000000* -L0061664 00000000 00000000 00000000 00001000 00000000 00000000 01000000 00010000* -L0061728 00000000 00000000 00000000 00001000 00000000 00000000 00000000 00000000* -L0061792 00000000 00000000 00000000 00001000 00000000 00000000 00000000 00000000* -L0061856 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* -L0061920 000000 000000 101010 000000 000000 000000 000000 000000* +L0061296 000000 000000 000000 000000 000000 000000 000000 000000* +L0061344 00000000 00000000 00000011 00000010 00000010 00000000 00000001 00000010* +L0061408 00000000 00000000 00000000 00001000 00000000 00000000 00000001 00000000* +L0061472 00000000 00000000 00000010 00001010 00000010 00000000 00000000 00000011* +L0061536 00000000 00000000 00000000 00001000 00000000 00000000 00000000 00000000* +L0061600 00000001 00000000 00000001 00000000 00000000 00000000 00000001 00000001* +L0061664 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00010000* +L0061728 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0061792 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0061856 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0061920 000000 000000 000000 000001 000000 000000 000000 000000* L0061968 000000 000000 000000 000000 000000 000000 000000 000000* -L0062016 000000 000000 000000 000000 000000 000000 000000 000100* -L0062064 000000 000000 000010 000000 000000 000000 000001 000000* -L0062112 000000 000000 000010 000010 000000 000000 000001 000000* -L0062160 000000 000000 000011 000000 000000 000000 000000 000000* -L0062208 00000000 00000000 00000001 00010000 00000000 00000000 00000000 00000111* -L0062272 00000000 00000000 00000000 00010000 00000100 00000000 00000000 00000000* -L0062336 00000000 00000000 00000001 00000011 00000011 00000000 00000011 00000011* -L0062400 00000000 00000000 00000000 00000100 00000100 00000000 00000000 00000000* -L0062464 00000011 00000000 00000000 00001100 10000100 00000000 00000000 00000010* -L0062528 00000000 00000000 00000000 00001000 00000000 00000000 00000000 00000000* -L0062592 00000000 00000000 00000000 00001000 00000000 00000000 00000000 00000000* -L0062656 00000000 00000000 00000000 00001000 00000000 00000000 00000000 00000000* -L0062720 00000000 00000000 00000000 00001000 00000000 00000000 00000000 00000000* -L0062784 000000 000000 000000 000010 000000 000000 000000 000000* -L0062832 010000 000000 000000 000000 010000 000000 000000 000000* -L0062880 010000 000000 000000 000000 000000 000000 000000 000000* -L0062928 000000 000000 000000 000000 000000 000000 000000 000000* -L0062976 000000 000000 000000 000010 000000 000000 000000 000000* -L0063024 000000 000000 000000 000010 000000 000000 000000 000000* -L0063072 00000000 00000000 00000001 10000001 00000000 00000000 00000001 00000010* -L0063136 00000000 00000000 01000010 00000000 00000010 00000000 00000011 00000000* -L0063200 00000000 00000000 00000011 00000001 00000010 00000000 00000010 00000010* -L0063264 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0063328 00000000 00000000 00000000 00000001 00000000 00000000 00000001 00000010* -L0063392 00000000 00000000 00000000 00010000 00000000 00000000 00000000 00000000* -L0063456 00000000 00000000 00000000 00010000 00000000 00000000 00000000 00000000* -L0063520 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0063584 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0063648 000000 000000 000000 000000 000000 000000 000000 000000* -L0063696 000000 000000 000000 010000 000000 000000 000000 000000* -L0063744 000000 000000 000000 000000 000000 000000 000000 000000* +L0062016 000000 000000 000000 000100 000000 000000 000000 000100* +L0062064 000000 000000 000000 000100 000000 000000 000000 000000* +L0062112 000000 000000 000000 000000 000000 000000 000000 000000* +L0062160 000000 000000 000000 000000 000000 000000 000000 000000* +L0062208 00000000 00000000 00000000 10000000 00000000 00000010 00000001 00000101* +L0062272 00000000 00000000 10100001 10000000 00000000 00000000 00000001 00000000* +L0062336 00000000 00000000 00000001 00000011 00000011 00000010 00000010 00000001* +L0062400 00000000 00000000 10101000 10000000 00000000 00000000 00000000 00000000* +L0062464 00000001 00000000 10000001 10000000 00000000 00000010 00000001 00000000* +L0062528 00000000 00000000 00000100 10000000 00000000 00000000 00000000 00000000* +L0062592 00000000 00000000 11000000 10000000 00000000 00000000 00000000 00000000* +L0062656 00000000 00000000 00000000 10000100 00000000 00000000 00000000 00000000* +L0062720 00000000 00000000 00010000 10000100 00000000 00000000 00000000 00000000* +L0062784 000000 000000 000000 100001 000000 000000 000001 000000* +L0062832 000000 000000 100010 000001 000000 000000 000000 000000* +L0062880 000000 000000 000110 000001 000000 000000 000000 000000* +L0062928 000000 000000 010011 000001 000000 000000 000001 000000* +L0062976 000000 000000 000010 000001 000000 000000 000001 000000* +L0063024 000000 000000 000001 000001 000000 000000 000001 000000* +L0063072 00000000 00000000 10100001 00000001 00000001 00000000 00000001 00000001* +L0063136 00000000 00000000 01011000 00000010 00000010 00000000 00000011 00000010* +L0063200 00000000 00000000 01011001 00000011 00000011 00000001 00000010 00000011* +L0063264 00000000 00000000 00010000 00000000 00000000 00000000 00000000 00000000* +L0063328 00000000 00000000 00000000 00000000 00000000 00000001 00000001 00000000* +L0063392 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* +L0063456 00000000 00000000 00010000 00000000 00000000 00000000 00000000 00000000* +L0063520 00000000 00000000 00010000 00000000 00000000 00000000 00000000 00000000* +L0063584 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* +L0063648 000000 000000 000100 000000 000000 000000 000000 000000* +L0063696 000000 000000 000000 000000 000000 000000 000000 000000* +L0063744 000000 000000 001000 000000 000100 000000 000000 000000* L0063792 000000 000000 000000 000000 000000 000000 000000 000000* L0063840 000000 000000 000000 000000 000000 000000 000000 000000* -L0063888 000000 000000 000000 000000 000000 000000 000000 000000* -L0063936 00000000 00000001 00000101 00000000 00000010 00000001 00000010 01010000* -L0064000 00000000 00000000 10000000 00000000 00000000 00000010 00000010 01011000* -L0064064 00000000 00000000 10000000 00000000 00000010 00000011 00000000 01011000* -L0064128 00000000 00000000 10000100 00000000 00000000 00000000 00000000 01011001* -L0064192 00000001 00000001 10000101 00000000 00000001 00000011 00000011 11011000* -L0064256 00000000 00000000 00000000 00000000 00000000 00000000 00000000 11100000* -L0064320 00000000 00000000 00000100 00000000 00000000 00000000 00000000 11100000* -L0064384 00000000 00000000 00000100 00000000 00000000 00000000 00000000 11100000* -L0064448 00000000 00000000 00000100 00000000 00000000 00000100 00000000 11100000* -L0064512 000000 000000 000001 000000 000000 000000 000000 111011* -L0064560 000000 000000 000001 001000 000000 100000 000000 100000* -L0064608 000000 000000 000001 000000 000000 100000 000000 000001* -L0064656 000000 000000 000001 000000 000000 100000 000000 101111* -L0064704 000000 000000 000001 000000 000000 000000 000000 001111* -L0064752 000000 000000 000000 000000 000000 000000 000000 001111* -L0064800 00010000 00000001 00000001 00000001 00000101 00001010 00000000 00000001* -L0064864 00010001 00000000 00000000 00000000 00000001 00000110 00000000 00000001* -L0064928 00000001 00000000 00000111 00000001 00000000 00000011 00000001 00000101* -L0064992 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0065056 00000001 00000001 00000011 00000001 00000001 00000011 00000000 00000101* -L0065120 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0065184 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* +L0063888 000000 000000 000100 000000 000000 000000 000000 000000* +L0063936 00000000 00000000 00000101 00000001 00000011 10000001 00000011 00000000* +L0064000 00000000 00000010 10000000 00000000 00000000 10000000 00000000 00000000* +L0064064 00000000 00000010 10000000 00000001 00000010 00000001 00000010 00000000* +L0064128 00000001 00000000 10000100 00000000 00000000 00000000 00000000 00000000* +L0064192 00000000 00000010 10000100 00000001 00000001 00010001 00000001 00000000* +L0064256 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* +L0064320 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* +L0064384 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* +L0064448 00000000 00000000 00000100 00000000 00000000 00000000 00000000 01000000* +L0064512 000000 000000 000001 000000 000000 001000 000000 000001* +L0064560 000000 000000 000001 000000 000000 000000 000000 000000* +L0064608 000000 000000 000001 000000 000000 100000 000000 000000* +L0064656 000000 000000 000001 000000 000000 100000 000000 000000* +L0064704 000000 000000 000001 000000 000000 000000 000000 000100* +L0064752 000000 000000 000000 000000 000000 000000 000000 000000* +L0064800 00000000 00000001 00000001 00000001 00000100 00000010 00000011 00000010* +L0064864 00000000 00000000 00000000 00000000 00000000 01000011 00000011 00010110* +L0064928 00000010 00000000 00000101 00000001 00000001 00001011 10000000 00010110* +L0064992 00000000 00000000 00000000 00000000 00000000 00000000 10000000 00000000* +L0065056 00000011 00000001 00000001 00000001 00000000 00000011 10000011 00000011* +L0065120 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000100* +L0065184 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000100* L0065248 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0065312 00000000 00000000 00001000 00000000 00000000 00000000 00000000 00000100* -L0065376 000000 000000 000000 000000 000000 000000 000000 000000* -L0065424 000000 000000 000000 000000 000000 000000 000000 001000* -L0065472 000000 000000 000010 000000 000000 000000 000000 001000* -L0065520 000000 000000 000000 000000 000000 000000 000000 000000* -L0065568 000000 000000 000010 000000 000000 000000 000000 000000* -L0065616 000000 000000 000010 000000 000000 000000 000000 000000* -L0065664 00000000 00000000 00000001 00000001 00000000 00000000 00000010 00000001* -L0065728 00000000 00000000 01000000 00000000 00000000 00000001 00000010 00000001* -L0065792 00000000 00000000 00000001 00000001 00000001 00000001 00000001 00000001* -L0065856 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* -L0065920 00000000 00000000 00000000 00000001 00000000 00000001 00000000 00000001* +L0065312 00000000 00000000 00001000 00000000 00000000 00000000 00000100 00000000* +L0065376 000000 000000 000000 000000 000000 000000 000001 000000* +L0065424 000000 000000 000000 001000 000000 000100 000000 000000* +L0065472 000000 000000 000010 000000 000000 000000 000000 000000* +L0065520 000000 000000 000000 000000 000000 000000 000001 000000* +L0065568 000000 000000 000010 000000 000001 000001 000001 000000* +L0065616 000000 000000 000010 000000 000000 000000 000001 000000* +L0065664 00000000 00000000 00000000 00000001 00000010 00000000 00000000 00000001* +L0065728 00000000 00000001 00000000 00000000 00000000 00000000 00000000 00000001* +L0065792 00000000 00000001 00000000 00000001 00000001 00000000 00000001 00000001* +L0065856 00000000 00000000 00000000 00000000 00000000 00001000 10000000 00000000* +L0065920 00000000 00000001 00000001 00000001 00000010 00000100 10000000 00000001* L0065984 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0066048 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0066112 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0066176 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0066240 000000 000000 000000 000000 000000 000000 000000 000000* -L0066288 000000 000000 000000 000000 000000 000010 000000 000000* -L0066336 000000 000000 000000 000000 000000 000000 000000 001000* -L0066384 000000 000000 000001 000000 000000 000000 000000 000000* -L0066432 000000 000000 000001 000000 000000 000000 000000 000000* -L0066480 000000 000000 000000 000000 000000 000000 000000 000000* -L0066528 00000000 00000000 01000000 00000000 00000000 00000000 00000000 00000000* -L0066592 00100000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* -L0066656 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* -L0066720 00000000 00000000 00101000 00000000 00000000 00000000 00000000 00000000* -L0066784 00000000 00000000 00111000 00000000 00000000 00000000 00000000 00000000* -L0066848 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0066912 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0066176 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* +L0066240 000000 000000 000000 000000 000000 000000 000001 000000* +L0066288 000000 000000 000000 000000 000000 000000 000000 000000* +L0066336 000000 000000 000000 000000 000000 000000 000000 000000* +L0066384 000000 000000 000000 000000 000000 000000 000000 000000* +L0066432 000000 000000 000000 000000 000000 000100 000000 000000* +L0066480 000000 000000 000000 000000 000000 000000 000001 000000* +L0066528 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0066592 00000000 00000000 00000000 00000000 00000000 10000000 00000000 00000000* +L0066656 00000000 00000000 00000000 00000000 00000000 00000000 10000000 00000000* +L0066720 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0066784 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0066848 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* +L0066912 00000000 00000000 00001000 00000000 00000000 00000100 00000000 00000000* L0066976 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0067040 00000000 00000000 00010000 00000000 00000000 00000000 00000000 00000000* -L0067104 000000 000000 000001 000000 000000 000000 000000 000000* -L0067152 000000 000000 100000 000000 000000 000000 000000 000000* -L0067200 000000 000000 100000 000000 000000 000000 000000 100000* -L0067248 000000 000000 010000 000000 000000 000000 000000 000000* -L0067296 000000 000000 010000 000000 000000 000000 000000 000000* +L0067040 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* +L0067104 000000 000000 000000 000000 000000 000000 000000 000000* +L0067152 000000 000000 000000 000000 000000 000000 000000 000000* +L0067200 000000 000000 010000 000000 000000 000000 000000 000000* +L0067248 000000 000000 000000 000000 000000 100000 000001 000000* +L0067296 000000 000000 000000 000000 000000 000000 000001 000000* L0067344 000000 000000 000000 000000 000000 000000 000000 000000* -L0067392 00000010 00000010 00000010 00000010 00000010 00000010 00000010 00000010* -L0067456 00000000 00000000 00100010 00000010 00000010 00000010 00000010 00000010* -L0067520 00000000 00000000 00100000 00000000 00000000 00000000 10000000 00000000* -L0067584 00000000 00000000 00101000 00000100 00000000 00000000 10000000 00000000* -L0067648 00000000 00000000 00111000 00001100 00000000 00000000 10000000 00000000* -L0067712 00000000 00000000 00000000 00001000 00000000 00000000 00000000 00000000* -L0067776 00000010 00000010 00100010 00001010 00000010 00000010 00000010 00000010* -L0067840 00000100 00000000 10001100 00001000 00000000 00000000 00000000 00000000* -L0067904 00000000 00000000 10011000 00001000 00000000 00000000 00000100 00000000* -L0067968 000000 000000 100010 000010 000000 000000 000001 000000* -L0068016 000000 000000 100010 000000 000000 000000 000000 000000* -L0068064 000000 000000 100010 000000 000000 000000 000000 000000* -L0068112 000000 000000 010010 000000 000000 000000 000001 000000* -L0068160 000000 000000 010010 000010 000000 000000 000001 000000* -L0068208 000000 000000 000011 000010 000000 000000 000001 000000* -L0068256 00010000 01000000 00000000 00010000 00001000 00000000 00000000 00000100* -L0068320 00010000 00000000 00000000 00010000 00000000 00000000 00000000 00000000* -L0068384 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0068448 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* -L0068512 00000000 00000000 10000000 10000000 00000000 00000000 00000000 00000000* -L0068576 00000000 00100000 00000000 10010000 00000000 00000000 00000000 00000000* -L0068640 00000000 00000000 00000000 10010100 00000000 00000000 00000000 00000000* -L0068704 00000000 00000000 00100000 10000100 00000000 00000000 00000000 00000000* -L0068768 00000000 00000000 00000000 10000000 00000000 00000000 00000000 00000000* -L0068832 000001 000000 000000 100000 000000 000000 000000 000000* -L0068880 001000 000000 000001 000001 000000 000000 000000 000000* -L0068928 010000 000000 000001 010001 000000 000000 000000 000000* +L0067392 00100010 00000010 00000010 00000010 00000010 00000010 00000010 00000010* +L0067456 00000000 00000000 00100010 00000110 00000010 00000010 00000010 01000110* +L0067520 00000000 00000000 00100000 00000100 00000000 00000000 10000000 01001100* +L0067584 00000000 00000000 00001000 00000100 00000000 00000000 10000000 01001100* +L0067648 00000000 00000000 00011000 00000100 00000000 00000000 10000000 01001100* +L0067712 00000000 00000000 00000000 00000000 00000000 00000000 00000000 11000100* +L0067776 00000010 00000010 11100010 00000010 00000010 00000010 00000010 11000110* +L0067840 00000000 00000000 11001100 00000000 00000000 00000000 00000000 11000100* +L0067904 00000000 00000000 10011000 00000000 00000000 00000000 00000100 11000100* +L0067968 000000 000000 000010 000010 000000 000000 000001 000001* +L0068016 000000 000000 100010 000010 000000 000000 000000 000000* +L0068064 000000 000000 100010 000010 000000 000000 000000 000000* +L0068112 000000 000000 010010 000010 000000 000000 000001 000111* +L0068160 000000 000000 010010 000010 000000 000000 000001 010111* +L0068208 000000 000000 000011 000010 000000 000000 000001 000011* +L0068256 00000000 01000000 00000000 00000000 00000000 00000000 00000000 00010000* +L0068320 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0068384 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0068448 00000000 00000000 10100000 00000000 00000000 00000000 00000000 00000000* +L0068512 00000000 00000000 10100000 10000000 00000000 00000000 00000000 00000000* +L0068576 00000000 00000000 00000000 10010000 00000000 00000000 00000000 00100000* +L0068640 00000000 00000000 00000000 10010000 00000000 00000000 00000000 00100000* +L0068704 00000000 00000000 00100000 10000100 00000000 00000000 00000000 00100000* +L0068768 00000000 00000000 00000000 10000100 00000000 00000000 00000000 00100000* +L0068832 000000 000000 000000 100000 000000 000000 000000 001000* +L0068880 000000 010000 000001 000001 000000 000000 000000 000000* +L0068928 000000 000000 000001 010001 000010 000000 000000 000000* L0068976 000000 000000 000001 010001 000000 000000 000000 000000* L0069024 000000 000000 001001 000001 000000 000000 000000 000000* -L0069072 000001 000000 001000 000001 000001 000000 000000 000000* +L0069072 000000 000000 001000 000001 000000 000000 000000 000000* L0069120 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0069184 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0069248 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0069248 00000000 00000000 00000000 00000000 00000000 01000000 00000000 00000000* L0069312 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0069376 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0069440 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0069504 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0069568 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0069504 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00000000* +L0069568 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* L0069632 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0069696 000000 000000 000000 000000 000000 000000 000000 000000* L0069744 000000 000000 000000 000000 000000 000000 000000 000000* -L0069792 000000 000000 000000 000010 000000 000000 000000 000000* +L0069792 000000 000000 000000 000000 000000 000000 000000 000000* L0069840 000000 000000 000000 000000 000000 000000 000000 000000* L0069888 000000 000000 000000 000000 000000 000000 000000 000000* L0069936 000000 000000 000000 000000 000000 000000 000000 000000* -L0069984 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0070048 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0070112 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0069984 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00000000* +L0070048 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00000000* +L0070112 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00000000* L0070176 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00000000* L0070240 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00000000* L0070304 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0070368 00000000 00000000 00000000 00001000 00001000 00000000 00000000 00000000* -L0070432 00000000 00000000 00000000 00001000 00000000 00000000 00000000 00000000* -L0070496 00000000 00000000 00000000 00001000 00000000 00000000 00000000 00000000* -L0070560 000000 000000 000000 000010 000000 000000 000000 000000* -L0070608 000000 000010 000000 000000 000000 000000 000000 000000* -L0070656 000000 000000 000000 000000 000000 000000 001000 000000* +L0070368 00000000 00000000 00000000 00000000 00001000 00000000 00000000 00000000* +L0070432 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0070496 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0070560 000000 000000 000000 000000 000000 000000 000000 000000* +L0070608 000000 000000 000000 000000 000000 000000 000000 000000* +L0070656 000000 000000 000000 000010 000000 000000 000000 000000* L0070704 000000 000000 000000 000010 000000 000000 000000 000000* L0070752 000000 000000 000000 000010 000000 000000 000000 000000* L0070800 000000 000000 000000 000010 000000 000000 000000 000000* -L0070848 00000000 00000000 00010000 00000000 00000000 00010000 00000000 00000000* -L0070912 00000000 00000000 00010000 00000000 00000000 00000000 00000000 00000000* -L0070976 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0071040 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0071104 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0071168 00000000 00000000 00010000 00000000 00000000 00000000 00000000 00000000* +L0070848 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0070912 00000000 00000000 00000000 00000000 00000100 00000000 00000000 00000000* +L0070976 00000000 00000000 00000000 00000000 00000100 00000000 00000000 00000000* +L0071040 00000000 00000000 00000000 00000000 00000100 00000000 00000000 00000000* +L0071104 00000000 00000000 00000000 00000000 10000100 00000000 00000000 00000000* +L0071168 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0071232 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0071296 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0071360 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0071424 000000 000000 000000 000000 000000 000000 000000 000000* -L0071472 000000 000000 000000 000000 000000 000000 000000 000000* +L0071296 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* +L0071360 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* +L0071424 000000 000000 000000 000000 100000 000000 000000 000000* +L0071472 000000 000000 000000 000000 000000 000000 000000 000010* L0071520 000000 000000 000000 000000 000000 000000 000000 000000* L0071568 000000 000000 000000 000000 000000 000000 000000 000000* -L0071616 000000 000000 000100 000000 000000 000000 000000 000000* -L0071664 000000 000000 000000 000000 000000 000000 000000 000000* -L0071712 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0071776 00000000 00000000 00101000 00000000 00000000 00000000 00000000 00000000* -L0071840 00000000 00000000 00101000 00000000 00000000 00000000 00000000 00000000* -L0071904 00000000 00000000 00101000 00000000 00000000 00000000 00000000 00000000* -L0071968 00000000 00000000 00101000 00000000 00000000 00000000 00000000 00000000* +L0071616 000000 000000 000000 000000 000000 000000 000000 000000* +L0071664 000000 000000 000000 000000 000000 001000 000000 000000* +L0071712 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* +L0071776 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0071840 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0071904 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0071968 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0072032 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0072096 00000000 00000000 01000000 00000000 00000000 00000000 00000000 00000000* -L0072160 00000000 00000000 01000000 00000000 00000000 00000000 00000000 00000000* +L0072096 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0072160 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0072224 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0072288 000000 000000 000100 000000 000000 000000 000000 000000* -L0072336 000000 000000 100000 000000 000000 000000 000000 000000* -L0072384 000000 000000 100000 000000 000000 000000 000000 000000* -L0072432 000000 000000 010000 000000 000000 000000 000000 000000* -L0072480 000000 000000 010000 000000 000000 000000 000000 000000* -L0072528 000000 000000 000100 000000 000000 000000 000000 000000* -L0072576 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0072640 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0072704 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0072768 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0072288 000000 000000 000000 000000 000000 000000 000000 000000* +L0072336 000000 000000 000000 000000 000000 000000 000000 000000* +L0072384 000000 000000 000000 000000 000000 000000 000000 000010* +L0072432 000000 000000 000000 000000 000001 000000 000000 000000* +L0072480 000000 000000 000000 000000 000000 000000 000000 000000* +L0072528 000000 000000 000000 000000 000000 000000 000000 000000* +L0072576 00000000 00000000 10100000 00000000 00000000 00000000 00000000 00000000* +L0072640 00000000 00000000 01011000 00000000 00000000 00000000 00000000 00000000* +L0072704 00000000 00000000 01011000 00000000 00000000 00000000 00000000 00000000* +L0072768 00000000 00000000 00010000 00000000 00000000 00000000 00000000 00000000* L0072832 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0072896 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0072960 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0073024 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0073088 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0073152 000000 000000 000000 000000 000000 000000 000000 000000* +L0072896 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* +L0072960 00000000 00000000 00010000 00000000 00000000 00000000 00000000 00000000* +L0073024 00000000 00000000 00010000 00000000 00000000 00000000 00000000 00000000* +L0073088 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* +L0073152 000000 000000 000100 000000 000000 000000 000000 000000* L0073200 000000 000000 000000 000000 000000 000000 000000 000000* -L0073248 000000 000000 000000 000000 000000 000000 000000 000010* +L0073248 000000 000000 001000 000000 000000 000000 000000 000000* L0073296 000000 000000 000000 100000 000000 000000 000000 000000* L0073344 000000 000000 000000 000000 000000 000000 000000 000000* -L0073392 000000 000000 000000 000000 000000 000000 000000 000000* -L0073440 00001000 00000000 00000000 00000000 00001000 00000000 00000000 00000000* +L0073392 000000 000000 000100 000000 000000 000000 000000 000000* +L0073440 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0073504 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0073568 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0073632 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0073696 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0073760 00000000 00000000 00000000 00000000 00000100 00001000 00000000 00000000* +L0073568 00000000 00000000 10100000 00000000 00000100 00000000 10000000 00000000* +L0073632 00000000 00000000 00000000 00000000 00000000 00000000 10000000 00000000* +L0073696 00000000 00000000 00111000 00000000 00000000 00000000 10000000 00000000* +L0073760 00000000 00000000 00000000 00000000 00000000 00001000 00000000 00000000* L0073824 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0073888 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0073952 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0074016 000000 000000 000000 000000 000000 000000 000000 000000* -L0074064 000000 000000 000000 000000 000000 000000 000000 000010* -L0074112 000000 000000 000000 000000 000000 000000 000000 000000* -L0074160 000000 000000 000000 000000 000000 000000 000000 000000* -L0074208 000000 000000 000000 000000 000000 000000 000000 000000* -L0074256 000000 000000 000000 000000 000000 000000 000000 000000* -L0074304 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00001000* -L0074368 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* -L0074432 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* -L0074496 00000000 00000000 10000000 00000000 00000100 00001000 10000000 00000000* -L0074560 00000000 00000000 10000000 00000100 00000100 00000100 10000000 00000000* +L0073888 00000000 00000000 11001000 00000000 10000000 00000000 00000000 00000000* +L0073952 00000000 00000000 10001000 00000000 10000000 00000000 00000100 00000000* +L0074016 000000 000000 000010 000000 100000 000000 000000 000000* +L0074064 000000 000000 000001 000000 000000 000000 000000 000000* +L0074112 000000 000000 100001 000000 000000 000000 000000 000000* +L0074160 000000 000000 000100 000000 000000 000000 000000 000000* +L0074208 000000 000000 010001 000000 000000 000000 000000 000000* +L0074256 000000 000000 000010 000000 000000 000000 000000 000000* +L0074304 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0074368 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0074432 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0074496 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0074560 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0074624 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0074688 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0074752 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0074816 00000000 00000000 00000000 00001000 10000000 00100000 00000000 00001000* -L0074880 000000 000000 000000 100010 100000 000000 000001 000000* -L0074928 000000 000000 000001 000000 000000 000000 000000 000000* -L0074976 000000 000000 000001 000000 000000 000000 000000 000000* -L0075024 000000 000000 000001 000000 000000 000000 000000 000000* -L0075072 000000 000000 000001 000000 000000 000100 000000 000000* -L0075120 000000 000000 000000 000010 000000 000000 000001 000000* -L0075168 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* -L0075232 00000000 00000000 00000100 00000000 00000100 10000000 00000000 00000000* -L0075296 00000000 00000000 00000000 00000000 00000100 00000000 10000000 00000000* -L0075360 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00000000* -L0075424 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* -L0075488 00000000 00000000 00000000 00000000 00000000 00100000 10000000 00000000* -L0075552 00000000 00000000 00000000 00001000 00000000 00000100 00000000 00001000* -L0075616 00000000 00000000 00001000 00001000 10000000 00000000 00000000 00001000* -L0075680 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* -L0075744 000000 000000 000010 000000 000000 000000 000000 000000* -L0075792 000000 000000 000010 000000 000000 000000 000000 000000* -L0075840 000000 000000 000000 000000 000000 000000 000000 000000* -L0075888 000000 000000 000010 000000 000000 100000 000001 000000* -L0075936 000000 000000 000000 000010 000000 000000 000001 000000* +L0074816 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0074880 000000 000000 000000 000000 000000 000000 000000 000000* +L0074928 000000 000000 000000 000000 000000 000000 000000 000000* +L0074976 000000 000000 000000 000000 000000 000000 000000 000000* +L0075024 000000 000000 000000 000000 000000 000000 000000 000000* +L0075072 000000 000000 000000 000000 000000 000000 000000 000000* +L0075120 000000 000000 000000 000000 000000 000000 000000 000000* +L0075168 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* +L0075232 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0075296 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0075360 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0075424 00000000 00000000 00000000 00000000 00000000 00001000 00000000 00000000* +L0075488 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0075552 00000000 00000000 00000000 01000000 00000000 00000000 00000000 00000000* +L0075616 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0075680 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0075744 000000 000000 000000 000000 000000 000000 000000 000000* +L0075792 000100 000000 000000 000000 000000 000000 000000 000000* +L0075840 000100 000000 000000 000000 000000 000000 000000 000000* +L0075888 000000 000000 000000 000000 000000 000000 000000 000000* +L0075936 000000 000000 000000 000000 000000 000000 000000 000000* L0075984 000000 000000 000000 000000 000000 000000 000000 000000* L0076032 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00010000* -L0076096 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00010100* +L0076096 00100000 00000000 00000000 00000000 00000000 00000000 00000000 00010100* L0076160 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00010100* L0076224 00000000 00000000 00000000 00000000 00000000 00010000 00000000 00010100* L0076288 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00010100* @@ -1421,87 +1421,87 @@ L0076480 00000000 00000000 00000000 10000000 00000000 00000000 00000000 00100100 L0076544 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100100* L0076608 000000 000000 000000 000000 000000 000000 000000 001000* L0076656 000000 000000 000000 000000 000000 000000 000000 000000* -L0076704 000000 000000 000000 000000 000000 000000 000001 000100* +L0076704 010000 000000 000000 000000 000000 000000 000001 000100* L0076752 000000 000000 000000 000000 000000 000000 000000 000000* L0076800 000000 000000 000000 000000 000000 000000 000000 001000* L0076848 000000 000000 000000 000000 000000 000000 000000 001000* L0076896 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0076960 00000000 00000000 00000000 00000000 00000100 00000000 10000100 00000000* -L0077024 00000000 00000000 00000000 00000000 00000100 00000000 00000100 00000000* +L0076960 00000000 00000000 00000000 00000100 00000100 00000000 10000100 00000000* +L0077024 00000000 00000000 00000000 00000100 00000100 00000000 00000100 00000000* L0077088 00000000 00000000 00000000 00000100 00000100 00000000 00000000 00000000* -L0077152 00000000 00000000 00000000 00001100 10000100 00000000 00000000 10000000* +L0077152 00000000 00000000 00000000 00000100 10000100 00000000 00000000 10000000* L0077216 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0077280 00000000 00000000 00000000 00001000 00000000 00000000 00000100 00000000* -L0077344 00000000 00000000 10001000 00001000 10000000 10000000 10000100 00000000* -L0077408 00000000 00000000 10101000 00001000 10000000 00000000 00000000 00000000* +L0077280 00000000 00000000 10000000 00000000 00000000 00000000 00000100 00000000* +L0077344 00000000 00000000 10001000 00000000 10000000 10000000 10000100 00000000* +L0077408 00000000 00000000 00101000 00000000 10000000 00000000 00000000 00000000* L0077472 000000 000000 000000 000010 100000 000000 000000 000010* L0077520 000000 000000 000010 000000 000010 000000 100000 000000* -L0077568 000000 000000 000010 000000 000000 000000 000000 000000* -L0077616 000000 000000 000000 000000 000000 000000 000000 100000* +L0077568 000000 000000 000010 000010 000000 000000 000000 000000* +L0077616 000000 000000 000000 000010 000000 000000 000000 100000* L0077664 000000 000000 000000 000010 000000 000000 000000 000000* L0077712 000000 000000 000000 000010 000000 000000 000000 000000* L0077760 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0077824 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0077888 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0077952 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0078016 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0077824 00000000 00000000 00000000 00000000 00000000 00000000 00000000 01000000* +L0077888 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00001000* +L0077952 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* +L0078016 00000000 00000000 00100000 00001000 00000000 00000000 00000000 00000000* L0078080 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0078144 00000000 00000000 00100000 00000000 00000000 00000000 00000000 11000000* +L0078144 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0078208 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0078272 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* -L0078336 000000 000000 001000 000000 000000 000000 000000 000000* -L0078384 000000 000000 000000 000000 000000 000000 000000 000000* -L0078432 000000 000000 001000 000000 000000 000000 000000 000000* -L0078480 000000 000000 000000 000000 000000 000000 000000 000010* -L0078528 000000 000000 001000 000000 000000 000000 000000 000000* -L0078576 000000 000000 001000 000000 000000 000000 000000 000000* +L0078272 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0078336 000000 000000 000001 000000 000000 000000 000000 110000* +L0078384 000000 001000 000000 000000 000000 000000 000000 000000* +L0078432 000000 000000 000000 000000 000000 000000 000000 000000* +L0078480 000000 000000 000000 000000 000000 000000 000000 000001* +L0078528 000000 000000 000000 000000 000000 000000 000000 000000* +L0078576 000000 000000 000000 000000 000000 000000 000000 000100* L0078624 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0078688 00000000 00000000 00000000 00000000 00000100 00000000 00000000 00010100* -L0078752 00000000 00000000 00000000 00000000 00000100 00000000 00000000 00010100* -L0078816 00000000 00000000 00000000 00000100 00000100 00000000 00000000 00010100* -L0078880 00000000 00000000 00000000 00001100 10000100 00000000 00000000 00010100* -L0078944 00000000 00000000 00000000 00011000 00000000 00000000 00000000 00000100* -L0079008 00000000 00000000 00000000 00011000 00000000 00000000 00000000 00000100* -L0079072 00000000 00000000 00000000 00001000 10000000 00000000 00000000 00000100* -L0079136 00000000 00000000 00000000 00001000 10000000 00000000 00000000 00000100* -L0079200 000000 000000 000000 000010 100000 000000 000000 000000* -L0079248 000000 000000 000000 000000 000000 000000 000000 000000* -L0079296 000000 000000 000000 000000 000000 000000 010000 000000* -L0079344 000000 000000 000000 000001 000000 000000 000000 000000* -L0079392 000000 000000 000000 000010 000000 000000 000000 000000* -L0079440 000000 000000 000000 000010 000000 000000 000000 000000* -L0079488 00000000 00000000 00000000 00000000 10001000 00000000 00000000 00001000* +L0078688 00000000 00000000 00000000 00001000 00000000 00000000 00000000 00010100* +L0078752 00000000 00000000 00000000 00001000 00000000 00000000 00000000 00010100* +L0078816 00000000 00000000 10000000 00001000 00000000 00000000 00000000 00010100* +L0078880 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00010100* +L0078944 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100100* +L0079008 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00100100* +L0079072 00000000 00000000 10101000 00000000 00000000 00000000 00000000 00000100* +L0079136 00000000 00000000 10101000 00000000 00000000 00000000 00000000 00000100* +L0079200 000000 000000 001010 000001 000000 000000 000000 000000* +L0079248 000000 000000 000011 000000 000000 000000 000000 000000* +L0079296 000000 000000 000010 000100 000000 000000 010000 000000* +L0079344 000000 000000 000010 000100 000000 000000 000000 000000* +L0079392 000000 000000 001010 000000 000000 000000 000000 000000* +L0079440 000000 000000 000011 000000 000000 000000 000000 000000* +L0079488 00000000 00000000 00000000 00000000 10000100 00000000 00000000 00010000* L0079552 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* -L0079616 00000000 00000000 00000000 00000000 10000000 00000000 00000000 10000000* -L0079680 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* -L0079744 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0079808 00001000 00000000 00000000 00000000 00000100 00000000 00000000 00000000* -L0079872 00000000 00000000 00000000 00000000 00000100 00001000 00000000 00000000* -L0079936 00000000 00000000 00000000 00000000 00000100 00000000 00000000 00001000* +L0079616 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* +L0079680 00000000 00000000 00100000 00000000 10000000 00000000 00000000 00000000* +L0079744 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* +L0079808 00000000 00000000 00000000 00000000 00000100 00000000 00000000 00000000* +L0079872 00000000 00000000 00000000 00000000 00000100 00000000 00000000 00000000* +L0079936 00000000 00000000 00000000 00000000 00000100 00000000 00000000 00000000* L0080000 00000000 00000000 00000000 00000000 00000100 00000000 00000000 00000000* -L0080064 000000 000000 000000 000000 000001 000000 000000 000000* -L0080112 000000 000001 000000 000000 000000 000000 000000 000001* -L0080160 000000 000001 000000 000000 000001 000000 000000 000000* -L0080208 000000 000000 000000 000000 000001 000000 000000 001000* -L0080256 000000 000000 000000 000000 000001 000000 000000 000000* +L0080064 000000 000000 000000 000000 000001 000000 000000 001000* +L0080112 000000 000000 000000 000000 000000 000000 000000 000000* +L0080160 000000 000000 000000 000000 000001 000000 000001 000000* +L0080208 000000 000000 000001 000000 000001 000000 000000 000000* +L0080256 000000 000000 000001 000000 000001 000000 000000 000000* L0080304 000000 000000 000000 000000 000001 000000 000000 000000* -L0080352 00000000 00000000 00000000 00000000 00000000 00000000 00000000 01010000* -L0080416 00000000 00000000 00000000 00000000 00000000 00000000 00000000 11011100* -L0080480 00000000 00000000 00000000 00000000 00000000 00000000 10000000 01011100* -L0080544 00000000 00000000 00000000 00000100 00000000 00000000 10000000 11011100* -L0080608 00000000 00000000 00000000 00000100 00000000 00000000 10000000 11011100* -L0080672 00000000 00000000 00000000 00000000 00000000 00000000 00010000 11100100* -L0080736 00000000 00000000 00000000 00001000 00000000 00000000 00000000 11101100* -L0080800 00000000 00000000 00000000 00001000 00000000 00000000 00000000 11100100* -L0080864 00000000 00000000 00000000 00001000 00000000 00000000 00000100 11101100* -L0080928 000000 000000 000000 000010 000000 000000 000001 111011* -L0080976 000000 000000 000000 000000 000001 000000 000000 000000* -L0081024 000000 000000 000000 000000 000000 000000 000000 000001* -L0081072 000000 000000 000000 000000 000000 000000 000001 100111* -L0081120 000000 000000 000000 000011 000000 000000 000001 001111* -L0081168 000000 000000 000000 000010 000000 000000 000001 001111* -L0081216 00000000 00000000 00000000 00010000 00000000 00000000 00000000 00000000* -L0081280 00000000 00000000 00000000 00010000 00000000 00000000 00000000 00000000* +L0080352 00000000 00001000 00000000 00000000 00000000 00000000 00000000 00000000* +L0080416 00000000 00000000 00100000 00000100 00000000 00000000 11111100 00000000* +L0080480 00000000 00000000 00100000 00000100 00000000 00000000 01111100 00000000* +L0080544 00000000 00000000 00001000 00000100 00000000 00000000 00000000 00000000* +L0080608 00000000 00000000 00011000 00000100 00000000 00000000 00000000 00000000* +L0080672 00000000 00000000 00100000 00000000 00000000 00000000 00001000 00000000* +L0080736 00000000 00000000 01000000 00000000 00000000 00000000 00000100 00000000* +L0080800 00000000 00000000 01000000 00000000 00000000 00000000 10000100 00000000* +L0080864 00000000 00000000 00010000 00000000 00000000 00000000 00000000 00000000* +L0080928 000000 000000 000001 000000 000000 000000 000000 110000* +L0080976 000000 000000 100000 000000 000001 000000 000000 000000* +L0081024 000000 000000 100000 000010 000000 000000 100000 000000* +L0081072 000000 000000 010000 000010 000000 000000 100000 000000* +L0081120 000000 000000 010000 000011 000000 000000 000000 010000* +L0081168 000000 000000 000000 000010 000000 000000 000000 000100* +L0081216 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0081280 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0081344 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0081408 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0081472 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* @@ -1509,56 +1509,56 @@ L0081536 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000 L0081600 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* L0081664 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0081728 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0081792 000000 000000 000001 000000 000000 000000 000000 000000* +L0081792 000000 000000 000000 000000 000000 000000 000000 000000* L0081840 000000 000000 000000 000000 000000 000000 000000 000000* L0081888 000000 000000 000000 000000 000000 000000 000000 000000* L0081936 000000 000000 000000 000000 000000 000000 000000 000000* L0081984 000000 000000 000000 000000 000000 000000 000000 000000* L0082032 000000 000000 000000 000000 000000 000000 000000 000000* -L0082080 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* -L0082144 00000000 00000000 00000000 00000000 00000100 00000000 00000000 00000000* -L0082208 00000000 00000000 00000000 00000000 00000100 00000000 10000000 00000000* -L0082272 00000000 00000000 10000000 00000100 00000100 00000000 10000000 00000000* -L0082336 00000000 00000000 00000000 00001100 10000100 00000000 10000000 00000000* -L0082400 00000000 00001000 00000000 00011000 00000000 00000000 00100000 00000000* -L0082464 00000000 00000000 00000000 00011000 00000000 00000000 00000000 00000000* -L0082528 00000000 00000000 10101000 00001000 10000000 00000000 00000000 00000000* -L0082592 00000000 00000000 10101000 00001000 10000000 00000000 00000100 00000000* -L0082656 000000 000000 101010 000010 100000 000000 000001 000000* -L0082704 000000 000000 000011 000001 000000 000000 000000 000000* -L0082752 000000 000000 000010 010000 000000 000000 000000 000000* -L0082800 000000 000000 000010 000000 000000 000000 000001 000000* -L0082848 000000 000000 001010 000010 000000 000000 000001 000000* -L0082896 000000 000000 000011 000010 000000 000000 000001 000000* +L0082080 00000000 00100000 00000000 00000000 00000000 00000000 00000000 00000100* +L0082144 00000000 00000000 00000000 00000100 00000100 00000000 00000000 00000000* +L0082208 00000000 00000000 00000000 00000100 00000100 00000000 10000000 00000000* +L0082272 00000000 00000000 00000000 00000100 00000100 00000000 10000000 00000000* +L0082336 00000000 00000000 00000000 00000100 10000100 00000000 10000000 00000000* +L0082400 00000000 00000000 00000000 00010000 00000000 00000000 00100000 00000000* +L0082464 00000000 00000100 00000000 00010000 00000000 00000000 00000000 00000000* +L0082528 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* +L0082592 00000000 00000000 00000000 00000000 10000000 00000000 00000100 00000000* +L0082656 000000 000000 000000 000010 100000 000000 000001 000000* +L0082704 000000 000000 000000 000011 000000 000000 000000 000000* +L0082752 000000 000000 000000 010010 000000 000000 000000 000000* +L0082800 000000 000000 000000 000010 000000 000000 000001 000000* +L0082848 000000 000000 000000 000010 000000 000000 000001 000000* +L0082896 000000 000000 000000 000010 000000 000000 000001 000000* L0082944 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0083008 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0083072 00000000 00000000 00000000 00000000 00000000 01000000 00000000 00000000* +L0083072 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0083136 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0083200 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0083264 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0083328 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* -L0083392 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* +L0083328 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0083392 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0083456 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0083520 000000 000000 000000 000000 000000 000000 000000 000000* -L0083568 000000 000000 000000 000000 000000 000000 000000 000000* -L0083616 000000 000000 000000 000000 000000 000000 000000 000000* -L0083664 000000 000000 000000 000000 000000 000000 000000 000000* -L0083712 000000 000000 000000 000000 000000 000000 000000 000000* +L0083568 010000 000000 000000 000000 000000 000000 000000 000000* +L0083616 000000 000000 000100 000000 000000 000000 000001 000000* +L0083664 000000 000000 000100 000000 000000 000000 000000 000000* +L0083712 000000 000000 000100 000000 000000 000000 000000 000000* L0083760 000000 000000 000000 000000 000000 000000 000000 000000* -L0083808 00000000 00000000 00000000 00000000 00001000 00000000 00000000 00000000* -L0083872 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0083936 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0084000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0084064 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0083808 00000000 00000000 00000000 00000000 00000000 00000000 00001000 00000000* +L0083872 00000000 00000000 00100000 00000000 00000000 00000000 11110100 00000000* +L0083936 00000000 00000000 00100000 00000000 00000000 00000000 01110100 00000000* +L0084000 00000000 00000000 00001000 00000000 00000000 00000000 00000000 00000000* +L0084064 00000000 00000000 00011000 00000000 00000000 00000000 00000000 00000000* L0084128 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0084192 00000000 00000000 00000000 00000000 00000100 00000000 10000000 00000000* -L0084256 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0084320 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0084192 00000000 00000000 01000000 00000000 00000000 00000000 00000100 00000000* +L0084256 00000000 00000000 01000000 00000000 00000000 00000000 10000100 00000000* +L0084320 00000000 00000000 00010000 00000000 00000000 00000000 00000000 00000000* L0084384 000000 000000 000000 000000 000000 000000 000000 000000* -L0084432 000000 000000 000000 000000 000000 000000 000000 000000* -L0084480 000000 000000 000000 000000 000000 000000 000000 000000* -L0084528 000000 000000 000000 000000 000000 000000 000000 000000* -L0084576 000000 000000 000000 000000 000000 000000 000000 000000* +L0084432 000000 000000 100000 000000 000000 000000 000000 000000* +L0084480 000000 000000 100000 000000 000000 000000 100000 010000* +L0084528 000000 000000 010000 000000 000000 000000 100000 000000* +L0084576 000000 000000 010000 000000 000000 000000 000000 000000* L0084624 000000 000000 000000 000000 000000 000000 000000 000000* L0084672 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0084736 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* @@ -1566,149 +1566,149 @@ L0084800 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 L0084864 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0084928 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0084992 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0085056 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0085120 00000000 00000000 00000000 00000100 00000000 00000000 00000000 11000000* -L0085184 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0085248 000000 000000 000000 000000 000000 000000 000000 000000* +L0085056 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* +L0085120 00000000 00000000 00000000 00000000 00000000 00000000 00000000 11000000* +L0085184 00000000 00000000 00100000 00000100 00000000 00000000 00000000 00000000* +L0085248 000000 000000 001000 000000 000000 000000 000000 000000* L0085296 000000 000000 000000 000000 000000 000000 000000 000000* -L0085344 000000 000000 000000 000000 000000 000000 000000 000000* +L0085344 000000 000000 000000 000000 000000 000000 000001 000000* L0085392 000000 000000 000000 000000 000000 000000 000000 000000* L0085440 000000 000000 000000 000000 000000 000000 000000 000010* L0085488 000000 000000 000000 000000 000000 000000 000000 000000* -L0085536 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0085600 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00010100* -L0085664 00000000 00000000 00000000 00000000 00000100 00000000 00000000 00010100* -L0085728 00000000 00000000 00000000 00000000 00000100 00000000 10000000 00010100* -L0085792 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00010100* +L0085536 00000000 00000000 00000000 00000000 00000000 00000000 00010000 00000000* +L0085600 00000000 00000000 10000000 00000100 00000000 00000000 11100100 00010100* +L0085664 00000000 00000000 10000000 00000000 00000100 00000000 01100100 00010100* +L0085728 00000000 00000000 10000100 00000100 00000100 00000000 00000000 00010100* +L0085792 00000000 00000000 10000100 00000000 10000000 00000000 00000000 00010100* L0085856 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* -L0085920 00000000 00000000 00000000 00000000 00000000 00000000 00010000 00000100* -L0085984 00000000 00000000 10101000 00001000 00000000 00000000 00000000 00000100* -L0086048 00000000 00000000 10101000 00000000 10000000 00000000 00000100 00000100* -L0086112 000000 000000 101010 000010 000000 000000 000000 000000* -L0086160 000000 000000 000010 000000 000000 000000 000000 000000* -L0086208 000000 000000 000010 000000 000000 000000 000000 000000* -L0086256 000010 000000 000010 000000 000000 000000 000001 000000* -L0086304 000000 000000 000010 000010 000000 000000 000000 000000* -L0086352 000000 000000 000011 000010 000000 000000 000001 000000* +L0085920 00000000 00000000 10000000 00000000 00000000 00000000 00000100 00000100* +L0085984 00000000 00000000 10001100 00000000 00000000 00000000 10000100 00000100* +L0086048 00000000 00000000 10001100 00000000 10000000 00000000 00000000 00000100* +L0086112 000000 000000 000011 000000 000000 000000 000000 000000* +L0086160 000000 000000 000011 000000 000000 000000 000000 000000* +L0086208 000000 000000 000011 000010 000000 000000 100000 000000* +L0086256 000010 000000 000011 000000 000000 000000 100000 000000* +L0086304 000000 000000 000011 000010 000000 000000 000000 000000* +L0086352 000000 000000 000011 000000 000000 000000 000000 000000* L0086400 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0086464 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0086528 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0086592 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0086656 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0086592 00000000 00000000 00000000 00000000 00000000 00001000 00000000 00000000* +L0086656 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* L0086720 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0086784 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0086784 00000000 00000000 00000000 00000000 00000000 00000000 00000000 11000000* L0086848 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0086912 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0086976 000000 000000 000000 000000 000000 000000 000000 000000* L0087024 000000 000000 000000 000000 000000 000000 000000 000000* L0087072 000000 000000 000000 000000 000000 000000 000001 000000* -L0087120 000000 000000 000000 000000 000000 000000 000000 000000* -L0087168 000000 000000 000000 000000 000000 000000 000000 000000* +L0087120 000000 000000 000000 000000 000000 000000 000000 000010* +L0087168 000000 000000 000000 000000 000000 000100 000000 000000* L0087216 000000 000000 000000 000000 000000 000000 000000 000000* -L0087264 00000000 00000000 00000000 00000100 00000000 00000000 10000000 00000000* -L0087328 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* -L0087392 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* -L0087456 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0087520 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0087584 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0087648 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* -L0087712 00000000 00000000 10101000 00000000 00000000 00000000 00000100 00000000* -L0087776 00000000 00000000 10101000 00000100 00000000 00000000 00000000 00000000* -L0087840 000000 000000 101010 000000 000000 000000 000000 000000* -L0087888 000000 000000 000010 000000 000000 000000 000000 000000* -L0087936 000000 000000 000010 000000 000000 000000 000000 000000* -L0087984 000000 000000 000010 000000 000000 000000 000000 000000* -L0088032 000000 000000 000010 000000 000000 000000 000000 000000* -L0088080 000000 000000 000011 000000 000000 000000 000000 000000* -L0088128 00000000 00000000 00000000 00000000 00000000 10000000 11111100 00100000* -L0088192 00010000 00000000 00000000 00000000 00000100 10000000 00000000 00000000* -L0088256 00000000 00000000 00000000 00000000 00000100 00000000 10000000 00000000* -L0088320 00000000 00000000 00000000 00000000 00000100 00000000 10000000 00000000* -L0088384 00000000 00000000 00000000 00000000 10000100 00000000 10000000 00000000* -L0088448 00000000 00000000 00000000 00000000 00000000 00000000 00001100 00000000* -L0088512 00000000 00000000 00000000 00000000 00000000 10010100 00000000 00001000* -L0088576 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* -L0088640 00000000 00000000 00000000 00000000 10000000 00000000 00000100 00000000* -L0088704 000000 000000 000000 000000 100000 000000 000001 000000* -L0088752 000000 000000 000000 000000 000000 000000 100010 000000* -L0088800 000000 000000 000000 000000 000000 101100 000001 000000* -L0088848 000000 000000 000000 000000 000000 100000 000001 000000* -L0088896 000000 000000 000000 000000 000000 000000 000001 000000* -L0088944 000000 000000 000000 000000 000000 000001 000001 000000* -L0088992 00010000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* +L0087264 00000000 00000000 00000000 00000000 00000000 10000000 10000000 00000000* +L0087328 00000000 00000000 00000000 00000100 00000100 00000000 00000100 00010100* +L0087392 00000000 00000000 00000000 00000100 00000100 00000000 00000100 00010100* +L0087456 00000000 00000000 00000000 00000100 00000100 00000000 00000000 00010100* +L0087520 00000000 00000000 00000000 00000100 10000100 00010000 00000000 00010100* +L0087584 00000000 00000000 00000000 00010000 00000000 00000000 00000000 00000100* +L0087648 00000000 00000000 10000000 00010000 00000000 00000000 00000100 00000100* +L0087712 00000000 00000000 10101000 00000000 10000000 00000000 00000100 00000100* +L0087776 00000000 00000000 10101000 00000000 10000000 00000000 00000000 00000100* +L0087840 000000 000000 001010 000010 100000 001000 000000 000000* +L0087888 000000 000000 000010 000010 000000 000000 000000 000000* +L0087936 000000 000000 000010 000010 000000 100000 000000 000000* +L0087984 000000 000000 000010 000011 000000 000000 000000 000000* +L0088032 000000 000000 000010 000010 000000 000000 000000 000000* +L0088080 000000 000000 000011 000010 000000 000001 000000 000000* +L0088128 00000000 00000000 00000000 00000000 00000000 10000000 00000000 00000000* +L0088192 00000000 00000000 00000000 00000000 00000000 10000000 00000000 00000000* +L0088256 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0088320 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0088384 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0088448 00000000 00000000 00100000 01000000 00001000 00000000 11010000 00000000* +L0088512 00000000 00000000 00000000 01000000 00010000 10010100 00100000 00000000* +L0088576 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0088640 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0088704 000000 000000 000000 000000 000000 000000 000000 000000* +L0088752 001000 000000 000000 000000 001110 000000 011000 000000* +L0088800 000000 000000 000000 100000 000000 101100 000000 000000* +L0088848 000000 000000 000000 000000 000000 100000 000000 000000* +L0088896 000000 000000 000000 000000 000000 000000 000000 000000* +L0088944 000000 000000 000000 000000 000000 000001 000000 000000* +L0088992 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* L0089056 00000000 00000000 00000000 00000000 00000000 00001000 00000000 00000000* -L0089120 00000000 00000000 00000000 00000000 00000000 00000000 01111100 00000000* +L0089120 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0089184 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0089248 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0089312 00000000 01000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0089376 00000000 00000000 00001000 00000000 00000000 00000000 00000000 00000000* -L0089440 00000000 00000000 00000000 00000000 00000000 00000000 10001100 00000000* -L0089504 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00001000* +L0089312 00000000 00000000 00000000 00000000 00010000 00000000 00100000 00000000* +L0089376 00000000 00000000 00000000 00000000 00001000 00000000 11010000 00000000* +L0089440 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0089504 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0089568 000000 000000 000000 000000 000000 000000 000000 000000* L0089616 000000 000000 000000 000000 000000 000000 000000 000000* -L0089664 000000 000000 010000 000000 000000 000000 000000 000000* -L0089712 000000 000000 000000 000000 000001 000000 100010 000000* +L0089664 000000 000000 000000 000000 001110 000000 011000 000000* +L0089712 000000 000000 000000 000000 000000 000000 000000 000000* L0089760 000000 000000 000000 000000 000000 000000 000000 000000* L0089808 000000 000000 000000 000000 000000 000000 000000 000000* L0089856 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0089920 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0089984 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0090048 00000000 00000000 00000000 00000000 00000000 00001000 10000000 00000000* -L0090112 00000000 00000000 00000000 00000000 00000000 00000100 10000000 00000000* +L0090048 00000000 00000000 00000000 00000000 00000100 00000000 00000000 00000000* +L0090112 00000000 00000000 00000000 00000000 00000100 00000000 00000000 00000000* L0090176 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0090240 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0090304 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0090368 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0090432 000000 000000 000000 000000 000000 000000 000001 000000* -L0090480 000000 000000 001000 000000 000000 000000 000000 000000* -L0090528 000000 000000 000000 000000 000000 000000 000000 000000* -L0090576 000000 000000 000000 000000 000000 000000 000000 001000* -L0090624 000000 000000 000000 000000 000000 000100 000000 000000* -L0090672 000000 000000 000000 000000 000000 000000 000001 000000* -L0090720 00000000 00000100 00000000 00000000 00001000 10000000 00000000 00000000* -L0090784 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* -L0090848 00000000 00000000 00000000 00000000 00000000 00000000 10000000 00000100* -L0090912 00000000 00000000 00000000 10000000 00000000 00000000 00000000 00000100* -L0090976 00000000 00000000 00000000 00000000 00000000 00010000 00000000 00000100* -L0091040 00000000 00000000 00000000 00010000 00000000 00000000 00000000 00000100* -L0091104 00000000 00000000 00000000 00010000 00000000 00000000 00000000 00000100* -L0091168 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* -L0091232 00000000 00000000 00000000 00000000 00000100 00000000 00000100 00000100* -L0091296 000000 000000 000000 000000 000000 001000 000000 000000* -L0091344 000000 000000 000000 000000 000000 000000 000000 000000* -L0091392 000000 000000 000000 000000 000000 100000 000000 000000* -L0091440 000000 000000 000000 000000 000000 000000 000001 000000* -L0091488 000000 000000 000000 000000 000000 000000 000001 000000* -L0091536 000000 000000 000000 000000 000000 000001 000000 000000* +L0090304 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0090368 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* +L0090432 000000 000000 000000 000000 100000 000000 000000 000000* +L0090480 010000 000000 000000 000000 000000 000000 000000 000000* +L0090528 000000 000000 000000 000000 000000 000000 000001 000000* +L0090576 000000 000000 001000 000000 000000 000000 000000 000000* +L0090624 000000 000000 000000 000000 000000 000000 000000 000000* +L0090672 000000 000000 000000 000000 000000 000000 000000 000000* +L0090720 00000000 00000000 00000000 00000000 00000000 00000000 00100000 00000100* +L0090784 00100000 00000000 00000000 00000000 00000100 00000000 11000100 00000000* +L0090848 00000100 00000000 00000000 00000000 00000100 00010000 01000100 00000000* +L0090912 00000000 00000000 00000000 10000000 00000000 00000000 00000000 00000000* +L0090976 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* +L0091040 00010000 00001000 00000000 00000000 00000000 00000000 00000000 00000000* +L0091104 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* +L0091168 00000000 00000000 00000000 00000000 10000000 00000000 10000100 00000000* +L0091232 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0091296 000001 000000 000000 000000 000000 000000 000000 000000* +L0091344 000100 000000 000000 000000 001000 000000 000000 000000* +L0091392 000100 000000 000000 000000 000000 000000 100000 000000* +L0091440 000000 000000 000000 000000 000000 000000 100000 000000* +L0091488 000000 000000 000000 000000 000000 000000 000000 000000* +L0091536 000001 000000 000000 000000 000000 000000 000000 000000* L0091584 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0091648 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0091712 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0091776 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0091840 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0091904 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0091648 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* +L0091712 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* +L0091776 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* +L0091840 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* +L0091904 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* L0091968 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0092032 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0092096 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0092160 000000 000000 000000 000000 000000 000000 000000 000000* -L0092208 000000 000000 000000 000000 000000 000000 000000 000000* -L0092256 000000 000000 000000 000000 000000 000000 000000 000000* -L0092304 000000 000000 000000 000000 000000 000000 000000 000000* -L0092352 000000 000000 000000 000000 000000 000000 000000 000000* +L0092208 000000 000000 000001 000000 000000 000000 000000 000000* +L0092256 000000 000000 000001 000000 000000 000000 000000 000000* +L0092304 000000 000000 000001 000000 000000 000000 000000 000000* +L0092352 000000 000000 000001 000000 000000 000000 000000 000000* L0092400 000000 000000 000000 000000 000000 000000 000000 000000* -L0092448 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0092512 00000000 00000000 00000000 00000100 00000100 00000000 00000000 00000000* -L0092576 00000000 00000000 00000000 00000100 00000000 00000000 10000000 00000000* +L0092448 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* +L0092512 00000000 00000000 00000100 00000000 00000100 00000000 00000000 00000000* +L0092576 00000000 00000000 00000000 00000000 00000000 00000000 10000000 00000000* L0092640 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0092704 00000000 00000000 00000000 00000000 00000100 00000000 10000000 00000000* -L0092768 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0092768 00000000 00100000 00000000 00000000 00000000 00000000 00000000 00000000* L0092832 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0092896 00000000 00000000 10101000 00000000 10000000 10000000 00000000 00000000* -L0092960 00000000 00000000 10101000 00000100 00000000 00000100 00000000 00000000* -L0093024 000000 000000 101010 000000 100000 000000 000001 000000* +L0092896 00000000 00000000 00001000 00000000 10000000 00000000 00000000 00000000* +L0092960 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0093024 000000 000000 000010 000001 100000 000000 000001 000000* L0093072 000000 000000 000010 000100 000000 000000 010000 001000* -L0093120 000000 000000 000010 000000 000000 000000 000000 001000* +L0093120 000000 000000 000000 000000 000000 000000 000000 001000* L0093168 000000 000000 000010 000000 000000 000000 000000 000000* -L0093216 000000 000000 000010 000000 000000 000000 000001 000000* -L0093264 000000 000000 000011 000000 000000 000000 000000 000000* -CA70B* -2D4C +L0093216 000000 000000 000000 000000 000000 000000 000001 000000* +L0093264 000000 000000 000000 000000 000000 000000 000000 000000* +CA738* +2D27 diff --git a/cpld/XC95144XL/WarpSE.mfd b/cpld/XC95144XL/WarpSE.mfd index de5139b..ec50db5 100644 --- a/cpld/XC95144XL/WarpSE.mfd +++ b/cpld/XC95144XL/WarpSE.mfd @@ -4,7 +4,7 @@ MACROCELL | 5 | 1 | nVMA_IOBout ATTRIBUTES | 4622082 | 0 OUTPUTMC | 2 | 5 | 1 | 5 | 9 INPUTS | 8 | nVMA_IOB | iobm/ES<0> | iobm/ES<2> | iobm/ES<1> | iobm/ES<3> | IOACT | iobm/VPAr | nAoutOE -INPUTMC | 8 | 5 | 1 | 5 | 4 | 0 | 14 | 5 | 6 | 5 | 5 | 5 | 17 | 1 | 10 | 3 | 1 +INPUTMC | 8 | 5 | 1 | 5 | 4 | 0 | 14 | 5 | 6 | 5 | 5 | 5 | 17 | 1 | 8 | 3 | 1 EQ | 6 | nVMA_IOB.T = !nVMA_IOB & !iobm/ES<0> & !iobm/ES<2> & !iobm/ES<1> & !iobm/ES<3> @@ -16,9 +16,9 @@ GLOBALS | 1 | 2 | C8M MACROCELL | 5 | 11 | nAS_IOBout ATTRIBUTES | 8816386 | 0 -OUTPUTMC | 2 | 0 | 13 | 5 | 9 +OUTPUTMC | 2 | 1 | 17 | 5 | 9 INPUTS | 9 | iobm/IOS_FSM_FFd3 | iobm/C8Mr | iobm/IOS_FSM_FFd4 | iobm/IOS_FSM_FFd5 | iobm/IOS_FSM_FFd6 | iobm/IOS_FSM_FFd7 | iobm/IORDREQr | iobm/IOWRREQr | nAoutOE -INPUTMC | 9 | 5 | 3 | 1 | 16 | 1 | 13 | 1 | 12 | 5 | 0 | 5 | 2 | 1 | 15 | 1 | 11 | 3 | 1 +INPUTMC | 9 | 5 | 3 | 1 | 14 | 1 | 11 | 1 | 10 | 5 | 0 | 5 | 2 | 1 | 13 | 1 | 9 | 3 | 1 EQ | 9 | nAS_IOB.D = !iobm/IOS_FSM_FFd7 & !iobm/IOS_FSM_FFd3 & !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd5 & !iobm/IOS_FSM_FFd6 @@ -35,7 +35,7 @@ MACROCELL | 5 | 8 | nLDS_IOBout ATTRIBUTES | 8816386 | 0 OUTPUTMC | 1 | 5 | 8 INPUTS | 8 | iobm/IOS_FSM_FFd3 | IOL0 | iobm/IOS_FSM_FFd5 | iobm/IOS_FSM_FFd4 | nLDS_IOB | iobm/IOS_FSM_FFd6 | iobm/DoutOE.EXP | nAoutOE -INPUTMC | 8 | 5 | 3 | 7 | 0 | 1 | 12 | 1 | 13 | 5 | 8 | 5 | 0 | 5 | 7 | 3 | 1 +INPUTMC | 8 | 5 | 3 | 7 | 0 | 1 | 10 | 1 | 11 | 5 | 8 | 5 | 0 | 5 | 7 | 3 | 1 IMPORTS | 1 | 5 | 7 EQ | 9 | !nLDS_IOB.D = iobm/IOS_FSM_FFd3 & IOL0 @@ -53,7 +53,7 @@ MACROCELL | 5 | 10 | nUDS_IOBout ATTRIBUTES | 8816386 | 0 OUTPUTMC | 1 | 5 | 10 INPUTS | 8 | iobm/IOS_FSM_FFd3 | IOU0 | iobm/IOS_FSM_FFd5 | iobm/IOS_FSM_FFd4 | nUDS_IOB | iobm/IOS_FSM_FFd6 | IODONE.EXP | nAoutOE -INPUTMC | 8 | 5 | 3 | 7 | 13 | 1 | 12 | 1 | 13 | 5 | 10 | 5 | 0 | 5 | 9 | 3 | 1 +INPUTMC | 8 | 5 | 3 | 7 | 13 | 1 | 10 | 1 | 11 | 5 | 10 | 5 | 0 | 5 | 9 | 3 | 1 IMPORTS | 1 | 5 | 9 EQ | 9 | !nUDS_IOB.D = iobm/IOS_FSM_FFd3 & IOU0 @@ -67,11 +67,11 @@ EQ | 9 | nUDS_IOB.OE = !nAoutOE; GLOBALS | 1 | 2 | C16M -MACROCELL | 0 | 10 | RefUrg +MACROCELL | 0 | 12 | RefUrg ATTRIBUTES | 8553280 | 0 -OUTPUTMC | 24 | 0 | 8 | 6 | 16 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 0 | 0 | 15 | 0 | 17 | 6 | 17 | 2 | 1 | 6 | 15 | 0 | 16 | 2 | 10 | 7 | 15 | 0 | 9 | 0 | 5 | 2 | 4 | 2 | 9 | 2 | 12 | 6 | 1 +OUTPUTMC | 24 | 0 | 10 | 6 | 16 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 0 | 0 | 15 | 0 | 17 | 6 | 17 | 0 | 5 | 6 | 15 | 0 | 16 | 2 | 10 | 7 | 15 | 0 | 11 | 0 | 6 | 2 | 3 | 2 | 9 | 2 | 12 | 6 | 1 INPUTS | 6 | cnt/Timer<0> | cnt/Timer<1> | cnt/Timer<2> | cnt/Timer<3> | cnt/Er<0> | cnt/Er<1> -INPUTMC | 6 | 0 | 8 | 0 | 15 | 0 | 17 | 0 | 16 | 0 | 4 | 0 | 3 +INPUTMC | 6 | 0 | 10 | 0 | 15 | 0 | 17 | 0 | 16 | 0 | 4 | 0 | 3 EQ | 4 | RefUrg.D = cnt/Timer<0> & !cnt/Timer<1> & !cnt/Timer<2> & cnt/Timer<3>; @@ -81,9 +81,9 @@ GLOBALS | 1 | 2 | FCLK MACROCELL | 4 | 17 | cnt/LTimer<0> ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 18 | 4 | 17 | 3 | 14 | 6 | 16 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 0 | 6 | 15 | 3 | 0 | 4 | 12 | 6 | 17 | 4 | 0 | 4 | 16 | 6 | 1 -INPUTS | 8 | cnt/LTimer<0> | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | cnt/IS_FSM_FFd1 | cnt/IS_FSM_FFd2 | cnt/LTimer<1> | cnt/LTimer<2> | EXP13_.EXP | EXP14_.EXP -INPUTMC | 8 | 4 | 17 | 6 | 17 | 7 | 15 | 0 | 9 | 3 | 14 | 6 | 14 | 4 | 0 | 4 | 16 +OUTPUTMC | 19 | 4 | 17 | 3 | 16 | 6 | 16 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 0 | 6 | 15 | 3 | 0 | 4 | 15 | 6 | 17 | 3 | 15 | 4 | 0 | 4 | 16 | 6 | 1 +INPUTS | 8 | cnt/LTimer<0> | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | cnt/IS_FSM_FFd1 | cnt/IS_FSM_FFd2 | cnt/LTimer<1> | cnt/LTimer<2> | EXP14_.EXP | EXP15_.EXP +INPUTMC | 8 | 4 | 17 | 6 | 17 | 7 | 15 | 0 | 11 | 3 | 16 | 6 | 14 | 4 | 0 | 4 | 16 IMPORTS | 2 | 4 | 0 | 4 | 16 EQ | 73 | cnt/LTimer<0>.D = cnt/LTimer<0> & @@ -161,30 +161,21 @@ EQ | 73 | cnt/LTimer<0>.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 3 | 14 | cnt/LTimer<1> +MACROCELL | 3 | 16 | cnt/LTimer<1> ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 16 | 4 | 17 | 3 | 14 | 6 | 16 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 0 | 6 | 15 | 3 | 0 | 4 | 12 | 6 | 17 | 6 | 1 -INPUTS | 24 | cnt/LTimer<1> | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | cnt/LTimer<0> | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<15> | A_FSB<13> | A_FSB<12> | A_FSB<14> | A_FSB<11> | A_FSB<10> | nWE_FSB | nAS_FSB | cnt/IS_FSM_FFd1 | cnt/IS_FSM_FFd2 | A_FSB<8> | IONPReady.EXP | cnt/WS<3>.EXP -INPUTMC | 7 | 3 | 14 | 6 | 17 | 4 | 17 | 7 | 15 | 0 | 9 | 3 | 13 | 3 | 15 -INPUTP | 17 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 21 | 18 | 17 | 19 | 15 | 13 | 47 | 54 | 11 -IMPORTS | 2 | 3 | 13 | 3 | 15 -EQ | 48 | +OUTPUTMC | 18 | 4 | 17 | 3 | 16 | 6 | 16 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 0 | 6 | 15 | 3 | 0 | 4 | 15 | 6 | 17 | 3 | 15 | 6 | 1 | 3 | 17 +INPUTS | 13 | cnt/LTimer<1> | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | cnt/LTimer<0> | A_FSB<22> | A_FSB<11> | $OpTx$$OpTx$FX_DC$354_INV$541 | A_FSB<9> | A_FSB<8> | cnt/WS<0> | cnt/WS<1> | cnt/WS<2> | cnt/WS<3> | EXP12_.EXP +INPUTMC | 9 | 3 | 16 | 6 | 17 | 4 | 17 | 3 | 9 | 3 | 6 | 3 | 13 | 3 | 12 | 3 | 11 | 3 | 15 +INPUTP | 4 | 30 | 15 | 12 | 11 +EXPORTS | 1 | 3 | 17 +IMPORTS | 1 | 3 | 15 +EQ | 54 | !cnt/LTimer<1>.D = !cnt/LTimer<0> & !cnt/LTimer<1> # !cnt/LTimer<1> & !cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 +;Imported pterms FB4_16 # cnt/LTimer<0> & cnt/LTimer<1> & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & - A_FSB<13> & A_FSB<12> & A_FSB<14> & A_FSB<11> & A_FSB<10> & - !nWE_FSB & !nAS_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & - A_FSB<8> & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & - A_FSB<13> & !A_FSB<12> & !A_FSB<14> & !A_FSB<11> & !A_FSB<10> & - !nWE_FSB & !nAS_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & - A_FSB<8> & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 -;Imported pterms FB4_14 # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & A_FSB<13> & A_FSB<12> & A_FSB<14> & A_FSB<11> & A_FSB<10> & @@ -193,8 +184,8 @@ EQ | 48 | # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & A_FSB<13> & A_FSB<12> & A_FSB<14> & A_FSB<11> & A_FSB<10> & - !nWE_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & A_FSB<8> & - fsb/ASrf & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 + !nWE_FSB & !nAS_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & + A_FSB<8> & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & A_FSB<13> & !A_FSB<12> & !A_FSB<14> & !A_FSB<11> & !A_FSB<10> & @@ -203,9 +194,9 @@ EQ | 48 | # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & A_FSB<13> & !A_FSB<12> & !A_FSB<14> & !A_FSB<11> & !A_FSB<10> & - !nWE_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & A_FSB<8> & - fsb/ASrf & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 -;Imported pterms FB4_16 + !nWE_FSB & !nAS_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & + A_FSB<8> & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 +;Imported pterms FB4_15 # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & A_FSB<13> & A_FSB<12> & A_FSB<14> & A_FSB<11> & A_FSB<10> & @@ -213,17 +204,33 @@ EQ | 48 | fsb/ASrf & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & + A_FSB<13> & A_FSB<12> & A_FSB<14> & A_FSB<11> & A_FSB<10> & + !nWE_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & A_FSB<8> & + fsb/ASrf & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & A_FSB<13> & !A_FSB<12> & !A_FSB<14> & !A_FSB<11> & !A_FSB<10> & !nWE_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & A_FSB<9> & + fsb/ASrf & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & + A_FSB<13> & !A_FSB<12> & !A_FSB<14> & !A_FSB<11> & !A_FSB<10> & + !nWE_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & A_FSB<8> & fsb/ASrf & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2; cnt/LTimer<1>.CLK = FCLK; // GCK + cnt/LTimer<1>.EXP = A_FSB<22> & !A_FSB<11> & + !$OpTx$$OpTx$FX_DC$354_INV$541 + # A_FSB<22> & A_FSB<9> & !A_FSB<8> & + !$OpTx$$OpTx$FX_DC$354_INV$541 + # cnt/WS<0> & cnt/WS<1> & cnt/WS<2> & cnt/WS<3> & + !$OpTx$$OpTx$FX_DC$354_INV$541 GLOBALS | 1 | 2 | FCLK -MACROCELL | 0 | 8 | cnt/Timer<0> +MACROCELL | 0 | 10 | cnt/Timer<0> ATTRIBUTES | 4358976 | 0 -OUTPUTMC | 6 | 0 | 10 | 0 | 8 | 0 | 15 | 0 | 17 | 0 | 11 | 0 | 16 +OUTPUTMC | 6 | 0 | 12 | 0 | 10 | 0 | 15 | 0 | 17 | 0 | 13 | 0 | 16 INPUTS | 4 | RefUrg | cnt/Timer<0> | cnt/Er<0> | cnt/Er<1> -INPUTMC | 4 | 0 | 10 | 0 | 8 | 0 | 4 | 0 | 3 +INPUTMC | 4 | 0 | 12 | 0 | 10 | 0 | 4 | 0 | 3 EQ | 3 | !cnt/Timer<0>.T = RefUrg & !cnt/Timer<0> & !cnt/Er<0> & cnt/Er<1>; cnt/Timer<0>.CLK = FCLK; // GCK @@ -232,9 +239,9 @@ GLOBALS | 1 | 2 | FCLK MACROCELL | 2 | 0 | iobs/Sent ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 16 | 2 | 0 | 7 | 16 | 3 | 12 | 7 | 11 | 7 | 2 | 2 | 14 | 7 | 0 | 7 | 13 | 2 | 15 | 7 | 8 | 2 | 1 | 2 | 13 | 2 | 17 | 7 | 7 | 7 | 9 | 7 | 15 -INPUTS | 12 | iobs/Sent | nAS_FSB | fsb/ASrf | A_FSB<23> | iobs/TS_FSM_FFd1 | iobs/TS_FSM_FFd2 | nADoutLE1 | A_FSB<22> | A_FSB<20> | A_FSB<21> | ram/RefDone.EXP | EXP11_.EXP -INPUTMC | 7 | 2 | 0 | 3 | 2 | 0 | 6 | 7 | 2 | 5 | 13 | 2 | 1 | 2 | 17 +OUTPUTMC | 16 | 2 | 0 | 7 | 16 | 3 | 13 | 7 | 11 | 7 | 2 | 2 | 14 | 7 | 0 | 7 | 13 | 2 | 15 | 7 | 8 | 2 | 1 | 2 | 13 | 2 | 17 | 7 | 7 | 7 | 9 | 7 | 15 +INPUTS | 12 | iobs/Sent | nAS_FSB | fsb/ASrf | A_FSB<23> | iobs/TS_FSM_FFd1 | iobs/TS_FSM_FFd2 | nADoutLE1 | A_FSB<22> | A_FSB<20> | A_FSB<21> | iobs/Clear1.EXP | EXP11_.EXP +INPUTMC | 7 | 2 | 0 | 3 | 3 | 0 | 7 | 7 | 2 | 5 | 13 | 2 | 1 | 2 | 17 INPUTP | 5 | 54 | 36 | 30 | 28 | 29 IMPORTS | 2 | 2 | 1 | 2 | 17 EQ | 32 | @@ -272,9 +279,9 @@ EQ | 32 | iobs/Sent.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 0 | 13 | IOBERR +MACROCELL | 1 | 17 | IOBERR ATTRIBUTES | 8553232 | 0 -OUTPUTMC | 4 | 7 | 10 | 5 | 3 | 5 | 16 | 1 | 17 +OUTPUTMC | 4 | 7 | 10 | 5 | 3 | 5 | 16 | 1 | 16 INPUTS | 2 | nBERR_IOB | nAS_IOB INPUTMC | 1 | 5 | 11 INPUTP | 1 | 123 @@ -286,9 +293,9 @@ GLOBALS | 1 | 2 | C8M MACROCELL | 5 | 9 | IODONE ATTRIBUTES | 8553232 | 0 -OUTPUTMC | 5 | 5 | 3 | 5 | 16 | 1 | 17 | 1 | 8 | 5 | 10 +OUTPUTMC | 5 | 5 | 3 | 5 | 16 | 1 | 16 | 1 | 6 | 5 | 10 INPUTS | 12 | nRES.PIN | nDTACK_IOB | nVMA_IOB | iobm/ES<0> | iobm/ES<2> | iobm/ES<1> | iobm/ES<3> | nAS_IOB | iobm/IOS_FSM_FFd7 | iobm/C8Mr | IOU0 | iobm/IORDREQr -INPUTMC | 10 | 5 | 1 | 5 | 4 | 0 | 14 | 5 | 6 | 5 | 5 | 5 | 11 | 5 | 2 | 1 | 16 | 7 | 13 | 1 | 15 +INPUTMC | 10 | 5 | 1 | 5 | 4 | 0 | 14 | 5 | 6 | 5 | 5 | 5 | 11 | 5 | 2 | 1 | 14 | 7 | 13 | 1 | 13 INPUTP | 2 | 145 | 127 EXPORTS | 1 | 5 | 10 EQ | 8 | @@ -304,9 +311,9 @@ GLOBALS | 1 | 2 | C8M MACROCELL | 6 | 16 | cnt/LTimer<10> ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 5 | 4 | 0 | 6 | 16 | 6 | 15 | 4 | 12 | 6 | 17 +OUTPUTMC | 5 | 4 | 0 | 6 | 16 | 6 | 15 | 4 | 15 | 6 | 17 INPUTS | 35 | cnt/LTimer<10> | cnt/IS_FSM_FFd1 | cnt/IS_FSM_FFd2 | RefUrg | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/LTimer<4> | cnt/LTimer<5> | cnt/LTimer<6> | cnt/LTimer<7> | cnt/LTimer<8> | cnt/LTimer<9> | cnt/Er<0> | cnt/Er<1> | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<15> | A_FSB<13> | A_FSB<12> | A_FSB<14> | A_FSB<11> | A_FSB<10> | nWE_FSB | A_FSB<8> | fsb/ASrf | nAS_FSB | A_FSB<9> -INPUTMC | 17 | 6 | 16 | 7 | 15 | 0 | 9 | 0 | 10 | 4 | 17 | 3 | 14 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 0 | 0 | 4 | 0 | 3 | 3 | 2 +INPUTMC | 17 | 6 | 16 | 7 | 15 | 0 | 11 | 0 | 12 | 4 | 17 | 3 | 16 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 0 | 0 | 4 | 0 | 3 | 3 | 3 INPUTP | 18 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 21 | 18 | 17 | 19 | 15 | 13 | 47 | 11 | 54 | 12 EXPORTS | 1 | 6 | 17 EQ | 21 | @@ -335,9 +342,9 @@ GLOBALS | 1 | 2 | FCLK MACROCELL | 6 | 14 | cnt/LTimer<2> ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 14 | 4 | 17 | 6 | 16 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 0 | 6 | 15 | 4 | 12 | 6 | 17 | 6 | 1 +OUTPUTMC | 14 | 4 | 17 | 6 | 16 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 0 | 6 | 15 | 4 | 15 | 6 | 17 | 6 | 1 INPUTS | 8 | cnt/LTimer<2> | cnt/IS_FSM_FFd1 | cnt/IS_FSM_FFd2 | RefUrg | cnt/LTimer<0> | cnt/LTimer<1> | cnt/Er<0> | cnt/Er<1> -INPUTMC | 8 | 6 | 14 | 7 | 15 | 0 | 9 | 0 | 10 | 4 | 17 | 3 | 14 | 0 | 4 | 0 | 3 +INPUTMC | 8 | 6 | 14 | 7 | 15 | 0 | 11 | 0 | 12 | 4 | 17 | 3 | 16 | 0 | 4 | 0 | 3 EQ | 7 | cnt/LTimer<2>.T = cnt/LTimer<2> & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 @@ -350,9 +357,9 @@ GLOBALS | 1 | 2 | FCLK MACROCELL | 6 | 13 | cnt/LTimer<3> ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 13 | 4 | 16 | 6 | 16 | 6 | 13 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 0 | 6 | 15 | 4 | 12 | 6 | 17 | 6 | 1 +OUTPUTMC | 13 | 4 | 16 | 6 | 16 | 6 | 13 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 0 | 6 | 15 | 4 | 15 | 6 | 17 | 6 | 1 INPUTS | 9 | cnt/LTimer<3> | cnt/IS_FSM_FFd1 | cnt/IS_FSM_FFd2 | RefUrg | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/Er<0> | cnt/Er<1> -INPUTMC | 9 | 6 | 13 | 7 | 15 | 0 | 9 | 0 | 10 | 4 | 17 | 3 | 14 | 6 | 14 | 0 | 4 | 0 | 3 +INPUTMC | 9 | 6 | 13 | 7 | 15 | 0 | 11 | 0 | 12 | 4 | 17 | 3 | 16 | 6 | 14 | 0 | 4 | 0 | 3 EQ | 7 | cnt/LTimer<3>.T = cnt/LTimer<3> & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 @@ -365,9 +372,9 @@ GLOBALS | 1 | 2 | FCLK MACROCELL | 6 | 12 | cnt/LTimer<4> ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 12 | 4 | 16 | 6 | 16 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 0 | 6 | 15 | 4 | 12 | 6 | 17 | 6 | 1 +OUTPUTMC | 12 | 4 | 16 | 6 | 16 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 0 | 6 | 15 | 4 | 15 | 6 | 17 | 6 | 1 INPUTS | 10 | cnt/LTimer<4> | cnt/IS_FSM_FFd1 | cnt/IS_FSM_FFd2 | RefUrg | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/Er<0> | cnt/Er<1> -INPUTMC | 10 | 6 | 12 | 7 | 15 | 0 | 9 | 0 | 10 | 4 | 17 | 3 | 14 | 6 | 14 | 6 | 13 | 0 | 4 | 0 | 3 +INPUTMC | 10 | 6 | 12 | 7 | 15 | 0 | 11 | 0 | 12 | 4 | 17 | 3 | 16 | 6 | 14 | 6 | 13 | 0 | 4 | 0 | 3 EQ | 9 | cnt/LTimer<4>.T = cnt/LTimer<4> & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 @@ -382,9 +389,9 @@ GLOBALS | 1 | 2 | FCLK MACROCELL | 6 | 9 | cnt/LTimer<5> ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 11 | 4 | 16 | 6 | 16 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 0 | 6 | 15 | 4 | 12 | 6 | 17 | 6 | 1 +OUTPUTMC | 11 | 4 | 16 | 6 | 16 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 0 | 6 | 15 | 4 | 15 | 6 | 17 | 6 | 1 INPUTS | 11 | cnt/LTimer<5> | cnt/IS_FSM_FFd1 | cnt/IS_FSM_FFd2 | RefUrg | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/LTimer<4> | cnt/Er<0> | cnt/Er<1> -INPUTMC | 11 | 6 | 9 | 7 | 15 | 0 | 9 | 0 | 10 | 4 | 17 | 3 | 14 | 6 | 14 | 6 | 13 | 6 | 12 | 0 | 4 | 0 | 3 +INPUTMC | 11 | 6 | 9 | 7 | 15 | 0 | 11 | 0 | 12 | 4 | 17 | 3 | 16 | 6 | 14 | 6 | 13 | 6 | 12 | 0 | 4 | 0 | 3 EQ | 9 | cnt/LTimer<5>.T = cnt/LTimer<5> & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 @@ -399,9 +406,9 @@ GLOBALS | 1 | 2 | FCLK MACROCELL | 6 | 6 | cnt/LTimer<6> ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 10 | 4 | 16 | 6 | 16 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 0 | 6 | 15 | 4 | 12 | 6 | 17 | 6 | 1 +OUTPUTMC | 10 | 4 | 16 | 6 | 16 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 0 | 6 | 15 | 4 | 15 | 6 | 17 | 6 | 1 INPUTS | 12 | cnt/LTimer<6> | cnt/IS_FSM_FFd1 | cnt/IS_FSM_FFd2 | RefUrg | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/LTimer<4> | cnt/LTimer<5> | cnt/Er<0> | cnt/Er<1> -INPUTMC | 12 | 6 | 6 | 7 | 15 | 0 | 9 | 0 | 10 | 4 | 17 | 3 | 14 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 0 | 4 | 0 | 3 +INPUTMC | 12 | 6 | 6 | 7 | 15 | 0 | 11 | 0 | 12 | 4 | 17 | 3 | 16 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 0 | 4 | 0 | 3 EQ | 9 | cnt/LTimer<6>.T = cnt/LTimer<6> & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 @@ -416,9 +423,9 @@ GLOBALS | 1 | 2 | FCLK MACROCELL | 6 | 3 | cnt/LTimer<7> ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 9 | 4 | 16 | 6 | 16 | 6 | 3 | 6 | 2 | 6 | 0 | 6 | 15 | 4 | 12 | 6 | 17 | 6 | 1 +OUTPUTMC | 9 | 4 | 16 | 6 | 16 | 6 | 3 | 6 | 2 | 6 | 0 | 6 | 15 | 4 | 15 | 6 | 17 | 6 | 1 INPUTS | 13 | cnt/LTimer<7> | cnt/IS_FSM_FFd1 | cnt/IS_FSM_FFd2 | RefUrg | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/LTimer<4> | cnt/LTimer<5> | cnt/LTimer<6> | cnt/Er<0> | cnt/Er<1> -INPUTMC | 13 | 6 | 3 | 7 | 15 | 0 | 9 | 0 | 10 | 4 | 17 | 3 | 14 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 6 | 6 | 0 | 4 | 0 | 3 +INPUTMC | 13 | 6 | 3 | 7 | 15 | 0 | 11 | 0 | 12 | 4 | 17 | 3 | 16 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 6 | 6 | 0 | 4 | 0 | 3 EQ | 9 | cnt/LTimer<7>.T = cnt/LTimer<7> & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 @@ -433,9 +440,9 @@ GLOBALS | 1 | 2 | FCLK MACROCELL | 6 | 2 | cnt/LTimer<8> ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 8 | 4 | 0 | 6 | 16 | 6 | 2 | 6 | 0 | 6 | 15 | 4 | 12 | 6 | 17 | 6 | 1 +OUTPUTMC | 8 | 4 | 0 | 6 | 16 | 6 | 2 | 6 | 0 | 6 | 15 | 4 | 15 | 6 | 17 | 6 | 1 INPUTS | 14 | cnt/LTimer<8> | cnt/IS_FSM_FFd1 | cnt/IS_FSM_FFd2 | RefUrg | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/LTimer<4> | cnt/LTimer<5> | cnt/LTimer<6> | cnt/LTimer<7> | cnt/Er<0> | cnt/Er<1> -INPUTMC | 14 | 6 | 2 | 7 | 15 | 0 | 9 | 0 | 10 | 4 | 17 | 3 | 14 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 0 | 4 | 0 | 3 +INPUTMC | 14 | 6 | 2 | 7 | 15 | 0 | 11 | 0 | 12 | 4 | 17 | 3 | 16 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 0 | 4 | 0 | 3 EQ | 11 | cnt/LTimer<8>.T = cnt/LTimer<8> & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 @@ -452,9 +459,9 @@ GLOBALS | 1 | 2 | FCLK MACROCELL | 6 | 0 | cnt/LTimer<9> ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 6 | 4 | 0 | 6 | 16 | 6 | 0 | 6 | 15 | 4 | 12 | 6 | 17 +OUTPUTMC | 6 | 4 | 0 | 6 | 16 | 6 | 0 | 6 | 15 | 4 | 15 | 6 | 17 INPUTS | 34 | cnt/LTimer<9> | cnt/IS_FSM_FFd1 | cnt/IS_FSM_FFd2 | RefUrg | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/LTimer<4> | cnt/LTimer<5> | cnt/LTimer<6> | cnt/LTimer<7> | cnt/LTimer<8> | cnt/Er<0> | cnt/Er<1> | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<15> | A_FSB<13> | A_FSB<12> | A_FSB<14> | A_FSB<11> | A_FSB<10> | nWE_FSB | A_FSB<9> | fsb/ASrf | A_FSB<8> | RA_1_OBUF.EXP -INPUTMC | 17 | 6 | 0 | 7 | 15 | 0 | 9 | 0 | 10 | 4 | 17 | 3 | 14 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 0 | 4 | 0 | 3 | 3 | 2 | 6 | 1 +INPUTMC | 17 | 6 | 0 | 7 | 15 | 0 | 11 | 0 | 12 | 4 | 17 | 3 | 16 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 0 | 4 | 0 | 3 | 3 | 3 | 6 | 1 INPUTP | 17 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 21 | 18 | 17 | 19 | 15 | 13 | 47 | 12 | 11 EXPORTS | 1 | 6 | 17 IMPORTS | 1 | 6 | 1 @@ -490,9 +497,9 @@ GLOBALS | 1 | 2 | FCLK MACROCELL | 0 | 15 | cnt/Timer<1> ATTRIBUTES | 8553280 | 0 -OUTPUTMC | 5 | 0 | 10 | 0 | 15 | 0 | 17 | 0 | 11 | 0 | 16 +OUTPUTMC | 5 | 0 | 12 | 0 | 15 | 0 | 17 | 0 | 13 | 0 | 16 INPUTS | 5 | cnt/Timer<0> | cnt/Timer<1> | RefUrg | cnt/Er<0> | cnt/Er<1> -INPUTMC | 5 | 0 | 8 | 0 | 15 | 0 | 10 | 0 | 4 | 0 | 3 +INPUTMC | 5 | 0 | 10 | 0 | 15 | 0 | 12 | 0 | 4 | 0 | 3 EQ | 5 | !cnt/Timer<1>.D = cnt/Timer<0> & cnt/Timer<1> # !cnt/Timer<0> & !cnt/Timer<1> @@ -503,9 +510,9 @@ GLOBALS | 1 | 2 | FCLK MACROCELL | 0 | 17 | cnt/Timer<2> ATTRIBUTES | 8553280 | 0 -OUTPUTMC | 4 | 0 | 10 | 0 | 17 | 0 | 11 | 0 | 16 +OUTPUTMC | 4 | 0 | 12 | 0 | 17 | 0 | 13 | 0 | 16 INPUTS | 6 | cnt/Timer<0> | cnt/Timer<2> | cnt/Timer<1> | RefUrg | cnt/Er<0> | cnt/Er<1> -INPUTMC | 6 | 0 | 8 | 0 | 17 | 0 | 15 | 0 | 10 | 0 | 4 | 0 | 3 +INPUTMC | 6 | 0 | 10 | 0 | 17 | 0 | 15 | 0 | 12 | 0 | 4 | 0 | 3 EQ | 6 | !cnt/Timer<2>.D = !cnt/Timer<0> & !cnt/Timer<2> # !cnt/Timer<1> & !cnt/Timer<2> @@ -517,9 +524,9 @@ GLOBALS | 1 | 2 | FCLK MACROCELL | 2 | 11 | ram/RAMEN ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 9 | 2 | 11 | 7 | 6 | 2 | 9 | 2 | 6 | 2 | 10 | 2 | 2 | 2 | 12 | 2 | 5 | 7 | 5 +OUTPUTMC | 10 | 2 | 11 | 2 | 6 | 2 | 9 | 2 | 4 | 2 | 10 | 2 | 2 | 2 | 12 | 2 | 5 | 7 | 5 | 7 | 6 INPUTS | 10 | ram/RS_FSM_FFd7 | ram/RAMEN | ram/Once | nAS_FSB | fsb/ASrf | ram/RS_FSM_FFd8 | ram/RS_FSM_FFd4 | ram/RefDone | RefReq | ram/RS_FSM_FFd8.EXP -INPUTMC | 9 | 2 | 2 | 2 | 11 | 2 | 7 | 3 | 2 | 2 | 10 | 0 | 5 | 2 | 1 | 0 | 11 | 2 | 10 +INPUTMC | 9 | 2 | 2 | 2 | 11 | 2 | 6 | 3 | 3 | 2 | 10 | 0 | 6 | 0 | 5 | 0 | 13 | 2 | 10 INPUTP | 1 | 54 IMPORTS | 1 | 2 | 10 EQ | 10 | @@ -535,33 +542,22 @@ EQ | 10 | ram/RAMEN.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 2 | 1 | ram/RefDone +MACROCELL | 0 | 5 | ram/RefDone ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 8 | 2 | 11 | 2 | 1 | 2 | 10 | 0 | 5 | 2 | 4 | 2 | 9 | 2 | 12 | 2 | 0 -INPUTS | 20 | ram/RefDone | ram/RS_FSM_FFd3 | ram/RS_FSM_FFd2 | RefUrg | RefReq | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<13> | iobs/Sent | nWE_FSB | nAS_FSB | nADoutLE1 | fsb/ASrf | A_FSB<14> -INPUTMC | 8 | 2 | 1 | 1 | 4 | 1 | 5 | 0 | 10 | 0 | 11 | 2 | 0 | 5 | 13 | 3 | 2 -INPUTP | 12 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 18 | 47 | 54 | 19 -EXPORTS | 1 | 2 | 0 -EQ | 12 | +OUTPUTMC | 7 | 2 | 11 | 0 | 5 | 2 | 10 | 0 | 6 | 2 | 3 | 2 | 9 | 2 | 12 +INPUTS | 5 | ram/RefDone | ram/RS_FSM_FFd3 | ram/RS_FSM_FFd2 | RefUrg | RefReq +INPUTMC | 5 | 0 | 5 | 1 | 4 | 0 | 0 | 0 | 12 | 0 | 13 +EQ | 3 | !ram/RefDone.D = !RefUrg & !RefReq # !ram/RefDone & !ram/RS_FSM_FFd3 & !ram/RS_FSM_FFd2; ram/RefDone.CLK = FCLK; // GCK - ram/RefDone.EXP = !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<13> & - !iobs/Sent & !nWE_FSB & !nAS_FSB & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<13> & - !iobs/Sent & !nWE_FSB & fsb/ASrf & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<14> & - !iobs/Sent & !nWE_FSB & fsb/ASrf & nADoutLE1 GLOBALS | 1 | 2 | FCLK -MACROCELL | 0 | 11 | RefReq +MACROCELL | 0 | 13 | RefReq ATTRIBUTES | 8553280 | 0 -OUTPUTMC | 6 | 2 | 11 | 2 | 1 | 2 | 10 | 2 | 4 | 2 | 9 | 2 | 12 +OUTPUTMC | 6 | 2 | 11 | 0 | 5 | 2 | 10 | 2 | 3 | 2 | 9 | 2 | 12 INPUTS | 6 | cnt/Timer<0> | cnt/Timer<1> | cnt/Timer<2> | cnt/Timer<3> | cnt/Er<0> | cnt/Er<1> -INPUTMC | 6 | 0 | 8 | 0 | 15 | 0 | 17 | 0 | 16 | 0 | 4 | 0 | 3 +INPUTMC | 6 | 0 | 10 | 0 | 15 | 0 | 17 | 0 | 16 | 0 | 4 | 0 | 3 EQ | 4 | !RefReq.D = !cnt/Timer<0> & cnt/Timer<1> & !cnt/Timer<2> & cnt/Timer<3>; @@ -571,9 +567,9 @@ GLOBALS | 1 | 2 | FCLK MACROCELL | 6 | 15 | cnt/LTimer<11> ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 4 | 4 | 0 | 6 | 15 | 4 | 12 | 6 | 17 +OUTPUTMC | 4 | 4 | 0 | 6 | 15 | 4 | 15 | 6 | 17 INPUTS | 17 | cnt/LTimer<11> | cnt/IS_FSM_FFd1 | cnt/IS_FSM_FFd2 | RefUrg | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<10> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/LTimer<4> | cnt/LTimer<5> | cnt/LTimer<6> | cnt/LTimer<7> | cnt/LTimer<8> | cnt/LTimer<9> | cnt/Er<0> | cnt/Er<1> -INPUTMC | 17 | 6 | 15 | 7 | 15 | 0 | 9 | 0 | 10 | 4 | 17 | 3 | 14 | 6 | 16 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 0 | 0 | 4 | 0 | 3 +INPUTMC | 17 | 6 | 15 | 7 | 15 | 0 | 11 | 0 | 12 | 4 | 17 | 3 | 16 | 6 | 16 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 0 | 0 | 4 | 0 | 3 EQ | 11 | cnt/LTimer<11>.T = cnt/LTimer<11> & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 @@ -590,9 +586,9 @@ GLOBALS | 1 | 2 | FCLK MACROCELL | 0 | 16 | cnt/Timer<3> ATTRIBUTES | 4358976 | 0 -OUTPUTMC | 3 | 0 | 10 | 0 | 11 | 0 | 16 +OUTPUTMC | 3 | 0 | 12 | 0 | 13 | 0 | 16 INPUTS | 7 | cnt/Timer<0> | cnt/Timer<1> | cnt/Timer<2> | cnt/Er<0> | cnt/Er<1> | RefUrg | cnt/Timer<3> -INPUTMC | 7 | 0 | 8 | 0 | 15 | 0 | 17 | 0 | 4 | 0 | 3 | 0 | 10 | 0 | 16 +INPUTMC | 7 | 0 | 10 | 0 | 15 | 0 | 17 | 0 | 4 | 0 | 3 | 0 | 12 | 0 | 16 EQ | 9 | cnt/Timer<3>.T = RefUrg & cnt/Timer<3> & !cnt/Er<0> & cnt/Er<1> # !RefUrg & cnt/Timer<0> & cnt/Timer<1> & @@ -605,24 +601,38 @@ EQ | 9 | cnt/Timer<3>.CE = !cnt/Er<0> & cnt/Er<1>; GLOBALS | 1 | 2 | FCLK -MACROCELL | 2 | 3 | cs/nOverlay +MACROCELL | 2 | 7 | cs/nOverlay ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 16 | 4 | 1 | 2 | 3 | 2 | 5 | 2 | 16 | 2 | 6 | 7 | 1 | 2 | 10 | 2 | 2 | 2 | 17 | 7 | 11 | 2 | 9 | 7 | 7 | 2 | 12 | 7 | 4 | 7 | 5 | 7 | 9 -INPUTS | 5 | nRES.PIN | cs/nOverlay | nAS_FSB | fsb/ASrf | cs/ODCSr -INPUTMC | 3 | 2 | 3 | 3 | 2 | 3 | 9 -INPUTP | 2 | 145 | 54 -EQ | 4 | +OUTPUTMC | 18 | 4 | 1 | 2 | 7 | 2 | 6 | 2 | 16 | 2 | 4 | 7 | 1 | 2 | 10 | 2 | 2 | 2 | 17 | 7 | 11 | 2 | 9 | 7 | 7 | 2 | 12 | 2 | 5 | 7 | 4 | 7 | 5 | 7 | 9 | 2 | 8 +INPUTS | 19 | nRES.PIN | cs/nOverlay | nAS_FSB | fsb/ASrf | A_FSB<22> | IONPReady | RAMReady | A_FSB<23> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<13> | nWE_FSB | nADoutLE1 | A_FSB<14> | ram/Once.EXP +INPUTMC | 6 | 2 | 7 | 3 | 3 | 3 | 14 | 2 | 9 | 5 | 13 | 2 | 6 +INPUTP | 13 | 145 | 54 | 30 | 36 | 29 | 28 | 26 | 24 | 23 | 22 | 18 | 47 | 19 +EXPORTS | 1 | 2 | 8 +IMPORTS | 1 | 2 | 6 +EQ | 16 | cs/nOverlay.T = !nRES.PIN & cs/nOverlay & nAS_FSB & !fsb/ASrf - # nRES.PIN & !cs/nOverlay & nAS_FSB & cs/ODCSr & - !fsb/ASrf; +;Imported pterms FB3_7 + # !A_FSB<23> & A_FSB<22> & !A_FSB<21> & !A_FSB<20> & + !cs/nOverlay & !nAS_FSB + # !A_FSB<23> & A_FSB<22> & !A_FSB<21> & !A_FSB<20> & + !cs/nOverlay & fsb/ASrf; cs/nOverlay.CLK = FCLK; // GCK + cs/nOverlay.EXP = !A_FSB<22> & !IONPReady & !RAMReady + # A_FSB<23> & A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> + # A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & A_FSB<13> & !nWE_FSB & !IONPReady & + !nADoutLE1 + # A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & A_FSB<14> & !nWE_FSB & !IONPReady & + !nADoutLE1 GLOBALS | 1 | 2 | FCLK MACROCELL | 7 | 16 | iobs/IORW1 ATTRIBUTES | 4358912 | 0 OUTPUTMC | 5 | 7 | 16 | 7 | 8 | 2 | 16 | 7 | 15 | 7 | 17 INPUTS | 18 | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<14> | iobs/Sent | nWE_FSB | iobs/IORW1 | nAS_FSB | iobs/TS_FSM_FFd2 | nADoutLE1 | A_FSB<13> | iobs/TS_FSM_FFd1 | cnt/IS_FSM_FFd1.EXP -INPUTMC | 6 | 2 | 0 | 7 | 16 | 7 | 2 | 5 | 13 | 0 | 6 | 7 | 15 +INPUTMC | 6 | 2 | 0 | 7 | 16 | 7 | 2 | 5 | 13 | 0 | 7 | 7 | 15 INPUTP | 12 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 19 | 47 | 54 | 18 EXPORTS | 1 | 7 | 17 IMPORTS | 1 | 7 | 15 @@ -665,19 +675,19 @@ EQ | 36 | !iobs/TS_FSM_FFd2 & nADoutLE1 GLOBALS | 1 | 2 | FCLK -MACROCELL | 3 | 13 | IONPReady +MACROCELL | 3 | 14 | IONPReady ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 6 | 3 | 13 | 3 | 10 | 2 | 8 | 2 | 7 | 3 | 12 | 3 | 14 -INPUTS | 24 | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<14> | nWE_FSB | IONPReady | A_FSB<15> | A_FSB<13> | A_FSB<12> | A_FSB<11> | A_FSB<10> | nAS_FSB | cnt/IS_FSM_FFd1 | cnt/IS_FSM_FFd2 | A_FSB<9> | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | A_FSB<8> | fsb/ASrf | cnt/WS<1>.EXP -INPUTMC | 6 | 3 | 13 | 7 | 15 | 0 | 9 | 6 | 17 | 3 | 2 | 3 | 12 -INPUTP | 18 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 19 | 47 | 21 | 18 | 17 | 15 | 13 | 54 | 12 | 11 -EXPORTS | 1 | 3 | 14 -IMPORTS | 1 | 3 | 12 +OUTPUTMC | 6 | 3 | 14 | 3 | 10 | 2 | 8 | 2 | 7 | 3 | 13 | 3 | 15 +INPUTS | 23 | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<14> | nWE_FSB | IONPReady | A_FSB<15> | A_FSB<13> | A_FSB<12> | A_FSB<11> | A_FSB<10> | cnt/IS_FSM_FFd1 | cnt/IS_FSM_FFd2 | A_FSB<9> | fsb/ASrf | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | A_FSB<8> | cnt/WS<1>.EXP +INPUTMC | 6 | 3 | 14 | 7 | 15 | 0 | 11 | 3 | 3 | 6 | 17 | 3 | 13 +INPUTP | 17 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 19 | 47 | 21 | 18 | 17 | 15 | 13 | 12 | 11 +EXPORTS | 1 | 3 | 15 +IMPORTS | 1 | 3 | 13 EQ | 31 | !IONPReady.D = !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<14> & !nWE_FSB & !IONPReady -;Imported pterms FB4_13 +;Imported pterms FB4_14 # !iobs/Sent & !IONPReady # !IONPReady & !iobs/IODONEr # nAS_FSB & !fsb/ASrf @@ -688,8 +698,8 @@ EQ | 31 | IONPReady.EXP = !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & A_FSB<13> & A_FSB<12> & A_FSB<14> & A_FSB<11> & A_FSB<10> & - !nWE_FSB & !nAS_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & - A_FSB<9> & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 + !nWE_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & A_FSB<9> & + fsb/ASrf & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & A_FSB<13> & A_FSB<12> & A_FSB<14> & A_FSB<11> & A_FSB<10> & @@ -698,8 +708,8 @@ EQ | 31 | # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & A_FSB<13> & !A_FSB<12> & !A_FSB<14> & !A_FSB<11> & !A_FSB<10> & - !nWE_FSB & !nAS_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & - A_FSB<9> & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 + !nWE_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & A_FSB<9> & + fsb/ASrf & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & A_FSB<13> & !A_FSB<12> & !A_FSB<14> & !A_FSB<11> & !A_FSB<10> & @@ -707,7 +717,7 @@ EQ | 31 | fsb/ASrf & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 GLOBALS | 1 | 2 | FCLK -MACROCELL | 3 | 8 | iobs/IOL1 +MACROCELL | 0 | 9 | iobs/IOL1 ATTRIBUTES | 8553280 | 0 OUTPUTMC | 2 | 7 | 0 | 7 | 17 INPUTS | 2 | nLDS_FSB | iobs/Load1 @@ -719,7 +729,7 @@ EQ | 3 | iobs/IOL1.CE = iobs/Load1; GLOBALS | 1 | 2 | FCLK -MACROCELL | 0 | 7 | iobs/IOU1 +MACROCELL | 0 | 8 | iobs/IOU1 ATTRIBUTES | 8553280 | 0 OUTPUTMC | 2 | 7 | 13 | 7 | 12 INPUTS | 2 | nUDS_FSB | iobs/Load1 @@ -731,38 +741,31 @@ EQ | 3 | iobs/IOU1.CE = iobs/Load1; GLOBALS | 1 | 2 | FCLK -MACROCELL | 2 | 7 | ram/Once +MACROCELL | 2 | 6 | ram/Once ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 4 | 2 | 11 | 2 | 7 | 2 | 6 | 2 | 8 -INPUTS | 18 | ram/Once | nAS_FSB | fsb/ASrf | A_FSB<22> | IONPReady | RAMReady | A_FSB<23> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<13> | nWE_FSB | IOPWReady | A_FSB<14> | ram/RASEL.EXP -INPUTMC | 6 | 2 | 7 | 3 | 2 | 3 | 13 | 2 | 9 | 0 | 12 | 2 | 6 -INPUTP | 12 | 54 | 30 | 36 | 29 | 28 | 26 | 24 | 23 | 22 | 18 | 47 | 19 -EXPORTS | 1 | 2 | 8 -IMPORTS | 1 | 2 | 6 -EQ | 16 | +OUTPUTMC | 3 | 2 | 11 | 2 | 6 | 2 | 7 +INPUTS | 10 | ram/Once | nAS_FSB | fsb/ASrf | A_FSB<23> | A_FSB<22> | ram/RAMEN | cs/nOverlay | ram/RS_FSM_FFd8 | A_FSB<21> | A_FSB<20> +INPUTMC | 5 | 2 | 6 | 3 | 3 | 2 | 11 | 2 | 7 | 2 | 10 +INPUTP | 5 | 54 | 36 | 30 | 29 | 28 +EXPORTS | 1 | 2 | 7 +EQ | 10 | ram/Once.T = ram/Once & nAS_FSB & !fsb/ASrf -;Imported pterms FB3_7 # !A_FSB<23> & !A_FSB<22> & ram/RAMEN & cs/nOverlay & !ram/Once & !nAS_FSB & ram/RS_FSM_FFd8 # !A_FSB<23> & !A_FSB<22> & ram/RAMEN & cs/nOverlay & !ram/Once & ram/RS_FSM_FFd8 & fsb/ASrf; ram/Once.CLK = FCLK; // GCK - ram/Once.EXP = !A_FSB<22> & !IONPReady & !RAMReady - # A_FSB<23> & A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> - # A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & A_FSB<13> & !nWE_FSB & !IONPReady & - !IOPWReady - # A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & A_FSB<14> & !nWE_FSB & !IONPReady & - !IOPWReady + ram/Once.EXP = !A_FSB<23> & A_FSB<22> & !A_FSB<21> & !A_FSB<20> & + !cs/nOverlay & !nAS_FSB + # !A_FSB<23> & A_FSB<22> & !A_FSB<21> & !A_FSB<20> & + !cs/nOverlay & fsb/ASrf GLOBALS | 1 | 2 | FCLK MACROCELL | 7 | 11 | nBERR_FSB_OBUF ATTRIBUTES | 8815362 | 0 OUTPUTMC | 3 | 7 | 11 | 7 | 10 | 7 | 12 -INPUTS | 15 | nAS_FSB | fsb/ASrf | iobs/Sent | nBERR_FSB | A_FSB<23> | A_FSB<22> | A_FSB<19> | iobs/TS_FSM_FFd2 | nADoutLE1 | A_FSB<13> | A_FSB<14> | A_FSB<21> | A_FSB<20> | cs/nOverlay | EXP18_.EXP -INPUTMC | 7 | 3 | 2 | 2 | 0 | 7 | 11 | 7 | 2 | 5 | 13 | 2 | 3 | 7 | 10 +INPUTS | 15 | nAS_FSB | fsb/ASrf | iobs/Sent | nBERR_FSB | A_FSB<23> | A_FSB<22> | A_FSB<19> | iobs/TS_FSM_FFd2 | nADoutLE1 | A_FSB<13> | A_FSB<14> | A_FSB<21> | A_FSB<20> | cs/nOverlay | EXP19_.EXP +INPUTMC | 7 | 3 | 3 | 2 | 0 | 7 | 11 | 7 | 2 | 5 | 13 | 2 | 7 | 7 | 10 INPUTP | 8 | 54 | 36 | 30 | 26 | 18 | 19 | 29 | 28 EXPORTS | 1 | 7 | 12 IMPORTS | 1 | 7 | 10 @@ -782,16 +785,16 @@ GLOBALS | 1 | 2 | FCLK MACROCELL | 3 | 10 | nVPA_FSB_OBUF ATTRIBUTES | 8684418 | 0 -INPUTS | 12 | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | IONPReady | nAS_FSB | QoSReady | fsb/ASrf -INPUTMC | 3 | 3 | 13 | 3 | 0 | 3 | 2 +INPUTS | 11 | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | IONPReady | nAS_FSB | fsb/ASrf +INPUTMC | 2 | 3 | 14 | 3 | 3 INPUTP | 9 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 54 EQ | 8 | !nVPA_FSB.D = A_FSB<23> & A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & IONPReady & - !nAS_FSB & QoSReady + !nAS_FSB # A_FSB<23> & A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & IONPReady & - QoSReady & fsb/ASrf; + fsb/ASrf; nVPA_FSB.CLK = FCLK; // GCK nVPA_FSB.AP = nAS_FSB; GLOBALS | 1 | 2 | FCLK @@ -800,7 +803,7 @@ MACROCELL | 7 | 4 | nRAS_OBUF ATTRIBUTES | 264962 | 0 OUTPUTMC | 1 | 7 | 3 INPUTS | 13 | A_FSB<23> | A_FSB<22> | A_FSB<19> | iobs/TS_FSM_FFd2 | nADoutLE1 | A_FSB<18> | A_FSB<17> | A_FSB<13> | A_FSB<14> | A_FSB<21> | A_FSB<20> | cs/nOverlay | nRAMLWE_OBUF.EXP -INPUTMC | 4 | 7 | 2 | 5 | 13 | 2 | 3 | 7 | 5 +INPUTMC | 4 | 7 | 2 | 5 | 13 | 2 | 7 | 7 | 5 INPUTP | 9 | 36 | 30 | 26 | 24 | 23 | 18 | 19 | 29 | 28 EXPORTS | 1 | 7 | 3 IMPORTS | 1 | 7 | 5 @@ -825,7 +828,7 @@ MACROCELL | 7 | 14 | nBR_IOB_OBUF ATTRIBUTES | 4621058 | 0 OUTPUTMC | 3 | 7 | 14 | 3 | 2 | 7 | 13 INPUTS | 11 | nBR_IOB | cnt/IS_FSM_FFd1 | cnt/IS_FSM_FFd2 | cnt/nIPL2r | A_FSB<23> | A_FSB<22> | A_FSB<18> | iobs/TS_FSM_FFd2 | nADoutLE1 | A_FSB<17> | A_FSB<16> -INPUTMC | 6 | 7 | 14 | 7 | 15 | 0 | 9 | 0 | 2 | 7 | 2 | 5 | 13 +INPUTMC | 6 | 7 | 14 | 7 | 15 | 0 | 11 | 1 | 15 | 7 | 2 | 5 | 13 INPUTP | 5 | 36 | 30 | 24 | 23 | 22 EXPORTS | 1 | 7 | 13 EQ | 10 | @@ -844,37 +847,32 @@ GLOBALS | 1 | 2 | FCLK MACROCELL | 4 | 10 | RA_11_OBUF ATTRIBUTES | 264962 | 0 INPUTS | 3 | A_FSB<19> | ram/RASEL | A_FSB<20> -INPUTMC | 1 | 2 | 6 +INPUTMC | 1 | 2 | 4 INPUTP | 2 | 26 | 28 EQ | 2 | RA<3> = A_FSB<20> & ram/RASEL # A_FSB<19> & !ram/RASEL; -MACROCELL | 2 | 6 | ram/RASEL +MACROCELL | 2 | 4 | ram/RASEL ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 13 | 4 | 10 | 6 | 5 | 6 | 8 | 6 | 1 | 4 | 13 | 4 | 8 | 4 | 11 | 4 | 14 | 6 | 4 | 6 | 7 | 6 | 10 | 7 | 2 | 2 | 7 -INPUTS | 9 | ram/RS_FSM_FFd7 | A_FSB<23> | A_FSB<22> | ram/RAMEN | cs/nOverlay | nAS_FSB | ram/RS_FSM_FFd8 | fsb/ASrf | ram/Once -INPUTMC | 6 | 2 | 2 | 2 | 11 | 2 | 3 | 2 | 10 | 3 | 2 | 2 | 7 +OUTPUTMC | 12 | 4 | 10 | 6 | 5 | 6 | 8 | 6 | 1 | 4 | 13 | 4 | 8 | 4 | 11 | 4 | 14 | 6 | 4 | 6 | 7 | 6 | 10 | 7 | 2 +INPUTS | 8 | ram/RS_FSM_FFd7 | A_FSB<23> | A_FSB<22> | ram/RAMEN | cs/nOverlay | nAS_FSB | ram/RS_FSM_FFd8 | fsb/ASrf +INPUTMC | 5 | 2 | 2 | 2 | 11 | 2 | 7 | 2 | 10 | 3 | 3 INPUTP | 3 | 36 | 30 | 54 -EXPORTS | 1 | 2 | 7 -EQ | 10 | +EQ | 6 | ram/RASEL.D = ram/RS_FSM_FFd7 # !A_FSB<23> & !A_FSB<22> & ram/RAMEN & cs/nOverlay & !nAS_FSB & ram/RS_FSM_FFd8 # !A_FSB<23> & !A_FSB<22> & ram/RAMEN & cs/nOverlay & ram/RS_FSM_FFd8 & fsb/ASrf; ram/RASEL.CLK = FCLK; // GCK - ram/RASEL.EXP = !A_FSB<23> & !A_FSB<22> & ram/RAMEN & cs/nOverlay & - !ram/Once & !nAS_FSB & ram/RS_FSM_FFd8 - # !A_FSB<23> & !A_FSB<22> & ram/RAMEN & cs/nOverlay & - !ram/Once & ram/RS_FSM_FFd8 & fsb/ASrf GLOBALS | 1 | 2 | FCLK -MACROCELL | 0 | 6 | iobs/TS_FSM_FFd1 +MACROCELL | 0 | 7 | iobs/TS_FSM_FFd1 ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 15 | 2 | 0 | 7 | 16 | 0 | 6 | 7 | 2 | 2 | 14 | 7 | 0 | 7 | 13 | 2 | 15 | 7 | 8 | 0 | 0 | 2 | 13 | 2 | 17 | 7 | 7 | 7 | 9 | 7 | 15 +OUTPUTMC | 15 | 2 | 0 | 7 | 16 | 0 | 7 | 7 | 2 | 2 | 14 | 7 | 0 | 7 | 13 | 2 | 15 | 7 | 8 | 2 | 1 | 2 | 13 | 2 | 17 | 7 | 7 | 7 | 9 | 7 | 15 INPUTS | 3 | iobs/TS_FSM_FFd2 | iobs/TS_FSM_FFd1 | iobs/IOACTr -INPUTMC | 3 | 7 | 2 | 0 | 6 | 1 | 9 +INPUTMC | 3 | 7 | 2 | 0 | 7 | 1 | 7 EQ | 3 | iobs/TS_FSM_FFd1.D = iobs/TS_FSM_FFd2 # iobs/TS_FSM_FFd1 & iobs/IOACTr; @@ -883,9 +881,9 @@ GLOBALS | 1 | 2 | FCLK MACROCELL | 7 | 2 | iobs/TS_FSM_FFd2 ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 24 | 2 | 0 | 7 | 16 | 0 | 6 | 7 | 2 | 2 | 14 | 7 | 0 | 7 | 13 | 2 | 15 | 7 | 8 | 0 | 0 | 4 | 15 | 2 | 13 | 2 | 16 | 2 | 17 | 7 | 1 | 7 | 3 | 7 | 4 | 7 | 7 | 7 | 9 | 7 | 11 | 7 | 12 | 7 | 14 | 7 | 15 | 7 | 17 -INPUTS | 9 | iobs/TS_FSM_FFd1 | iobs/TS_FSM_FFd2 | iobs/IOACTr | iobs/Sent | nADoutLE1 | A_FSB<20> | ram/RASEL | A_FSB<19> | EXP15_.EXP -INPUTMC | 7 | 0 | 6 | 7 | 2 | 1 | 9 | 2 | 0 | 5 | 13 | 2 | 6 | 7 | 3 +OUTPUTMC | 24 | 2 | 0 | 7 | 16 | 0 | 7 | 7 | 2 | 2 | 14 | 7 | 0 | 7 | 13 | 2 | 15 | 7 | 8 | 3 | 8 | 2 | 1 | 2 | 13 | 2 | 16 | 2 | 17 | 7 | 1 | 7 | 3 | 7 | 4 | 7 | 7 | 7 | 9 | 7 | 11 | 7 | 12 | 7 | 14 | 7 | 15 | 7 | 17 +INPUTS | 9 | iobs/TS_FSM_FFd1 | iobs/TS_FSM_FFd2 | iobs/IOACTr | iobs/Sent | nADoutLE1 | A_FSB<20> | ram/RASEL | A_FSB<19> | EXP16_.EXP +INPUTMC | 7 | 0 | 7 | 7 | 2 | 1 | 7 | 2 | 0 | 5 | 13 | 2 | 4 | 7 | 3 INPUTP | 2 | 28 | 26 EXPORTS | 1 | 7 | 1 IMPORTS | 1 | 7 | 3 @@ -924,7 +922,7 @@ MACROCELL | 5 | 2 | iobm/IOS_FSM_FFd7 ATTRIBUTES | 8553220 | 0 OUTPUTMC | 9 | 5 | 11 | 5 | 12 | 5 | 9 | 5 | 2 | 5 | 0 | 5 | 17 | 5 | 15 | 5 | 7 | 5 | 16 INPUTS | 6 | iobm/IOS_FSM_FFd7 | iobm/IOS_FSM_FFd1 | iobm/C8Mr | iobm/IORDREQr | nAoutOE | iobm/IOWRREQr -INPUTMC | 6 | 5 | 2 | 1 | 14 | 1 | 16 | 1 | 15 | 3 | 1 | 1 | 11 +INPUTMC | 6 | 5 | 2 | 1 | 12 | 1 | 14 | 1 | 13 | 3 | 1 | 1 | 9 EQ | 6 | !iobm/IOS_FSM_FFd7.D = !iobm/IOS_FSM_FFd7 & !iobm/IOS_FSM_FFd1 # !iobm/C8Mr & !iobm/IOS_FSM_FFd1 & iobm/IORDREQr & @@ -936,9 +934,9 @@ GLOBALS | 1 | 2 | C16M MACROCELL | 5 | 3 | iobm/IOS_FSM_FFd3 ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 10 | 5 | 11 | 5 | 8 | 5 | 10 | 5 | 3 | 5 | 17 | 1 | 17 | 5 | 15 | 5 | 7 | 5 | 12 | 5 | 16 +OUTPUTMC | 10 | 5 | 11 | 5 | 8 | 5 | 10 | 5 | 3 | 5 | 17 | 1 | 16 | 5 | 15 | 5 | 7 | 5 | 12 | 5 | 16 INPUTS | 5 | iobm/IOS_FSM_FFd4 | iobm/IOS_FSM_FFd3 | iobm/C8Mr | IOBERR | IODONE -INPUTMC | 5 | 1 | 13 | 5 | 3 | 1 | 16 | 0 | 13 | 5 | 9 +INPUTMC | 5 | 1 | 11 | 5 | 3 | 1 | 14 | 1 | 17 | 5 | 9 EQ | 4 | iobm/IOS_FSM_FFd3.D = iobm/IOS_FSM_FFd4 # iobm/IOS_FSM_FFd3 & iobm/C8Mr @@ -946,9 +944,9 @@ EQ | 4 | iobm/IOS_FSM_FFd3.CLK = C16M; // GCK GLOBALS | 1 | 2 | C16M -MACROCELL | 1 | 16 | iobm/C8Mr +MACROCELL | 1 | 14 | iobm/C8Mr ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 9 | 5 | 11 | 5 | 12 | 5 | 9 | 5 | 2 | 5 | 3 | 5 | 0 | 5 | 17 | 1 | 17 | 5 | 7 +OUTPUTMC | 9 | 5 | 11 | 5 | 12 | 5 | 9 | 5 | 2 | 5 | 3 | 5 | 0 | 5 | 17 | 1 | 16 | 5 | 7 INPUTS | 1 | C8M INPUTP | 1 | 35 EQ | 2 | @@ -960,7 +958,7 @@ MACROCELL | 5 | 4 | iobm/ES<0> ATTRIBUTES | 4358912 | 0 OUTPUTMC | 6 | 5 | 1 | 5 | 9 | 5 | 4 | 0 | 14 | 5 | 6 | 5 | 5 INPUTS | 6 | iobm/ES<0> | E | iobm/Er | iobm/ES<2> | iobm/ES<1> | iobm/ES<3> -INPUTMC | 5 | 5 | 4 | 0 | 1 | 0 | 14 | 5 | 6 | 5 | 5 +INPUTMC | 5 | 5 | 4 | 0 | 2 | 0 | 14 | 5 | 6 | 5 | 5 INPUTP | 1 | 37 EQ | 6 | !iobm/ES<0>.T = iobm/ES<0> & !E & iobm/Er @@ -971,11 +969,11 @@ EQ | 6 | !iobm/ES<0>.CLK = C8M; // GCK GLOBALS | 1 | 2 | C8M -MACROCELL | 1 | 13 | iobm/IOS_FSM_FFd4 +MACROCELL | 1 | 11 | iobm/IOS_FSM_FFd4 ATTRIBUTES | 8553216 | 0 OUTPUTMC | 9 | 5 | 11 | 5 | 8 | 5 | 10 | 5 | 3 | 5 | 17 | 5 | 15 | 5 | 7 | 5 | 12 | 5 | 16 INPUTS | 1 | iobm/IOS_FSM_FFd5 -INPUTMC | 1 | 1 | 12 +INPUTMC | 1 | 1 | 10 EQ | 2 | iobm/IOS_FSM_FFd4.D = iobm/IOS_FSM_FFd5; iobm/IOS_FSM_FFd4.CLK = C16M; // GCK @@ -983,9 +981,9 @@ GLOBALS | 1 | 2 | C16M MACROCELL | 2 | 10 | ram/RS_FSM_FFd8 ATTRIBUTES | 8553220 | 0 -OUTPUTMC | 8 | 2 | 11 | 2 | 5 | 2 | 6 | 2 | 10 | 2 | 2 | 2 | 4 | 2 | 9 | 2 | 12 +OUTPUTMC | 9 | 2 | 11 | 2 | 6 | 2 | 4 | 2 | 10 | 2 | 2 | 2 | 3 | 2 | 9 | 2 | 12 | 2 | 5 INPUTS | 12 | ram/RS_FSM_FFd8 | ram/RS_FSM_FFd4 | ram/RefDone | RefReq | nAS_FSB | fsb/ASrf | RefUrg | A_FSB<23> | A_FSB<22> | ram/RAMEN | cs/nOverlay | RAMReady.EXP -INPUTMC | 9 | 2 | 10 | 0 | 5 | 2 | 1 | 0 | 11 | 3 | 2 | 0 | 10 | 2 | 11 | 2 | 3 | 2 | 9 +INPUTMC | 9 | 2 | 10 | 0 | 6 | 0 | 5 | 0 | 13 | 3 | 3 | 0 | 12 | 2 | 11 | 2 | 7 | 2 | 9 INPUTP | 3 | 54 | 36 | 30 EXPORTS | 1 | 2 | 11 IMPORTS | 1 | 2 | 9 @@ -1009,7 +1007,7 @@ MACROCELL | 0 | 14 | iobm/ES<2> ATTRIBUTES | 4358912 | 0 OUTPUTMC | 6 | 5 | 1 | 5 | 9 | 5 | 4 | 0 | 14 | 5 | 6 | 5 | 5 INPUTS | 5 | iobm/ES<0> | iobm/ES<1> | iobm/Er | E | iobm/ES<2> -INPUTMC | 4 | 5 | 4 | 5 | 6 | 0 | 1 | 0 | 14 +INPUTMC | 4 | 5 | 4 | 5 | 6 | 0 | 2 | 0 | 14 INPUTP | 1 | 37 EQ | 4 | iobm/ES<2>.T = iobm/ES<0> & iobm/ES<1> & E @@ -1018,9 +1016,9 @@ EQ | 4 | !iobm/ES<2>.CLK = C8M; // GCK GLOBALS | 1 | 2 | C8M -MACROCELL | 1 | 12 | iobm/IOS_FSM_FFd5 +MACROCELL | 1 | 10 | iobm/IOS_FSM_FFd5 ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 8 | 5 | 11 | 5 | 8 | 5 | 10 | 1 | 13 | 5 | 17 | 5 | 15 | 5 | 7 | 5 | 12 +OUTPUTMC | 8 | 5 | 11 | 5 | 8 | 5 | 10 | 1 | 11 | 5 | 17 | 5 | 15 | 5 | 7 | 5 | 12 INPUTS | 1 | iobm/IOS_FSM_FFd6 INPUTMC | 1 | 5 | 0 EQ | 2 | @@ -1030,9 +1028,9 @@ GLOBALS | 1 | 2 | C16M MACROCELL | 5 | 0 | iobm/IOS_FSM_FFd6 ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 8 | 5 | 11 | 5 | 8 | 5 | 10 | 1 | 12 | 5 | 17 | 5 | 15 | 5 | 7 | 5 | 12 +OUTPUTMC | 8 | 5 | 11 | 5 | 8 | 5 | 10 | 1 | 10 | 5 | 17 | 5 | 15 | 5 | 7 | 5 | 12 INPUTS | 5 | iobm/IOS_FSM_FFd7 | iobm/C8Mr | iobm/IORDREQr | nAoutOE | iobm/IOWRREQr -INPUTMC | 5 | 5 | 2 | 1 | 16 | 1 | 15 | 3 | 1 | 1 | 11 +INPUTMC | 5 | 5 | 2 | 1 | 14 | 1 | 13 | 3 | 1 | 1 | 9 EQ | 5 | iobm/IOS_FSM_FFd6.D = iobm/IOS_FSM_FFd7 & !iobm/C8Mr & iobm/IORDREQr & !nAoutOE @@ -1043,9 +1041,9 @@ GLOBALS | 1 | 2 | C16M MACROCELL | 7 | 15 | cnt/IS_FSM_FFd1 ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 24 | 4 | 17 | 3 | 14 | 6 | 16 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 0 | 6 | 15 | 7 | 14 | 7 | 15 | 0 | 9 | 3 | 16 | 6 | 17 | 3 | 2 | 3 | 13 | 3 | 15 | 4 | 0 | 4 | 1 | 4 | 15 | 7 | 16 +OUTPUTMC | 22 | 4 | 17 | 3 | 15 | 6 | 16 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 0 | 6 | 15 | 7 | 14 | 7 | 15 | 0 | 11 | 3 | 2 | 6 | 17 | 3 | 14 | 4 | 0 | 4 | 1 | 4 | 15 | 7 | 16 INPUTS | 24 | RefUrg | cnt/IS_FSM_FFd1 | cnt/IS_FSM_FFd2 | cnt/Er<0> | cnt/nIPL2r | cnt/Er<1> | cnt/LTimerTC | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<13> | iobs/Sent | nWE_FSB | iobs/IORW1 | iobs/TS_FSM_FFd1 | fsb/ASrf | nADoutLE1 | iobs/TS_FSM_FFd2 | A_FSB<14> -INPUTMC | 13 | 0 | 10 | 7 | 15 | 0 | 9 | 0 | 4 | 0 | 2 | 0 | 3 | 4 | 12 | 2 | 0 | 7 | 16 | 0 | 6 | 3 | 2 | 5 | 13 | 7 | 2 +INPUTMC | 13 | 0 | 12 | 7 | 15 | 0 | 11 | 0 | 4 | 1 | 15 | 0 | 3 | 4 | 15 | 2 | 0 | 7 | 16 | 0 | 7 | 3 | 3 | 5 | 13 | 7 | 2 INPUTP | 11 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 18 | 47 | 19 EXPORTS | 1 | 7 | 16 EQ | 19 | @@ -1070,11 +1068,11 @@ EQ | 19 | fsb/ASrf & nADoutLE1 GLOBALS | 1 | 2 | FCLK -MACROCELL | 0 | 9 | cnt/IS_FSM_FFd2 +MACROCELL | 0 | 11 | cnt/IS_FSM_FFd2 ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 24 | 4 | 17 | 3 | 14 | 6 | 16 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 0 | 6 | 15 | 7 | 14 | 7 | 15 | 0 | 9 | 3 | 16 | 6 | 17 | 3 | 2 | 3 | 13 | 3 | 15 | 4 | 0 | 4 | 1 | 4 | 15 | 6 | 1 +OUTPUTMC | 22 | 4 | 17 | 3 | 15 | 6 | 16 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 0 | 6 | 15 | 7 | 14 | 7 | 15 | 0 | 11 | 3 | 2 | 6 | 17 | 3 | 14 | 4 | 0 | 4 | 1 | 4 | 15 | 6 | 1 INPUTS | 6 | RefUrg | cnt/IS_FSM_FFd1 | cnt/IS_FSM_FFd2 | cnt/Er<0> | cnt/Er<1> | cnt/LTimerTC -INPUTMC | 6 | 0 | 10 | 7 | 15 | 0 | 9 | 0 | 4 | 0 | 3 | 4 | 12 +INPUTMC | 6 | 0 | 12 | 7 | 15 | 0 | 11 | 0 | 4 | 0 | 3 | 4 | 15 EQ | 5 | cnt/IS_FSM_FFd2.T = RefUrg & cnt/IS_FSM_FFd1 & cnt/IS_FSM_FFd2 & !cnt/Er<0> & cnt/Er<1> & cnt/LTimerTC @@ -1087,7 +1085,7 @@ MACROCELL | 5 | 6 | iobm/ES<1> ATTRIBUTES | 8553216 | 0 OUTPUTMC | 6 | 5 | 1 | 5 | 9 | 5 | 4 | 0 | 14 | 5 | 6 | 5 | 5 INPUTS | 6 | iobm/ES<0> | iobm/ES<1> | E | iobm/Er | iobm/ES<2> | iobm/ES<3> -INPUTMC | 5 | 5 | 4 | 5 | 6 | 0 | 1 | 0 | 14 | 5 | 5 +INPUTMC | 5 | 5 | 4 | 5 | 6 | 0 | 2 | 0 | 14 | 5 | 5 INPUTP | 1 | 37 EQ | 5 | !iobm/ES<1>.D = iobm/ES<0> & iobm/ES<1> @@ -1101,7 +1099,7 @@ MACROCELL | 5 | 5 | iobm/ES<3> ATTRIBUTES | 4358912 | 0 OUTPUTMC | 5 | 5 | 1 | 5 | 9 | 5 | 4 | 5 | 6 | 5 | 5 INPUTS | 6 | iobm/ES<3> | E | iobm/Er | iobm/ES<0> | iobm/ES<2> | iobm/ES<1> -INPUTMC | 5 | 5 | 5 | 0 | 1 | 5 | 4 | 0 | 14 | 5 | 6 +INPUTMC | 5 | 5 | 5 | 0 | 2 | 5 | 4 | 0 | 14 | 5 | 6 INPUTP | 1 | 37 EQ | 6 | iobm/ES<3>.T = iobm/ES<3> & !E & iobm/Er @@ -1114,9 +1112,9 @@ GLOBALS | 1 | 2 | C8M MACROCELL | 2 | 2 | ram/RS_FSM_FFd7 ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 5 | 2 | 11 | 2 | 6 | 1 | 3 | 2 | 13 | 1 | 7 +OUTPUTMC | 5 | 2 | 11 | 2 | 4 | 1 | 3 | 2 | 13 | 1 | 5 INPUTS | 7 | A_FSB<23> | A_FSB<22> | ram/RAMEN | cs/nOverlay | nAS_FSB | ram/RS_FSM_FFd8 | fsb/ASrf -INPUTMC | 4 | 2 | 11 | 2 | 3 | 2 | 10 | 3 | 2 +INPUTMC | 4 | 2 | 11 | 2 | 7 | 2 | 10 | 3 | 3 INPUTP | 3 | 36 | 30 | 54 EQ | 5 | ram/RS_FSM_FFd7.D = !A_FSB<23> & !A_FSB<22> & ram/RAMEN & cs/nOverlay & @@ -1126,11 +1124,73 @@ EQ | 5 | ram/RS_FSM_FFd7.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK +MACROCELL | 5 | 17 | IOACT +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 3 | 5 | 1 | 5 | 16 | 1 | 7 +INPUTS | 9 | iobm/IOS_FSM_FFd5 | iobm/IOS_FSM_FFd6 | iobm/IOS_FSM_FFd4 | iobm/IOS_FSM_FFd3 | iobm/C8Mr | iobm/IOS_FSM_FFd7 | iobm/IORDREQr | nAoutOE | nDinLE_OBUF.EXP +INPUTMC | 9 | 1 | 10 | 5 | 0 | 1 | 11 | 5 | 3 | 1 | 14 | 5 | 2 | 1 | 13 | 3 | 1 | 5 | 16 +IMPORTS | 1 | 5 | 16 +EQ | 11 | + IOACT.D = iobm/IOS_FSM_FFd4 + # iobm/IOS_FSM_FFd5 + # iobm/IOS_FSM_FFd6 + # iobm/IOS_FSM_FFd3 & iobm/C8Mr + # iobm/IOS_FSM_FFd7 & iobm/IORDREQr & !nAoutOE +;Imported pterms FB6_17 + # !IOBERR & !IODONE & iobm/IOS_FSM_FFd3 + # iobm/IOS_FSM_FFd7 & iobm/IOWRREQr & !nAoutOE + # !iobm/IOS_FSM_FFd7 & !iobm/IOS_FSM_FFd3 & IOACT & + !iobm/IOS_FSM_FFd1 & !iobm/IOS_FSM_FFd2; + IOACT.CLK = C16M; // GCK +GLOBALS | 1 | 2 | C16M + +MACROCELL | 3 | 6 | cnt/WS<0> +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 4 | 3 | 6 | 3 | 11 | 3 | 12 | 3 | 16 +INPUTS | 3 | nAS_FSB | cnt/WS<0> | fsb/ASrf +INPUTMC | 2 | 3 | 6 | 3 | 3 +INPUTP | 1 | 54 +EQ | 2 | + !cnt/WS<0>.T = nAS_FSB & !cnt/WS<0> & !fsb/ASrf; + cnt/WS<0>.CLK = FCLK; // GCK +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 1 | 12 | iobm/IOS_FSM_FFd1 +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 4 | 5 | 2 | 5 | 16 | 5 | 15 | 5 | 12 +INPUTS | 1 | iobm/IOS_FSM_FFd2 +INPUTMC | 1 | 1 | 16 +EQ | 2 | + iobm/IOS_FSM_FFd1.D = iobm/IOS_FSM_FFd2; + iobm/IOS_FSM_FFd1.CLK = C16M; // GCK +GLOBALS | 1 | 2 | C16M + +MACROCELL | 1 | 16 | iobm/IOS_FSM_FFd2 +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 4 | 5 | 16 | 1 | 12 | 5 | 15 | 5 | 12 +INPUTS | 4 | IODONE | iobm/IOS_FSM_FFd3 | iobm/C8Mr | IOBERR +INPUTMC | 4 | 5 | 9 | 5 | 3 | 1 | 14 | 1 | 17 +EQ | 3 | + iobm/IOS_FSM_FFd2.D = IOBERR & iobm/IOS_FSM_FFd3 & !iobm/C8Mr + # IODONE & iobm/IOS_FSM_FFd3 & !iobm/C8Mr; + iobm/IOS_FSM_FFd2.CLK = C16M; // GCK +GLOBALS | 1 | 2 | C16M + +MACROCELL | 1 | 7 | iobs/IOACTr +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 4 | 0 | 7 | 7 | 2 | 2 | 15 | 7 | 8 +INPUTS | 1 | IOACT +INPUTMC | 1 | 5 | 17 +EQ | 2 | + iobs/IOACTr.D = IOACT; + iobs/IOACTr.CLK = FCLK; // GCK +GLOBALS | 1 | 2 | FCLK + MACROCELL | 2 | 14 | iobs/Load1 ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 4 | 3 | 8 | 0 | 7 | 0 | 12 | 5 | 13 +OUTPUTMC | 3 | 0 | 9 | 0 | 8 | 5 | 13 INPUTS | 18 | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<14> | iobs/Sent | nWE_FSB | nAS_FSB | iobs/TS_FSM_FFd2 | nADoutLE1 | A_FSB<13> | iobs/TS_FSM_FFd1 | fsb/ASrf | ram/CAS.EXP -INPUTMC | 6 | 2 | 0 | 7 | 2 | 5 | 13 | 0 | 6 | 3 | 2 | 2 | 13 +INPUTMC | 6 | 2 | 0 | 7 | 2 | 5 | 13 | 0 | 7 | 3 | 3 | 2 | 13 INPUTP | 12 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 19 | 47 | 54 | 18 IMPORTS | 1 | 2 | 13 EQ | 34 | @@ -1170,73 +1230,11 @@ EQ | 34 | iobs/Load1.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 5 | 17 | IOACT -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 3 | 5 | 1 | 5 | 16 | 1 | 9 -INPUTS | 9 | iobm/IOS_FSM_FFd5 | iobm/IOS_FSM_FFd6 | iobm/IOS_FSM_FFd4 | iobm/IOS_FSM_FFd3 | iobm/C8Mr | iobm/IOS_FSM_FFd7 | iobm/IORDREQr | nAoutOE | nDinLE_OBUF.EXP -INPUTMC | 9 | 1 | 12 | 5 | 0 | 1 | 13 | 5 | 3 | 1 | 16 | 5 | 2 | 1 | 15 | 3 | 1 | 5 | 16 -IMPORTS | 1 | 5 | 16 -EQ | 11 | - IOACT.D = iobm/IOS_FSM_FFd4 - # iobm/IOS_FSM_FFd5 - # iobm/IOS_FSM_FFd6 - # iobm/IOS_FSM_FFd3 & iobm/C8Mr - # iobm/IOS_FSM_FFd7 & iobm/IORDREQr & !nAoutOE -;Imported pterms FB6_17 - # !IOBERR & !IODONE & iobm/IOS_FSM_FFd3 - # iobm/IOS_FSM_FFd7 & iobm/IOWRREQr & !nAoutOE - # !iobm/IOS_FSM_FFd7 & !iobm/IOS_FSM_FFd3 & IOACT & - !iobm/IOS_FSM_FFd1 & !iobm/IOS_FSM_FFd2; - IOACT.CLK = C16M; // GCK -GLOBALS | 1 | 2 | C16M - -MACROCELL | 3 | 3 | cnt/WS<0> -ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 5 | 3 | 3 | 3 | 12 | 3 | 11 | 3 | 15 | 3 | 16 -INPUTS | 3 | nAS_FSB | cnt/WS<0> | fsb/ASrf -INPUTMC | 2 | 3 | 3 | 3 | 2 -INPUTP | 1 | 54 -EQ | 2 | - !cnt/WS<0>.T = nAS_FSB & !cnt/WS<0> & !fsb/ASrf; - cnt/WS<0>.CLK = FCLK; // GCK -GLOBALS | 1 | 2 | FCLK - -MACROCELL | 1 | 14 | iobm/IOS_FSM_FFd1 -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 4 | 5 | 2 | 5 | 16 | 5 | 15 | 5 | 12 -INPUTS | 1 | iobm/IOS_FSM_FFd2 -INPUTMC | 1 | 1 | 17 -EQ | 2 | - iobm/IOS_FSM_FFd1.D = iobm/IOS_FSM_FFd2; - iobm/IOS_FSM_FFd1.CLK = C16M; // GCK -GLOBALS | 1 | 2 | C16M - -MACROCELL | 1 | 17 | iobm/IOS_FSM_FFd2 -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 4 | 5 | 16 | 1 | 14 | 5 | 15 | 5 | 12 -INPUTS | 4 | IODONE | iobm/IOS_FSM_FFd3 | iobm/C8Mr | IOBERR -INPUTMC | 4 | 5 | 9 | 5 | 3 | 1 | 16 | 0 | 13 -EQ | 3 | - iobm/IOS_FSM_FFd2.D = IOBERR & iobm/IOS_FSM_FFd3 & !iobm/C8Mr - # IODONE & iobm/IOS_FSM_FFd3 & !iobm/C8Mr; - iobm/IOS_FSM_FFd2.CLK = C16M; // GCK -GLOBALS | 1 | 2 | C16M - -MACROCELL | 1 | 9 | iobs/IOACTr -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 4 | 0 | 6 | 7 | 2 | 2 | 15 | 7 | 8 -INPUTS | 1 | IOACT -INPUTMC | 1 | 5 | 17 -EQ | 2 | - iobs/IOACTr.D = IOACT; - iobs/IOACTr.CLK = FCLK; // GCK -GLOBALS | 1 | 2 | FCLK - MACROCELL | 7 | 0 | IOL0 ATTRIBUTES | 4358912 | 0 OUTPUTMC | 4 | 5 | 8 | 7 | 0 | 5 | 7 | 7 | 17 -INPUTS | 9 | iobs/TS_FSM_FFd1 | iobs/Sent | iobs/TS_FSM_FFd2 | nADoutLE1 | nLDS_FSB | IOL0 | iobs/IOL1 | RA_11_OBUF$BUF0.EXP | EXP20_.EXP -INPUTMC | 8 | 0 | 6 | 2 | 0 | 7 | 2 | 5 | 13 | 7 | 0 | 3 | 8 | 7 | 1 | 7 | 17 +INPUTS | 9 | iobs/TS_FSM_FFd1 | iobs/Sent | iobs/TS_FSM_FFd2 | nADoutLE1 | nLDS_FSB | IOL0 | iobs/IOL1 | RA_11_OBUF$BUF0.EXP | EXP21_.EXP +INPUTMC | 8 | 0 | 7 | 2 | 0 | 7 | 2 | 5 | 13 | 7 | 0 | 0 | 9 | 7 | 1 | 7 | 17 INPUTP | 1 | 49 IMPORTS | 2 | 7 | 1 | 7 | 17 EQ | 30 | @@ -1275,8 +1273,8 @@ GLOBALS | 1 | 2 | FCLK MACROCELL | 7 | 13 | IOU0 ATTRIBUTES | 4358912 | 0 OUTPUTMC | 4 | 5 | 10 | 7 | 13 | 5 | 9 | 7 | 12 -INPUTS | 9 | iobs/TS_FSM_FFd1 | iobs/Sent | iobs/TS_FSM_FFd2 | nADoutLE1 | nUDS_FSB | IOU0 | iobs/IOU1 | EXP19_.EXP | nBR_IOB_OBUF.EXP -INPUTMC | 8 | 0 | 6 | 2 | 0 | 7 | 2 | 5 | 13 | 7 | 13 | 0 | 7 | 7 | 12 | 7 | 14 +INPUTS | 9 | iobs/TS_FSM_FFd1 | iobs/Sent | iobs/TS_FSM_FFd2 | nADoutLE1 | nUDS_FSB | IOU0 | iobs/IOU1 | EXP20_.EXP | nBR_IOB_OBUF.EXP +INPUTMC | 8 | 0 | 7 | 2 | 0 | 7 | 2 | 5 | 13 | 7 | 13 | 0 | 8 | 7 | 12 | 7 | 14 INPUTP | 1 | 56 IMPORTS | 2 | 7 | 12 | 7 | 14 EQ | 30 | @@ -1312,18 +1310,18 @@ EQ | 30 | IOU0.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 3 | 12 | cnt/WS<1> +MACROCELL | 3 | 13 | cnt/WS<1> ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 5 | 3 | 12 | 3 | 11 | 3 | 15 | 3 | 16 | 3 | 13 -INPUTS | 18 | cnt/WS<0> | cnt/WS<1> | iobs/Sent | IONPReady | iobs/IODONEr | nAS_FSB | fsb/ASrf | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<13> | nWE_FSB | cnt/WS<2>.EXP -INPUTMC | 7 | 3 | 3 | 3 | 12 | 2 | 0 | 3 | 13 | 1 | 8 | 3 | 2 | 3 | 11 +OUTPUTMC | 4 | 3 | 11 | 3 | 12 | 3 | 16 | 3 | 14 +INPUTS | 16 | nAS_FSB | fsb/ASrf | iobs/Sent | IONPReady | iobs/IODONEr | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<13> | nWE_FSB | cnt/WS<2>.EXP +INPUTMC | 5 | 3 | 3 | 2 | 0 | 3 | 14 | 1 | 6 | 3 | 12 INPUTP | 11 | 54 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 18 | 47 -EXPORTS | 1 | 3 | 13 -IMPORTS | 1 | 3 | 11 +EXPORTS | 1 | 3 | 14 +IMPORTS | 1 | 3 | 12 EQ | 11 | - !cnt/WS<1>.D = cnt/WS<0> & cnt/WS<1> -;Imported pterms FB4_12 - # nAS_FSB & !fsb/ASrf + !cnt/WS<1>.D = nAS_FSB & !fsb/ASrf +;Imported pterms FB4_13 + # cnt/WS<0> & cnt/WS<1> # !cnt/WS<0> & !cnt/WS<1>; cnt/WS<1>.CLK = FCLK; // GCK cnt/WS<1>.EXP = !iobs/Sent & !IONPReady @@ -1334,23 +1332,23 @@ EQ | 11 | !nWE_FSB & !IONPReady GLOBALS | 1 | 2 | FCLK -MACROCELL | 3 | 11 | cnt/WS<2> +MACROCELL | 3 | 12 | cnt/WS<2> ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 4 | 3 | 11 | 3 | 15 | 3 | 16 | 3 | 12 +OUTPUTMC | 4 | 3 | 12 | 3 | 11 | 3 | 16 | 3 | 13 INPUTS | 5 | nAS_FSB | cnt/WS<0> | cnt/WS<1> | fsb/ASrf | cnt/WS<2> -INPUTMC | 4 | 3 | 3 | 3 | 12 | 3 | 2 | 3 | 11 +INPUTMC | 4 | 3 | 6 | 3 | 13 | 3 | 3 | 3 | 12 INPUTP | 1 | 54 -EXPORTS | 1 | 3 | 12 +EXPORTS | 1 | 3 | 13 EQ | 6 | cnt/WS<2>.T = nAS_FSB & cnt/WS<2> & !fsb/ASrf # !nAS_FSB & cnt/WS<0> & cnt/WS<1> # cnt/WS<0> & cnt/WS<1> & fsb/ASrf; cnt/WS<2>.CLK = FCLK; // GCK - cnt/WS<2>.EXP = nAS_FSB & !fsb/ASrf + cnt/WS<2>.EXP = cnt/WS<0> & cnt/WS<1> # !cnt/WS<0> & !cnt/WS<1> GLOBALS | 1 | 2 | FCLK -MACROCELL | 1 | 15 | iobm/IORDREQr +MACROCELL | 1 | 13 | iobm/IORDREQr ATTRIBUTES | 8553216 | 0 OUTPUTMC | 9 | 5 | 11 | 5 | 7 | 5 | 9 | 5 | 2 | 5 | 0 | 5 | 17 | 5 | 15 | 5 | 12 | 3 | 4 INPUTS | 1 | IORDREQ @@ -1362,19 +1360,19 @@ GLOBALS | 1 | 2 | C16M MACROCELL | 1 | 4 | ram/RS_FSM_FFd3 ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 3 | 2 | 1 | 1 | 5 | 2 | 5 +OUTPUTMC | 3 | 0 | 5 | 0 | 0 | 2 | 5 INPUTS | 1 | ram/RS_FSM_FFd6 -INPUTMC | 1 | 2 | 4 +INPUTMC | 1 | 2 | 3 EQ | 2 | ram/RS_FSM_FFd3.D = ram/RS_FSM_FFd6; ram/RS_FSM_FFd3.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 0 | 5 | ram/RS_FSM_FFd4 +MACROCELL | 0 | 6 | ram/RS_FSM_FFd4 ATTRIBUTES | 8553216 | 0 OUTPUTMC | 3 | 2 | 11 | 2 | 10 | 2 | 9 INPUTS | 4 | ram/RS_FSM_FFd5 | ram/RS_FSM_FFd1 | RefUrg | ram/RefDone -INPUTMC | 4 | 1 | 3 | 1 | 6 | 0 | 10 | 2 | 1 +INPUTMC | 4 | 1 | 3 | 0 | 1 | 0 | 12 | 0 | 5 EQ | 3 | !ram/RS_FSM_FFd4.D = !ram/RS_FSM_FFd5 & !ram/RS_FSM_FFd1 # RefUrg & !ram/RefDone & !ram/RS_FSM_FFd1; @@ -1383,7 +1381,7 @@ GLOBALS | 1 | 2 | FCLK MACROCELL | 1 | 3 | ram/RS_FSM_FFd5 ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 3 | 0 | 5 | 2 | 4 | 2 | 12 +OUTPUTMC | 3 | 0 | 6 | 2 | 3 | 2 | 12 INPUTS | 1 | ram/RS_FSM_FFd7 INPUTMC | 1 | 2 | 2 EQ | 2 | @@ -1391,11 +1389,11 @@ EQ | 2 | ram/RS_FSM_FFd5.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 2 | 4 | ram/RS_FSM_FFd6 +MACROCELL | 2 | 3 | ram/RS_FSM_FFd6 ATTRIBUTES | 8553216 | 0 OUTPUTMC | 3 | 1 | 4 | 2 | 13 | 2 | 5 INPUTS | 7 | RefUrg | ram/RefDone | ram/RS_FSM_FFd5 | RefReq | nAS_FSB | ram/RS_FSM_FFd8 | fsb/ASrf -INPUTMC | 6 | 0 | 10 | 2 | 1 | 1 | 3 | 0 | 11 | 2 | 10 | 3 | 2 +INPUTMC | 6 | 0 | 12 | 0 | 5 | 1 | 3 | 0 | 13 | 2 | 10 | 3 | 3 INPUTP | 1 | 54 EQ | 6 | ram/RS_FSM_FFd6.D = RefUrg & !ram/RefDone & ram/RS_FSM_FFd5 @@ -1410,7 +1408,7 @@ MACROCELL | 5 | 15 | ALE0M ATTRIBUTES | 8553216 | 0 OUTPUTMC | 2 | 5 | 15 | 5 | 14 INPUTS | 11 | iobm/IOS_FSM_FFd7 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd4 | iobm/IOS_FSM_FFd5 | iobm/IOS_FSM_FFd6 | iobm/IOS_FSM_FFd1 | iobm/IOS_FSM_FFd2 | ALE0M | nAoutOE | iobm/IORDREQr | iobm/IOWRREQr -INPUTMC | 11 | 5 | 2 | 5 | 3 | 1 | 13 | 1 | 12 | 5 | 0 | 1 | 14 | 1 | 17 | 5 | 15 | 3 | 1 | 1 | 15 | 1 | 11 +INPUTMC | 11 | 5 | 2 | 5 | 3 | 1 | 11 | 1 | 10 | 5 | 0 | 1 | 12 | 1 | 16 | 5 | 15 | 3 | 1 | 1 | 13 | 1 | 9 EQ | 14 | !ALE0M.D = iobm/IOS_FSM_FFd7 & !iobm/IOS_FSM_FFd3 & !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd5 & !iobm/IOS_FSM_FFd6 & nAoutOE @@ -1430,9 +1428,9 @@ GLOBALS | 1 | 2 | C16M MACROCELL | 2 | 15 | IORDREQ ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 2 | 1 | 15 | 2 | 15 +OUTPUTMC | 2 | 1 | 13 | 2 | 15 INPUTS | 8 | iobs/TS_FSM_FFd1 | iobs/TS_FSM_FFd2 | iobs/IOACTr | IORDREQ | nWE_FSB | nADoutLE1 | iobs/Sent | nROMWE_OBUF.EXP -INPUTMC | 7 | 0 | 6 | 7 | 2 | 1 | 9 | 2 | 15 | 5 | 13 | 2 | 0 | 2 | 16 +INPUTMC | 7 | 0 | 7 | 7 | 2 | 1 | 7 | 2 | 15 | 5 | 13 | 2 | 0 | 2 | 16 INPUTP | 1 | 47 IMPORTS | 1 | 2 | 16 EQ | 14 | @@ -1454,9 +1452,9 @@ GLOBALS | 1 | 2 | FCLK MACROCELL | 7 | 8 | IOWRREQ ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 2 | 7 | 8 | 1 | 11 -INPUTS | 13 | iobs/TS_FSM_FFd1 | iobs/TS_FSM_FFd2 | IOWRREQ | iobs/IOACTr | iobs/IORW1 | nADoutLE1 | A_FSB<23> | iobs/Sent | nWE_FSB | nAS_FSB | fsb/ASrf | nRAMUWE_OBUF.EXP | EXP17_.EXP -INPUTMC | 10 | 0 | 6 | 7 | 2 | 7 | 8 | 1 | 9 | 7 | 16 | 5 | 13 | 2 | 0 | 3 | 2 | 7 | 7 | 7 | 9 +OUTPUTMC | 2 | 7 | 8 | 1 | 9 +INPUTS | 13 | iobs/TS_FSM_FFd1 | iobs/TS_FSM_FFd2 | IOWRREQ | iobs/IOACTr | iobs/IORW1 | nADoutLE1 | A_FSB<23> | iobs/Sent | nWE_FSB | nAS_FSB | fsb/ASrf | nRAMUWE_OBUF.EXP | EXP18_.EXP +INPUTMC | 10 | 0 | 7 | 7 | 2 | 7 | 8 | 1 | 7 | 7 | 16 | 5 | 13 | 2 | 0 | 3 | 3 | 7 | 7 | 7 | 9 INPUTP | 3 | 36 | 47 | 54 IMPORTS | 2 | 7 | 7 | 7 | 9 EQ | 35 | @@ -1499,9 +1497,9 @@ GLOBALS | 1 | 2 | FCLK MACROCELL | 3 | 0 | QoSReady ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 3 | 3 | 10 | 3 | 0 | 2 | 8 -INPUTS | 10 | A_FSB<23> | $OpTx$$OpTx$FX_DC$354_INV$541 | nWE_FSB | QoSReady | cnt/LTimer<0> | cnt/LTimer<1> | A_FSB<22> | A_FSB<20> | nAoutOE_OBUF.EXP | EXP12_.EXP -INPUTMC | 6 | 3 | 6 | 3 | 0 | 4 | 17 | 3 | 14 | 3 | 1 | 3 | 17 +OUTPUTMC | 2 | 3 | 0 | 2 | 8 +INPUTS | 10 | A_FSB<23> | $OpTx$$OpTx$FX_DC$354_INV$541 | nWE_FSB | QoSReady | cnt/LTimer<0> | cnt/LTimer<1> | A_FSB<22> | A_FSB<20> | nAoutOE_OBUF.EXP | EXP13_.EXP +INPUTMC | 6 | 3 | 9 | 3 | 0 | 4 | 17 | 3 | 16 | 3 | 1 | 3 | 17 INPUTP | 4 | 36 | 47 | 30 | 28 IMPORTS | 2 | 3 | 1 | 3 | 17 EQ | 36 | @@ -1547,7 +1545,7 @@ MACROCELL | 2 | 9 | RAMReady ATTRIBUTES | 8553216 | 0 OUTPUTMC | 3 | 2 | 9 | 2 | 7 | 2 | 10 INPUTS | 12 | ram/RS_FSM_FFd8 | ram/RS_FSM_FFd4 | RAMReady | nAS_FSB | fsb/ASrf | ram/RefDone | RefReq | RefUrg | A_FSB<23> | A_FSB<22> | ram/RAMEN | cs/nOverlay -INPUTMC | 9 | 2 | 10 | 0 | 5 | 2 | 9 | 3 | 2 | 2 | 1 | 0 | 11 | 0 | 10 | 2 | 11 | 2 | 3 +INPUTMC | 9 | 2 | 10 | 0 | 6 | 2 | 9 | 3 | 3 | 0 | 5 | 0 | 13 | 0 | 12 | 2 | 11 | 2 | 7 INPUTP | 3 | 54 | 36 | 30 EXPORTS | 1 | 2 | 10 EQ | 10 | @@ -1565,7 +1563,7 @@ GLOBALS | 1 | 2 | FCLK MACROCELL | 0 | 4 | cnt/Er<0> ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 21 | 0 | 10 | 0 | 8 | 6 | 16 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 0 | 0 | 15 | 0 | 17 | 0 | 11 | 6 | 15 | 0 | 16 | 7 | 15 | 0 | 9 | 0 | 3 | 6 | 17 | 6 | 1 +OUTPUTMC | 21 | 0 | 12 | 0 | 10 | 6 | 16 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 0 | 0 | 15 | 0 | 17 | 0 | 13 | 6 | 15 | 0 | 16 | 7 | 15 | 0 | 11 | 0 | 3 | 6 | 17 | 6 | 1 INPUTS | 1 | E INPUTP | 1 | 37 EQ | 2 | @@ -1573,31 +1571,20 @@ EQ | 2 | cnt/Er<0>.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 3 | 15 | cnt/WS<3> +MACROCELL | 3 | 11 | cnt/WS<3> ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 3 | 3 | 15 | 3 | 16 | 3 | 14 -INPUTS | 25 | nAS_FSB | cnt/WS<3> | fsb/ASrf | cnt/WS<0> | cnt/WS<1> | cnt/WS<2> | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<15> | A_FSB<13> | A_FSB<12> | A_FSB<14> | A_FSB<11> | A_FSB<10> | nWE_FSB | cnt/IS_FSM_FFd1 | cnt/IS_FSM_FFd2 | A_FSB<9> | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 -INPUTMC | 8 | 3 | 15 | 3 | 2 | 3 | 3 | 3 | 12 | 3 | 11 | 7 | 15 | 0 | 9 | 6 | 17 -INPUTP | 17 | 54 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 21 | 18 | 17 | 19 | 15 | 13 | 47 | 12 -EXPORTS | 1 | 3 | 14 -EQ | 14 | +OUTPUTMC | 2 | 3 | 11 | 3 | 16 +INPUTS | 6 | nAS_FSB | cnt/WS<3> | fsb/ASrf | cnt/WS<0> | cnt/WS<1> | cnt/WS<2> +INPUTMC | 5 | 3 | 11 | 3 | 3 | 3 | 6 | 3 | 13 | 3 | 12 +INPUTP | 1 | 54 +EQ | 4 | cnt/WS<3>.T = nAS_FSB & cnt/WS<3> & !fsb/ASrf # !nAS_FSB & cnt/WS<0> & cnt/WS<1> & cnt/WS<2> # cnt/WS<0> & cnt/WS<1> & cnt/WS<2> & fsb/ASrf; cnt/WS<3>.CLK = FCLK; // GCK - cnt/WS<3>.EXP = !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & - A_FSB<13> & A_FSB<12> & A_FSB<14> & A_FSB<11> & A_FSB<10> & - !nWE_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & A_FSB<9> & - fsb/ASrf & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 - # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & - A_FSB<13> & !A_FSB<12> & !A_FSB<14> & !A_FSB<11> & !A_FSB<10> & - !nWE_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & A_FSB<9> & - fsb/ASrf & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 GLOBALS | 1 | 2 | FCLK -MACROCELL | 0 | 2 | cnt/nIPL2r +MACROCELL | 1 | 15 | cnt/nIPL2r ATTRIBUTES | 8553216 | 0 OUTPUTMC | 2 | 7 | 14 | 7 | 15 INPUTS | 1 | nIPL2 @@ -1611,7 +1598,7 @@ MACROCELL | 5 | 7 | iobm/DoutOE ATTRIBUTES | 4358912 | 0 OUTPUTMC | 3 | 5 | 7 | 3 | 4 | 5 | 8 INPUTS | 10 | iobm/IOS_FSM_FFd7 | iobm/C8Mr | iobm/DoutOE | iobm/IOWRREQr | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd4 | iobm/IOS_FSM_FFd5 | iobm/IOS_FSM_FFd6 | IOL0 | iobm/IORDREQr -INPUTMC | 10 | 5 | 2 | 1 | 16 | 5 | 7 | 1 | 11 | 5 | 3 | 1 | 13 | 1 | 12 | 5 | 0 | 7 | 0 | 1 | 15 +INPUTMC | 10 | 5 | 2 | 1 | 14 | 5 | 7 | 1 | 9 | 5 | 3 | 1 | 11 | 1 | 10 | 5 | 0 | 7 | 0 | 1 | 13 EXPORTS | 1 | 5 | 8 EQ | 13 | iobm/DoutOE.T = iobm/IOS_FSM_FFd7 & !iobm/C8Mr & !iobm/DoutOE & @@ -1629,7 +1616,7 @@ EQ | 13 | iobm/IORDREQr GLOBALS | 1 | 2 | C16M -MACROCELL | 0 | 1 | iobm/Er +MACROCELL | 0 | 2 | iobm/Er ATTRIBUTES | 8553216 | 0 OUTPUTMC | 4 | 5 | 4 | 0 | 14 | 5 | 6 | 5 | 5 INPUTS | 1 | E @@ -1643,7 +1630,7 @@ MACROCELL | 5 | 12 | iobm/IOS0 ATTRIBUTES | 8553216 | 0 OUTPUTMC | 2 | 5 | 12 | 3 | 4 INPUTS | 12 | iobm/IOS_FSM_FFd1 | iobm/IOS_FSM_FFd7 | iobm/C8Mr | nAoutOE | iobm/IORDREQr | iobm/IOWRREQr | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd4 | iobm/IOS_FSM_FFd5 | iobm/IOS_FSM_FFd6 | iobm/IOS_FSM_FFd2 | iobm/IOS0 -INPUTMC | 12 | 1 | 14 | 5 | 2 | 1 | 16 | 3 | 1 | 1 | 15 | 1 | 11 | 5 | 3 | 1 | 13 | 1 | 12 | 5 | 0 | 1 | 17 | 5 | 12 +INPUTMC | 12 | 1 | 12 | 5 | 2 | 1 | 14 | 3 | 1 | 1 | 13 | 1 | 9 | 5 | 3 | 1 | 11 | 1 | 10 | 5 | 0 | 1 | 16 | 5 | 12 EQ | 9 | iobm/IOS0.D = iobm/IOS_FSM_FFd1 # iobm/IOS_FSM_FFd7 & iobm/C8Mr @@ -1656,7 +1643,7 @@ EQ | 9 | iobm/IOS0.CLK = C16M; // GCK GLOBALS | 1 | 2 | C16M -MACROCELL | 1 | 11 | iobm/IOWRREQr +MACROCELL | 1 | 9 | iobm/IOWRREQr ATTRIBUTES | 8553216 | 0 OUTPUTMC | 8 | 5 | 11 | 5 | 2 | 5 | 0 | 5 | 16 | 5 | 15 | 5 | 7 | 5 | 12 | 3 | 4 INPUTS | 1 | IOWRREQ @@ -1666,7 +1653,7 @@ EQ | 2 | iobm/IOWRREQr.CLK = C16M; // GCK GLOBALS | 1 | 2 | C16M -MACROCELL | 1 | 10 | iobm/VPAr +MACROCELL | 1 | 8 | iobm/VPAr ATTRIBUTES | 8553216 | 0 OUTPUTMC | 1 | 5 | 1 INPUTS | 1 | nVPA_IOB @@ -1676,19 +1663,9 @@ EQ | 2 | !iobm/VPAr.CLK = C8M; // GCK GLOBALS | 1 | 2 | C8M -MACROCELL | 0 | 0 | iobs/Clear1 +MACROCELL | 0 | 0 | ram/RS_FSM_FFd2 ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 2 | 0 | 12 | 5 | 13 -INPUTS | 2 | iobs/TS_FSM_FFd1 | iobs/TS_FSM_FFd2 -INPUTMC | 2 | 0 | 6 | 7 | 2 -EQ | 2 | - iobs/Clear1.D = !iobs/TS_FSM_FFd1 & iobs/TS_FSM_FFd2; - iobs/Clear1.CLK = FCLK; // GCK -GLOBALS | 1 | 2 | FCLK - -MACROCELL | 1 | 5 | ram/RS_FSM_FFd2 -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 2 | 2 | 1 | 1 | 6 +OUTPUTMC | 2 | 0 | 5 | 0 | 1 INPUTS | 1 | ram/RS_FSM_FFd3 INPUTMC | 1 | 1 | 4 EQ | 2 | @@ -1696,17 +1673,40 @@ EQ | 2 | ram/RS_FSM_FFd2.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 4 | 15 | ALE0S +MACROCELL | 3 | 8 | ALE0S ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 2 | 5 | 14 | 4 | 16 -INPUTS | 23 | iobs/TS_FSM_FFd2 | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<15> | A_FSB<13> | A_FSB<12> | A_FSB<14> | A_FSB<11> | A_FSB<10> | nWE_FSB | nAS_FSB | cnt/IS_FSM_FFd1 | cnt/IS_FSM_FFd2 | A_FSB<9> | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | A_FSB<8> | fsb/ASrf -INPUTMC | 5 | 7 | 2 | 7 | 15 | 0 | 9 | 6 | 17 | 3 | 2 -INPUTP | 18 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 21 | 18 | 17 | 19 | 15 | 13 | 47 | 54 | 12 | 11 -EXPORTS | 1 | 4 | 16 -EQ | 22 | +OUTPUTMC | 1 | 5 | 14 +INPUTS | 1 | iobs/TS_FSM_FFd2 +INPUTMC | 1 | 7 | 2 +EQ | 2 | ALE0S.D = iobs/TS_FSM_FFd2; ALE0S.CLK = FCLK; // GCK - ALE0S.EXP = !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 0 | 3 | cnt/Er<1> +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 20 | 0 | 12 | 0 | 10 | 6 | 16 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 0 | 0 | 15 | 0 | 17 | 0 | 13 | 6 | 15 | 0 | 16 | 7 | 15 | 0 | 11 | 6 | 17 | 6 | 1 +INPUTS | 1 | cnt/Er<0> +INPUTMC | 1 | 0 | 4 +EQ | 2 | + cnt/Er<1>.D = cnt/Er<0>; + cnt/Er<1>.CLK = FCLK; // GCK +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 4 | 15 | cnt/LTimerTC +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 3 | 7 | 15 | 0 | 11 | 4 | 16 +INPUTS | 34 | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<10> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/LTimer<4> | cnt/LTimer<5> | cnt/LTimer<6> | cnt/LTimer<7> | cnt/LTimer<8> | cnt/LTimer<9> | cnt/LTimer<11> | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<15> | A_FSB<13> | A_FSB<12> | A_FSB<14> | A_FSB<11> | A_FSB<10> | nWE_FSB | nAS_FSB | cnt/IS_FSM_FFd1 | cnt/IS_FSM_FFd2 | A_FSB<9> | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | A_FSB<8> | fsb/ASrf +INPUTMC | 16 | 4 | 17 | 3 | 16 | 6 | 16 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 0 | 6 | 15 | 7 | 15 | 0 | 11 | 6 | 17 | 3 | 3 +INPUTP | 18 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 21 | 18 | 17 | 19 | 15 | 13 | 47 | 54 | 12 | 11 +EXPORTS | 1 | 4 | 16 +EQ | 25 | + cnt/LTimerTC.D = !cnt/LTimer<0> & cnt/LTimer<1> & cnt/LTimer<10> & + cnt/LTimer<2> & cnt/LTimer<3> & cnt/LTimer<4> & cnt/LTimer<5> & + cnt/LTimer<6> & cnt/LTimer<7> & cnt/LTimer<8> & cnt/LTimer<9> & + cnt/LTimer<11>; + cnt/LTimerTC.CLK = FCLK; // GCK + cnt/LTimerTC.EXP = !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & A_FSB<13> & A_FSB<12> & A_FSB<14> & A_FSB<11> & A_FSB<10> & !nWE_FSB & !nAS_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & @@ -1728,71 +1728,40 @@ EQ | 22 | A_FSB<8> & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 GLOBALS | 1 | 2 | FCLK -MACROCELL | 0 | 12 | IOPWReady +MACROCELL | 3 | 3 | fsb/ASrf ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 1 | 2 | 7 -INPUTS | 3 | iobs/Clear1 | iobs/Load1 | nADoutLE1 -INPUTMC | 3 | 0 | 0 | 2 | 14 | 5 | 13 -EQ | 3 | - IOPWReady.D = iobs/Clear1 - # !iobs/Load1 & nADoutLE1; - IOPWReady.CLK = FCLK; // GCK -GLOBALS | 1 | 2 | FCLK - -MACROCELL | 0 | 3 | cnt/Er<1> -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 20 | 0 | 10 | 0 | 8 | 6 | 16 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 0 | 0 | 15 | 0 | 17 | 0 | 11 | 6 | 15 | 0 | 16 | 7 | 15 | 0 | 9 | 6 | 17 | 6 | 1 -INPUTS | 1 | cnt/Er<0> -INPUTMC | 1 | 0 | 4 -EQ | 2 | - cnt/Er<1>.D = cnt/Er<0>; - cnt/Er<1>.CLK = FCLK; // GCK -GLOBALS | 1 | 2 | FCLK - -MACROCELL | 4 | 12 | cnt/LTimerTC -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 2 | 7 | 15 | 0 | 9 -INPUTS | 12 | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<10> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/LTimer<4> | cnt/LTimer<5> | cnt/LTimer<6> | cnt/LTimer<7> | cnt/LTimer<8> | cnt/LTimer<9> | cnt/LTimer<11> -INPUTMC | 12 | 4 | 17 | 3 | 14 | 6 | 16 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 0 | 6 | 15 -EQ | 5 | - cnt/LTimerTC.D = !cnt/LTimer<0> & cnt/LTimer<1> & cnt/LTimer<10> & - cnt/LTimer<2> & cnt/LTimer<3> & cnt/LTimer<4> & cnt/LTimer<5> & - cnt/LTimer<6> & cnt/LTimer<7> & cnt/LTimer<8> & cnt/LTimer<9> & - cnt/LTimer<11>; - cnt/LTimerTC.CLK = FCLK; // GCK -GLOBALS | 1 | 2 | FCLK - -MACROCELL | 3 | 9 | cs/ODCSr -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 1 | 2 | 3 -INPUTS | 6 | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | nAS_FSB | fsb/ASrf -INPUTMC | 1 | 3 | 2 -INPUTP | 5 | 36 | 30 | 29 | 28 | 54 -EQ | 5 | - cs/ODCSr.D = !A_FSB<23> & A_FSB<22> & !A_FSB<21> & !A_FSB<20> & - !nAS_FSB - # !A_FSB<23> & A_FSB<22> & !A_FSB<21> & !A_FSB<20> & - fsb/ASrf; - cs/ODCSr.CLK = FCLK; // GCK -GLOBALS | 1 | 2 | FCLK - -MACROCELL | 3 | 2 | fsb/ASrf -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 38 | 4 | 15 | 3 | 13 | 2 | 0 | 2 | 11 | 2 | 3 | 7 | 15 | 3 | 12 | 2 | 7 | 7 | 11 | 3 | 10 | 2 | 6 | 4 | 1 | 2 | 10 | 2 | 2 | 2 | 14 | 3 | 3 | 6 | 0 | 7 | 12 | 2 | 17 | 3 | 11 | 2 | 4 | 2 | 13 | 7 | 8 | 2 | 9 | 3 | 15 | 3 | 9 | 2 | 12 | 2 | 5 | 2 | 8 | 6 | 16 | 3 | 6 | 2 | 1 | 2 | 16 | 7 | 3 | 7 | 7 | 7 | 9 | 7 | 17 | 3 | 1 -INPUTS | 5 | nAS_FSB | nBR_IOB | cnt/IS_FSM_FFd1 | cnt/IS_FSM_FFd2 | nAoutOE -INPUTMC | 4 | 7 | 14 | 7 | 15 | 0 | 9 | 3 | 1 +OUTPUTMC | 36 | 4 | 15 | 3 | 14 | 2 | 0 | 2 | 11 | 2 | 7 | 7 | 15 | 2 | 17 | 2 | 6 | 7 | 11 | 3 | 10 | 2 | 4 | 4 | 1 | 2 | 10 | 2 | 2 | 3 | 6 | 2 | 14 | 6 | 0 | 7 | 12 | 3 | 13 | 3 | 12 | 2 | 3 | 2 | 13 | 7 | 8 | 2 | 9 | 3 | 11 | 2 | 12 | 2 | 5 | 2 | 8 | 6 | 16 | 3 | 9 | 2 | 1 | 2 | 16 | 7 | 3 | 7 | 7 | 7 | 9 | 7 | 17 +INPUTS | 1 | nAS_FSB INPUTP | 1 | 54 -EXPORTS | 1 | 3 | 1 -EQ | 4 | +EQ | 2 | fsb/ASrf.D = !nAS_FSB; !fsb/ASrf.CLK = FCLK; // GCK - fsb/ASrf.EXP = !nBR_IOB & cnt/IS_FSM_FFd1 & cnt/IS_FSM_FFd2 - # cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & !nAoutOE GLOBALS | 1 | 2 | FCLK -MACROCELL | 1 | 8 | iobs/IODONEr +MACROCELL | 2 | 1 | iobs/Clear1 ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 1 | 3 | 12 +OUTPUTMC | 2 | 5 | 13 | 2 | 0 +INPUTS | 17 | iobs/TS_FSM_FFd1 | iobs/TS_FSM_FFd2 | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<13> | iobs/Sent | nWE_FSB | nAS_FSB | nADoutLE1 | fsb/ASrf | A_FSB<14> +INPUTMC | 5 | 0 | 7 | 7 | 2 | 2 | 0 | 5 | 13 | 3 | 3 +INPUTP | 12 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 18 | 47 | 54 | 19 +EXPORTS | 1 | 2 | 0 +EQ | 11 | + iobs/Clear1.D = !iobs/TS_FSM_FFd1 & iobs/TS_FSM_FFd2; + iobs/Clear1.CLK = FCLK; // GCK + iobs/Clear1.EXP = !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<13> & + !iobs/Sent & !nWE_FSB & !nAS_FSB & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<13> & + !iobs/Sent & !nWE_FSB & fsb/ASrf & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<14> & + !iobs/Sent & !nWE_FSB & fsb/ASrf & nADoutLE1 +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 1 | 6 | iobs/IODONEr +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 1 | 3 | 13 INPUTS | 1 | IODONE INPUTMC | 1 | 5 | 9 EQ | 2 | @@ -1800,29 +1769,24 @@ EQ | 2 | iobs/IODONEr.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 3 | 16 | nRESout +MACROCELL | 3 | 2 | nRESout ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 2 | 3 | 7 | 3 | 17 -INPUTS | 11 | cnt/IS_FSM_FFd1 | cnt/IS_FSM_FFd2 | A_FSB<22> | A_FSB<11> | $OpTx$$OpTx$FX_DC$354_INV$541 | A_FSB<9> | A_FSB<8> | cnt/WS<0> | cnt/WS<1> | cnt/WS<2> | cnt/WS<3> -INPUTMC | 7 | 7 | 15 | 0 | 9 | 3 | 6 | 3 | 3 | 3 | 12 | 3 | 11 | 3 | 15 -INPUTP | 4 | 30 | 15 | 12 | 11 -EXPORTS | 1 | 3 | 17 -EQ | 8 | +OUTPUTMC | 2 | 3 | 7 | 3 | 1 +INPUTS | 4 | cnt/IS_FSM_FFd1 | cnt/IS_FSM_FFd2 | nBR_IOB | nAoutOE +INPUTMC | 4 | 7 | 15 | 0 | 11 | 7 | 14 | 3 | 1 +EXPORTS | 1 | 3 | 1 +EQ | 4 | nRESout.D = cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2; nRESout.CLK = FCLK; // GCK - nRESout.EXP = A_FSB<22> & !A_FSB<11> & - !$OpTx$$OpTx$FX_DC$354_INV$541 - # A_FSB<22> & A_FSB<9> & !A_FSB<8> & - !$OpTx$$OpTx$FX_DC$354_INV$541 - # cnt/WS<0> & cnt/WS<1> & cnt/WS<2> & cnt/WS<3> & - !$OpTx$$OpTx$FX_DC$354_INV$541 + nRESout.EXP = !nBR_IOB & cnt/IS_FSM_FFd1 & cnt/IS_FSM_FFd2 + # cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & !nAoutOE GLOBALS | 1 | 2 | FCLK MACROCELL | 2 | 13 | ram/CAS ATTRIBUTES | 8553216 | 0 OUTPUTMC | 2 | 4 | 4 | 2 | 14 INPUTS | 19 | ram/RS_FSM_FFd6 | ram/RS_FSM_FFd7 | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<13> | iobs/Sent | nWE_FSB | iobs/TS_FSM_FFd1 | fsb/ASrf | nADoutLE1 | iobs/TS_FSM_FFd2 | A_FSB<14> | EXP10_.EXP -INPUTMC | 8 | 2 | 4 | 2 | 2 | 2 | 0 | 0 | 6 | 3 | 2 | 5 | 13 | 7 | 2 | 2 | 12 +INPUTMC | 8 | 2 | 3 | 2 | 2 | 2 | 0 | 0 | 7 | 3 | 3 | 5 | 13 | 7 | 2 | 2 | 12 INPUTP | 11 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 18 | 47 | 19 EXPORTS | 1 | 2 | 14 IMPORTS | 1 | 2 | 12 @@ -1854,7 +1818,7 @@ EQ | 25 | nADoutLE1 GLOBALS | 1 | 2 | FCLK -MACROCELL | 1 | 7 | ram/RASrf +MACROCELL | 1 | 5 | ram/RASrf ATTRIBUTES | 8553216 | 0 OUTPUTMC | 1 | 7 | 5 INPUTS | 1 | ram/RS_FSM_FFd7 @@ -1868,7 +1832,7 @@ MACROCELL | 2 | 5 | ram/RASrr ATTRIBUTES | 8553216 | 0 OUTPUTMC | 1 | 7 | 5 INPUTS | 9 | ram/RS_FSM_FFd3 | ram/RS_FSM_FFd6 | A_FSB<23> | A_FSB<22> | ram/RAMEN | cs/nOverlay | nAS_FSB | ram/RS_FSM_FFd8 | fsb/ASrf -INPUTMC | 6 | 1 | 4 | 2 | 4 | 2 | 11 | 2 | 3 | 2 | 10 | 3 | 2 +INPUTMC | 6 | 1 | 4 | 2 | 3 | 2 | 11 | 2 | 7 | 2 | 10 | 3 | 3 INPUTP | 3 | 36 | 30 | 54 EQ | 7 | ram/RASrr.D = ram/RS_FSM_FFd3 @@ -1880,11 +1844,11 @@ EQ | 7 | ram/RASrr.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 1 | 6 | ram/RS_FSM_FFd1 +MACROCELL | 0 | 1 | ram/RS_FSM_FFd1 ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 1 | 0 | 5 +OUTPUTMC | 1 | 0 | 6 INPUTS | 1 | ram/RS_FSM_FFd2 -INPUTMC | 1 | 1 | 5 +INPUTMC | 1 | 0 | 0 EQ | 2 | ram/RS_FSM_FFd1.D = ram/RS_FSM_FFd2; ram/RS_FSM_FFd1.CLK = FCLK; // GCK @@ -1893,7 +1857,7 @@ GLOBALS | 1 | 2 | FCLK MACROCELL | 6 | 5 | RA_0_OBUF ATTRIBUTES | 264962 | 0 INPUTS | 3 | ram/RASEL | A_FSB<1> | A_FSB<9> -INPUTMC | 1 | 2 | 6 +INPUTMC | 1 | 2 | 4 INPUTP | 2 | 149 | 12 EQ | 2 | RA<0> = ram/RASEL & A_FSB<1> @@ -1902,7 +1866,7 @@ EQ | 2 | MACROCELL | 6 | 8 | RA_10_OBUF ATTRIBUTES | 264962 | 0 INPUTS | 3 | A_FSB<17> | ram/RASEL | A_FSB<7> -INPUTMC | 1 | 2 | 6 +INPUTMC | 1 | 2 | 4 INPUTP | 2 | 23 | 8 EQ | 2 | RA<10> = A_FSB<17> & !ram/RASEL @@ -1912,7 +1876,7 @@ MACROCELL | 6 | 1 | RA_1_OBUF ATTRIBUTES | 264962 | 0 OUTPUTMC | 1 | 6 | 0 INPUTS | 16 | A_FSB<10> | ram/RASEL | A_FSB<2> | RefUrg | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/LTimer<4> | cnt/LTimer<5> | cnt/LTimer<6> | cnt/LTimer<7> | cnt/LTimer<8> | cnt/IS_FSM_FFd2 | cnt/Er<0> | cnt/Er<1> -INPUTMC | 14 | 2 | 6 | 0 | 10 | 4 | 17 | 3 | 14 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 0 | 9 | 0 | 4 | 0 | 3 +INPUTMC | 14 | 2 | 4 | 0 | 12 | 4 | 17 | 3 | 16 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 0 | 11 | 0 | 4 | 0 | 3 INPUTP | 2 | 13 | 153 EXPORTS | 1 | 6 | 0 EQ | 6 | @@ -1926,7 +1890,7 @@ EQ | 6 | MACROCELL | 4 | 13 | RA_2_OBUF ATTRIBUTES | 264962 | 0 INPUTS | 3 | A_FSB<16> | ram/RASEL | A_FSB<7> -INPUTMC | 1 | 2 | 6 +INPUTMC | 1 | 2 | 4 INPUTP | 2 | 22 | 8 EQ | 2 | RA<2> = A_FSB<16> & !ram/RASEL @@ -1935,7 +1899,7 @@ EQ | 2 | MACROCELL | 4 | 8 | RA_4_OBUF ATTRIBUTES | 264962 | 0 INPUTS | 3 | A_FSB<11> | ram/RASEL | A_FSB<3> -INPUTMC | 1 | 2 | 6 +INPUTMC | 1 | 2 | 4 INPUTP | 2 | 15 | 155 EQ | 2 | RA<4> = A_FSB<11> & !ram/RASEL @@ -1944,7 +1908,7 @@ EQ | 2 | MACROCELL | 4 | 11 | RA_5_OBUF ATTRIBUTES | 264962 | 0 INPUTS | 3 | A_FSB<12> | ram/RASEL | A_FSB<4> -INPUTMC | 1 | 2 | 6 +INPUTMC | 1 | 2 | 4 INPUTP | 2 | 17 | 157 EQ | 2 | RA<5> = A_FSB<12> & !ram/RASEL @@ -1953,7 +1917,7 @@ EQ | 2 | MACROCELL | 4 | 14 | RA_6_OBUF ATTRIBUTES | 264962 | 0 INPUTS | 3 | A_FSB<13> | ram/RASEL | A_FSB<5> -INPUTMC | 1 | 2 | 6 +INPUTMC | 1 | 2 | 4 INPUTP | 2 | 18 | 4 EQ | 2 | RA<6> = A_FSB<13> & !ram/RASEL @@ -1962,7 +1926,7 @@ EQ | 2 | MACROCELL | 6 | 4 | RA_7_OBUF ATTRIBUTES | 264962 | 0 INPUTS | 3 | A_FSB<14> | ram/RASEL | A_FSB<6> -INPUTMC | 1 | 2 | 6 +INPUTMC | 1 | 2 | 4 INPUTP | 2 | 19 | 6 EQ | 2 | RA<7> = A_FSB<14> & !ram/RASEL @@ -1971,7 +1935,7 @@ EQ | 2 | MACROCELL | 6 | 7 | RA_8_OBUF ATTRIBUTES | 264962 | 0 INPUTS | 3 | A_FSB<18> | ram/RASEL | A_FSB<21> -INPUTMC | 1 | 2 | 6 +INPUTMC | 1 | 2 | 4 INPUTP | 2 | 24 | 29 EQ | 2 | RA<8> = A_FSB<21> & ram/RASEL @@ -1980,7 +1944,7 @@ EQ | 2 | MACROCELL | 6 | 10 | RA_9_OBUF ATTRIBUTES | 264962 | 0 INPUTS | 3 | A_FSB<15> | ram/RASEL | A_FSB<8> -INPUTMC | 1 | 2 | 6 +INPUTMC | 1 | 2 | 4 INPUTP | 2 | 21 | 11 EQ | 2 | RA<9> = A_FSB<15> & !ram/RASEL @@ -1997,7 +1961,7 @@ MACROCELL | 2 | 16 | nROMWE_OBUF ATTRIBUTES | 264962 | 0 OUTPUTMC | 1 | 2 | 15 INPUTS | 11 | nWE_FSB | nAS_FSB | iobs/IORW1 | iobs/TS_FSM_FFd2 | nADoutLE1 | A_FSB<23> | A_FSB<22> | fsb/ASrf | A_FSB<21> | A_FSB<20> | cs/nOverlay -INPUTMC | 5 | 7 | 16 | 7 | 2 | 5 | 13 | 3 | 2 | 2 | 3 +INPUTMC | 5 | 7 | 16 | 7 | 2 | 5 | 13 | 3 | 3 | 2 | 7 INPUTP | 6 | 47 | 54 | 36 | 30 | 29 | 28 EXPORTS | 1 | 2 | 15 EQ | 8 | @@ -2013,7 +1977,7 @@ EQ | 8 | MACROCELL | 5 | 14 | nADoutLE0_OBUF ATTRIBUTES | 264962 | 0 INPUTS | 2 | ALE0M | ALE0S -INPUTMC | 2 | 5 | 15 | 4 | 15 +INPUTMC | 2 | 5 | 15 | 3 | 8 EQ | 1 | nADoutLE0 = !ALE0M & !ALE0S; @@ -2028,13 +1992,13 @@ GLOBALS | 1 | 2 | FCLK MACROCELL | 2 | 8 | nDTACK_FSB_OBUF ATTRIBUTES | 8684290 | 0 -INPUTS | 9 | QoSReady | A_FSB<23> | IONPReady | nAS_FSB | fsb/ASrf | A_FSB<22> | A_FSB<20> | A_FSB<21> | ram/Once.EXP -INPUTMC | 4 | 3 | 0 | 3 | 13 | 3 | 2 | 2 | 7 +INPUTS | 9 | A_FSB<23> | IONPReady | QoSReady | nAS_FSB | fsb/ASrf | A_FSB<22> | A_FSB<20> | A_FSB<21> | cs/nOverlay.EXP +INPUTMC | 4 | 3 | 14 | 3 | 0 | 3 | 3 | 2 | 7 INPUTP | 5 | 36 | 54 | 30 | 28 | 29 IMPORTS | 1 | 2 | 7 EQ | 16 | - nDTACK_FSB.D = !QoSReady - # A_FSB<23> & !IONPReady + nDTACK_FSB.D = A_FSB<23> & !IONPReady + # !IONPReady & !QoSReady # nAS_FSB & !fsb/ASrf # A_FSB<22> & A_FSB<21> & !IONPReady # A_FSB<22> & A_FSB<20> & !IONPReady @@ -2044,10 +2008,10 @@ EQ | 16 | A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> # A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<13> & !nWE_FSB & !IONPReady & - !IOPWReady + !nADoutLE1 # A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<14> & !nWE_FSB & !IONPReady & - !IOPWReady; + !nADoutLE1; nDTACK_FSB.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK @@ -2055,7 +2019,7 @@ MACROCELL | 5 | 16 | nDinLE_OBUF ATTRIBUTES | 8684290 | 0 OUTPUTMC | 1 | 5 | 17 INPUTS | 10 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd4 | IOBERR | IODONE | iobm/IOS_FSM_FFd7 | iobm/IOWRREQr | nAoutOE | IOACT | iobm/IOS_FSM_FFd1 | iobm/IOS_FSM_FFd2 -INPUTMC | 10 | 5 | 3 | 1 | 13 | 0 | 13 | 5 | 9 | 5 | 2 | 1 | 11 | 3 | 1 | 5 | 17 | 1 | 14 | 1 | 17 +INPUTMC | 10 | 5 | 3 | 1 | 11 | 1 | 17 | 5 | 9 | 5 | 2 | 1 | 9 | 3 | 1 | 5 | 17 | 1 | 12 | 1 | 16 EXPORTS | 1 | 5 | 17 EQ | 6 | !nDinLE.D = !iobm/IOS_FSM_FFd3 & !iobm/IOS_FSM_FFd4; @@ -2069,7 +2033,7 @@ GLOBALS | 1 | 2 | C16M MACROCELL | 3 | 7 | N0 ATTRIBUTES | 265986 | 0 INPUTS | 1 | nRESout -INPUTMC | 1 | 3 | 16 +INPUTMC | 1 | 3 | 2 EQ | 2 | nRES = Gnd; nRES.OE = !nRESout; @@ -2078,7 +2042,7 @@ MACROCELL | 7 | 1 | RA_11_OBUF$BUF0 ATTRIBUTES | 264962 | 0 OUTPUTMC | 1 | 7 | 0 INPUTS | 12 | A_FSB<23> | A_FSB<22> | A_FSB<19> | iobs/TS_FSM_FFd2 | nADoutLE1 | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<21> | A_FSB<20> | cs/nOverlay | iobs/TS_FSM_FFd2.EXP -INPUTMC | 4 | 7 | 2 | 5 | 13 | 2 | 3 | 7 | 2 +INPUTMC | 4 | 7 | 2 | 5 | 13 | 2 | 7 | 7 | 2 INPUTP | 8 | 36 | 30 | 26 | 24 | 23 | 22 | 29 | 28 EXPORTS | 1 | 7 | 0 IMPORTS | 1 | 7 | 2 @@ -2099,9 +2063,9 @@ EQ | 13 | MACROCELL | 5 | 13 | nADoutLE1_OBUF ATTRIBUTES | 8815366 | 0 -OUTPUTMC | 24 | 2 | 0 | 7 | 16 | 7 | 2 | 2 | 14 | 7 | 0 | 7 | 13 | 2 | 15 | 7 | 8 | 0 | 12 | 5 | 13 | 2 | 1 | 2 | 13 | 2 | 16 | 2 | 17 | 7 | 1 | 7 | 3 | 7 | 4 | 7 | 7 | 7 | 9 | 7 | 11 | 7 | 12 | 7 | 14 | 7 | 15 | 7 | 17 +OUTPUTMC | 24 | 2 | 0 | 7 | 16 | 7 | 2 | 2 | 14 | 7 | 0 | 7 | 13 | 2 | 15 | 7 | 8 | 2 | 7 | 5 | 13 | 2 | 1 | 2 | 13 | 2 | 16 | 2 | 17 | 7 | 1 | 7 | 3 | 7 | 4 | 7 | 7 | 7 | 9 | 7 | 11 | 7 | 12 | 7 | 14 | 7 | 15 | 7 | 17 INPUTS | 3 | iobs/Clear1 | nADoutLE1 | iobs/Load1 -INPUTMC | 3 | 0 | 0 | 5 | 13 | 2 | 14 +INPUTMC | 3 | 2 | 1 | 5 | 13 | 2 | 14 EQ | 3 | !nADoutLE1.D = iobs/Load1 # !iobs/Clear1 & !nADoutLE1; @@ -2111,8 +2075,8 @@ GLOBALS | 1 | 2 | FCLK MACROCELL | 3 | 1 | nAoutOE_OBUF ATTRIBUTES | 8815366 | 0 OUTPUTMC | 13 | 5 | 1 | 5 | 11 | 5 | 8 | 5 | 10 | 5 | 2 | 5 | 0 | 5 | 17 | 5 | 15 | 5 | 12 | 3 | 4 | 3 | 2 | 5 | 16 | 3 | 0 -INPUTS | 8 | A_FSB<22> | A_FSB<17> | $OpTx$$OpTx$FX_DC$354_INV$541 | A_FSB<16> | A_FSB<13> | A_FSB<14> | A_FSB<10> | fsb/ASrf.EXP -INPUTMC | 2 | 3 | 6 | 3 | 2 +INPUTS | 8 | A_FSB<22> | A_FSB<17> | $OpTx$$OpTx$FX_DC$354_INV$541 | A_FSB<16> | A_FSB<13> | A_FSB<14> | A_FSB<10> | nRESout.EXP +INPUTMC | 2 | 3 | 9 | 3 | 2 INPUTP | 6 | 30 | 23 | 22 | 18 | 19 | 13 EXPORTS | 1 | 3 | 0 IMPORTS | 1 | 3 | 2 @@ -2145,7 +2109,7 @@ EQ | 3 | MACROCELL | 3 | 4 | nDoutOE_OBUF ATTRIBUTES | 264962 | 0 INPUTS | 5 | iobm/DoutOE | nAoutOE | iobm/IORDREQr | iobm/IOS0 | iobm/IOWRREQr -INPUTMC | 5 | 5 | 7 | 3 | 1 | 1 | 15 | 5 | 12 | 1 | 11 +INPUTMC | 5 | 5 | 7 | 3 | 1 | 1 | 13 | 5 | 12 | 1 | 9 EQ | 3 | !nDoutOE = iobm/DoutOE & !nAoutOE # !iobm/IORDREQr & iobm/IOS0 & !iobm/IOWRREQr & @@ -2155,7 +2119,7 @@ MACROCELL | 7 | 5 | nRAMLWE_OBUF ATTRIBUTES | 264962 | 0 OUTPUTMC | 1 | 7 | 4 INPUTS | 9 | ram/RAMEN | nWE_FSB | nLDS_FSB | nAS_FSB | ram/RASrf | ram/RASrr | A_FSB<23> | A_FSB<22> | cs/nOverlay -INPUTMC | 4 | 2 | 11 | 1 | 7 | 2 | 5 | 2 | 3 +INPUTMC | 4 | 2 | 11 | 1 | 5 | 2 | 5 | 2 | 7 INPUTP | 5 | 47 | 49 | 54 | 36 | 30 EXPORTS | 1 | 7 | 4 EQ | 5 | @@ -2168,8 +2132,8 @@ EQ | 5 | MACROCELL | 7 | 7 | nRAMUWE_OBUF ATTRIBUTES | 264962 | 0 OUTPUTMC | 1 | 7 | 8 -INPUTS | 12 | A_FSB<22> | A_FSB<21> | iobs/Sent | nWE_FSB | nAS_FSB | iobs/TS_FSM_FFd1 | iobs/TS_FSM_FFd2 | nADoutLE1 | fsb/ASrf | A_FSB<20> | cs/nOverlay | EXP16_.EXP -INPUTMC | 7 | 2 | 0 | 0 | 6 | 7 | 2 | 5 | 13 | 3 | 2 | 2 | 3 | 7 | 6 +INPUTS | 12 | A_FSB<22> | A_FSB<21> | iobs/Sent | nWE_FSB | nAS_FSB | iobs/TS_FSM_FFd1 | iobs/TS_FSM_FFd2 | nADoutLE1 | fsb/ASrf | A_FSB<20> | cs/nOverlay | EXP17_.EXP +INPUTMC | 7 | 2 | 0 | 0 | 7 | 7 | 2 | 5 | 13 | 3 | 3 | 2 | 7 | 7 | 6 INPUTP | 5 | 30 | 29 | 47 | 54 | 28 EXPORTS | 1 | 7 | 8 IMPORTS | 1 | 7 | 6 @@ -2191,7 +2155,7 @@ MACROCELL | 4 | 1 | nROMCS_OBUF ATTRIBUTES | 264962 | 0 OUTPUTMC | 1 | 4 | 0 INPUTS | 22 | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | cs/nOverlay | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<15> | A_FSB<13> | A_FSB<12> | A_FSB<14> | A_FSB<11> | A_FSB<10> | nWE_FSB | cnt/IS_FSM_FFd1 | cnt/IS_FSM_FFd2 | A_FSB<9> | fsb/ASrf | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | A_FSB<8> -INPUTMC | 5 | 2 | 3 | 7 | 15 | 0 | 9 | 3 | 2 | 6 | 17 +INPUTMC | 5 | 2 | 7 | 7 | 15 | 0 | 11 | 3 | 3 | 6 | 17 INPUTP | 17 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 21 | 18 | 17 | 19 | 15 | 13 | 47 | 12 | 11 EXPORTS | 1 | 4 | 0 EQ | 17 | @@ -2221,9 +2185,9 @@ EQ | 1 | MACROCELL | 6 | 17 | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 ATTRIBUTES | 133888 | 0 -OUTPUTMC | 8 | 4 | 17 | 3 | 14 | 3 | 13 | 3 | 15 | 4 | 0 | 4 | 1 | 4 | 15 | 4 | 16 +OUTPUTMC | 8 | 4 | 17 | 3 | 16 | 3 | 14 | 3 | 15 | 4 | 0 | 4 | 1 | 4 | 15 | 4 | 16 INPUTS | 37 | RefUrg | cnt/Er<0> | cnt/Er<1> | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<10> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/LTimer<4> | cnt/LTimer<5> | cnt/LTimer<6> | cnt/LTimer<7> | cnt/LTimer<8> | cnt/LTimer<9> | cnt/LTimer<11> | cnt/IS_FSM_FFd1 | cnt/IS_FSM_FFd2 | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<15> | A_FSB<13> | A_FSB<12> | A_FSB<14> | A_FSB<11> | A_FSB<10> | nWE_FSB | nAS_FSB | A_FSB<8> | A_FSB<9> | cnt/LTimer<9>.EXP | cnt/LTimer<10>.EXP -INPUTMC | 19 | 0 | 10 | 0 | 4 | 0 | 3 | 4 | 17 | 3 | 14 | 6 | 16 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 0 | 6 | 15 | 7 | 15 | 0 | 9 | 6 | 0 | 6 | 16 +INPUTMC | 19 | 0 | 12 | 0 | 4 | 0 | 3 | 4 | 17 | 3 | 16 | 6 | 16 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 0 | 6 | 15 | 7 | 15 | 0 | 11 | 6 | 0 | 6 | 16 INPUTP | 18 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 21 | 18 | 17 | 19 | 15 | 13 | 47 | 54 | 11 | 12 IMPORTS | 2 | 6 | 0 | 6 | 16 EQ | 47 | @@ -2275,11 +2239,11 @@ EQ | 47 | !nWE_FSB & !nAS_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & A_FSB<9>; -MACROCELL | 3 | 6 | $OpTx$$OpTx$FX_DC$354_INV$541 +MACROCELL | 3 | 9 | $OpTx$$OpTx$FX_DC$354_INV$541 ATTRIBUTES | 133888 | 0 OUTPUTMC | 4 | 3 | 0 | 3 | 1 | 3 | 16 | 3 | 17 INPUTS | 2 | nAS_FSB | fsb/ASrf -INPUTMC | 1 | 3 | 2 +INPUTMC | 1 | 3 | 3 INPUTP | 1 | 54 EQ | 1 | $OpTx$$OpTx$FX_DC$354_INV$541 = nAS_FSB & !fsb/ASrf; @@ -2288,7 +2252,7 @@ MACROCELL | 2 | 12 | EXP10_ ATTRIBUTES | 2048 | 0 OUTPUTMC | 1 | 2 | 13 INPUTS | 11 | RefUrg | ram/RefDone | ram/RS_FSM_FFd5 | nAS_FSB | ram/RS_FSM_FFd8 | fsb/ASrf | RefReq | A_FSB<23> | A_FSB<22> | ram/RAMEN | cs/nOverlay -INPUTMC | 8 | 0 | 10 | 2 | 1 | 1 | 3 | 2 | 10 | 3 | 2 | 0 | 11 | 2 | 11 | 2 | 3 +INPUTMC | 8 | 0 | 12 | 0 | 5 | 1 | 3 | 2 | 10 | 3 | 3 | 0 | 13 | 2 | 11 | 2 | 7 INPUTP | 3 | 54 | 36 | 30 EXPORTS | 1 | 2 | 13 EQ | 9 | @@ -2306,7 +2270,7 @@ MACROCELL | 2 | 17 | EXP11_ ATTRIBUTES | 2048 | 0 OUTPUTMC | 1 | 2 | 0 INPUTS | 17 | A_FSB<22> | A_FSB<21> | iobs/Sent | iobs/TS_FSM_FFd1 | iobs/TS_FSM_FFd2 | fsb/ASrf | nADoutLE1 | A_FSB<20> | cs/nOverlay | nAS_FSB | A_FSB<23> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<14> | nWE_FSB -INPUTMC | 6 | 2 | 0 | 0 | 6 | 7 | 2 | 3 | 2 | 5 | 13 | 2 | 3 +INPUTMC | 6 | 2 | 0 | 0 | 7 | 7 | 2 | 3 | 3 | 5 | 13 | 2 | 7 INPUTP | 11 | 30 | 29 | 28 | 54 | 36 | 26 | 24 | 23 | 22 | 19 | 47 EXPORTS | 1 | 2 | 0 EQ | 11 | @@ -2322,16 +2286,69 @@ EQ | 11 | A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<14> & !iobs/Sent & !nWE_FSB & !nAS_FSB & nADoutLE1 -MACROCELL | 3 | 17 | EXP12_ +MACROCELL | 3 | 15 | EXP12_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 3 | 16 +INPUTS | 24 | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<15> | A_FSB<13> | A_FSB<12> | A_FSB<14> | A_FSB<11> | A_FSB<10> | nWE_FSB | nAS_FSB | cnt/IS_FSM_FFd1 | cnt/IS_FSM_FFd2 | A_FSB<9> | A_FSB<8> | IONPReady.EXP +INPUTMC | 6 | 4 | 17 | 3 | 16 | 6 | 17 | 7 | 15 | 0 | 11 | 3 | 14 +INPUTP | 18 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 21 | 18 | 17 | 19 | 15 | 13 | 47 | 54 | 12 | 11 +EXPORTS | 1 | 3 | 16 +IMPORTS | 1 | 3 | 14 +EQ | 43 | + EXP12_.EXP = cnt/LTimer<0> & cnt/LTimer<1> & + cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & + A_FSB<13> & A_FSB<12> & A_FSB<14> & A_FSB<11> & A_FSB<10> & + !nWE_FSB & !nAS_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & + A_FSB<9> & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & + A_FSB<13> & A_FSB<12> & A_FSB<14> & A_FSB<11> & A_FSB<10> & + !nWE_FSB & !nAS_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & + A_FSB<8> & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & + A_FSB<13> & !A_FSB<12> & !A_FSB<14> & !A_FSB<11> & !A_FSB<10> & + !nWE_FSB & !nAS_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & + A_FSB<9> & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & + A_FSB<13> & !A_FSB<12> & !A_FSB<14> & !A_FSB<11> & !A_FSB<10> & + !nWE_FSB & !nAS_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & + A_FSB<8> & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 +;Imported pterms FB4_15 + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & + A_FSB<13> & A_FSB<12> & A_FSB<14> & A_FSB<11> & A_FSB<10> & + !nWE_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & A_FSB<9> & + fsb/ASrf & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & + A_FSB<13> & A_FSB<12> & A_FSB<14> & A_FSB<11> & A_FSB<10> & + !nWE_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & A_FSB<8> & + fsb/ASrf & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & + A_FSB<13> & !A_FSB<12> & !A_FSB<14> & !A_FSB<11> & !A_FSB<10> & + !nWE_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & A_FSB<9> & + fsb/ASrf & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<15> & + A_FSB<13> & !A_FSB<12> & !A_FSB<14> & !A_FSB<11> & !A_FSB<10> & + !nWE_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & A_FSB<8> & + fsb/ASrf & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 + +MACROCELL | 3 | 17 | EXP13_ ATTRIBUTES | 2048 | 0 OUTPUTMC | 1 | 3 | 0 -INPUTS | 8 | A_FSB<22> | A_FSB<21> | $OpTx$$OpTx$FX_DC$354_INV$541 | A_FSB<19> | A_FSB<18> | A_FSB<15> | A_FSB<12> | nRESout.EXP -INPUTMC | 2 | 3 | 6 | 3 | 16 +INPUTS | 8 | A_FSB<22> | A_FSB<21> | $OpTx$$OpTx$FX_DC$354_INV$541 | A_FSB<19> | A_FSB<18> | A_FSB<15> | A_FSB<12> | cnt/LTimer<1>.EXP +INPUTMC | 2 | 3 | 9 | 3 | 16 INPUTP | 6 | 30 | 29 | 26 | 24 | 21 | 17 EXPORTS | 1 | 3 | 0 IMPORTS | 1 | 3 | 16 EQ | 17 | - EXP12_.EXP = A_FSB<22> & A_FSB<21> & + EXP13_.EXP = A_FSB<22> & A_FSB<21> & !$OpTx$$OpTx$FX_DC$354_INV$541 # A_FSB<22> & A_FSB<19> & !$OpTx$$OpTx$FX_DC$354_INV$541 @@ -2349,16 +2366,16 @@ EQ | 17 | # cnt/WS<0> & cnt/WS<1> & cnt/WS<2> & cnt/WS<3> & !$OpTx$$OpTx$FX_DC$354_INV$541 -MACROCELL | 4 | 0 | EXP13_ +MACROCELL | 4 | 0 | EXP14_ ATTRIBUTES | 2048 | 0 OUTPUTMC | 1 | 4 | 17 INPUTS | 26 | cnt/LTimer<0> | cnt/LTimer<10> | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | cnt/LTimer<8> | cnt/LTimer<9> | cnt/LTimer<11> | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<15> | A_FSB<13> | A_FSB<12> | A_FSB<14> | A_FSB<11> | A_FSB<10> | nWE_FSB | nAS_FSB | cnt/IS_FSM_FFd1 | cnt/IS_FSM_FFd2 | A_FSB<8> | nROMCS_OBUF.EXP -INPUTMC | 9 | 4 | 17 | 6 | 16 | 6 | 17 | 6 | 2 | 6 | 0 | 6 | 15 | 7 | 15 | 0 | 9 | 4 | 1 +INPUTMC | 9 | 4 | 17 | 6 | 16 | 6 | 17 | 6 | 2 | 6 | 0 | 6 | 15 | 7 | 15 | 0 | 11 | 4 | 1 INPUTP | 17 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 21 | 18 | 17 | 19 | 15 | 13 | 47 | 54 | 11 EXPORTS | 1 | 4 | 17 IMPORTS | 1 | 4 | 1 EQ | 29 | - EXP13_.EXP = !cnt/LTimer<0> & cnt/LTimer<10> & + EXP14_.EXP = !cnt/LTimer<0> & cnt/LTimer<10> & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 # !cnt/LTimer<0> & cnt/LTimer<8> & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 @@ -2388,15 +2405,15 @@ EQ | 29 | !nWE_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & A_FSB<8> & fsb/ASrf & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 -MACROCELL | 4 | 16 | EXP14_ +MACROCELL | 4 | 16 | EXP15_ ATTRIBUTES | 2048 | 0 OUTPUTMC | 1 | 4 | 17 -INPUTS | 8 | cnt/LTimer<0> | cnt/LTimer<3> | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | cnt/LTimer<4> | cnt/LTimer<5> | cnt/LTimer<6> | cnt/LTimer<7> | ALE0S.EXP +INPUTS | 8 | cnt/LTimer<0> | cnt/LTimer<3> | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | cnt/LTimer<4> | cnt/LTimer<5> | cnt/LTimer<6> | cnt/LTimer<7> | cnt/LTimerTC.EXP INPUTMC | 8 | 4 | 17 | 6 | 13 | 6 | 17 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 4 | 15 EXPORTS | 1 | 4 | 17 IMPORTS | 1 | 4 | 15 EQ | 31 | - EXP14_.EXP = !cnt/LTimer<0> & cnt/LTimer<3> & + EXP15_.EXP = !cnt/LTimer<0> & cnt/LTimer<3> & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 # !cnt/LTimer<0> & cnt/LTimer<4> & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 @@ -2428,16 +2445,16 @@ EQ | 31 | !nWE_FSB & !nAS_FSB & cnt/IS_FSM_FFd1 & !cnt/IS_FSM_FFd2 & A_FSB<8> & cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 -MACROCELL | 7 | 3 | EXP15_ +MACROCELL | 7 | 3 | EXP16_ ATTRIBUTES | 2048 | 0 OUTPUTMC | 1 | 7 | 2 INPUTS | 11 | nAS_FSB | iobs/TS_FSM_FFd2 | fsb/ASrf | nADoutLE1 | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<16> | nWE_FSB | nRAS_OBUF.EXP -INPUTMC | 4 | 7 | 2 | 3 | 2 | 5 | 13 | 7 | 4 +INPUTMC | 4 | 7 | 2 | 3 | 3 | 5 | 13 | 7 | 4 INPUTP | 7 | 54 | 36 | 30 | 29 | 28 | 22 | 47 EXPORTS | 1 | 7 | 2 IMPORTS | 1 | 7 | 4 EQ | 21 | - EXP15_.EXP = nAS_FSB & !iobs/TS_FSM_FFd2 & !fsb/ASrf & + EXP16_.EXP = nAS_FSB & !iobs/TS_FSM_FFd2 & !fsb/ASrf & nADoutLE1 # !A_FSB<23> & !A_FSB<22> & !A_FSB<21> & !iobs/TS_FSM_FFd2 & nADoutLE1 @@ -2459,7 +2476,7 @@ EQ | 21 | # !A_FSB<23> & !A_FSB<21> & !A_FSB<20> & cs/nOverlay & !iobs/TS_FSM_FFd2 & nADoutLE1 -MACROCELL | 7 | 6 | EXP16_ +MACROCELL | 7 | 6 | EXP17_ ATTRIBUTES | 2048 | 0 OUTPUTMC | 1 | 7 | 7 INPUTS | 4 | ram/RAMEN | nWE_FSB | nUDS_FSB | nAS_FSB @@ -2467,17 +2484,17 @@ INPUTMC | 1 | 2 | 11 INPUTP | 3 | 47 | 56 | 54 EXPORTS | 1 | 7 | 7 EQ | 1 | - EXP16_.EXP = ram/RAMEN & !nWE_FSB & !nUDS_FSB & !nAS_FSB + EXP17_.EXP = ram/RAMEN & !nWE_FSB & !nUDS_FSB & !nAS_FSB -MACROCELL | 7 | 9 | EXP17_ +MACROCELL | 7 | 9 | EXP18_ ATTRIBUTES | 2048 | 0 OUTPUTMC | 1 | 7 | 8 INPUTS | 17 | A_FSB<22> | iobs/Sent | cs/nOverlay | nWE_FSB | iobs/TS_FSM_FFd1 | iobs/TS_FSM_FFd2 | fsb/ASrf | nADoutLE1 | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<13> | nAS_FSB | A_FSB<14> -INPUTMC | 6 | 2 | 0 | 2 | 3 | 0 | 6 | 7 | 2 | 3 | 2 | 5 | 13 +INPUTMC | 6 | 2 | 0 | 2 | 7 | 0 | 7 | 7 | 2 | 3 | 3 | 5 | 13 INPUTP | 11 | 30 | 47 | 29 | 28 | 26 | 24 | 23 | 22 | 18 | 54 | 19 EXPORTS | 1 | 7 | 8 EQ | 14 | - EXP17_.EXP = A_FSB<22> & !iobs/Sent & !cs/nOverlay & !nWE_FSB & + EXP18_.EXP = A_FSB<22> & !iobs/Sent & !cs/nOverlay & !nWE_FSB & !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & fsb/ASrf & nADoutLE1 # A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & A_FSB<13> & !iobs/Sent & !nWE_FSB & @@ -2492,25 +2509,25 @@ EQ | 14 | A_FSB<17> & A_FSB<16> & A_FSB<14> & !iobs/Sent & !nWE_FSB & !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & fsb/ASrf & nADoutLE1 -MACROCELL | 7 | 10 | EXP18_ +MACROCELL | 7 | 10 | EXP19_ ATTRIBUTES | 2048 | 0 OUTPUTMC | 1 | 7 | 11 INPUTS | 2 | IOBERR | nBERR_FSB -INPUTMC | 2 | 0 | 13 | 7 | 11 +INPUTMC | 2 | 1 | 17 | 7 | 11 EXPORTS | 1 | 7 | 11 EQ | 1 | - EXP18_.EXP = !IOBERR & nBERR_FSB + EXP19_.EXP = !IOBERR & nBERR_FSB -MACROCELL | 7 | 12 | EXP19_ +MACROCELL | 7 | 12 | EXP20_ ATTRIBUTES | 2048 | 0 OUTPUTMC | 1 | 7 | 13 INPUTS | 12 | iobs/IOU1 | IOU0 | nADoutLE1 | nAS_FSB | iobs/TS_FSM_FFd2 | fsb/ASrf | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | nWE_FSB | nBERR_FSB_OBUF.EXP -INPUTMC | 6 | 0 | 7 | 7 | 13 | 5 | 13 | 7 | 2 | 3 | 2 | 7 | 11 +INPUTMC | 6 | 0 | 8 | 7 | 13 | 5 | 13 | 7 | 2 | 3 | 3 | 7 | 11 INPUTP | 6 | 54 | 36 | 30 | 29 | 28 | 47 EXPORTS | 1 | 7 | 13 IMPORTS | 1 | 7 | 11 EQ | 16 | - EXP19_.EXP = !iobs/IOU1 & !IOU0 & !nADoutLE1 + EXP20_.EXP = !iobs/IOU1 & !IOU0 & !nADoutLE1 # nAS_FSB & !iobs/TS_FSM_FFd2 & !fsb/ASrf & nADoutLE1 # !A_FSB<23> & !A_FSB<22> & !A_FSB<21> & @@ -2527,16 +2544,16 @@ EQ | 16 | # !A_FSB<23> & !A_FSB<21> & !A_FSB<20> & cs/nOverlay & !iobs/TS_FSM_FFd2 & nADoutLE1 -MACROCELL | 7 | 17 | EXP20_ +MACROCELL | 7 | 17 | EXP21_ ATTRIBUTES | 2048 | 0 OUTPUTMC | 1 | 7 | 0 INPUTS | 12 | iobs/IOL1 | IOL0 | nADoutLE1 | nAS_FSB | iobs/TS_FSM_FFd2 | fsb/ASrf | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | nWE_FSB | iobs/IORW1.EXP -INPUTMC | 6 | 3 | 8 | 7 | 0 | 5 | 13 | 7 | 2 | 3 | 2 | 7 | 16 +INPUTMC | 6 | 0 | 9 | 7 | 0 | 5 | 13 | 7 | 2 | 3 | 3 | 7 | 16 INPUTP | 6 | 54 | 36 | 30 | 29 | 28 | 47 EXPORTS | 1 | 7 | 0 IMPORTS | 1 | 7 | 16 EQ | 12 | - EXP20_.EXP = !iobs/IOL1 & !IOL0 & !nADoutLE1 + EXP21_.EXP = !iobs/IOL1 & !IOL0 & !nADoutLE1 # nAS_FSB & !iobs/TS_FSM_FFd2 & !fsb/ASrf & nADoutLE1 # !A_FSB<23> & !A_FSB<22> & !A_FSB<21> & @@ -2549,34 +2566,34 @@ EQ | 12 | # !A_FSB<23> & !A_FSB<22> & !A_FSB<13> & !A_FSB<14> & !iobs/TS_FSM_FFd2 & nADoutLE1 -PIN | A_FSB<23> | 64 | 0 | N/A | 36 | 39 | 4 | 15 | 3 | 14 | 2 | 0 | 7 | 16 | 3 | 13 | 2 | 1 | 3 | 10 | 7 | 3 | 2 | 6 | 7 | 1 | 2 | 10 | 2 | 2 | 2 | 14 | 6 | 16 | 7 | 12 | 2 | 13 | 7 | 8 | 3 | 0 | 3 | 9 | 2 | 12 | 2 | 5 | 2 | 8 | 3 | 5 | 4 | 1 | 6 | 17 | 2 | 7 | 2 | 9 | 2 | 16 | 2 | 17 | 3 | 12 | 3 | 15 | 4 | 0 | 6 | 0 | 7 | 4 | 7 | 5 | 7 | 11 | 7 | 14 | 7 | 15 | 7 | 17 -PIN | A_FSB<22> | 64 | 0 | N/A | 30 | 43 | 4 | 15 | 3 | 14 | 2 | 0 | 7 | 16 | 3 | 13 | 2 | 1 | 3 | 10 | 7 | 3 | 2 | 6 | 7 | 1 | 2 | 10 | 2 | 2 | 2 | 14 | 6 | 16 | 7 | 12 | 2 | 13 | 7 | 7 | 3 | 0 | 3 | 9 | 2 | 12 | 2 | 5 | 2 | 8 | 3 | 5 | 4 | 1 | 6 | 17 | 2 | 7 | 2 | 9 | 2 | 16 | 2 | 17 | 3 | 1 | 3 | 12 | 3 | 15 | 3 | 16 | 3 | 17 | 4 | 0 | 6 | 0 | 7 | 4 | 7 | 5 | 7 | 9 | 7 | 11 | 7 | 14 | 7 | 15 | 7 | 17 -PIN | A_FSB<21> | 64 | 0 | N/A | 29 | 33 | 4 | 15 | 3 | 14 | 2 | 0 | 7 | 16 | 3 | 13 | 3 | 10 | 7 | 1 | 2 | 14 | 6 | 16 | 7 | 12 | 2 | 13 | 7 | 7 | 2 | 17 | 3 | 9 | 6 | 7 | 2 | 8 | 3 | 5 | 4 | 1 | 6 | 17 | 2 | 1 | 2 | 7 | 2 | 16 | 3 | 12 | 3 | 15 | 3 | 17 | 4 | 0 | 6 | 0 | 7 | 3 | 7 | 4 | 7 | 9 | 7 | 11 | 7 | 15 | 7 | 17 -PIN | A_FSB<20> | 64 | 0 | N/A | 28 | 34 | 4 | 15 | 3 | 14 | 2 | 0 | 7 | 16 | 3 | 13 | 3 | 10 | 4 | 10 | 7 | 1 | 2 | 14 | 6 | 16 | 7 | 12 | 2 | 13 | 7 | 7 | 3 | 0 | 3 | 9 | 2 | 8 | 6 | 0 | 3 | 5 | 4 | 1 | 6 | 17 | 2 | 1 | 2 | 7 | 2 | 16 | 2 | 17 | 3 | 12 | 3 | 15 | 4 | 0 | 7 | 2 | 7 | 3 | 7 | 4 | 7 | 9 | 7 | 11 | 7 | 15 | 7 | 17 -PIN | A_FSB<19> | 64 | 0 | N/A | 26 | 25 | 4 | 15 | 3 | 14 | 6 | 17 | 7 | 16 | 3 | 13 | 3 | 10 | 4 | 10 | 7 | 1 | 2 | 14 | 6 | 16 | 7 | 11 | 7 | 4 | 2 | 17 | 2 | 7 | 6 | 0 | 2 | 1 | 2 | 13 | 3 | 12 | 3 | 15 | 3 | 17 | 4 | 0 | 4 | 1 | 7 | 2 | 7 | 9 | 7 | 15 -PIN | A_FSB<18> | 64 | 0 | N/A | 24 | 24 | 4 | 15 | 3 | 14 | 6 | 17 | 7 | 16 | 3 | 13 | 3 | 10 | 7 | 1 | 2 | 14 | 6 | 16 | 7 | 9 | 7 | 4 | 2 | 17 | 6 | 7 | 2 | 7 | 2 | 1 | 2 | 13 | 3 | 12 | 3 | 15 | 3 | 17 | 4 | 0 | 4 | 1 | 6 | 0 | 7 | 14 | 7 | 15 -PIN | A_FSB<17> | 64 | 0 | N/A | 23 | 24 | 4 | 15 | 3 | 14 | 6 | 17 | 7 | 16 | 3 | 13 | 3 | 10 | 7 | 1 | 2 | 14 | 6 | 16 | 7 | 9 | 7 | 4 | 2 | 17 | 6 | 8 | 2 | 7 | 2 | 1 | 2 | 13 | 3 | 1 | 3 | 12 | 3 | 15 | 4 | 0 | 4 | 1 | 6 | 0 | 7 | 14 | 7 | 15 -PIN | A_FSB<16> | 64 | 0 | N/A | 22 | 24 | 4 | 15 | 3 | 14 | 6 | 17 | 7 | 16 | 3 | 13 | 3 | 10 | 7 | 1 | 2 | 14 | 6 | 16 | 7 | 9 | 7 | 3 | 2 | 17 | 4 | 13 | 2 | 7 | 2 | 1 | 2 | 13 | 3 | 1 | 3 | 12 | 3 | 15 | 4 | 0 | 4 | 1 | 6 | 0 | 7 | 14 | 7 | 15 -PIN | A_FSB<15> | 64 | 0 | N/A | 21 | 11 | 4 | 15 | 3 | 14 | 6 | 17 | 6 | 10 | 3 | 13 | 3 | 15 | 3 | 17 | 4 | 0 | 4 | 1 | 6 | 0 | 6 | 16 -PIN | A_FSB<13> | 64 | 0 | N/A | 18 | 21 | 4 | 15 | 3 | 14 | 6 | 17 | 7 | 16 | 3 | 12 | 6 | 0 | 2 | 14 | 6 | 16 | 7 | 11 | 7 | 4 | 2 | 13 | 4 | 14 | 2 | 7 | 2 | 1 | 3 | 1 | 3 | 13 | 3 | 15 | 4 | 0 | 4 | 1 | 7 | 9 | 7 | 15 -PIN | A_FSB<12> | 64 | 0 | N/A | 17 | 11 | 4 | 15 | 3 | 14 | 6 | 17 | 4 | 11 | 3 | 13 | 3 | 15 | 3 | 17 | 4 | 0 | 4 | 1 | 6 | 0 | 6 | 16 -PIN | C8M | 8256 | 0 | N/A | 35 | 10 | 1 | 16 | 5 | 1 | 0 | 13 | 5 | 9 | 5 | 4 | 0 | 14 | 5 | 6 | 5 | 5 | 0 | 1 | 1 | 10 -PIN | C16M | 4096 | 0 | N/A | 33 | 18 | 5 | 2 | 5 | 3 | 1 | 16 | 1 | 13 | 1 | 12 | 5 | 0 | 5 | 17 | 1 | 14 | 1 | 17 | 1 | 15 | 5 | 15 | 5 | 7 | 5 | 12 | 1 | 11 | 5 | 11 | 5 | 8 | 5 | 10 | 5 | 16 -PIN | A_FSB<14> | 64 | 0 | N/A | 19 | 21 | 4 | 15 | 3 | 14 | 6 | 17 | 7 | 16 | 3 | 13 | 6 | 0 | 2 | 14 | 6 | 16 | 7 | 11 | 7 | 4 | 2 | 17 | 6 | 4 | 2 | 7 | 2 | 1 | 2 | 13 | 3 | 1 | 3 | 15 | 4 | 0 | 4 | 1 | 7 | 9 | 7 | 15 -PIN | A_FSB<11> | 64 | 0 | N/A | 15 | 11 | 4 | 15 | 3 | 14 | 6 | 17 | 4 | 8 | 3 | 13 | 3 | 15 | 3 | 16 | 4 | 0 | 4 | 1 | 6 | 0 | 6 | 16 -PIN | A_FSB<10> | 64 | 0 | N/A | 13 | 11 | 4 | 15 | 3 | 14 | 6 | 17 | 6 | 1 | 3 | 1 | 3 | 13 | 3 | 15 | 4 | 0 | 4 | 1 | 6 | 0 | 6 | 16 -PIN | FCLK | 16384 | 0 | N/A | 42 | 73 | 0 | 10 | 4 | 17 | 3 | 14 | 0 | 8 | 2 | 0 | 6 | 16 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 0 | 0 | 15 | 0 | 17 | 2 | 11 | 2 | 1 | 0 | 11 | 6 | 15 | 0 | 16 | 2 | 3 | 7 | 16 | 3 | 13 | 3 | 8 | 0 | 7 | 2 | 7 | 7 | 11 | 3 | 10 | 7 | 14 | 2 | 6 | 0 | 6 | 7 | 2 | 2 | 10 | 7 | 15 | 0 | 9 | 2 | 2 | 2 | 14 | 3 | 3 | 1 | 9 | 7 | 0 | 7 | 13 | 3 | 12 | 3 | 11 | 1 | 4 | 0 | 5 | 1 | 3 | 2 | 4 | 2 | 15 | 7 | 8 | 3 | 0 | 2 | 9 | 0 | 4 | 3 | 15 | 0 | 2 | 0 | 0 | 1 | 5 | 4 | 15 | 0 | 12 | 0 | 3 | 4 | 12 | 3 | 9 | 1 | 8 | 3 | 16 | 2 | 13 | 2 | 5 | 1 | 6 | 2 | 8 | 5 | 13 | 3 | 1 | 3 | 2 | 1 | 7 | 4 | 4 -PIN | nWE_FSB | 64 | 0 | N/A | 47 | 30 | 4 | 15 | 3 | 14 | 6 | 17 | 7 | 16 | 3 | 13 | 6 | 0 | 2 | 14 | 6 | 16 | 7 | 12 | 2 | 15 | 7 | 8 | 3 | 0 | 4 | 5 | 2 | 16 | 2 | 7 | 3 | 5 | 7 | 5 | 7 | 6 | 2 | 1 | 2 | 13 | 2 | 17 | 3 | 12 | 3 | 15 | 4 | 0 | 4 | 1 | 7 | 3 | 7 | 7 | 7 | 9 | 7 | 15 | 7 | 17 -PIN | nAS_FSB | 64 | 0 | N/A | 54 | 42 | 4 | 15 | 3 | 14 | 2 | 0 | 2 | 11 | 2 | 3 | 7 | 16 | 3 | 12 | 2 | 7 | 7 | 11 | 3 | 10 | 7 | 3 | 2 | 6 | 4 | 0 | 2 | 10 | 2 | 2 | 2 | 14 | 3 | 3 | 6 | 16 | 7 | 12 | 2 | 17 | 3 | 11 | 2 | 4 | 2 | 1 | 7 | 8 | 2 | 9 | 3 | 15 | 3 | 9 | 3 | 2 | 2 | 12 | 2 | 5 | 4 | 5 | 2 | 16 | 2 | 8 | 3 | 5 | 7 | 5 | 7 | 6 | 6 | 17 | 3 | 6 | 3 | 13 | 7 | 7 | 7 | 9 | 7 | 17 -PIN | A_FSB<8> | 64 | 0 | N/A | 11 | 10 | 4 | 15 | 3 | 14 | 6 | 17 | 6 | 10 | 3 | 13 | 3 | 16 | 4 | 0 | 4 | 1 | 6 | 0 | 6 | 16 -PIN | A_FSB<9> | 64 | 0 | N/A | 12 | 9 | 4 | 15 | 3 | 13 | 6 | 17 | 6 | 5 | 3 | 15 | 3 | 16 | 4 | 1 | 6 | 0 | 6 | 16 -PIN | nBERR_IOB | 64 | 0 | N/A | 123 | 1 | 0 | 13 +PIN | A_FSB<23> | 64 | 0 | N/A | 36 | 38 | 4 | 15 | 3 | 15 | 2 | 0 | 2 | 1 | 7 | 16 | 3 | 14 | 2 | 6 | 3 | 10 | 7 | 3 | 2 | 4 | 7 | 1 | 2 | 10 | 2 | 2 | 2 | 14 | 6 | 16 | 7 | 12 | 2 | 13 | 7 | 8 | 3 | 0 | 2 | 12 | 2 | 5 | 2 | 8 | 3 | 5 | 4 | 1 | 6 | 17 | 2 | 7 | 2 | 9 | 2 | 16 | 2 | 17 | 3 | 13 | 4 | 0 | 6 | 0 | 7 | 4 | 7 | 5 | 7 | 11 | 7 | 14 | 7 | 15 | 7 | 17 +PIN | A_FSB<22> | 64 | 0 | N/A | 30 | 42 | 4 | 15 | 3 | 15 | 2 | 0 | 2 | 1 | 7 | 16 | 3 | 14 | 2 | 6 | 3 | 10 | 7 | 3 | 2 | 4 | 7 | 1 | 2 | 10 | 2 | 2 | 2 | 14 | 6 | 16 | 7 | 12 | 2 | 13 | 7 | 7 | 3 | 0 | 2 | 12 | 2 | 5 | 2 | 8 | 3 | 5 | 4 | 1 | 6 | 17 | 2 | 7 | 2 | 9 | 2 | 16 | 2 | 17 | 3 | 1 | 3 | 13 | 3 | 16 | 3 | 17 | 4 | 0 | 6 | 0 | 7 | 4 | 7 | 5 | 7 | 9 | 7 | 11 | 7 | 14 | 7 | 15 | 7 | 17 +PIN | A_FSB<21> | 64 | 0 | N/A | 29 | 32 | 4 | 15 | 3 | 15 | 2 | 0 | 2 | 6 | 7 | 16 | 3 | 14 | 3 | 10 | 7 | 1 | 2 | 14 | 6 | 16 | 7 | 12 | 2 | 13 | 7 | 7 | 2 | 17 | 6 | 7 | 2 | 8 | 3 | 5 | 4 | 1 | 6 | 17 | 2 | 1 | 2 | 7 | 2 | 16 | 3 | 13 | 3 | 17 | 4 | 0 | 6 | 0 | 7 | 3 | 7 | 4 | 7 | 9 | 7 | 11 | 7 | 15 | 7 | 17 +PIN | A_FSB<20> | 64 | 0 | N/A | 28 | 33 | 4 | 15 | 3 | 15 | 2 | 0 | 2 | 6 | 7 | 16 | 3 | 14 | 3 | 10 | 4 | 10 | 7 | 1 | 2 | 14 | 6 | 16 | 7 | 12 | 2 | 13 | 7 | 7 | 3 | 0 | 2 | 8 | 6 | 0 | 3 | 5 | 4 | 1 | 6 | 17 | 2 | 1 | 2 | 7 | 2 | 16 | 2 | 17 | 3 | 13 | 4 | 0 | 7 | 2 | 7 | 3 | 7 | 4 | 7 | 9 | 7 | 11 | 7 | 15 | 7 | 17 +PIN | A_FSB<19> | 64 | 0 | N/A | 26 | 24 | 4 | 15 | 3 | 15 | 6 | 17 | 7 | 16 | 3 | 14 | 3 | 10 | 4 | 10 | 7 | 1 | 2 | 14 | 6 | 16 | 7 | 11 | 7 | 4 | 2 | 17 | 2 | 7 | 6 | 0 | 2 | 1 | 2 | 13 | 3 | 13 | 3 | 17 | 4 | 0 | 4 | 1 | 7 | 2 | 7 | 9 | 7 | 15 +PIN | A_FSB<18> | 64 | 0 | N/A | 24 | 23 | 4 | 15 | 3 | 15 | 6 | 17 | 7 | 16 | 3 | 14 | 3 | 10 | 7 | 1 | 2 | 14 | 6 | 16 | 7 | 9 | 7 | 4 | 2 | 17 | 6 | 7 | 2 | 7 | 2 | 1 | 2 | 13 | 3 | 13 | 3 | 17 | 4 | 0 | 4 | 1 | 6 | 0 | 7 | 14 | 7 | 15 +PIN | A_FSB<17> | 64 | 0 | N/A | 23 | 23 | 4 | 15 | 3 | 15 | 6 | 17 | 7 | 16 | 3 | 14 | 3 | 10 | 7 | 1 | 2 | 14 | 6 | 16 | 7 | 9 | 7 | 4 | 2 | 17 | 6 | 8 | 2 | 7 | 2 | 1 | 2 | 13 | 3 | 1 | 3 | 13 | 4 | 0 | 4 | 1 | 6 | 0 | 7 | 14 | 7 | 15 +PIN | A_FSB<16> | 64 | 0 | N/A | 22 | 23 | 4 | 15 | 3 | 15 | 6 | 17 | 7 | 16 | 3 | 14 | 3 | 10 | 7 | 1 | 2 | 14 | 6 | 16 | 7 | 9 | 7 | 3 | 2 | 17 | 4 | 13 | 2 | 7 | 2 | 1 | 2 | 13 | 3 | 1 | 3 | 13 | 4 | 0 | 4 | 1 | 6 | 0 | 7 | 14 | 7 | 15 +PIN | A_FSB<15> | 64 | 0 | N/A | 21 | 10 | 4 | 15 | 3 | 15 | 6 | 17 | 6 | 10 | 3 | 14 | 3 | 17 | 4 | 0 | 4 | 1 | 6 | 0 | 6 | 16 +PIN | A_FSB<13> | 64 | 0 | N/A | 18 | 20 | 4 | 15 | 3 | 15 | 6 | 17 | 7 | 16 | 3 | 13 | 6 | 0 | 2 | 14 | 6 | 16 | 7 | 11 | 7 | 4 | 2 | 13 | 4 | 14 | 2 | 7 | 2 | 1 | 3 | 1 | 3 | 14 | 4 | 0 | 4 | 1 | 7 | 9 | 7 | 15 +PIN | A_FSB<12> | 64 | 0 | N/A | 17 | 10 | 4 | 15 | 3 | 15 | 6 | 17 | 4 | 11 | 3 | 14 | 3 | 17 | 4 | 0 | 4 | 1 | 6 | 0 | 6 | 16 +PIN | C8M | 8256 | 0 | N/A | 35 | 10 | 1 | 14 | 5 | 1 | 1 | 17 | 5 | 9 | 5 | 4 | 0 | 14 | 5 | 6 | 5 | 5 | 0 | 2 | 1 | 8 +PIN | C16M | 4096 | 0 | N/A | 33 | 18 | 5 | 2 | 5 | 3 | 1 | 14 | 1 | 11 | 1 | 10 | 5 | 0 | 5 | 17 | 1 | 12 | 1 | 16 | 1 | 13 | 5 | 15 | 5 | 7 | 5 | 12 | 1 | 9 | 5 | 11 | 5 | 8 | 5 | 10 | 5 | 16 +PIN | A_FSB<14> | 64 | 0 | N/A | 19 | 20 | 4 | 15 | 3 | 15 | 6 | 17 | 7 | 16 | 3 | 14 | 6 | 0 | 2 | 14 | 6 | 16 | 7 | 11 | 7 | 4 | 2 | 17 | 6 | 4 | 2 | 7 | 2 | 1 | 2 | 13 | 3 | 1 | 4 | 0 | 4 | 1 | 7 | 9 | 7 | 15 +PIN | A_FSB<11> | 64 | 0 | N/A | 15 | 10 | 4 | 15 | 3 | 15 | 6 | 17 | 4 | 8 | 3 | 14 | 3 | 16 | 4 | 0 | 4 | 1 | 6 | 0 | 6 | 16 +PIN | A_FSB<10> | 64 | 0 | N/A | 13 | 10 | 4 | 15 | 3 | 15 | 6 | 17 | 6 | 1 | 3 | 1 | 3 | 14 | 4 | 0 | 4 | 1 | 6 | 0 | 6 | 16 +PIN | FCLK | 16384 | 0 | N/A | 42 | 71 | 0 | 12 | 4 | 17 | 3 | 16 | 0 | 10 | 2 | 0 | 6 | 16 | 6 | 14 | 6 | 13 | 6 | 12 | 6 | 9 | 6 | 6 | 6 | 3 | 6 | 2 | 6 | 0 | 0 | 15 | 0 | 17 | 2 | 11 | 0 | 5 | 0 | 13 | 6 | 15 | 0 | 16 | 2 | 7 | 7 | 16 | 3 | 14 | 0 | 9 | 0 | 8 | 2 | 6 | 7 | 11 | 3 | 10 | 7 | 14 | 2 | 4 | 0 | 7 | 7 | 2 | 2 | 10 | 7 | 15 | 0 | 11 | 2 | 2 | 3 | 6 | 1 | 7 | 2 | 14 | 7 | 0 | 7 | 13 | 3 | 13 | 3 | 12 | 1 | 4 | 0 | 6 | 1 | 3 | 2 | 3 | 2 | 15 | 7 | 8 | 3 | 0 | 2 | 9 | 0 | 4 | 3 | 11 | 1 | 15 | 0 | 0 | 3 | 8 | 0 | 3 | 4 | 15 | 2 | 1 | 1 | 6 | 3 | 2 | 2 | 13 | 2 | 5 | 0 | 1 | 2 | 8 | 5 | 13 | 3 | 1 | 3 | 3 | 1 | 5 | 4 | 4 +PIN | nWE_FSB | 64 | 0 | N/A | 47 | 29 | 4 | 15 | 3 | 15 | 6 | 17 | 7 | 16 | 3 | 14 | 6 | 0 | 2 | 14 | 6 | 16 | 7 | 12 | 2 | 15 | 7 | 8 | 3 | 0 | 4 | 5 | 2 | 16 | 2 | 7 | 3 | 5 | 7 | 5 | 7 | 6 | 2 | 1 | 2 | 13 | 2 | 17 | 3 | 13 | 4 | 0 | 4 | 1 | 7 | 3 | 7 | 7 | 7 | 9 | 7 | 15 | 7 | 17 +PIN | nAS_FSB | 64 | 0 | N/A | 54 | 40 | 4 | 15 | 3 | 15 | 2 | 0 | 2 | 11 | 2 | 7 | 7 | 16 | 2 | 17 | 2 | 6 | 7 | 11 | 3 | 10 | 7 | 3 | 2 | 4 | 4 | 0 | 2 | 10 | 2 | 2 | 3 | 6 | 2 | 14 | 6 | 16 | 7 | 12 | 3 | 13 | 3 | 12 | 2 | 3 | 2 | 1 | 7 | 8 | 2 | 9 | 3 | 11 | 3 | 3 | 2 | 12 | 2 | 5 | 4 | 5 | 2 | 16 | 2 | 8 | 3 | 5 | 7 | 5 | 7 | 6 | 6 | 17 | 3 | 9 | 7 | 7 | 7 | 9 | 7 | 17 +PIN | A_FSB<8> | 64 | 0 | N/A | 11 | 10 | 4 | 15 | 3 | 15 | 6 | 17 | 6 | 10 | 3 | 14 | 3 | 16 | 4 | 0 | 4 | 1 | 6 | 0 | 6 | 16 +PIN | A_FSB<9> | 64 | 0 | N/A | 12 | 9 | 4 | 15 | 3 | 15 | 6 | 17 | 6 | 5 | 3 | 14 | 3 | 16 | 4 | 1 | 6 | 0 | 6 | 16 +PIN | nBERR_IOB | 64 | 0 | N/A | 123 | 1 | 1 | 17 PIN | nDTACK_IOB | 64 | 0 | N/A | 127 | 1 | 5 | 9 -PIN | nLDS_FSB | 64 | 0 | N/A | 49 | 3 | 3 | 8 | 7 | 0 | 7 | 5 -PIN | nUDS_FSB | 64 | 0 | N/A | 56 | 3 | 0 | 7 | 7 | 13 | 7 | 6 -PIN | E | 64 | 0 | N/A | 37 | 6 | 5 | 4 | 0 | 14 | 5 | 6 | 5 | 5 | 0 | 4 | 0 | 1 -PIN | nIPL2 | 64 | 0 | N/A | 146 | 1 | 0 | 2 -PIN | nVPA_IOB | 64 | 0 | N/A | 125 | 1 | 1 | 10 +PIN | nLDS_FSB | 64 | 0 | N/A | 49 | 3 | 0 | 9 | 7 | 0 | 7 | 5 +PIN | nUDS_FSB | 64 | 0 | N/A | 56 | 3 | 0 | 8 | 7 | 13 | 7 | 6 +PIN | E | 64 | 0 | N/A | 37 | 6 | 5 | 4 | 0 | 14 | 5 | 6 | 5 | 5 | 0 | 4 | 0 | 2 +PIN | nIPL2 | 64 | 0 | N/A | 146 | 1 | 1 | 15 +PIN | nVPA_IOB | 64 | 0 | N/A | 125 | 1 | 1 | 8 PIN | A_FSB<1> | 64 | 0 | N/A | 149 | 1 | 6 | 5 PIN | A_FSB<7> | 64 | 0 | N/A | 8 | 2 | 6 | 8 | 4 | 13 PIN | A_FSB<2> | 64 | 0 | N/A | 153 | 1 | 6 | 1 @@ -2618,4 +2635,4 @@ PIN | nRAMLWE | 536871040 | 0 | N/A | 104 PIN | nRAMUWE | 536871040 | 0 | N/A | 105 PIN | nROMCS | 536871040 | 0 | N/A | 58 PIN | C25MEN | 536871040 | 0 | N/A | 95 -PIN | nRES | 536870976 | 0 | N/A | 145 | 2 | 5 | 9 | 2 | 3 +PIN | nRES | 536870976 | 0 | N/A | 145 | 2 | 5 | 9 | 2 | 7 diff --git a/cpld/XC95144XL/WarpSE.mod b/cpld/XC95144XL/WarpSE.mod new file mode 100644 index 0000000..b749032 --- /dev/null +++ b/cpld/XC95144XL/WarpSE.mod @@ -0,0 +1,223 @@ +MODEL +MODEL_VERSION "v1998.8"; +DESIGN "WarpSE"; + +/* port names and type */ +INPUT S:PIN24 = A_FSB<23>; +INPUT S:PIN20 = A_FSB<22>; +INPUT S:PIN19 = A_FSB<21>; +INPUT S:PIN18 = A_FSB<20>; +INPUT S:PIN17 = A_FSB<19>; +INPUT S:PIN16 = A_FSB<18>; +INPUT S:PIN15 = A_FSB<17>; +INPUT S:PIN14 = A_FSB<16>; +INPUT S:PIN13 = A_FSB<15>; +INPUT S:PIN11 = A_FSB<13>; +INPUT S:PIN10 = A_FSB<12>; +INPUT S:PIN23 = C8M; +INPUT S:PIN22 = C16M; +INPUT S:PIN12 = A_FSB<14>; +INPUT S:PIN9 = A_FSB<11>; +INPUT S:PIN8 = A_FSB<10>; +INPUT S:PIN27 = FCLK; +INPUT S:PIN29 = nWE_FSB; +INPUT S:PIN32 = nAS_FSB; +INPUT S:PIN6 = A_FSB<8>; +INPUT S:PIN7 = A_FSB<9>; +INPUT S:PIN76 = nBERR_IOB; +INPUT S:PIN78 = nDTACK_IOB; +INPUT S:PIN30 = nLDS_FSB; +INPUT S:PIN33 = nUDS_FSB; +INPUT S:PIN25 = E; +INPUT S:PIN92 = nIPL2; +INPUT S:PIN77 = nVPA_IOB; +INPUT S:PIN94 = A_FSB<1>; +INPUT S:PIN4 = A_FSB<7>; +INPUT S:PIN95 = A_FSB<2>; +INPUT S:PIN96 = A_FSB<3>; +INPUT S:PIN97 = A_FSB<4>; +INPUT S:PIN2 = A_FSB<5>; +INPUT S:PIN3 = A_FSB<6>; +TRIOUT S:PIN91 = nRES; +TRIOUT S:PIN74 = nVMA_IOB; +TRIOUT S:PIN81 = nAS_IOB; +TRIOUT S:PIN79 = nLDS_IOB; +TRIOUT S:PIN80 = nUDS_IOB; +OUTPUT S:PIN70 = nBERR_FSB; +OUTPUT S:PIN93 = nVPA_FSB; +OUTPUT S:PIN64 = nRAS; +OUTPUT S:PIN72 = nBR_IOB; +OUTPUT S:PIN41 = RA<3>; +OUTPUT S:PIN53 = RA<0>; +OUTPUT S:PIN55 = RA<10>; +OUTPUT S:PIN50 = RA<1>; +OUTPUT S:PIN43 = RA<2>; +OUTPUT S:PIN40 = RA<4>; +OUTPUT S:PIN42 = RA<5>; +OUTPUT S:PIN46 = RA<6>; +OUTPUT S:PIN52 = RA<7>; +OUTPUT S:PIN54 = RA<8>; +OUTPUT S:PIN56 = RA<9>; +OUTPUT S:PIN37 = nOE; +OUTPUT S:PIN34 = nROMWE; +OUTPUT S:PIN85 = nADoutLE0; +OUTPUT S:PIN36 = nCAS; +OUTPUT S:PIN28 = nDTACK_FSB; +OUTPUT S:PIN86 = nDinLE; +OUTPUT S:PIN63 = RA<11>; +OUTPUT S:PIN82 = nADoutLE1; +OUTPUT S:PIN87 = nAoutOE; +OUTPUT S:PIN90 = nDinOE; +OUTPUT S:PIN89 = nDoutOE; +OUTPUT S:PIN65 = nRAMLWE; +OUTPUT S:PIN66 = nRAMUWE; +OUTPUT S:PIN35 = nROMCS; +OUTPUT S:PIN58 = C25MEN; + +/* timing arc definitions */ +A_FSB<1>_RA<0>_delay: DELAY A_FSB<1> RA<0>; +A_FSB<9>_RA<0>_delay: DELAY A_FSB<9> RA<0>; +A_FSB<7>_RA<10>_delay: DELAY A_FSB<7> RA<10>; +A_FSB<17>_RA<10>_delay: DELAY A_FSB<17> RA<10>; +A_FSB<20>_RA<11>_delay: DELAY A_FSB<20> RA<11>; +A_FSB<19>_RA<11>_delay: DELAY A_FSB<19> RA<11>; +A_FSB<10>_RA<1>_delay: DELAY A_FSB<10> RA<1>; +A_FSB<2>_RA<1>_delay: DELAY A_FSB<2> RA<1>; +A_FSB<16>_RA<2>_delay: DELAY A_FSB<16> RA<2>; +A_FSB<7>_RA<2>_delay: DELAY A_FSB<7> RA<2>; +A_FSB<19>_RA<3>_delay: DELAY A_FSB<19> RA<3>; +A_FSB<20>_RA<3>_delay: DELAY A_FSB<20> RA<3>; +A_FSB<11>_RA<4>_delay: DELAY A_FSB<11> RA<4>; +A_FSB<3>_RA<4>_delay: DELAY A_FSB<3> RA<4>; +A_FSB<12>_RA<5>_delay: DELAY A_FSB<12> RA<5>; +A_FSB<4>_RA<5>_delay: DELAY A_FSB<4> RA<5>; +A_FSB<5>_RA<6>_delay: DELAY A_FSB<5> RA<6>; +A_FSB<13>_RA<6>_delay: DELAY A_FSB<13> RA<6>; +A_FSB<6>_RA<7>_delay: DELAY A_FSB<6> RA<7>; +A_FSB<14>_RA<7>_delay: DELAY A_FSB<14> RA<7>; +A_FSB<18>_RA<8>_delay: DELAY A_FSB<18> RA<8>; +A_FSB<21>_RA<8>_delay: DELAY A_FSB<21> RA<8>; +A_FSB<15>_RA<9>_delay: DELAY A_FSB<15> RA<9>; +A_FSB<8>_RA<9>_delay: DELAY A_FSB<8> RA<9>; +A_FSB<23>_nDinOE_delay: DELAY A_FSB<23> nDinOE; +nAS_FSB_nDinOE_delay: DELAY nAS_FSB nDinOE; +A_FSB<20>_nDinOE_delay: DELAY A_FSB<20> nDinOE; +A_FSB<22>_nDinOE_delay: DELAY A_FSB<22> nDinOE; +nWE_FSB_nDinOE_delay: DELAY nWE_FSB nDinOE; +A_FSB<21>_nDinOE_delay: DELAY A_FSB<21> nDinOE; +nWE_FSB_nOE_delay: DELAY nWE_FSB nOE; +nAS_FSB_nOE_delay: DELAY nAS_FSB nOE; +nLDS_FSB_nRAMLWE_delay: DELAY nLDS_FSB nRAMLWE; +nAS_FSB_nRAMLWE_delay: DELAY nAS_FSB nRAMLWE; +nWE_FSB_nRAMLWE_delay: DELAY nWE_FSB nRAMLWE; +nWE_FSB_nRAMUWE_delay: DELAY nWE_FSB nRAMUWE; +nAS_FSB_nRAMUWE_delay: DELAY nAS_FSB nRAMUWE; +nUDS_FSB_nRAMUWE_delay: DELAY nUDS_FSB nRAMUWE; +nAS_FSB_nRAS_delay: DELAY nAS_FSB nRAS; +A_FSB<22>_nRAS_delay: DELAY A_FSB<22> nRAS; +A_FSB<23>_nRAS_delay: DELAY A_FSB<23> nRAS; +A_FSB<22>_nROMCS_delay: DELAY A_FSB<22> nROMCS; +A_FSB<20>_nROMCS_delay: DELAY A_FSB<20> nROMCS; +A_FSB<23>_nROMCS_delay: DELAY A_FSB<23> nROMCS; +A_FSB<21>_nROMCS_delay: DELAY A_FSB<21> nROMCS; +nWE_FSB_nROMWE_delay: DELAY nWE_FSB nROMWE; +nAS_FSB_nROMWE_delay: DELAY nAS_FSB nROMWE; +FCLK_nRES_delay: DELAY (ENABLE_HIGH) FCLK nRES; +FCLK_nVMA_IOB_delay: DELAY (ENABLE_HIGH) FCLK nVMA_IOB; +FCLK_nAS_IOB_delay: DELAY (ENABLE_HIGH) FCLK nAS_IOB; +FCLK_nLDS_IOB_delay: DELAY (ENABLE_HIGH) FCLK nLDS_IOB; +FCLK_nUDS_IOB_delay: DELAY (ENABLE_HIGH) FCLK nUDS_IOB; +FCLK_nBERR_FSB_delay: DELAY FCLK nBERR_FSB; +FCLK_nVPA_FSB_delay: DELAY FCLK nVPA_FSB; +FCLK_nRAS_delay: DELAY FCLK nRAS; +FCLK_nBR_IOB_delay: DELAY FCLK nBR_IOB; +FCLK_RA<3>_delay: DELAY FCLK RA<3>; +FCLK_RA<0>_delay: DELAY FCLK RA<0>; +FCLK_RA<10>_delay: DELAY FCLK RA<10>; +FCLK_RA<1>_delay: DELAY FCLK RA<1>; +FCLK_RA<2>_delay: DELAY FCLK RA<2>; +FCLK_RA<4>_delay: DELAY FCLK RA<4>; +FCLK_RA<5>_delay: DELAY FCLK RA<5>; +FCLK_RA<6>_delay: DELAY FCLK RA<6>; +FCLK_RA<7>_delay: DELAY FCLK RA<7>; +FCLK_RA<8>_delay: DELAY FCLK RA<8>; +FCLK_RA<9>_delay: DELAY FCLK RA<9>; +FCLK_nADoutLE0_delay: DELAY FCLK nADoutLE0; +FCLK_nCAS_delay: DELAY FCLK nCAS; +FCLK_nDTACK_FSB_delay: DELAY FCLK nDTACK_FSB; +FCLK_RA<11>_delay: DELAY FCLK RA<11>; +FCLK_nADoutLE1_delay: DELAY FCLK nADoutLE1; +FCLK_nAoutOE_delay: DELAY FCLK nAoutOE; +FCLK_nDoutOE_delay: DELAY FCLK nDoutOE; +FCLK_nRAMLWE_delay: DELAY FCLK nRAMLWE; +FCLK_nRAMUWE_delay: DELAY FCLK nRAMUWE; +FCLK_nROMCS_delay: DELAY FCLK nROMCS; +C16M_nAS_IOB_delay: DELAY (ENABLE_HIGH) C16M nAS_IOB; +C16M_nLDS_IOB_delay: DELAY (ENABLE_HIGH) C16M nLDS_IOB; +C16M_nUDS_IOB_delay: DELAY (ENABLE_HIGH) C16M nUDS_IOB; +C16M_nADoutLE0_delay: DELAY C16M nADoutLE0; +C16M_nDinLE_delay: DELAY C16M nDinLE; +C16M_nDoutOE_delay: DELAY C16M nDoutOE; +C8M_nVMA_IOB_delay: DELAY (ENABLE_HIGH) C8M nVMA_IOB; + +/* timing check arc definitions */ +A_FSB<10>_FCLK_setup: SETUP(POSEDGE) A_FSB<10> FCLK; +A_FSB<11>_FCLK_setup: SETUP(POSEDGE) A_FSB<11> FCLK; +A_FSB<12>_FCLK_setup: SETUP(POSEDGE) A_FSB<12> FCLK; +A_FSB<13>_FCLK_setup: SETUP(POSEDGE) A_FSB<13> FCLK; +A_FSB<14>_FCLK_setup: SETUP(POSEDGE) A_FSB<14> FCLK; +A_FSB<15>_FCLK_setup: SETUP(POSEDGE) A_FSB<15> FCLK; +A_FSB<16>_FCLK_setup: SETUP(POSEDGE) A_FSB<16> FCLK; +A_FSB<17>_FCLK_setup: SETUP(POSEDGE) A_FSB<17> FCLK; +A_FSB<18>_FCLK_setup: SETUP(POSEDGE) A_FSB<18> FCLK; +A_FSB<19>_FCLK_setup: SETUP(POSEDGE) A_FSB<19> FCLK; +A_FSB<20>_FCLK_setup: SETUP(POSEDGE) A_FSB<20> FCLK; +A_FSB<21>_FCLK_setup: SETUP(POSEDGE) A_FSB<21> FCLK; +A_FSB<22>_FCLK_setup: SETUP(POSEDGE) A_FSB<22> FCLK; +A_FSB<23>_FCLK_setup: SETUP(POSEDGE) A_FSB<23> FCLK; +A_FSB<8>_FCLK_setup: SETUP(POSEDGE) A_FSB<8> FCLK; +A_FSB<9>_FCLK_setup: SETUP(POSEDGE) A_FSB<9> FCLK; +E_FCLK_setup: SETUP(POSEDGE) E FCLK; +nAS_FSB_FCLK_setup: SETUP(POSEDGE) nAS_FSB FCLK; +nIPL2_FCLK_setup: SETUP(POSEDGE) nIPL2 FCLK; +nLDS_FSB_FCLK_setup: SETUP(POSEDGE) nLDS_FSB FCLK; +nRES_FCLK_setup: SETUP(POSEDGE) nRES FCLK; +nUDS_FSB_FCLK_setup: SETUP(POSEDGE) nUDS_FSB FCLK; +nWE_FSB_FCLK_setup: SETUP(POSEDGE) nWE_FSB FCLK; +A_FSB<10>_FCLK_hold: HOLD(POSEDGE) A_FSB<10> FCLK; +A_FSB<11>_FCLK_hold: HOLD(POSEDGE) A_FSB<11> FCLK; +A_FSB<12>_FCLK_hold: HOLD(POSEDGE) A_FSB<12> FCLK; +A_FSB<13>_FCLK_hold: HOLD(POSEDGE) A_FSB<13> FCLK; +A_FSB<14>_FCLK_hold: HOLD(POSEDGE) A_FSB<14> FCLK; +A_FSB<15>_FCLK_hold: HOLD(POSEDGE) A_FSB<15> FCLK; +A_FSB<16>_FCLK_hold: HOLD(POSEDGE) A_FSB<16> FCLK; +A_FSB<17>_FCLK_hold: HOLD(POSEDGE) A_FSB<17> FCLK; +A_FSB<18>_FCLK_hold: HOLD(POSEDGE) A_FSB<18> FCLK; +A_FSB<19>_FCLK_hold: HOLD(POSEDGE) A_FSB<19> FCLK; +A_FSB<20>_FCLK_hold: HOLD(POSEDGE) A_FSB<20> FCLK; +A_FSB<21>_FCLK_hold: HOLD(POSEDGE) A_FSB<21> FCLK; +A_FSB<22>_FCLK_hold: HOLD(POSEDGE) A_FSB<22> FCLK; +A_FSB<23>_FCLK_hold: HOLD(POSEDGE) A_FSB<23> FCLK; +A_FSB<8>_FCLK_hold: HOLD(POSEDGE) A_FSB<8> FCLK; +A_FSB<9>_FCLK_hold: HOLD(POSEDGE) A_FSB<9> FCLK; +E_FCLK_hold: HOLD(POSEDGE) E FCLK; +nAS_FSB_FCLK_hold: HOLD(POSEDGE) nAS_FSB FCLK; +nIPL2_FCLK_hold: HOLD(POSEDGE) nIPL2 FCLK; +nLDS_FSB_FCLK_hold: HOLD(POSEDGE) nLDS_FSB FCLK; +nRES_FCLK_hold: HOLD(POSEDGE) nRES FCLK; +nUDS_FSB_FCLK_hold: HOLD(POSEDGE) nUDS_FSB FCLK; +nWE_FSB_FCLK_hold: HOLD(POSEDGE) nWE_FSB FCLK; +C8M_C16M_setup: SETUP(POSEDGE) C8M C16M; +C8M_C16M_hold: HOLD(POSEDGE) C8M C16M; +E_C8M_setup: SETUP(POSEDGE) E C8M; +nBERR_IOB_C8M_setup: SETUP(POSEDGE) nBERR_IOB C8M; +nDTACK_IOB_C8M_setup: SETUP(POSEDGE) nDTACK_IOB C8M; +nRES_C8M_setup: SETUP(POSEDGE) nRES C8M; +nVPA_IOB_C8M_setup: SETUP(POSEDGE) nVPA_IOB C8M; +E_C8M_hold: HOLD(POSEDGE) E C8M; +nBERR_IOB_C8M_hold: HOLD(POSEDGE) nBERR_IOB C8M; +nDTACK_IOB_C8M_hold: HOLD(POSEDGE) nDTACK_IOB C8M; +nRES_C8M_hold: HOLD(POSEDGE) nRES C8M; +nVPA_IOB_C8M_hold: HOLD(POSEDGE) nVPA_IOB C8M; + +ENDMODEL diff --git a/cpld/XC95144XL/WarpSE.nga b/cpld/XC95144XL/WarpSE.nga index 652bb51..b1a7aff 100644 --- a/cpld/XC95144XL/WarpSE.nga +++ b/cpld/XC95144XL/WarpSE.nga @@ -1,3 +1,3 @@ XILINX-XDB 0.1 STUB 0.1 ASCII XILINX-XDM V1.6e -$7554`<,Fz_t)*@pUz'B]YCK-9>8RGAV%775+B582.D|Yv+$NrW|!@_WMI/?8:PIOT'117)L88;7)ATy&'KuR,ORTHN*<55]JJS"2<8$O>50;2*55=/99:;6<>?0;3345/6;2":=:>?:03445<69>:;%<>4(0534?70890:;=>&119+5=67282;<7?701+24>.509:1>5>?:3:34,773!9;<=4<01297567!;;0$>>?0;1345<489:",%=:0180156=;<:;%<>4(2734?5289089=>&d:*745<3890?<='>0:*5456=>9:;6;>?0(33?-17890<<=>57123-46< 0:;<77?018:456.92::7<<41108544<9;;97<<5OTVSQQ5383:7?<42108674<::;?7?=5IORVP?GXNZH7>>4>>39107=5=;19;?5=8391=4=4:29;>6==2:106>53:29>>6=92:145>253=:979?=;501?1553=<978>=;401?0553<>978;=;441?0>63?80:><46508204<>?;0;?58039467=0<;1<:?587394<7=01813>66?2::26>>5:22>>6692::46>>>923974>=;831?<45309974:=;871?<0530=>7LBJ0L58EIC7E'N37LBJ0L,G5==FDL:F"Ih5NLD2P*@^WWY^T?GIL01JBCA[AUGG=>GXNZH7==0k;@]EWG:687$[MI74A^DPF9766m1JSK]M<03=*UGC12KTJ^L3133 _AE;8EZ@TJ5;82i5N_GQA8459&YKO56OPFR@?518c3HUM_O2>4?,SEA?e9B[CUE48?5"]OK9:C\BVD;9?4o7LQISC>22;(WIM30MRH\B=34:a=FWOYI0<91.QCG=>GXNZH7=50k;@]EWG:607$[MI74A^DPF97>6m1JSK]M<0;=*UGC02KTJ^L31?a8EZ@TJ5;5"]OK9:C\BVD;:94o7LQISC>14;(WIM30MRH\B=02:a=FWOYI0??1.QCG=>GXNZH7>?0k;@]EWG:5:7$[MIl4A^DPF94428427LQISC>17;b/RB@==FWOYI0?0l;@]EWG:56'ZJH55N_GQA868d3HUM_O2<>/RB@==FWOYI090l;@]EWG:36'ZJH55N_GQA808d3HUM_O2:>/RB@==FWOYI0;0l;@]EWG:16'ZJH55N_GQA828d3HUM_O28>/RB@==FWOYI050l;@]EWG:?6'ZJH55N_GQA8<8d3HUM_O26>/RB@a=FWOYIS<>PICWE`>GXNZHT=RGMUGf8EZ@TJV;8SDLZFe9B[CUEW8>TEO[Id:C\BVDX9VCIYKj4A^DPFZ70W@H^Ji5N_GQA[4>XAK_Mh6OPFR@\55MUG:8FPUXAGLD86M>6L:8G40J&YKOi6M>6L]JFP@(NJF@j6M>6L]JFP@(NJF@!;5L24OFJd=D:i5L24OFJZIE]O$Z?6M7M69@E?EVCIYK!ICMIf?F>JW@H^J"HLLJ/1?FC43JF@56M@MLKWP@B03JXNMYKK3:AWH<=Ddfi`_}kl8:FbpdUwmj?0Hhbny09F1>C)XHNj7HV_11]-A]Va3LR[==Q!EYR\TQY7n2OS\<>P.DZS[URX9o1NT]??_/G[TZVSW;l0IU^>0^,F\UYW\V9m7HV_11]-A]VXX]U?=o5JXQ33[+C_XV~xe`|jn`of`Zhb|Vk0IU^>1^,F\U`_/G[TZVSW:l0IU^>1^,F\UYW\V>:n6KWP03\*@^WW}ybakaalgg[kcsWh1NT]?=_/G[Tc=BPY;9S#KWP^RW[5`R JXQ]SPZ7a3LR[=?Q!EYR\TQY5n2OS\<2^,F\UYW\V?:n6KWP00\*@^WW}ybakaalgg[kcsWh1NT]?<_/G[Tc=BPY;8S#KWP^RW[5`Q!EYR\TQY5n2OS\<=P.DZS[URX;o1NT]?<_/G[TZVSW=l0IU^>3^,F\UYW\V?:n6KWP01\*@^WW}ybakaalgg[kcsWh1NT]?;_/G[Tc=BPY;?S#KWP^RW[5`4^,F\UYW\V?:n6KWP06\*@^WW}ybakaalgg[kcsWh1NT]?:_/G[Tc=BPY;>S#KWP^RW[5`5^,F\UYW\V?:n6KWP07\*@^WW}ybakaalgg[kcsWh1NT]?9_/G[T4dU%IU^i;DZS52Y)MQZT\YQ?f:G[T41X&LR[S]ZP1g9F\U70W'OS\R^[_3d8A]V6?V$NT]Q_T^1e?@^W9>U%IU^PPU]75g=BPY;9^,F\UYW\V8m7HV_18]-A]VXX]U8j6KWP0;\*@^WWY^T8k5JXQ3:[+C_XVZ_S8?m;DZS5P.DZS[URX8o1NT]Ccol\j`95ICMI;?CEKC'ZJHh5ICMI\MGSA'OIGGk5ICMI\MGSA'OIGG <4FG18Ckb53@D87D@?3:KM51=NF8:?7D@>159JJ4433@D:?95FN067?LH6==1BB<8;;HL231=NF82?7D@>929JJ72>:4IO070>OI:<>0EC<94:KM6225FN718MK143@D3?6GA989JJLRT\H^N96G@ABV4?LIFK]$O46G@ABV-@4>k;HMBGQ(C:VZ_Si5FO@AW*A4XX]U8h6G@ABV-@7YW\V>o7DANCU,G6ZVSW<=0EBOLT/S:?LIFK]$YIJ84IN@FVW>OHLFDN46G@DNLF*A?OHD9<0EBB?.E58MJJ7&M;<7DAC0/F1g>OHD9$O>R^[_1a8MJJ7&M8T\YQ>c:KLH5(C:VZ_S?m4INN3*A4XX]U8o6G@L1,G6ZVSW=i0EBB?.E0\TQY2k2CD@= K2^RW[30Ptrkngwcf|lnTtb|P9:KLJUTbimsi7DAAPSgb`|(Ck2CDB]\jae{-@4e6G@NQPfea)MQZT\YQ?139JKKVUmhnr"HV__QV\544e:KLJUTbims%IU^Ptrknv`hfelnTbhzPb:KLJUTbims%]i5FOORQadb~&[OL56G@PVPfeae3@E[[_kndx,Gg>OHX^Xnmiw!D0a8MJVPZlkou#J=109JKUQUmhnr"IOHZMXN]45FOSFQAT(Ci2CD^I\JQ/F2e>OHZMXN]#J=f:KLVATBY'N9S]ZP0g9JKWBUMX$O>R^[_0d8MJTCZL[%H?Q_T^0e?LIUL[OZ"IOHZMXN]#J=_QV\0c=NG[NYI\ K2^RW[0?OH]9$O;6G@U1,G52=NG\:%H?m4INW3*A4XX]U;o6G@U1,G6ZVSW8i0EB[?.E0\TQY5k2CDY= K2^RW[6eOH]9$O>R^[_4a8MJS7&M8T\YQ96:KLQ5(V02CDY= ]EF36?LIR8V~xe`m}e`vf`Z~hzV=0EBY]RDS:?LIPZ[OZ"Io4INUQV@W)L8k0EBY]RDS-@7`m7DAXRSGR*A4XX]U>j6G@WSPFU+B5WY^T:45FOVPQAT(Vj2CD[_\JQ/PFC==Nf}Nn`lw<;MM@3>JHO@IJ@n5BakmqR`ttafdh7@gaosTfvvohf;1E<85A0%MFg>H7&K_MCH @UU68J5(C=2D;"I?:;O2-@72Wh[[ojht K2^RW[4773XeX^hoky/F1[URX:8:0]b]]e`fz*A4XX]U8==5^oRPfea)L;U[XR:>0:SlWWcflp$O>R^[_433?TiTZlkou#J=_QV\2d=VgZXnmiw!Qb9RkVTbims%^HI:;SC?4;?/RB@==UI5;:6=09;SC?548f3[K7=<0!P@F6?WG;9730^L2>>/RB@0=UI58556\N<3<-TDB23[K7?374R@>0:+VFL<1YM1:19:PB818)XHN>7_O35?;8VD:26'ZJH85]A=4==>TF4?4%\LJ:;SC?3;?912XJ050!P@F6?WG;1730^L26>/RB@==UIDXnmiwn;SCNV`gcq'Ni7_OBRdcg}+B6j2XJA_kndx,G646d:PBIWcflp$NT]Q{shoqakgjmmUeiyQn;SCNV`gcq'[h7_OBRdcg}+TBO01YMR>POCWEf>TFW9UDNXH!Db9QEZ6XGK_M"I?l;SC\4ZIE]O$O>>2:PB[46XGK_M"ITFW8:TCO[I.Pd8VDY69VEIYK*MUG225>TFW8;TCO[I$CWE4+B6:2XJS!EYR\TQY5911YMR?>_N@VB!DRN9$NT]Q_T^12<>TFW8;TCO[I$CWE4+C_XVZ_S9<;;SC\54YHJ\L/NXH?.DZS[qune{oem`kk_ogw[47_N@VB!Wd3[KT=TFW8;TCO[I.E026>TFW8;TCO[I.E0\TQY79;1YMR?>_N@VB+B5WY^T=n5]A^32[JDRN'[27_OP1^MAQCd1:PB[4YHJ\L%H?Q_T^225>TFW8UDNXH!D3]SPZ7c3[KT=RAMUG,F\U7b3[KT=RAMUG,F\UYs{`gyicobee]maqYe3[KT=RAMUG,R=>TFW;UDNXHm;SC\6ZIE]O$Oo6\N_3]LFP@)L8i0^LQ=_N@VB+B5981YMRb:PB[7YHJ\L%]45]A^6\KGSAj2XJS9Q@BTD-@f=UIV>TCO[I.E3`?WGXSBLZFc9QEZ3XGK_M"Im4R@]6[JDRN'N:o6\N_4]LFP@)L;;:7_OP5^MAQC(C:VZ_S=?>;SC\1ZIE]O$O>R^[_0`8VDY2WFH^J#_6;SC\2ZIE]Oh0^LQ9_N@VB+Bd3[KT:RAMUG,G5f=UIVTFW?UDNXH!D3]SPZ6692XJS;Q@BTD-@7YW\V;i7_OP6^MAQC(V12XJS:Q@BTDa?WGX?VEIYK Kc:PB[2YHJ\L%HTFW>UDNXH!Q89QEZ>XGK_Mn6\N_9]LFP@)Lj1YMR6POCWE*A7d3[KT4RAMUG,G647WFH^J#J>c:PB[;SC\=ZIE]O$O>R^[_132?WGX1VEIYK K2^RW[4d2Xnj_k~9:PfbWcv&JO37_kiRds-@<=UmoXn}#J>9:PfbWcv&M837_kiRds-Ud=UmoXn}#\JG79QacSuo01Yik[}g/AF<>Tbn\xl"I74RddVvb(C901Yik[}g/F1<>Tbn\xl"\o4RddVvb(UMN90_HZ6;RgwquNhdm90X_G;;U[SAf=R[LXTZD]FBMG:?SOB_V^R\H=4Vba5?RguxZOj7Zo}pRG-jbg23^coxe^ND@DS!UJM 1,2$VRRJ):%=-O\CHK0?]IU>2RonRGkb:ZglZVuadCezn5Wdi]SvlkSqyom7Ujg_QpjiScu{`ee46Vkh^Vji`b0VH\@9:amp*Cu494i7n`{/Dp?4;(Ck2iex"K}<1<-@4e/F1f>ei|&Oy0=0!Qe9`jq)Bz5:5"_KH9:amp*Cu484i7n`{/Dp?5;(Ck2iex"K}<0<-@4e>/F1f>ei|&Oy0<0!Qe9`jq)Bz5;5"_KHf:amp*OTWOYFSKHk1038gkr(AZUM_@QIFe3-@443:amp*OTWOYFSKHk1/G[T4>5lnu-JWZ@TEVLMh< ]EF03?fhs'@YTJ^CPFGf2[qunejxnmykk_ymq[c=df}%B_RH\M^DE`7763jd#D]PFRO\BCb5&M;97n`{/HQ\BVKXNOn9"I?>2:amp*OTWOYFSKHk2/F152=df}%B_RH\M^DE`7(C:VZ_S=?8;blw+LUXNZGTJKj=.E0\TQY6981hby!FS^DPIZ@Al;$Z=>5lnu-JWZ@TEVLMh? ]EF03?fhs'@YTJ^CPFGf1[qunejxnmykk_ymq[a=df}%GXdcjr=2=b>ei|&F_e`k}<1<-@463:+B6991hby!CThofv969&M8:96mat.NWmhcu494%H?Q_T^221>ei|&F_e`k}<1<-@7YW\V;:96mat.NWmhcu494%H?Q_T^021>ei|&F_e`k}<1<-@7YW\V9:96mat.NWmhcu494%H?Q_T^621>ei|&F_e`k}<1<-@7YW\V?:96mat.NWmhcu494%H?Q_T^4e?fhs'E^bah|30?,R54=df}%GXdcjr=2=*WC@m2iex"B[ilgq8469991hby!CThofv9776'N:=6mat.NWmhcu48:5"I?>1:amp*JSadoy0<>1.E022>ei|&F_e`k}<02=*A4XX]U;=;5lnu-OPlkbz5;;2#J=_QV\54024;(C:VZ_S??=;blw+IRnelx7==0!EYR23>ei|&F_e`k}<02=*@^WWY^T<<94cov,HQojm{6:<3 JXQ]SPZ75;2iex"B[ilgq8469&LR[Sy}fmsgmehccWgoS<>4cov,HQojm{6:<3 ^139`jq)K\`gn~1??>/PFC4`24;Ys{`gh~ho{ee]{kwYb3jd#AZfmdp?548682iex"B[ilgq8479&M;:7n`{/MVji`t;984%H;blw+IRnelx7=<0!D335?fhs'E^bah|310<-@7YW\V:::6mat.NWmhcu48;5"I<>4cov,HQojm{6:=3 ^139`jq)K\`gn~1?>>/PFC4`25;Ys{`gh~ho{ee]{kwYc3jd#AZfmdp?5;`2:+B682iex"B[ilgq848)L8;;7n`{/MVji`t;97$O><;4cov,HQojm{6:2#J=_QV\4432:+B5WY^T=<;4cov,HQojm{6:2#J=_QV\6432:+B5WY^T?<;4cov,HQojm{6:2#J=_QV\0432:+B5WY^T9<;4cov,HQojm{6:2#J=_QV\2c=df}%GXdcjr=3=*T763jd#AZfmdp?5;(UMNn0ocz LUknaw:56o1hby!CThofv949&M;;7n`{/MVji`t;:7$O=<>4cov,HQojm{692#J=149`jq)K\`gn~1<1.E0\TQY79<1hby!CThofv949&M8T\YQ>149`jq)K\`gn~1<1.E0\TQY5n2iex"B[ilgq878)Y8;0ocz LUknaw:56'XNK/F150=df}%GXdcjr=1=*A4XX]U;=85lnu-OPlkbz595"I^vpmheumh~nhRv`r^f8gkr(D]cfi2;>g9`jq)K\`gn~1:1.E33?fhs'E^bah|34?,G5467:+B59<1hby!CThofv929&M8T\YQ?149`jq)K\`gn~1:1.E0\TQY69<1hby!CThofv929&M8T\YQ=f:amp*JSadoy090!Q038gkr(D]cfi2;>/PFC4c7:Zrtadiyilzjd^zlvZb6:c=df}%GXdcjr=7=*A773jd#AZfmdp?1;(C98:0ocz LUknaw:26'N9=85lnu-OPlkbz5?5"Iei|&F_e`k}<4<-U476:+TBO8o0ocz LUknaw:26V~xe`m}e`vf`Z~hzVn0ocz LUknaw:16o1hby!CThofv909&M;;7n`{/MVji`t;>7$O=<>4cov,HQojm{6=2#J=149`jq)K\`gn~181.E0\TQY79<1hby!CThofv909&M8T\YQ>149`jq)K\`gn~181.E0\TQY5n2iex"B[ilgq838)Y8;0ocz LUknaw:16'XNK/F150=df}%GXdcjr=5=*A4XX]U;=85lnu-OPlkbz5=5"I4%]^vpmheumh~nhRv`r^f8gkr(D]cfi27>g9`jq)K\`gn~161.E33?fhs'E^bah|38?,G546;:+B59<1hby!CThofv9>9&M8T\YQ?149`jq)K\`gn~161.E0\TQY69<1hby!CThofv9>9&M8T\YQ=f:amp*JSadoy050!Q038gkr(D]cfi27>/PFC4c;:Zrtadiyilzjd^zlvZb::c=df}%GXdcjr=;=*A773jd#AZfmdp?=;(C98:0ocz LUknaw:>6'N9=85lnu-OPlkbz535"I/G[TZVSW8;=7n`{/MVji`t;17$NT]Q_T^016>ei|&F_e`k}<8<-A]VX|zcf~h`nmdf\j`rXn2iex"B[ilgq8<8)Y8;0ocz LUknaw:>6'XNK_omw4566'jd#AZfmdp\5Zhh|9:;=RJ=.E0e?fhs'E^bah|P1^llp5679&iex"B[ilgq[4Yig}:;<>_E0-@7533jd#AZfmdp\5Zhh|9:;="mat.NWmhcuW8Uecy>?00]G6+B5WY^T<>:4cov,HQojm{U:Sca{0122+fhs'E^bah|P1^llp5679VN9"I>_E0-@7YW\V8886mat.NWmhcuW8Uecy>?00-`jq)K\`gn~R?Pnnv3457XL;$O>R^[_217?fhs'E^bah|P1^llp5679&iex"B[ilgq[4Yig}:;<0ocz LUknawY6Wge<=>>/blw+IRnelxT=R``t1235ZB5&M8T\YQ:359`jq)K\`gn~R?Pnnv3457(kg~$@Ygbes]2[kis89::SId:amp*Rnelx7<3Q{sho`v`gsmmUscQl;blw+Qojm{6:2k5lnu-Wmhcu484%OHk4cov,Plkbz5;5"Ih4cov,Plkbz5;5"I?i;blw+Qojm{6:2#J=159`jq)Sadoy0<0!D3]SPZ66<2iex"Zfmdp?5;(C:VZ_S1:+Ba3jd#Ygbes>1:+B6n2iex"Zfmdp?6;(C:8>0ocz Thofv949&M8T\YQ?159`jq)Sadoy0?0!D3]SPZ76<2iex"Zfmdp?6;(C:VZ_S??;;blw+Qojm{692#J=_QV\7`=df}%_e`k}<3<-U460:+Ba3jd#Ygbes>0:+B6n2iex"Zfmdp?7;(C:8>0ocz Thofv959&M8T\YQ?159`jq)Sadoy0>0!D3]SPZ76<2iex"Zfmdp?7;(C:VZ_S??;;blw+Qojm{682#J=_QV\7`=df}%_e`k}<2<-U462:+B5WY^T<>/G[TZVSW8;97n`{/VQ?5;(BPYU[XR<>2:amp*QT484%IU^PPU]05`=df}%\_1?1.DZS[qune{oem`kk_ogw[g=df}%\_1?1.Pf8gkr(_Z6:2#\JG89`jq)P[585n6mat.UP878)Lj1hby!XS=0=*A7d3jd#Z]32?,G647/F1[URX88;0ocz WR>1:+B5WY^T=/G[TZVSW8;n7n`{/VQ?6;(BPYUdc}eocnaaYim}Ui7n`{/VQ?6;(Vl2iex"Y\<3<-V@A6i2iex"Y\<3<\pvojk{ojxhjPxnp\=>ei|&]X0>0m;blw+RU;;7$Oo6mat.UP868)L8i0ocz WR>0:+B5981hby!XS=1=*A4XX]U;=<5lnu-TW959&M8T\YQ>109`jq)P[595"Iei|&]X0>0!EYR26>ei|&]X0>0!EYR\TQY79;1hby!XS=1=*@^WWY^T=d:amp*hNXE8y"Ib:ap+JBD[{$O><>4cr-L@FUu&M8T\YQ?119`w*ICKZx%H?Q_T^3b?fu(GMIX~#_l;bq,KAETz'XNKo5ls.lLr`tkipn0o~!aOwgqhd)Ll1h"`@vdpoe|(C9l1h"`@vdpoe|(C:890o~!aOwgqhd)L;U[XR>>3:ap+kIqm{fju#J=_QV\5a=d{&dDzh|cax,Rb>et'gE}ibny/PFC4eccol20j~l ARpee>`tj&KX~k Kb:dpf*GTzo$O=o5isc-BWw`)L;i0j~l ARpe*@^W981mo!NSsd-A]VXX]U;=<5isc-BWw`)MQZT\YQ>1e9ewg)F[{l%IU^Ptrknv`hfelnTbhzPa:dpf*GTzo$Zo6h|b.CPvc(UMN30eblb/B:Nvg=ngkg$O5C}.Ea8mjdj'J2F~#J>c:klfh)D0Dx%H?l4in`n+F>Jz'[o7damm.A;Iw(UMNh0eblb/EmvpJCc3`eia"J`uuMF*Ac5foco,@jssGL$O>R^[_030?liee&NdyyAJ.E0\TQY59:1bcoc DnwwK@(C:VZ_S>h4in`n+Air|FO%IU^=0:klfh)Cg|~DI#KWP^vpmhtbfhgnhR`jt^f8mjdj'Me~xBK!Qg9jkgk(LfCH ]EF3`?liee&NdyyAJ_uqjiftbi}ooSua}_`9jkgk(MZ6;2n5foco,AV:76'No7damm.GP858)L8n0eblb/DQ?4;(C:880eblb/DQ?4;(C:VZ_S=?=;hmai*CT494%H?Q_T^326>ohjd%N_1>1.E0\TQY5k2cdn`!JS=2=*Tcohjd%N_1?1c:klfh)B[5;5"Ij4in`n+@U;97$O=i5foco,AV:66'N9=?5foco,AV:66'N9S]ZP0008mjdj'LY7=3 K2^RW[4753`eia"K\<0<-@7YW\V8:>6g`bl-FW979&M8T\YQ6g`bl-FW949&M8T\YQ>139jkgk(MZ692#J=_QV\6f=ngkg$I^2=>/Sf?liee&OX0?0!RDE2f>ohjd%N_1<1_uqjiftbi}ooSua}_`9jkgk(MZ682n5foco,AV:46'No7damm.GP868)L8n0eblb/DQ?7;(C:880eblb/DQ?7;(C:VZ_S=?=;hmai*CT4:4%H?Q_T^326>ohjd%N_1=1.E0\TQY59;1bcoc ER>0:+B5WY^T?n5foco,AV:46'[n7damm.GP868)ZLM:n6g`bl-FW959W}yban|jaugg[}iuW>1bcoc Es;8mjdj'Lx%Hl5foco,Aw(C9h1bcoc Es,G6<=ngkg$I ^b:klfh)Bz'XNKi5foco,MJTCZL[yj6g`bl-JKWBUMXx%H<>4in`n+LIUL[OZ~#J>119jkgk(AFXO^H_}.E0e?liee&CD^I\JQs,R54=ngkg$EB\KRDSq*WC@12cdn`!FOR2a?liee&CD_= Kc:klfh)NGZ:%H129jkgk(AFYTJ^CPFGf2*A733`eia"G@S^DPIZ@Al8$O=<:4in`n+LITWOYFSKHk1/F156=ngkg$EB]PFRO\BCb6&X;>7damm.KLWZ@TEVLMh< ]EF32?liee&CD_RH\M^DE`7743`eia"G@S^DPIZ@Al;$O=95foco,MJUXNZGTJKj=.E320>ohjd%BC^QISL]EBa4)L;;27damm.KLWZ@TEVLMh? K2^RW[57>3`eia"G@S^DPIZ@Al;$O>R^[_030?liee&CD_RH\M^DE`7(V9<1bcoc INQ\BVKXNOn9"_KH109jkgk(AFYTJ^CPFGf056=ngkg$EB]PFRO\BCb4&M;?7damm.KLWZ@TEVLMh> K1068mjdj'@EXSK]B_GDg7+B5901bcoc INQ\BVKXNOn8"I189jkgk(AFYTJ^CPFGf0*A4XX]U9=>5foco,MJUXNZGTJKj<.P36?liee&CD_RH\M^DE`6(UMN;:7damm.KLWZ@TEVLMh9?<;hmai*OH[VLXARHId5,G51=ngkg$EB]PFRO\BCb3&M;:86g`bl-JKVYA[DUMJi:!D330?liee&CD_RH\M^DE`1(V9<1bcoc INQ\BVKXNOn?"_KH109jkgk(AFYTJ^CPFGf656=ngkg$EB]PFRO\BCb2&M;?7damm.KLWZ@TEVLMh8 K1068mjdj'@EXSK]B_GDg1+B59:1bcoc INQ\BVKXNOn>"\?:;hmai*OH[VLXARHId4,QAB763`eia"G@S^DPIZ@Al?;87damm.KLWZ@TEVLMh; K159jkgk(AFYTJ^CPFGf5*A76<2cdn`!FOR]EWHYANm<%H??6;hmai*OH[VLXARHId7,G6ZVSW9;27damm.KLWZ@TEVLMh; K2^RW[4743`eia"G@S^DPIZ@Al?$Z=85foco,MJUXNZGTJKj9.SGD54=ngkg$EB]PFRO\BCb09:1bcoc INQ\BVKXNOn<"I?;;hmai*OH[VLXARHId6,G542$YIJj4in`n+LIPZ[OZ~k5foco,MJQUZL[y"I??;hmai*OH_[XN] K1028mjdj'@E\^_K^r/F1b>ohjd%BCZ\]EPp-U47ohjd%]\L|!Db9jkgk(^YKy"I?l;hmai*PWI{$O>o5foco,RUGu&Xn0eblb/WRBv+TBOk1bco} Cmgbv4bohjz%H`ho}1/PFCg=ngky$EBOLTsf8mjdt'@EJOY|!Dd9jkgu(AFKHX K1d9jkgu(AFKHX K2e9jkgu(AFKHX ^f:klfv)NGHI_~#\JGb9jkgu(AFNDBH|j;hmaw*OHLFDN~#Ji;hmaw*OHLFDN~#J>f:klfv)NGMEEI K2d9jkgu(AFNDBH|!Q028mjdt'@EOCCK}.SGD=>ohjz%BCA?l;hmaw*OHD8$HIo5focq,MJJ6&Mi0ebl|/HMO5+B6k2cdn~!FOM3-@7d>2:klfv)NG[]:"I?=;hmaw*OHZ^;%H?Q_T^6f?lie{&CD^Z?!EYR2b>ohjz%BC_Y>.DZS[qune{oem`kk_ogw[f=ngky$EB\X1/Sf?lie{&CD^Z?!RDE2f>ohjz%BC_Y>_uqjiftbi}ooSua}_89jkgu(AF_:o6g`br-JKP7)KLh0ebl|/HMV5+Bd3`ei"G@U0,G5f=ngky$EB[>.E0a?lie{&CDY< ^d:klfv)NG\;%^HIn;hmaw*Jhim;h7dams.Nlea7)Lm1bco} Lncg5+B6l2cdn~!Co`f2*A46:2cdn~!Co`f2*A4XX]U;=?5focq,Hjgc9'N9S]ZP1008mjdt'Eejh< K2^RW[7753`ei"B`ae3-@7YW\V9:>6g`br-Okdb6&M8T\YQ;139jkgu(Dfko=#J=_QV\1f=ngky$@bok1/Sf?lie{&Fdmi?!RDE:?lie{&Ynbyl4in`p+Vci|'Nh7dams.Qfjq(C9j1bco} Sdlw*A4692cdn~!\eov-@7YW\V::=6g`br-Pakr)L;U[XR?>1:klfv)Tmg~%H?Q_T^025>ohjz%Xicz!D3]SPZ5692cdn~!\eov-@7YW\V>:=6g`br-Pakr)L;U[XR;>1:klfv)Tmg~%H?Q_T^4a?lie{&Ynby ^d:klfv)Tmg~%^HI>a:klfv)Tmg~Tx~gbcsgbp`bXpfxT==5focq,PVYA[DUMJi?>2:klfv)S[VLXARHId0,G56=ngky$X^QISL]EBa7)L8;87dams.VP[CUJWOLo=#J=199jkgu(\ZUM_@QIFe3-@7YW\V::46g`br-WWZ@TEVLMh< K2^RW[4753`ei"Z\_GQN[C@c9'[:86g`br-WWZ@TEVLMh< ]EF33?lie{&^XSK]B_GDg644#J>129jkgu(\ZUM_@QIFe0-@77?3`ei"Z\_GQN[C@c:'N9S]ZP00:8mjdt']YTJ^CPFGf1*A4XX]U:=55focq,PVYA[DUMJiR^[_237?lie{&^XSK]B_GDg6+C_X830ebl|/UQ\BVKXNOn9"HV__QV\44?0:lB@jssDL:TCO[I.E32?kGCg|~GI=Q@BTD-@4763gKOcxzCE1]LFP@)L;;;7cOKotvOA5YHJ\L%]45aAEmvpIC6l2dJHb{{LD3-TDBb3gKOcxzCE0]LFP@682dJHb{{LD3\KGSA&M;:7cOKotvOA4YHJ\L%H;oCGkprKM8UDNXH!D335?kGCg|~GI_N@VB+W6:2dJHb{{LD3\KGSA&[OL;6`NS^DPFg=iIZUM_O _AEa8jDUXNZHTEO[I7:lBWZOHJk1eM^QFOC,SEAg4:lBWZOHJf"O[IOD,LQQehF[VCDNb{{.E3g?kGTW@EIcxz!D331?kGTW@EIcxz!D3]SPZ66:2dJ_RG@Bnww*A4XX]U:=?5aAR]JKGir|'N9S]ZP2b9mEVYNGKe~x#_j;oCP[LIEg|~%^HIi;oCP[LIEg|~%X_][7:lBkprHMk1eMb{{OD,SEAehFg|~DIRAMUG,Gb>hFg|~DIRAMUG,G5c=iIfCHQ@BTD-@7773gKdyyAJ_N@VB+C_X8?0bLaztNG\KGSA&LR[S]ZP0078jDir|FOTCO[I.DZS[URX98?0bLaztNG\KGSA&LR[S]ZP2078jDir|FOTCO[I.DZS[URX;8?0bLaztNG\KGSA&LR[S]ZP4338jDir|FOTCO[I.DZS[qune{oem`kk_ogw[`=iIfCHQ@BTD-U46<84nCGQVZ@TJVEIYK K2^RW[5713gHN^_QISC]LFP@)L;U[XR?>6:lAAWTXNZHTCO[I.E0\TQY59;1eNH\]_GQA[JDRN'OS\<94nCGQVZ@TJVEIYK JXQ]SPZ66?2dII_\PFR@\KGSA&LR[S]ZP1058jGCUZVLXNRAMUG,F\UYW\V89?6`MESP\BVDXGK_M"HV__uqjiwciidooSck{_028jGCUZVLXNRAMUG,R57=iJLXYSK]M_N@VB+TBO880bOK]R^DPFZIE]O$^E@74nCGQVZOHJm1eNH\]_HMA*UGCm2dII_\PIN@\MGSA?2dI^RG@Bc9mFWYNGK$[MIm4nCP\MJDXGK_Mi6`MR^KLFZIE]O.Zi6`MR^KLFZIE]O$Oj6`MR^KLFZIE]O$O=k5aBS]JKGYHJ\L%H??;;o@Q[LIEWFH^J#J=_QV\4425:lAVZOHJVEIYK JXQ]SPZ66=2dI^RG@B^MAQC(BPYU[XR?>5:lAVZOHJVEIYK JXQ]SPZ4592dI^RG@B^MAQC(BPYUdc}eocnaaYim}Un7cL]_HMA[JDRN'[:<6`MR^KLFZIE]O$YIJ?k;o@Q[LIEWFH^JRz|ilaqadrblVrd~R:4nBCP<>hDIZ$[MI74nBCP[JDRNk1eOL]POCWE*AehDIZUDNXH!D3`8jFGTWFH^J#_k;oABWZIE]O$YIJo4nEVBGNYA[Ko0bIZNCJ]EWG(WIMl0bIZNCJ]EWGYHJ\L:=6`KT@AH[CUEWFH^J#J>2:lGPDELWOYISBLZF/F257=iL]KHGRH\B^MAQC(C:8=0bIZNCJ]EWGYHJ\L%H?Q_T^223>hC\HI@SK]M_N@VB+B5WY^T=<94nEVBGNYA[KUDNXH!D3]SPZ46?2dOXLMD_GQA[JDRN'N9S]ZP3058jARFKBUM_OQ@BTD-@7YW\V>:;6`KT@AH[CUEWFH^J#J=_QV\147hCagFN"]OKb:lGmkJBWFH^Ji5aDhlOAZIE]O$Oi6`KioNF[JDRN'N:i6`KioNF[JDRN'N9j6`KioNF[JDRN'OS\<:4nEkmH@YHJ\L%IU^PPU]351=iL`dGIRAMUG,F\UYW\V;:86`KioNF[JDRN'OS\R^[_303?kBnfEOTCO[I.DZS[qune{oem`kk_ogw[a=iL`dGIRAMUG,Rb>hCagFNSBLZF/PFC3=iL`dDIl5aDhlLA+VFLk1eHd`@E^MAQCbhCg|~DIRAMUG,G6ZVSW8o0bIaztNG\KGSA&X?0bD^C289mMUJ5&YKOm6`FPM0\MGSA02dGH^QISCa8jIBTWOYI"]OKd:lO@VYA[KUBNXH7;oNGWZOHJj1e@I]PIN@-TDBe3gFO_RG@Bnwwa>hKLZUBCOazt%MF`>hKLZUBCOazt%S21>hKLZUBCOazt/@VBJC)G\^o7cBKS^KLFjss&Mo0bAJ\_HMAkpr)L8o0bAJ\_HMAkpr)L;;87cBKS^KLFjss&M8T\YQ?129mHAUXAFHdyy K2^RW[4743gFO_RG@Bnww*A4XX]U9=>5aLEQ\MJDh}}$O>R^[_230?kJC[VCDNb{{.E0\TQY3l2dGH^QFOCmvp+Wa3gFO_RG@Bnww*WC@991e@I]PIN@lqq(SZZ^87cAJ7:lLA+VFL11eCHQ@BTDb?kIBWFH^J#Jm;oMF[JDRN'N:n6`@E^MAQC(C:h1eCHQ@BTD-U2=iZHGG[Hl4nSCNHRC)XHNh7c\NMMUF[JDRNl1e^LCCWD]LFP@)Lo1e^LCCWD]LFP@)L8l0b_OBLVG\KGSA&M8:<6`]ALNTAZIE]O$NT]?:;oPBIIQBWFH^J#KWP^RW[5723gXJAAYJ_N@VB+C_XVZ_ShUID_\IRAMUG,F\UYW\V::96`]ALWTAZIE]O$NT]Q_T^321>hUID_\IRAMUG,F\UYW\V8:96`]ALWTAZIE]O$NT]Q_T^121>hUID_\IRAMUG,F\UYW\V>9=6`]ALWTAZIE]O$NT]Q{shoqakgjmmUeiyQj;oPBIPQBWFH^J#_;;oPBW==iZHY%\LJ6;oPBWZIE]Oh0b_O\_N@VB+Bd3gXJ_RAMUG,G5f=iZHYTCO[I.E0g?kTF[VEIYK JXQ31?kTF[VEIYK JXQ]SPZ66:2dYM^Q@BTD-A]VXX]U:=?5aR@Q\KGSA&LR[S]ZP2008jWGTWFH^J#KWP^RW[6753gXJ_RAMUG,F\UYW\V>:i6`]AR]LFP@)MQZTx~gbrdlbi`bXfl~Tn6`]AR]LFP@)Y=1e^H]7;oPFW+VFL>1e^H]`uu;8jWCTg|~%Hl5aRDQlqq(C9h1e^H]`uu,G6g=iZLYdyy JXQ33?kTB[f"HV__QV\4464:lQKHETWFH^J#KWP^RW[5733gXDAN]POCWE*@^WWY^T=<:4nSMNGVYHJ\L%IU^PPU]165=iZFGH_RAMUG,F\UYs{`gyicobee]maqYc3gXDAN]POCWE*T0hUGD]NSBLZF/G[TZrtadxnblcjd^lfpZbhRLZUBCOaztd9mQAUXAFHdyy*@Ee9mQAUXAFHdyy*^149mQAUXAFHdyy MUGMF*JSSl2d^H^QFOCmvp+Bb3g_O_RG@Bnww*A7b3g_O_RG@Bnww*A46;2d^H^QFOCmvp+B5WY^T<<=4nTFP[LIEg|~%H?Q_T^327>hRLZUBCOazt/F1[URX:890bXJ\_HMAkpr)L;U[XR=>3:lV@VYNGKe~x#J=_QV\0a=i]MYTEBL`uu,Rb>hRLZUBCOazt/PFC46hQEHUBCOazt/Ff?kPJIVCDNb{{.E3f?kPJIVCDNb{{.E027>hQEHUBCOazt/F1[URX8890b[CN_HMAkpr)L;U[XR?k;oTNEZOHJf"\h4nWOB[LIEg|~%^HI>0:lUIDYNGKe~x#Z]SU3`?kPJIVCDNb{{_uqjiftbi}ooSua}_99mRUGXNZHh7cX_A^DPF+VFLm1eZ]OPFR@\KGSAn2d]\LQISC]LFP@)L8:0b[^N_GQA[JDRN'N:==5aVQC\BVDXGK_M"I<>5:lUTDYA[KUDNXH!D3]SPZ66=2d]\LQISC]LFP@)L;U[XR?i;oTSEZ@TJVEIYK ^109mRUGXNZHTCO[I.SGD57=i^YKTJ^LPOCWE*VCSN11eZ]OPIN@`?kPWIVCDN#^NDe9mRUGXAFHTEO[I7:lTAZ@TJk1e[HQISC,SEAehfel=0bhijdfg4?uitmmmn;6|nm.ABW<=uid%HM^ Ka:pbi*EF['N:m6|nm.ABW+B5n2xja"MNS/F1[URX8o1ym`!LAR,G6ZVSW8l0~lc C@Q-@7YW\V8i7ob/BCP*@^W991ym`!LAR,F\UYW\V::<6|nm.ABW+C_XVZ_S3{kf#B`le^vpmheumh~nhRv`r^;8vdk(ZHGNBo5}al-QEHCI&Mi0~lc R@OFJ+B6k2xja"\NMDL-@7763{kf#_OBEO,G6ZVSW9;:7ob/SCNAK(C:VZ_S;scn+WGJMG$O>R^[_332?wgj'[KFIC K2^RW[6763{kf#_OBEO,G6ZVSW=;:7ob/SCNAK(C:VZ_S8l4r`o,VDKBF'[o7ob/SCNAK(UMN30~lc R@QFHg=uid%YM^KC.Ea8vdk(ZHYN@#J>c:pbi*TF[LF%H??>;scn+WGTME$O>R^[_132?wgj'[KXIA K2^RW[4763{kf#_O\EM,G6ZVSW;n0~lc R@QFH+C_X880~lc R@QFH+C_XVZ_S=?=;scn+WGTME$NT]Q_T^32a>tfe&XJ_HB!EYR\pvojzldjahjPndv\f>tfe&XJ_HB!Qe9qeh)UIZOG"_KH9:pbi*TF[{li7ob/SCPvc(Ck2xja"\NSsd-@4etfe&XJ_h!Qe9qeh)UIZxm"_KH9:pbi*TF[{xi7ob/SCPvw(Ck2xja"\NSsp-@4etfe&XJ_|!Qe9qeh)UIZxy"_KHf:pbi*TTWOYFSKHk1038vdk(ZZUM_@QIFe3-@441:pbi*TTWOYFSKHk1/S27>tfe&XXSK]B_GDg5+TBOo1ym`!]S^DPIZ@Al;;:7ob/SQ\BVKXNOn9"I?=;scn+WUXNZGTJKj=.E326>tfe&XXSK]B_GDg6+B5981ym`!]S^DPIZ@Al;$Z=>5}al-QWZ@TEVLMh? ]EFd8vdk(ZZUM_@QIFe125>tfe&XXSK]B_GDg7+B6:2xja"\\_GQN[C@c;'N:=?5}al-QWZ@TEVLMh> K2038vdk(ZZUM_@QIFe1-U45;scn+WUXNZGTJKj;.P30?wgj'[YTJ^CPFGf7*WC@n2xja"\\_GQN[C@c=8;0~lc RR]EWHYANm?%H<<4r`o,VVYA[DUMJi;!D031?wgj'[YTJ^CPFGf6*A4692xja"\\_GQN[C@c='[:?6|nm.PP[CUJWOLo9#\JGg9qeh)U[VLXARHId732?wgj'[YTJ^CPFGf5*A753{kf#_]PFRO\BCb1&M;:>6|nm.PP[CUJWOLo:#J=169qeh)U[VLXARHId7,G6ZVSW9;<7ob/SQ\BVKXNOn="I;scn+WUXNZGTJKj9.P30?wgj'[YTJ^CPFGf5*WC@n2xja"\\_GQN[C@c?8;0~lc RR]EWHYANm=%H<<4r`o,VVYA[DUMJi9!D031?wgj'[YTJ^CPFGf4*A46?2xja"\\_GQN[C@c?'N9S]ZP0058vdk(ZZUM_@QIFe5-@7YW\V;:=6|nm.PP[CUJWOLo;#_>3:pbi*TTWOYFSKHk7/PFCc=uid%Y_RH\M^DE`=763{kf#_]PFRO\BCb?&M;97ob/SQ\BVKXNOn3"I?>2:pbi*TTWOYFSKHk8/F152=uid%Y_RH\M^DE`=(C:VZ_S=?8;scn+WUXNZGTJKj7.E0\TQY69>1ym`!]S^DPIZ@Al1$O>R^[_334?wgj'[YTJ^CPFGf;*A4XX]U8=:5}al-QWZ@TEVLMh5 K2^RW[1743{kf#_]PFRO\BCb?&LR[>95}al-QWZ@TEVLMh5 JXQ]wwlkumgkfiiQaeu]25>tfe&XXSK]B_GDg<+W6;2xja"\\_GQN[C@c0'XNKo5}al-QacBhfln0~lc RddGkkc)Ll1ym`!]egFlj`(C9l1ym`!]egFlj`(C:890~lc RddGkkc)L;U[XR>>3:pbi*TbnMeei#J=_QV\5c=uid%YikJ`nd,F\U733{kf#_kiDnlf*@^WWY^T<<:4r`o,V``Cggo%IU^PPU]251=uid%YikJ`nd,F\UYW\V89<6|nm.PfbAiim'OS\Rz|ilpfjdkblVdnxRj4r`o,V``Cggo%]k5}al-QacBhfl$YIJ;4v`nvaxFGx>n396NOx354>C<528qXh94=7`86>453j8:26<8?26ym6=2=92d94849;%04`?40j2wXh>4=7`86>453j8:26<8?269g621=83;1=v]k4;04e?3=9:>i==7517213>pS?>0;6<4>:437Vb32;=j684>35`24<<6>98<7[<70;0xq4dc281~=ok50:'622=9ki0(3:18;4:368651}O:>90(?9=:355?_e72;q997?6:|k:1?6=,8n;64:4n0ae>5=d18:0>h6ko0:76g60;29 4b720>0b5$0f3><2cg86?>o?>3:1(<2d:ok48;:k;6?6=,8n;64:4n0ae>==o1<7*>d18:0>h6ko0j76g8c;29 4b720>0b<=k1<7*>d18:0>h6ko0h76g88;29 4b720>0b<2d:ok4i;:kb1?6=,8n;64:4n0ae>`=5<96=44i4cg>5<!7c833?7c?lf;35?>of93:1(44<3`3m6=4+1e29=1=i9jl1=<54o4a2>5<5<5<5<6=44o0ga>5<#9m:1=h:4n0ae>==5<#9m:1=h:4n0ae>4=5<#9m:1=h:4n0ae><=5<#9m:1=h:4n0ae>3=5<#9m:1=h:4n0ae>1=6=4+1e295`254o0d4>5<#9m:1=h:4n0ae>7=5<5<5<<#<2>6=44o0g6>5<#9m:1=h:4n0ae>5=5<5<5<90e<428q]h54={%3g6?7dj2\:>84={%7f7?`<,5f1ba94?"5?o0:on5+1c`967=#9jo1>?54}c`7>5<5290;w)<8f;`e?M40;2c:>n4?:%04b?75k21d=nl50;&13c<6kk1]>5?51zN1<6<6s_n36?u+1e095fd<^88>6?u+5d19b>"2m;0m7psr}:a=4<7280;6=u+26d95fe<@;=87d?lc;29 71a28ih7)?mb;03?!7dm38;76smb283>7<729q/>:h5bg9K6255$35e>4ee3_83=7?tL3:0>4}Ql109w)?k2;3`f>P6:<09w);j3;d8 0c52o1vqps4}c:e>5<6290;w)<8f;3`g>N5?:1b=nm50;&13c<6kj1/=ol51g9'5fc=9o10qol=:181>5<7s-8o6:j0;6)<8f;31g>=h9jh1<7*=7g82gg=Q:1;1=vB=8282Sb?2;q/=i<51b`8R4422;q/9h=5f:&6a74<729q/>:h51ba8L7143`;ho7>5$35e>4ed3-;in7?j;%3`a?7b32win=4?:383>5}#:>l1nk5G2618m44d290/>:h513a8?j7dj3:1(?9i:0aa?S4?93;p@?6<:0yU`=<5s-;o>7?lb:T260<5s-?n?7h4$4g1>c=zutw0qo66:182>5<7s-8cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91j6*:e38e?x{zu2wi4:4?:083>5}#:>l1=nm4H350?l7dk3:1(?9i:0a`?!7ej3;h7)?le;3`?>{eil0;6?4?:1y'62`=jo1C>:=4i00`>5<#:>l1=?m4;n3`f?6=,;=m62481!3b;3l0(8k=:g9~yx{6=4>:183!40n3;ho6F=729j5fe=83.9;k4>cb9'5gd=9k1/=nk51c98yggc29096=4?{%04b?da3A82b83>!40n3;9o65`1b`94?"5?o0:oo5Y29395~J50:0:w[j7:3y'5a4=9jh0Z<<::3y'1`5=n2.>i?4i;|~y>{e0:0;6<4?:1y'62`=9ji0D?9<;h3`g?6=,;=m690e<428q]h54={%3g6?7dj2\:>84={%7f7?`<,5f1ba94?"5?o0:on5+1c`952=#9jo1=:54}ccb>5<5290;w)<8f;`e?M40;2c:>n4?:%04b?75k21d=nl50;&13c<6kk1]>5?51zN1<6<6s_n36?u+1e095fd<^88>6?u+5d19b>"2m;0m7psr}:a3a<7280;6=u+26d95fe<@;=87d?lc;29 71a28ih7)?mb;35?!7dm3;=76sma883>7<729q/>:h5bg9K6255$35e>4ee3_83=7?tL3:0>4}Ql109w)?k2;3`f>P6:<09w);j3;d8 0c52o1vqps4}cag>5<6290;w)<8f;3`g>N5?:1b=nm50;&13c<6kj1/=ol5259'5fc=:=10qomj:181>5<7s-8o6:j0;6)<8f;31g>=h9jh1<7*=7g82gg=Q:1;1=vB=8282Sb?2;q/=i<51b`8R4422;q/9h=5f:&6a77<729q/>:h5bg9K6255$35e>4ee3_83=7?tL3:0>4}Ql109w)?k2;3`f>P6:<09w);j3;`8 0c52k1vqps4}cf3>5<5290;w)<8f;`e?M40;2c:>n4?:%04b?75k21d=nl50;&13c<6kk1C>:k4V3:2>4}K:191=vXk8;0x 4b528ii7[?=5;0x 0c42k1/9h<5b:~yx=zjj81<7?50;2x 71a28ih7E<83:k2gf<72-8t$35e>g`<@;=87d?=c;29 71a288h76a>cc83>!40n3;hn6X=8082I4?;3;pZi652z&2`7<6kk1]=?;52z&6a67l4}|~?xdd<3:1>7>50z&13c5f13a94?"5?o0:>n54o0aa>5<#:>l1=nl4H35f?S4?93;p@?6<:0yU`=<5s-;o>7?lb:T260<5s-?n?7l4$4g1>g=zutw0qo6>:182>5<7s-8cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91j6*:e38e?x{zu2wi;o4?:083>5}#:>l1=nm4H350?l7dk3:1(?9i:0a`?!7ej3?>7)?le;76?>{ei10;6?4?:1y'62`=jo1C>:=4i00`>5<#:>l1=?m4;n3`f?6=,;=m62481!3b;3l0(8k=:g9~yx{:183!40n3;ho6F=729j5fe=83.9;k4>cb9'5gd=2b83>!40n3;9o65`1b`94?"5?o0:oo5Y29395~J50:0:w[j7:3y'5a4=9jh0Z<<::3y'1`5=n2.>i?4i;|~y>{e9;=1<7?50;2x 71a28ih7E<83:k2gf<72-82b83>!40n3;9o65`1b`94?"5?o0:oo5Y29395~J50:0:w[j7:3y'5a4=9jh0Z<<::3y'1`5=j2.>i?4m;|~y>{e9;31<7<50;2x 71a2kl0D?9<;h31g?6=,;=m6<5<7s-8o6:j0;6)<8f;31g>=h9jh1<7*=7g82gg=Q:1;1=vB=8282Sb?2;q/=i<51b`8R4422;q/9h=5f:&6a75<7s-8o6:j0;6)<8f;31g>=h9jh1<7*=7g82gg=Q:1;1=vB=8282Sb?2;q/=i<51b`8R4422;q/9h=5f:&6a74<729q/>:h51ba8L7143`;ho7>5$35e>4ed3-;in7:?;%3`a?2732winn4?:383>5}#:>l1nk5G2618m44d290/>:h513a8?j7dj3:1(?9i:0aa?S4?93;p@?6<:0yU`=<5s-;o>7?lb:T260<5s-?n?7h4$4g1>c=zutw0qoo9:182>5<7s-8cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91j6*:e38e?x{zu2wi8k=50;394?6|,;=m6o6kj0;6)<8f;3`g>"6jk0?56*>cd87=>=zj=l?6=4=:183!40n3hm7E<83:k26f<72-8cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91j6*:e38e?x{zu2wi=n950;094?6|,;=m6oh4H350?l75k3:1(?9i:00`?>i6kk0;6)<8f;3`f>P5080:wA<73;3xRa>=:r.:h?4>cc9U573=:r.>i>4i;%7f6?`4<729q/>:h51ba8L7143`;ho7>5$35e>4ed3-;in7:m;%3`a?2e32wi9i6kk0;6)<8f;3`f>P5080:wA<73;3xRa>=:r.:h?4>cc9U573=:r.>i>4i;%7f6?`4<729q/>:h51ba8L7143`;ho7>5$35e>4ed3-;in7i6kk0;6)<8f;3`f>P5080:wA<73;3xRa>=:r.:h?4>cc9U573=:r.>i>4i;%7f6?`4<729q/>:h51ba8L7143`;ho7>5$35e>4ed3-;in7i6kk0;6)<8f;3`f>P5080:wA<73;3xRa>=:r.:h?4>cc9U573=:r.>i>4i;%7f6?`cb9K62571<3th:>94?:383>5}#:>l1nk5G2618m44d290/>:h513a8?j7dj3:1(?9i:0aa?S4?93;p@?6<:0yU`=<5s-;o>7?lb:T260<5s-?n?7h4$4g1>c=zutw0qo;;0;295?6=8r.9;k4>cb9K6250><3th>8<4?:383>5}#:>l1nk5G2618m44d290/>:h513a8?j7dj3:1(?9i:0aa?S4?93;p@?6<:0yU`=<5s-;o>7?lb:T260<5s-?n?7h4$4g1>c=zutw0qo;kc;295?6=8r.9;k4>cb9K6251g<3th>hi4?:383>5}#:>l1nk5G2618m44d290/>:h513a8?j7dj3:1(?9i:0aa?S4?93;p@?6<:0yU`=<5s-;o>7?lb:T260<5s-?n?7h4$4g1>c=zutw0qo6k:182>5<7s-8cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91j6*:e38e?x{zu2wim?4?:083>5}#:>l1=nm4H350?l7dk3:1(?9i:0a`?!7ej3987)?le;10?>{ejk0;6?4?:1y'62`=jo1C>:=4i00`>5<#:>l1=?m4;n3`f?6=,;=m62481!3b;3l0(8k=:g9~yx{:183!40n3;ho6F=729j5fe=83.9;k4>cb9'5gd==k1/=nk55c98ygd129096=4?{%04b?da3A82b83>!40n3;9o65`1b`94?"5?o0:oo5Y29395~J50:0:w[j7:3y'5a4=9jh0Z<<::3y'1`5=n2.>i?4i;|~y>{e1h0;6<4?:1y'62`=9ji0D?9<;h3`g?6=,;=m690e<428q]h54={%3g6?7dj2\:>84={%7f7?`<,5f1ba94?"5?o0:on5+1c`91a=#9jo19i54}c`;>5<5290;w)<8f;`e?M40;2c:>n4?:%04b?75k21d=nl50;&13c<6kk1]>5?51zN1<6<6s_n36?u+1e095fd<^88>6?u+5d19b>"2m;0m7psr}:a=`<7280;6=u+26d95fe<@;=87d?lc;29 71a28ih7)?mb;02?!7dm38:76smb883>7<729q/>:h5bg9K6255$35e>4ee3_83=7?tL3:0>4}Ql109w)?k2;3`f>P6:<09w);j3;d8 0c52o1vqps4}cc3>5<6290;w)<8f;3`g>N5?:1b=nm50;&13c<6kj1/=ol52c9'5fc=:k10qoln:181>5<7s-8o6:j0;6)<8f;31g>=h9jh1<7*=7g82gg=Q:1;1=vB=8282Sb?2;q/=i<51b`8R4422;q/9h=5f:&6a7:180>5<7s-8:0yO6=5=9r\o47a3<^88>6?u+5d192>"2m;0=7psr}:m2gg<72-85}#:>l1=nm4H350?j7dk3:1(?9i:0a`?!7ej3>m7)?le;6e?>{en5Y13796~"2m:0o7);j2;f8yx{z3`n>6=4+26d9`0=Q:1;1=vB=8282Sb?2;q/=i<5d49U573=:r.>i>49;%7f6?0428q]h54={%3g6?7dj2\:>84={%7f7?0<,3:1=7>50z&13c<6kj1C>:=4o0a`>5<#:>l1=nm4$0`a>1e<,8in69m4;|`600<72:0;6=u+26d9627<@;=87d?=c;29 71a288h7[<71;3xH7>428q]h54={%3g6?75k2\:>84={%7f7?b<,2481!3b;3<0(8k=:79~yx{2wvqp5rb4`2>5<6290;w)<8f;3`g>N5?:1d=nm50;&13c<6kj1/=ol54d9'5fc=!40n3n>7[<71;3xH7>428q]h54={%3g6?b23_;9973=#=l81:6sr}|9l5fd=83.9;k4>cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91:6*:e385?x{zu2wi8h<50;394?6|,;=m6i6kj0;6)<8f;3`g>"6jk0?:6*>cd872>=zj=o:6=4=:183!40n3hm7E<83:k26f<72-8cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91h6*:e38g?x{zu2wi9i?50;394?6|,;=m6i6kj0;6)<8f;3`g>"6jk0>56*>cd86=>=zjcc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91h6*:e38g?x{zu2wi9:650;394?6|,;=m6i6kj0;6)<8f;3`g>"6jk0?>6*>cd876>=zj<=<6=4=:183!40n3hm7E<83:k26f<72-8cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91h6*:e38g?x{zu2wi8k850;394?6|,;=m6i6kj0;6)<8f;3`g>"6jk0?;6*>cd873>=zj=l>6=4=:183!40n3hm7E<83:k26f<72-8cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91h6*:e38g?x{zu2wi=hm50;394?6|,;=m6i6kj0;6)<8f;3`g>"6jk0896*>cd801>=zj8oi6=4=:183!40n3hm7E<83:k26f<72-8cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91h6*:e38g?x{zu2wi=h?50;394?6|,;=m6i6kj0;6)<8f;3`g>"6jk08n6*>cd80f>=zj8o;6=4=:183!40n3hm7E<83:k26f<72-8cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91h6*:e38g?x{zu2wi=h=50;394?6|,;=m6i6kj0;6)<8f;3`g>"6jk08h6*>cd80`>=zj8o96=4=:183!40n3hm7E<83:k26f<72-8cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91h6*:e38g?x{zu2wi=h650;394?6|,;=m6i6kj0;6)<8f;3`g>"6jk0856*>cd80=>=zj8o<6=4=:183!40n3hm7E<83:k26f<72-8cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91h6*:e38g?x{zu2wi=ho50;394?6|,;=m6i6kj0;6)<8f;3`g>"6jk08;6*>cd803>=zj8o26=4=:183!40n3hm7E<83:k26f<72-8cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91h6*:e38g?x{zu2wi=hk50;394?6|,;=m6i6kj0;6)<8f;3`g>"6jk0886*>cd800>=zj8oo6=4=:183!40n3hm7E<83:k26f<72-8cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91h6*:e38g?x{zu2wi=k>50;394?6|,;=m6i6kj0;6)<8f;3`g>"6jk08:6*>cd802>=zj8om6=4=:183!40n3hm7E<83:k26f<72-8cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91h6*:e38g?x{zu2wi=k<50;394?6|,;=m6i6kj0;6)<8f;3`g>"6jk0846*>cd80<>=zj8l:6=4=:183!40n3hm7E<83:k26f<72-8cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91h6*:e38g?x{zu2wi=k:50;394?6|,;=m6i6kj0;6)<8f;3`g>"6jk08m6*>cd80e>=zj8l86=4=:183!40n3hm7E<83:k26f<72-8cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91h6*:e38g?x{zu2wi=k850;394?6|,;=m6i6kj0;6)<8f;3`g>"6jk08o6*>cd80g>=zj8l>6=4=:183!40n3hm7E<83:k26f<72-8cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91h6*:e38g?x{zu2wi=k650;394?6|,;=m6i6kj0;6)<8f;3`g>"6jk08i6*>cd80a>=zj8l<6=4=:183!40n3hm7E<83:k26f<72-8cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91h6*:e38g?x{zu2wi98950;394?6|,;=m6i6kj0;6)<8f;3`g>"6jk08>6*>cd806>=zjcc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91h6*:e38g?x{zu2wi9l?50;394?6|,;=m6i6kj0;6)<8f;3`g>"6jk09j6*>cd81b>=zjcc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91h6*:e38g?x{zu2wi8o>50;394?6|,;=m6i6kj0;6)<8f;3`g>"6jk0>=6*>cd865>=zj=km6=4=:183!40n3hm7E<83:k26f<72-8cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91h6*:e38g?x{zu2wi9=950;394?6|,;=m6i6kj0;6)<8f;3`g>"6jk08=6*>cd805>=zj<:=6=4=:183!40n3hm7E<83:k26f<72-8cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91h6*:e38g?x{zu2wi9=h50;394?6|,;=m6i6kj0;6)<8f;3`g>"6jk0956*>cd81=>=zj<:n6=4=:183!40n3hm7E<83:k26f<72-8cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91h6*:e38g?x{zu2wi9?>50;394?6|,;=m6i6kj0;6)<8f;3`g>"6jk0>>6*>cd866>=zj<;m6=4=:183!40n3hm7E<83:k26f<72-8cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91h6*:e38g?x{zu2wi95850;394?6|,;=m6-6kj0;6)<8f;3`g>"6jk0>;6*>cd863>=zj<2>6=4<:183!40n38<=6F=729j57e=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=?m4V006>7}#=l91h6*:e38g?x{zu2co97>5$35e>a3<^;2:6cc83>!40n3;hn6X=8082I4?;3;pZi652z&2`7<6kk1]=?;52z&6a6<13-?n>784}|~?xd6m?0;6<4?:1y'62`=9ji0D?9<;n3`g?6=,;=m6;:a5`3=8381<7>t$35e>g`<@;=87d?=c;29 71a288h76a>cc83>!40n3;hn6X=8082I4?;3;pZi652z&2`7<6kk1]=?;52z&6a67j4}|~?xd3j>0;6<4?:1y'62`=9ji0D?9<;n3`g?6=,;=m6t$35e>g`<@;=87d?=c;29 71a288h76a>cc83>!40n3;hn6X=8082I4?;3;pZi652z&2`7<6kk1]=?;52z&6a67j4}|~?xd3l:0;6<4?:1y'62`=9ji0D?9<;n3`g?6=,;=m6t$35e>g`<@;=87d?=c;29 71a288h76a>cc83>!40n3;hn6X=8082I4?;3;pZi652z&2`7<6kk1]=?;52z&6a67j4}|~?xd2:m0;6<4?:1y'62`=9ji0D?9<;n3`g?6=,;=m6t$35e>g`<@;=87d?=c;29 71a288h76a>cc83>!40n3;hn6X=8082I4?;3;pZi652z&2`7<6kk1]=?;52z&6a67j4}|~?xd2;>0;6<4?:1y'62`=9ji0D?9<;n3`g?6=,;=m6t$35e>g`<@;=87d?=c;29 71a288h76a>cc83>!40n3;hn6X=8082I4?;3;pZi652z&2`7<6kk1]=?;52z&6a67j4}|~?xd2=l0;6<4?:1y'62`=9ji0D?9<;n3`g?6=,;=m6t$35e>g`<@;=87d?=c;29 71a288h76a>cc83>!40n3;hn6X=8082I4?;3;pZi652z&2`7<6kk1]=?;52z&6a67j4}|~?xd2>h0;6<4?:1y'62`=9ji0D?9<;n3`g?6=,;=m6t$35e>g`<@;=87d?=c;29 71a288h76a>cc83>!40n3;hn6X=8082I4?;3;pZi652z&2`7<6kk1]=?;52z&6a67j4}|~?xd21:0;6<4?:1y'62`=9ji0D?9<;n3`g?6=,;=m6t$35e>g`<@;=87d?=c;29 71a288h76a>cc83>!40n3;hn6X=8082I4?;3;pZi652z&2`7<6kk1]=?;52z&6a67j4}|~?xdd>3:1=7>50z&13c<6kj1C>:=4o0a`>5<#:>l1=nm4$0`a>6`<,8in6>h4;|``1?6=:3:1N5?:1b=?m50;&13c<6:j10c:0yO6=5=9r\o474ee3_;997a=#=l81h6sr}|9~f0e229096=4?{%04b?da3A82b83>!40n3;9o65`1b`94?"5?o0:oo5Y29395~J50:0:w[j7:3y'5a4=9jh0Z<<::3y'1`5=>2.>i?49;|~y>{e=j91<7<50;2x 71a2kl0D?9<;h31g?6=,;=m6<o94?:383>5}#:>l1nk5G2618m44d290/>:h513a8?j7dj3:1(?9i:0aa?S4?93;p@?6<:0yU`=<5s-;o>7?lb:T260<5s-?n?784$4g1>3=zutw0qo;l6;296?6=8r.9;k4mf:J136=n9;i1<7*=7g826f=2wvqp5rb4ae>5<4290;w)<8f;04g>N5?:1b=?j50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0957b<^88>6?u+5d192>"2m;0=7psr}:k26`<72-8h5Y13796~"2m:0m7);j2;d8yx{z3f;hn7>5$35e>4ee3_83=7?tL3:0>4}Ql109w)?k2;3`f>P6:<09w);j3;48 0c52?1vqps4}c7``?6=>3:190(<:k:068m44d290/>:h513a8R7>628qG>5=51zT2fd<5s-;o>7?=c:T260<5s-?n?784$4g1>3=zutw0ei?50;&13c{M0;7?7|^8hj6?u+1e09`4=Q9;?1>v*:e285?!3b:3<0qpsr;hf1>5<#:>l1h?5Y29396~J50:0:w[?ma;0x 4b52m80Z<<::3y'1`5=02.>i?47;|~H7>52=q]>:>53zTg2?4|,0c43t\o;744d3-9i?7;j3:'223=m2w]>:>53zTg2?4|,0c43t\o;744d3-9i?7;j2:'223=m2w]>:>53zTg2?4|,0c43t\o;7a7<,:h868k<;|&530<03t\:h<4={Wf5>7}#=l;1h?5+3c191`5i>4>2:&6a7<6:2wvqA<72;3xR71?2;q]h;4={%7f5?41n2.8n>4:e29~ 3122880qps4i343>5<#:>l1>;>4V3:2>7}K:191=vX>b`81!7c:38=<6X>2481!3b;3;97);j2;31?x{zD;29638p(8k>:343?!5e;3?n?6s+667957=zut1d=nl50;&13c<6kk10qo?=b;295?6=8r.9;k4=879K6255}#:>l1=n74H350?j7dj3:1(?9i:0aa?>{e=j=1<7=50;2x 71a2;=h7E<83:k26a<72-8i5Y13796~"2m:0=7);j2;48yx{z3`;9i7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;31a>P6:<09w);j3;48 0c52?1vqps4o0aa>5<#:>l1=nl4V3:2>4}K:191=vXk8;0x 4b528ii7[?=5;0x 0c42?1/9h<56:~yx=zj:183!40n383:6F=729l5fd=83.9;k4>cc98yg3di3:1:7>50z&13c<0>2B9;>5f13f94?"5?o0:>n5G26g8R7>628qG>5=51zTg47h5Y13796~"2m:0:=6*:e3825>{zut1b=>750;&13c<6:j1C>:k4V3:2>4}K:191=vXk8;0x 4b528927[?=5;0x 0c428;0(8k=:038yx{z3`;?87>5$35e>44d3A8i?4>1:~yx=n9==1<7*=7g826f=O:>o0Z?6>:0yO6=5=9r\o474203_;99747<,7?lb:T260<5s-?n?784$4g1>3=zutw0qo;lb;295<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5288n7[?=5;0x 0c428;0(8k=:038yx{z3`;857>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;30=>P6:<09w);j3;32?!3b:3;:7psr}:k201<72-85<#:>l1=?m4H35f?S4?93;p@?6<:0yU`=<5s-;o>7?;7:T260<5s-?n?7?>;%7f6?763twvq6g>4983>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6<11]=?;52z&6a6<692.>i?4>1:~yx=n9=31<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<515;8R4422;q/9h=5109'1`4=981vqps4o0aa>5<#:>l1=nl4V3:2>4}K:191=vXk8;0x 4b528ii7[?=5;0x 0c42?1/9h<56:~yx=zj2b9U6=7=9rF94>4>{Wf;>7}#9m81=?j4V006>7}#=l91:6*:e385?x{zu2c:>h4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3826`=Q9;?1>v*:e285?!3b:3<0qpsr;n3`f?6=,;=m62481!3b;3<0(8k=:79~yx{53;294~"5?o0<:6F=729j57b=83.9;k4>2b9K62c<^;2:6n5G26g8R7>628qG>5=51zTg{zut1d=nl50;&13c<6kk1]>5?51zN1<6<6s_n36?u+1e095fd<^88>6?u+5d192>"2m;0=7psr}:a0f1=8381<7>t$35e>g`<@;=87d?=c;29 71a288h76a>cc83>!40n3;hn6X=8082I4?;3;pZi652z&2`7<6kk1]=?;52z&6a6<13-?n>784}|~?xd3k<0;6?4?:1y'62`=jo1C>:=4i00`>5<#:>l1=?m4;n3`f?6=,;=m62481!3b;3<0(8k=:79~yx{h:7>52;294~"5?o0ij6F=729j57e=83.9;k4>2b98k4ee290/>:h51b`8R7>628qG>5=51zTg{zut1vn9m7:181>5<7s-8o6:j0;6)<8f;31g>=h9jh1<7*=7g82gg=Q:1;1=vB=8282Sb?2;q/=i<51b`8R4422;q/9h=56:&6a7<13twvq6sm4e294?0=83:p(?9i:00b?M40;2.:8i4>4:k26f<72-87}#9m81=?m4V006>7}#=l91:6*:e385?x{zu2co=7>5$35e>a7<^;2:62wvqp5fd383>!40n3n97[<71;0xH7>428q]=oo52z&2`784={%7f7?><,6*v*:e0826f=#;k919h=4}%441?c6*v*:e0826f=#;k919h<4}%441?c6*v*:e08g5>"4j:0>i>5r$756>2=z^8n:6?uYd781!3b93n97)=m3;7f7>{#>>?1=>5r}|9j63`=83.9;k4=6g9U6=7=:rF94>4>{W3ae?4|,8n96?8i;W311?4|,445<51zT13=<5s_n=6?u+5d3963`<,:h868k<;|&530<6:2wvq6g=6183>!40n38=<6X=8081I4?;3;pZi<4=619'7g5==l90q)885;31?x{z3f;hn7>5$35e>4ee32wi8n750;194?6|,;=m6?9l;I047>o6:m0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>2e9U573=:r.>i>49;%7f6?0428q]h54={%3g6?75m2\:>84={%7f7?0<,:h51b`8R7>628qG>5=51zTg{zut1vn9mn:182>5<7s-8ho7>56;294~"5?o0<:6F=729j57b=83.9;k4>2b9K62c<^;2:62481!3b;3;:7);j2;32?x{zu2c:?44?:%04b?75k2B9;h5Y29395~J50:0:w[j7:3y'5a4=9:30Z<<::3y'1`5=981/9h<5109~yx{?6=4+26d957e<@;=n7[<71;3xH7>428q]h54={%3g6?73<2\:>84={%7f7?763-?n>7?>;|~y>o6<>0;6)<8f;31g>N5?l1]>5?51zN1<6<6s_n36?u+1e09511<^88>6?u+5d1954=#=l81=<5r}|8k4ee290/>:h51b`8R7>628qG>5=51zTg{zut1vn9mk:185>5<7s-8o6:m0;6)<8f;31g>N5?l1]>5?51zN1<6<6s_n36?u+1e0957b<^88>6?u+5d1954=#=l81=<5r}|8m44b290/>:h513a8L71b3_83=7?tL3:0>4}Ql109w)?k2;31a>P6:<09w);j3;32?!3b:3;:7psr}:k27<<72-85<#:>l1=?m4H35f?S4?93;p@?6<:0yU`=<5s-;o>7?;4:T260<5s-?n?7?>;%7f6?763twvq6g>4683>!40n3;9o6F=7d9U6=7=9rF94>4>{Wf;>7}#9m81=994V006>7}#=l91=<5+5d0954=zutw0c:0yO6=5=9r\o474ee3_;9973=#=l81:6sr}|9~f1eb290<6=4?{%04b?113A82e83>!40n3;9o6F=7d9U6=7=9rF94>4>{Wf;>7}#9m81=?j4V006>7}#=l91=<5+5d0954=zutw0e<2481!3b;3;:7);j2;32?x{zu2c:?44?:%04b?75k2B9;h5Y29395~J50:0:w[j7:3y'5a4=9:30Z<<::3y'1`5=981/9h<5109~yx{?6=4+26d957e<@;=n7[<71;3xH7>428q]h54={%3g6?73<2\:>84={%7f7?763-?n>7?>;|~y>o6<>0;6)<8f;31g>N5?l1]>5?51zN1<6<6s_n36?u+1e09511<^88>6?u+5d1954=#=l81=<5r}|8m42?290/>:h513a8L71b3_83=7?tL3:0>4}Ql109w)?k2;37<>P6:<09w);j3;32?!3b:3;:7psr}:m2gg<72-85}#:>l1=no4H350?l75l3:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?=d:T260<5s-?n?784$4g1>3=zutw0e<:0yO6=5=9r\o4744b3_;9973=#=l81:6sr}|9j56?=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=>74V006>7}#=l91:6*:e385?x{zu2e:oo4?:%04b?7dj2\94<4>{M0;7?7|^m21>v*>d382gg=Q9;?1>v*:e285?!3b:3<0qpsr;|`7`4<72:0;6=u+26d933=O:>90e<2481!3b;330(8k=:89~yx{428q]h54={%3g6?75m2\:>84={%7f7??<,:h51b`8R7>628qG>5=51zTg{zut1vn8:6:181>5<7s-8o6:j0;6)<8f;31g>=h9jh1<7*=7g82gg=Q:1;1=vB=8282Sb?2;q/=i<51b`8R4422;q/9h=56:&6a7<13twvq6sm55594?4=83:p(?9i:cd8L7143`;9o7>5$35e>44d32e:oo4?:%04b?7dj2\94<4>{M0;7?7|^m21>v*>d382gg=Q9;?1>v*:e285?!3b:3<0qpsr;|`60=<72;0;6=u+26d9fc=O:>90e<428q]h54={%3g6?7dj2\:>84={%7f7?0<,7>50z&13c5f13a94?"5?o0:>n54o0aa>5<#:>l1=nl4V3:2>4}K:191=vXk8;0x 4b528ii7[?=5;0x 0c42?1/9h<56:~yx=zj5?51zN1<6<6s_;im744d3_;9973=#=l81:6sr}|9j`4<72-8;W0;5?7|D;2862481!3b;3<0(8k=:79~yx{P50809wA<73;3xR4df2;q/=i<5d39U573=:r.>i>47;%7f6?>5<54zT135<4s_n=6?u+5d39`7=#;k919h=4}Wf4>7}#=l;1=?m4$2`0>0c43t.=;84j;|T135<4s_n=6?u+5d39`7=#;k919h=4}Wf4>7}#=l;1=?m4$2`0>0c53t.=;84j;|T135<4s_n=6?u+5d39`7=#;k919h=4}Wf4>7}#=l;1h<5+3c191`5v*:e08g6>"4j:0>i>5r$756>45:3yO6=5=9r\:nl4={%3g6?41n2\:>84={%7f7?753-?n>7?=;|~H7>528q]>:652zTg2?4|,vB=8282S7ei38p(l<:4g0?x"1?<0:>6sr}:m2gg<72-85f13f94?"5?o0:>n5G26g8R7>628qG>5=51zTg{zut1b=?k50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0957c<^88>6?u+5d192>"2m;0=7psr}:m2gg<72-88n4?:083>5}#:>l1>584H350?j7dj3:1(?9i:0aa?>{e==o1<7=50;2x 71a2><0D?9<;h31`?6=,;=m6<2481!3b;3<0(8k=:79~yx{2wvqp5`1b`94?"5?o0:oo5Y29395~J50:0:w[j7:3y'5a4=9jh0Z<<::3y'1`5=>2.>i?49;|~y>{e==l1<7=50;2x 71a2><0D?9<;h31`?6=,;=m6<2481!3b;3;:7);j2;32?x{zu2c:>h4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3826`=Q9;?1>v*:e2825>"2m;0:=6sr}|9l5fd=83.9;k4>cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91:6*:e385?x{zu2wi98>50;194?6|,;=m6:84H350?l75l3:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?=d:T260<5s-?n?7?>;%7f6?763twvq6g>2d83>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6:l1]=?;52z&6a6<692.>i?4>1:~yx=h9jh1<7*=7g82gg=Q:1;1=vB=8282Sb?2;q/=i<51b`8R4422;q/9h=56:&6a7<13twvq6sm54394?5=83:p(?9i:648L7143`;9h7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;31`>P6:<09w);j3;32?!3b:3;:7psr}:k26`<72-8h5Y13796~"2m:0:=6*:e3825>{zut1d=nl50;&13c<6kk1]>5?51zN1<6<6s_n36?u+1e095fd<^88>6?u+5d192>"2m;0=7psr}:a104=83>1<7>t$35e>20<@;=87d?=d;29 71a288h7[<71;3xH7>428q]h54={%3g6?75l2\:>84={%7f7?763-?n>7?>;|~y>o6:l0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>2d9U573=:r.>i>4>1:&6a7<692wvqp5f12;94?"5?o0:>n5G26g8R7>628qG>5=51zTg47428q]h54={%3g6?7dj2\:>84={%7f7?0<,50z&13c<6kh1C>:=4i00g>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5288o7[?=5;0x 0c42?1/9h<56:~yx=n9;o1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<513g8R4422;q/9h=56:&6a7<13twvq6g>3883>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6;01]=?;52z&6a6<13-?n>784}|~?l73<3:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?;4:T260<5s-?n?784$4g1>3=zutw0e<:8:18'62`=9;i0Z?6>:0yO6=5=9r\o474203_;9973=#=l81:6sr}|9l5fd=83.9;k4>cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91:6*:e385?x{zu2wi98;50;194?6|,;=m6:84H350?l75l3:1(?9i:00`?M40m2\94<4>{M0;7?7|^m21>v*>d3826a=Q9;?1>v*:e28:?!3b:330qpsr;h31a?6=,;=m6<P5080:wA<73;3xRa>=:r.:h?4>2d9U573=:r.>i>46;%7f6??428q]h54={%3g6?7dj2\:>84={%7f7?0<,7>50z&13c5f13a94?"5?o0:>n54o0aa>5<#:>l1=nl4V3:2>4}K:191=vXk8;0x 4b528ii7[?=5;0x 0c42?1/9h<56:~yx=zjcc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91:6*:e385?x{zu2wi9o=50;094?6|,;=m6oh4H350?l75k3:1(?9i:00`?>i6kk0;6)<8f;3`f>P5080:wA<73;3xRa>=:r.:h?4>cc9U573=:r.>i>49;%7f6?07<729q/>:h5bg9K6255$35e>4ee3_83=7?tL3:0>4}Ql109w)?k2;3`f>P6:<09w);j3;48 0c52?1vqps4}c7ab?6=>3:190(<:k:068m44d290/>:h513a8R7>628qG>5=51zT2fd<5s-;o>7?=c:T260<5s-?n?784$4g1>3=zutw0ei?50;&13c{M0;7?7|^8hj6?u+1e09`4=Q9;?1>v*:e285?!3b:3<0qpsr;hf1>5<#:>l1h?5Y29396~J50:0:w[?ma;0x 4b52m80Z<<::3y'1`5=02.>i?47;|~H7>52=q]>:>53zTg2?4|,0c43t\o;744d3-9i?7;j3:'223=m2w]>:>53zTg2?4|,0c43t\o;744d3-9i?7;j2:'223=m2w]>:>53zTg2?4|,0c43t\o;7a7<,:h868k<;|&530<03t\:h<4={Wf5>7}#=l;1h?5+3c191`5i>4>2:&6a7<6:2wvqA<72;3xR71?2;q]h;4={%7f5?41n2.8n>4:e29~ 3122880qps4i343>5<#:>l1>;>4V3:2>7}K:191=vX>b`81!7c:38=<6X>2481!3b;3;97);j2;31?x{zD;29638p(8k>:343?!5e;3?n?6s+667957=zut1d=nl50;&13c<6kk10qo;m6;297?6=8r.9;k4=7b9K625428q]h54={%3g6?75l2\:>84={%7f7?0<,:h513a8R7>628qG>5=51zTg{zut1d=nl50;&13c<6kk1]>5?51zN1<6<6s_n36?u+1e095fd<^88>6?u+5d192>"2m;0=7psr}:a1g1=83;1<7>t$35e>7>13A8cc83>!40n3;hn65rb4`:>5<4290;w)<8f;55?M40;2c:>i4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3826a=Q9;?1>v*:e285?!3b:3<0qpsr;h31a?6=,;=m6<2481!3b;3<0(8k=:79~yx{2wvqp5rb4`b>5<4290;w)<8f;55?M40;2c:>i4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3826a=Q9;?1>v*:e2825>"2m;0:=6sr}|9j57c=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=?k4V006>7}#=l91=<5+5d0954=zutw0c:0yO6=5=9r\o474ee3_;9973=#=l81:6sr}|9~f0de29086=4?{%04b?113A82e83>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6:m1]=?;52z&6a6<692.>i?4>1:~yx=n9;o1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<513g8R4422;q/9h=5109'1`4=981vqps4o0aa>5<#:>l1=nl4V3:2>4}K:191=vXk8;0x 4b528ii7[?=5;0x 0c42?1/9h<56:~yx=zji5Y13796~"2m:0:=6*:e3825>{zut1b=?k50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0957c<^88>6?u+5d1954=#=l81=<5r}|8k4ee290/>:h51b`8R7>628qG>5=51zTg{zut1vn8lk:187>5<7s-8o6:m0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>2e9U573=:r.>i>4>1:&6a7<692wvqp5f13g94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9;o0Z<<::3y'1`5=981/9h<5109~yx{428q]h54={%3g6?7412\:>84={%7f7?763-?n>7?>;|~y>i6kk0;6)<8f;3`f>P5080:wA<73;3xRa>=:r.:h?4>cc9U573=:r.>i>49;%7f6?03<729q/>:h51bc8L7143`;9h7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;31`>P6:<09w);j3;48 0c52?1vqps4i00f>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5288n7[?=5;0x 0c42?1/9h<56:~yx=n9:31<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<512;8R4422;q/9h=56:&6a7<13twvq6g>4583>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6<=1]=?;52z&6a6<13-?n>784}|~?l73?3:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?;7:T260<5s-?n?784$4g1>3=zutw0c:0yO6=5=9r\o474ee3_;9973=#=l81:6sr}|9~f0e729086=4?{%04b?113A82e83>!40n3;9o6F=7d9U6=7=9rF94>4>{Wf;>7}#9m81=?j4V006>7}#=l9156*:e38:?x{zu2c:>h4?:%04b?75k2B9;h5Y29395~J50:0:w[j7:3y'5a4=9;o0Z<<::3y'1`5=12.>i?46;|~y>i6kk0;6)<8f;3`f>P5080:wA<73;3xRa>=:r.:h?4>cc9U573=:r.>i>49;%7f6?07<729q/>:h5bg9K6255$35e>4ee3_83=7?tL3:0>4}Ql109w)?k2;3`f>P6:<09w);j3;48 0c52?1vqps4}c05a?6=>3:190(<:k:068m44d290/>:h513a8R7>628qG>5=51zT2fd<5s-;o>7?=c:T260<5s-?n?784$4g1>3=zutw0ei?50;&13c{M0;7?7|^8hj6?u+1e09`4=Q9;?1>v*:e285?!3b:3<0qpsr;hf1>5<#:>l1h?5Y29396~J50:0:w[?ma;0x 4b52m80Z<<::3y'1`5=02.>i?47;|~H7>52=q]>:>53zTg2?4|,0c43t\o;744d3-9i?7;j3:'223=m2w]>:>53zTg2?4|,0c43t\o;744d3-9i?7;j2:'223=m2w]>:>53zTg2?4|,0c43t\o;7a7<,:h868k<;|&5307}#=l;1h?5+3c191`5i>4>2:&6a7<6:2wvqA<72;3xR71?2;q]h;4={%7f5?41n2.8n>4:e29~ 3122880qps4i343>5<#:>l1>;>4V3:2>7}K:191=vX>b`81!7c:38=<6X>2481!3b;3;97);j2;31?x{zD;29638p(8k>:343?!5e;3?n?6s+667957=zut1d=nl50;&13c<6kk10qo<9a;297?6=8r.9;k4=7b9K6252wvqp5f13g94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9;o0Z<<::3y'1`5=>2.>i?49;|~y>i6kk0;6)<8f;3`f>P5080:wA<73;3xRa>=:r.:h?4>cc9U573=:r.>i>49;%7f6?04<729q/>:h52948L7143f;hn7>5$35e>4ee32wi>;m50;794?6|,;=m6:84H350?l75l3:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?=d:T260<5s-?n?7?>;%7f6?763twvq6g>2d83>!40n3;9o6F=7d9U6=7=9rF94>4>{Wf;>7}#9m81=?k4V006>7}#=l91=<5+5d0954=zutw0e<=6:18'62`=9;i0D?9j;W0;5?7|D;2862481!3b;3;:7);j2;32?x{zu2c:894?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d38201=Q9;?1>v*:e2825>"2m;0:=6sr}|9l5fd=83.9;k4>cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91:6*:e385?x{zu2wi>;750;194?6|,;=m6:84H350?l75l3:1(?9i:00`?M40m2\94<4>{M0;7?7|^m21>v*>d3826a=Q9;?1>v*:e2825>"2m;0:=6sr}|9j57c=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=?k4V006>7}#=l91=<5+5d0954=zutw0c:0yO6=5=9r\o474ee3_;9973=#=l81:6sr}|9~f7g>29096=4?{%04b?da3A82b83>!40n3;9o65`1b`94?"5?o0:oo5Y29395~J50:0:w[j7:3y'5a4=9jh0Z<<::3y'1`5=>2.>i?49;|~y>{e:k?1<7850;2x 71a288j7E<83:&20a<6<2c:>n4?:%04b?75k2\94<4>{M0;7?7|^8hj6?u+1e0957e<^88>6?u+5d192>"2m;0=7psr}:kg5?6=,;=m6i?4V3:2>4}K:191=vX>b`81!7c:3n:7[?=5;0x 0c42?1/9h<56:~yx=nl;0;6)<8f;f1?S4?938p@?6<:0yU5gg=:r.:h?4k2:T260<5s-?n?764$4g1>==zutF94?4;{W044?5|^m<1>v*:e08g6>"4j:0>i>5rVe596~"2m80:>n5+3c191`5v*:e08g6>"4j:0>i>5rVe596~"2m80:>n5+3c191`4v*:e08g6>"4j:0>i>5rVe596~"2m80o=6*6:5rV0f2>7}Ql?09w);j1;f1?!5e;3?n?6s+667956=zut1b>;h50;&13c<5>o1]>5?52zN1<6<6s_;im770a3_;99744<,7}#=l;1>;h4$2`0>0c43t.=;84>2:~y>o5>90;6)<8f;054>P50809wA<73;3xR4df2;q/=i<52728R4422;q/9h=5139'1`4=9;1vqpB=8382S40038pZi852z&6a4<5>91/?o=55d18y!00=3;97psr;n3`f?6=,;=m6t$35e>71d3A82e83>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6:m1]=?;52z&6a6<13-?n>784}|~?l75m3:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?=e:T260<5s-?n?784$4g1>3=zutw0c:0yO6=5=9r\o474ee3_;9973=#=l81:6sr}|9~f7ge290:6=4?{%04b?4?>2B9;>5`1b`94?"5?o0:oo54}c0b`?6=;3:1N5?:1b=?j50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0957b<^88>6?u+5d192>"2m;0=7psr}:k26`<72-8h5Y13796~"2m:0=7);j2;48yx{z3f;hn7>5$35e>4ee3_83=7?tL3:0>4}Ql109w)?k2;3`f>P6:<09w);j3;48 0c52?1vqps4}c0ba?6=;3:1N5?:1b=?j50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0957b<^88>6?u+5d192>"2m;0=7psr}:k26`<72-8h5Y13796~"2m:0=7);j2;48yx{z3f;hn7>5$35e>4ee3_83=7?tL3:0>4}Ql109w)?k2;3`f>P6:<09w);j3;48 0c52?1vqps4}c0bb?6=;3:1N5?:1b=?j50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0957b<^88>6?u+5d1954=#=l81=<5r}|8m44b290/>:h513a8L71b3_83=7?tL3:0>4}Ql109w)?k2;31a>P6:<09w);j3;32?!3b:3;:7psr}:m2gg<72-85}#:>l1;;5G2618m44c290/>:h513a8L71b3_83=7?tL3:0>4}Ql109w)?k2;31`>P6:<09w);j3;32?!3b:3;:7psr}:k26`<72-8h5Y13796~"2m:0:=6*:e3825>{zut1b=>750;&13c<6:j1C>:k4V3:2>4}K:191=vXk8;0x 4b528927[?=5;0x 0c428;0(8k=:038yx{z3f;hn7>5$35e>4ee3_83=7?tL3:0>4}Ql109w)?k2;3`f>P6:<09w);j3;48 0c52?1vqps4}c0a5?6=<3:1N5?:1b=?j50;&13c<6:j1C>:k4V3:2>4}K:191=vXk8;0x 4b5288o7[?=5;0x 0c428;0(8k=:038yx{z3`;9i7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;31a>P6:<09w);j3;32?!3b:3;:7psr}:k27<<72-8{zut1d=nl50;&13c<6kk1]>5?51zN1<6<6s_n36?u+1e095fd<^88>6?u+5d192>"2m;0=7psr}:a6g4=83>1<7>t$35e>20<@;=87d?=d;29 71a288h7E<8e:T1<4<6sE83?7?tVe:96~"6l;0:>i5Y13796~"2m:0:=6*:e3825>{zut1b=?k50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0957c<^88>6?u+5d1954=#=l81=<5r}|8m45>290/>:h513a8R7>628qG>5=51zTg47428q]h54={%3g6?7dj2\:>84={%7f7?0<,50z&13c<0>2B9;>5f13f94?"5?o0:>n5G26g8R7>628qG>5=51zTg47428q]h54={%3g6?75m2\:>84={%7f7?763-?n>7?>;|~y>o6;00;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>389U573=:r.>i>4>1:&6a7<692wvqp5`1b`94?"5?o0:oo5Y29395~J50:0:w[j7:3y'5a4=9jh0Z<<::3y'1`5=>2.>i?49;|~y>{e:hi1<7650;2x 71a28ij7E<83:k26a<72-8i5Y13796~"2m:0=7);j2;48yx{z3`;9i7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;31a>P6:<09w);j3;48 0c52?1vqps4i01:>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b528927[?=5;0x 0c42?1/9h<56:~yx=n9=>1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<51568R4422;q/9h=56:&6a7<13twvq6g>4683>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6<>1]=?;52z&6a6<13-?n>784}|~?l7303:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?;8:T260<5s-?n?784$4g1>3=zutw0e<:6:18'62`=9;i0Z?6>:0yO6=5=9r\o4742>3_;9973=#=l81:6sr}|9l5fd=83.9;k4>cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91:6*:e385?x{zu2wi>nk50;094?6|,;=m6oh4H350?l75k3:1(?9i:00`?>i6kk0;6)<8f;3`f>P5080:wA<73;3xRa>=:r.:h?4>cc9U573=:r.>i>49;%7f6?03<729q/>:h513c8L7143-;?h7?;;h31g?6=,;=m6<n5Y13796~"2m:0=7);j2;48yx{z3`n:6=4+26d9`4=Q:1;1=vB=8282S7ei38p(:3yO6=5=9r\:nl4={%3g6?b53_;997==#=l8146sr}M0;6?2|^;=;6>uYd781!3b93n97)=m3;7f7>{Ql>09w);j1;31g>"4j:0>i>5r$756>`=z^;=;6>uYd781!3b93n97)=m3;7f7>{Ql>09w);j1;31g>"4j:0>i?5r$756>`=z^;=;6>uYd781!3b93n97)=m3;7f7>{Ql>09w);j1;f2?!5e;3?n?6s+66793>{Q9m;1>vXk6;0x 0c62m80(>l<:4g0?x"1?<0:?6sr}:k12c<72-87}#9m81>;h4V006>7}#=l91=?5+5d0957=zutF94?4>{W04v*:e0812c=#;k919h=4}%441?753twv7d<90;29 71a2;<;7[<71;0xH7>428q]=oo52z&2`7<5>91]=?;52z&6a6<6:2.>i?4>2:~yI4?:3;pZ?97:3yU`3<5s-?n=7<90:&0f6<2m:1v(;9::008yx{5}#:>l1>:m4H350?l75l3:1(?9i:00`?M40m2\94<4>{M0;7?7|^m21>v*>d3826a=Q9;?1>v*:e285?!3b:3<0qpsr;h31a?6=,;=m6<2481!3b;3<0(8k=:79~yx{2wvqp5rb3f3>5<6290;w)<8f;0;2>N5?:1d=nl50;&13c<6kk10qo2d83>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6:l1]=?;52z&6a6<13-?n>784}|~?j7dj3:1(?9i:0aa?S4?93;p@?6<:0yU`=<5s-;o>7?lb:T260<5s-?n?784$4g1>3=zutw0qo2d83>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6:l1]=?;52z&6a6<13-?n>784}|~?j7dj3:1(?9i:0aa?S4?93;p@?6<:0yU`=<5s-;o>7?lb:T260<5s-?n?784$4g1>3=zutw0qoo0Z?6>:0yO6=5=9r\o4744c3_;99747<,{M0;7?7|^m21>v*>d3826`=Q9;?1>v*:e2825>"2m;0:=6sr}|9l5fd=83.9;k4>cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91:6*:e385?x{zu2wi>i;50;194?6|,;=m6:84H350?l75l3:1(?9i:00`?M40m2\94<4>{M0;7?7|^m21>v*>d3826a=Q9;?1>v*:e2825>"2m;0:=6sr}|9j57c=83.9;k4>2b9K62c<^;2:62481!3b;3<0(8k=:79~yx{54;294~"5?o0<:6F=729j57b=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=?j4V006>7}#=l91=<5+5d0954=zutw0e<:0yO6=5=9r\o4744b3_;99747<,7?<9:T260<5s-?n?7?>;%7f6?763twvq6a>cc83>!40n3;hn6X=8082I4?;3;pZi652z&2`7<6kk1]=?;52z&6a6<13-?n>784}|~?xd5l>0;6??50;2x 71a2><0D?9<;h31`?6=,;=m6<P5080:wA<73;3xRa>=:r.:h?4>2e9U573=:r.>i>4m;%7f6?dh5Y13796~"2m:0i7);j2;`8yx{z3`;857>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;30=>P6:<09w);j3;`8 0c52k1vqps4i067>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b528>?7[?=5;0x 0c42k1/9h<5b:~yx=n9==1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<51558R4422;q/9h=5b:&6a74983>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6<11]=?;52z&6a67l4}|~?l7313:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?;9:T260<5s-?n?7l4$4g1>g=zutw0e<:n:18'62`=9;i0Z?6>:0yO6=5=9r\o4742f3_;997g=#=l81n6sr}|9j51d=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=9l4V006>7}#=l91n6*:e38a?x{zu2c:8n4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3820f=Q9;?1>v*:e28a?!3b:3h0qpsr;h31b?6=,;=m6<2481!3b;3h0(8k=:c9~yx{n5Y29395~J50:0:w[j7:3y'5a4=9:;0Z<<::3y'1`5=j2.>i?4m;|~y>o6;;0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>339U573=:r.>i>4m;%7f6?d5Y13796~"2m:0i7);j2;`8yx{z3`;887>5$35e>44d3A87l4}|~?l74=3:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?<5:T260<5s-?n?7l4$4g1>g=zutw0e<=9:18'62`=9;i0Z?6>:0yO6=5=9r\o474513_;99747<,7?<7:T260<5s-?n?7?>;%7f6?763twvq6g>3983>!40n3;9o6F=7d9U6=7=9rF94>4>{Wf;>7}#9m81=>64V006>7}#=l91=<5+5d0954=zutw0e<=n:18'62`=9;i0Z?6>:0yO6=5=9r\o4745f3_;9973=#=l81:6sr}|9j56d=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=>l4V006>7}#=l91:6*:e385?x{zu2c:?n4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3827f=Q9;?1>v*:e285?!3b:3<0qpsr;h30`?6=,;=m6<2481!3b;3<0(8k=:79~yx{2wvqp5f12d94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9:l0Z<<::3y'1`5=>2.>i?49;|~y>o6<90;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>419U573=:r.>i>49;%7f6?0428q]h54={%3g6?7392\:>84={%7f7?0<,:h513a8R7>628qG>5=51zTg{zut1b=9=50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e09515<^88>6?u+5d192>"2m;0=7psr}:k200<72-85$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;372>P6:<09w);j3;48 0c52?1vqps4o0aa>5<#:>l1=nl4V3:2>4}K:191=vXk8;0x 4b528ii7[?=5;0x 0c42?1/9h<56:~yx=zj;n36=4=1;294~"5?o0<:6F=729j57b=83.9;k4>2b9K62c<^;2:6n5G26g8R7>628qG>5=51zTg{zut1b=>750;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0956?<^88>6?u+5d19f>"2m;0i7psr}:k201<72-85$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;373>P6:<09w);j3;`8 0c52k1vqps4i06;>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b528>37[?=5;0x 0c42k1/9h<5b:~yx=n9=31<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<515;8R4422;q/9h=5b:&6a74`83>!40n3;9o6X=8082I4?;3;pZi652z&2`7<67l4}|~?l73j3:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?;b:T260<5s-?n?7l4$4g1>g=zutw0e<:l:18'62`=9;i0Z?6>:0yO6=5=9r\o4742d3_;997g=#=l81n6sr}|9j57`=83.9;k4>2b9K62c<^;2:6n5G26g8R7>628qG>5=51zTg{zut1b=>?50;&13c<6:j1C>:k4V3:2>4}K:191=vXk8;0x 4b5289:7[?=5;0x 0c42k1/9h<5b:~yx=n9:81<7*=7g826f=O:>o0Z?6>:0yO6=5=9r\o474553_;997g=#=l81n6sr}|9j565=83.9;k4>2b9K62c<^;2:6n5G26g8R7>628qG>5=51zTg{zut1b=>;50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e09563<^88>6?u+5d19f>"2m;0i7psr}:k273<72-8{zut1b=>950;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e09561<^88>6?u+5d1954=#=l81=<5r}|8m45?290/>:h513a8L71b3_83=7?tL3:0>4}Ql109w)?k2;30<>P6:<09w);j3;32?!3b:3;:7psr}:k27d<72-85$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;30f>P6:<09w);j3;48 0c52?1vqps4i01`>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5289h7[?=5;0x 0c42?1/9h<56:~yx=n9:n1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<512f8R4422;q/9h=56:&6a7<13twvq6g>3d83>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6;l1]=?;52z&6a6<13-?n>784}|~?l74n3:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?3=zutw0e<:?:18'62`=9;i0Z?6>:0yO6=5=9r\o474273_;9973=#=l81:6sr}|9j517=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=9?4V006>7}#=l91:6*:e385?x{zu2c:8?4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d38207=Q9;?1>v*:e285?!3b:3<0qpsr;h377?6=,;=m6<2481!3b;3<0(8k=:79~yx{>6=4+26d957e<^;2:62wvqp5f15494?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9=<0Z<<::3y'1`5=>2.>i?49;|~y>i6kk0;6)<8f;3`f>P5080:wA<73;3xRa>=:r.:h?4>cc9U573=:r.>i>49;%7f6?0=<729q/>:h51bc8L7143`;9h7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;31`>P6:<09w);j3;48 0c52?1vqps4i00f>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5288n7[?=5;0x 0c42?1/9h<56:~yx=n9:31<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<512;8R4422;q/9h=56:&6a7<13twvq6g>4583>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6<=1]=?;52z&6a6<13-?n>784}|~?l73?3:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?;7:T260<5s-?n?784$4g1>3=zutw0e<:7:18'62`=9;i0Z?6>:0yO6=5=9r\o4742?3_;9973=#=l81:6sr}|9j51?=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=974V006>7}#=l91:6*:e385?x{zu2e:oo4?:%04b?7dj2\94<4>{M0;7?7|^m21>v*>d382gg=Q9;?1>v*:e285?!3b:3<0qpsr;|`007<72;0;6=u+26d9fc=O:>90e<428q]h54={%3g6?7dj2\:>84={%7f7?0<,50z&13c<5?j1C>:=4i00g>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5288o7[?=5;0x 0c42?1/9h<56:~yx=n9;o1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<513g8R4422;q/9h=5f:&6a7cc83>!40n3;hn6X=8082I4?;3;pZi652z&2`7<6kk1]=?;52z&6a6<13-?n>784}|~?xd4<10;6;4?:1y'62`=9;k0D?9<;%37`?733`;9o7>5$35e>44d3_83=7?tL3:0>4}Q9kk1>v*>d3826f=Q9;?1>v*:e285?!3b:3<0qpsr;hf2>5<#:>l1h<5Y29395~J50:0:w[?ma;0x 4b52m;0Z<<::3y'1`5=>2.>i?49;|~y>oc:3:1(?9i:e08R7>62;qG>5=51zT2fd<5s-;o>7j=;W311?4|,{zuE83>7:tV353>6}Ql?09w);j1;f1?!5e;3?n?6sYd681!3b93;9o6*6h5rV353>6}Ql?09w);j1;f1?!5e;3?n?6sYd681!3b93;9o6*6h5rV353>6}Ql?09w);j1;f1?!5e;3?n?6sYd681!3b93n:7)=m3;7f7>{#>>?1i6sY1e396~Pc>38p(8k>:e08 6d42{zu2c9:k4?:%04b?41n2\94<4={M0;7?7|^8hj6?u+1e0963`<^88>6?u+5d1957=#=l81=?5r}|N1<7<6s_8<47i>4>2:&6a7<6:2wvqA<72;3xR71?2;q]h;4={%7f5?4182.8n>4:e29~ 3122880qps4o0aa>5<#:>l1=nl4;|`001<72:0;6=u+26d962e<@;=87d?=d;29 71a288h7E<8e:T1<4<6sE83?7?tVe:96~"6l;0:>i5Y13796~"2m:0=7);j2;48yx{z3`;9i7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;31a>P6:<09w);j3;48 0c52?1vqps4o0aa>5<#:>l1=nl4V3:2>4}K:191=vXk8;0x 4b528ii7[?=5;0x 0c42?1/9h<56:~yx=zj:>>6=4>:183!40n383:6F=729l5fd=83.9;k4>cc98yg53>3:197>50z&13c<0>2B9;>5f13f94?"5?o0:>n5G26g8R7>628qG>5=51zTg47h5Y13796~"2m:0:=6*:e3825>{zut1b=>750;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0956?<^88>6?u+5d1954=#=l81=<5r}|8m423290/>:h513a8R7>628qG>5=51zTg47428q]h54={%3g6?7dj2\:>84={%7f7?0<,50z&13c<0>2B9;>5f13f94?"5?o0:>n5G26g8R7>628qG>5=51zTg47428q]h54={%3g6?75m2\:>84={%7f7?763-?n>7?>;|~y>i6kk0;6)<8f;3`f>P5080:wA<73;3xRa>=:r.:h?4>cc9U573=:r.>i>49;%7f6?07<729q/>:h5bg9K6255$35e>4ee3_83=7?tL3:0>4}Ql109w)?k2;3`f>P6:<09w);j3;48 0c52?1vqps4}c6:90e<:0yO6=5=9r\o4744c3_;9973=#=l81:6sr}|9j57c=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=?k4V006>7}#=l91j6*:e38e?x{zu2e:oo4?:%04b?7dj2\94<4>{M0;7?7|^m21>v*>d382gg=Q9;?1>v*:e285?!3b:3<0qpsr;|`7=2<72?0;6=u+26d957g<@;=87)?;d;37?l75k3:1(?9i:00`?S4?93;p@?6<:0yU5gg=:r.:h?4>2b9U573=:r.>i>49;%7f6?0:18'62`=l81]>5?51zN1<6<6s_;im7a7<^88>6?u+5d192>"2m;0=7psr}:kg6?6=,;=m6i<4V3:2>7}K:191=vX>b`81!7c:3n97[?=5;0x 0c4211/9h<58:~yI4?:3>pZ?9?:2yU`3<5s-?n=7j=;%1a7?3b;2w]h:4={%7f5?75k2.8n>4:e29~ 3122l1vZ?9?:2yU`3<5s-?n=7j=;%1a7?3b;2w]h:4={%7f5?75k2.8n>4:e39~ 3122l1vZ?9?:2yU`3<5s-?n=7j=;%1a7?3b;2w]h:4={%7f5?b63-9i?7;j3:'223=?2w]=i?52zTg2?4|,0c43t.=;84>3:~y>o5>o0;6)<8f;05b>P50809wA<73;3xR4df2;q/=i<527d8R4422;q/9h=5139'1`4=9;1vqpB=8382S40038pZi852z&6a4<5>o1/?o=55d18y!00=3;97psr;h054?6=,;=m6?8?;W0;5?4|D;2866*:e3826>{zuE83>7?tV35;>7}Ql?09w);j1;054>"4j:0>i>5r$756>446<729q/>:h526a8L7143`;9h7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;31`>P6:<09w);j3;48 0c52?1vqps4i00f>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5288n7[?=5;0x 0c42?1/9h<56:~yx=h9jh1<7*=7g82gg=Q:1;1=vB=8282Sb?2;q/=i<51b`8R4422;q/9h=56:&6a7<13twvq6sm49f94?7=83:p(?9i:3:5?M40;2e:oo4?:%04b?7dj21vn96i:180>5<7s-8o6:m0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>2e9U573=:r.>i>49;%7f6?0428q]h54={%3g6?75m2\:>84={%7f7?0<,:h51b`8R7>628qG>5=51zTg{zut1vn97?:180>5<7s-8o6:m0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>2e9U573=:r.>i>49;%7f6?0428q]h54={%3g6?75m2\:>84={%7f7?0<,:h51b`8R7>628qG>5=51zTg{zut1vn97>:187>5<7s-8o6:m0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>2e9U573=:r.>i>4m;%7f6?d428q]h54={%3g6?75m2\:>84={%7f7?763-?n>7?>;|~y>o6;00;6)<8f;31g>N5?l1]>5?51zN1<6<6s_n36?u+1e0956?<^88>6?u+5d1954=#=l81=<5r}|8k4ee290/>:h51b`8R7>628qG>5=51zTg{zut1vn97=:184>5<7s-8o6:m0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>2e9U573=:r.>i>4m;%7f6?dh5Y13796~"2m:0i7);j2;`8yx{z3`;857>5$35e>44d3A8i?4>1:~yx=n9=>1<7*=7g826f=O:>o0Z?6>:0yO6=5=9r\o474233_;99747<,{M0;7?7|^m21>v*>d38202=Q9;?1>v*:e2825>"2m;0:=6sr}|9j51>=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=964V006>7}#=l91=<5+5d0954=zutw0c:0yO6=5=9r\o474ee3_;9973=#=l81:6sr}|9~f1?4290<6=4?{%04b?113A82e83>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6:m1]=?;52z&6a67l4}|~?l75m3:1(?9i:00`?M40m2\94<4>{M0;7?7|^m21>v*>d3826`=Q9;?1>v*:e2825>"2m;0:=6sr}|9j56?=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=>74V006>7}#=l91=<5+5d0954=zutw0e<:;:18'62`=9;i0D?9j;W0;5?7|D;2862481!3b;3;:7);j2;32?x{zu2c:8:4?:%04b?75k2B9;h5Y29395~J50:0:w[j7:3y'5a4=9==0Z<<::3y'1`5=981/9h<5109~yx{36=4+26d957e<^;2:62481!3b;3<0(8k=:79~yx{287>58;294~"5?o0<:6F=729j57b=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=?j4V006>7}#=l91n6*:e38a?x{zu2c:>h4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3826`=Q9;?1>v*:e28a?!3b:3h0qpsr;h30=?6=,;=m6<P5080:wA<73;3xRa>=:r.:h?4>389U573=:r.>i>4m;%7f6?d{zut1b=9950;&13c<6:j1C>:k4V3:2>4}K:191=vXk8;0x 4b528><7[?=5;0x 0c428;0(8k=:038yx{z3`;?47>5$35e>44d3A8i?4>1:~yx=n9=31<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<515;8R4422;q/9h=5109'1`4=981vqps4o0aa>5<#:>l1=nl4V3:2>4}K:191=vXk8;0x 4b528ii7[?=5;0x 0c42?1/9h<56:~yx=zj=3>6=47:183!40n3==7E<83:k26a<72-8i5Y13796~"2m:0i7);j2;`8yx{z3`;9i7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;31a>P6:<09w);j3;`8 0c52k1vqps4i01:>5<#:>l1=?m4H35f?S4?93;p@?6<:0yU`=<5s-;o>7?<9:T260<5s-?n?7l4$4g1>g=zutw0e<:;:18'62`=9;i0D?9j;W0;5?7|D;2862481!3b;3;:7);j2;32?x{zu2c:8:4?:%04b?75k2B9;h5Y29395~J50:0:w[j7:3y'5a4=9==0Z<<::3y'1`5=981/9h<5109~yx{36=4+26d957e<@;=n7[<71;3xH7>428q]h54={%3g6?7302\:>84={%7f7?763-?n>7?>;|~y>o6<00;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>489U573=:r.>i>4>1:&6a7<692wvqp5`1b`94?"5?o0:oo5Y29395~J50:0:w[j7:3y'5a4=9jh0Z<<::3y'1`5=>2.>i?49;|~y>{e<1o1<7650;2x 71a28ij7E<83:k26a<72-8i5Y13796~"2m:0=7);j2;48yx{z3`;9i7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;31a>P6:<09w);j3;48 0c52?1vqps4i01:>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b528927[?=5;0x 0c42?1/9h<56:~yx=n9=>1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<51568R4422;q/9h=56:&6a7<13twvq6g>4683>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6<>1]=?;52z&6a6<13-?n>784}|~?l7303:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?;8:T260<5s-?n?784$4g1>3=zutw0e<:6:18'62`=9;i0Z?6>:0yO6=5=9r\o4742>3_;9973=#=l81:6sr}|9l5fd=83.9;k4>cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91:6*:e385?x{zu2wi=8o50;094?6|,;=m6oh4H350?l75k3:1(?9i:00`?>i6kk0;6)<8f;3`f>P5080:wA<73;3xRa>=:r.:h?4>cc9U573=:r.>i>49;%7f6?06083>6<729q/>:h51bc8L7143`;9h7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;31`>P6:<09w);j3;48 0c52?1vqps4i00f>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5288n7[?=5;0x 0c42?1/9h<56:~yx=h9jh1<7*=7g82gg=Q:1;1=vB=8282Sb?2;q/=i<51b`8R4422;q/9h=56:&6a7<13twvq6sm14d94?0=83:p(?9i:00b?M40;2.:8i4>4:k26f<72-87}#9m81=?m4V006>7}#=l91:6*:e385?x{zu2co=7>5$35e>a7<^;2:62wvqp5fd383>!40n3n97[<71;0xH7>428q]=oo52z&2`784={%7f7?><,6*v*:e0826f=#;k919h=4}%441?c6*v*:e0826f=#;k919h<4}%441?c6*v*:e08g5>"4j:0>i>5r$756>2=z^8n:6?uYd781!3b93n97)=m3;7f7>{#>>?1=>5r}|9j63`=83.9;k4=6g9U6=7=:rF94>4>{W3ae?4|,8n96?8i;W311?4|,445<51zT13=<5s_n=6?u+5d3963`<,:h868k<;|&530<6:2wvq6g=6183>!40n38=<6X=8081I4?;3;pZi<4=619'7g5==l90q)885;31?x{z3f;hn7>5$35e>4ee32wi=8l50;194?6|,;=m6?9l;I047>o6:m0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>2e9U573=:r.>i>49;%7f6?0428q]h54={%3g6?75m2\:>84={%7f7?0<,:h51b`8R7>628qG>5=51zTg{zut1vn<;l:182>5<7s-8h7>53;294~"5?o0<:6F=729j57b=83.9;k4>2b9K62c<^;2:6n5G26g8R7>628qG>5=51zTg{zut1d=nl50;&13c<6kk1]>5?51zN1<6<6s_n36?u+1e095fd<^88>6?u+5d192>"2m;0=7psr}:a536=8391<7>t$35e>20<@;=87d?=d;29 71a288h7[<71;3xH7>428q]h54={%3g6?75l2\:>84={%7f7?763-?n>7?>;|~y>o6:l0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>2d9U573=:r.>i>4>1:&6a7<692wvqp5`1b`94?"5?o0:oo5Y29395~J50:0:w[j7:3y'5a4=9jh0Z<<::3y'1`5=>2.>i?49;|~y>{e9?81<7<50;2x 71a2kl0D?9<;h31g?6=,;=m6<5}#:>l1nk5G2618m44d290/>:h513a8?j7dj3:1(?9i:0aa?S4?93;p@?6<:0yU`=<5s-;o>7?lb:T260<5s-?n?784$4g1>3=zutw0qo?9e;297?6=8r.9;k4>c`9K6252wvqp5f13g94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9;o0Z<<::3y'1`5=>2.>i?49;|~y>i6kk0;6)<8f;3`f>P5080:wA<73;3xRa>=:r.:h?4>cc9U573=:r.>i>49;%7f6?06b83>3<729q/>:h513c8L7143-;?h7?;;h31g?6=,;=m6<n5Y13796~"2m:0=7);j2;48yx{z3`n:6=4+26d9`4=Q:1;1=vB=8282S7ei38p(:3yO6=5=9r\:nl4={%3g6?b53_;997==#=l8146sr}M0;6?2|^;=;6>uYd781!3b93n97)=m3;7f7>{Ql>09w);j1;31g>"4j:0>i>5r$756>`=z^;=;6>uYd781!3b93n97)=m3;7f7>{Ql>09w);j1;31g>"4j:0>i?5r$756>`=z^;=;6>uYd781!3b93n97)=m3;7f7>{Ql>09w);j1;f2?!5e;3?n?6s+66793>{Q9m;1>vXk6;0x 0c62m80(>l<:4g0?x"1?<0:?6sr}:k12c<72-87}#9m81>;h4V006>7}#=l91=?5+5d0957=zutF94?4>{W04v*:e0812c=#;k919h=4}%441?753twv7d<90;29 71a2;<;7[<71;0xH7>428q]=oo52z&2`7<5>91]=?;52z&6a6<6:2.>i?4>2:~yI4?:3;pZ?97:3yU`3<5s-?n=7<90:&0f6<2m:1v(;9::008yx{4?:283>5}#:>l1>:m4H350?l75l3:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?=d:T260<5s-?n?784$4g1>3=zutw0e<:0yO6=5=9r\o4744b3_;9973=#=l81:6sr}|9l5fd=83.9;k4>cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91:6*:e385?x{zu2wi=;:50;394?6|,;=m6?69;I047>i6kk0;6)<8f;3`f>=zj8<=6=4<:183!40n3==7E<83:k26a<72-82d83>!40n3;9o6F=7d9U6=7=9rF94>4>{Wf;>7}#9m81=?k4V006>7}#=l91n6*:e38a?x{zu2e:oo4?:%04b?7dj2\94<4>{M0;7?7|^m21>v*>d382gg=Q9;?1>v*:e285?!3b:3<0qpsr;|`222<72:0;6=u+26d933=O:>90e<2481!3b;3h0(8k=:c9~yx{428q]h54={%3g6?75m2\:>84={%7f7?d<,:h51b`8R7>628qG>5=51zTg{zut1vn<87:185>5<7s-8o6:m0;6)<8f;31g>N5?l1]>5?51zN1<6<6s_n36?u+1e0957b<^88>6?u+5d1954=#=l81=<5r}|8m44b290/>:h513a8L71b3_83=7?tL3:0>4}Ql109w)?k2;31a>P6:<09w);j3;32?!3b:3;:7psr}:k27<<72-85<#:>l1=?m4H35f?S4?93;p@?6<:0yU`=<5s-;o>7?;4:T260<5s-?n?7?>;%7f6?763twvq6g>4683>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6<>1]=?;52z&6a6<692.>i?4>1:~yx=h9jh1<7*=7g82gg=Q:1;1=vB=8282Sb?2;q/=i<51b`8R4422;q/9h=56:&6a7<13twvq6sm17794?2=83:p(?9i:0ab?M40;2c:>i4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3826a=Q9;?1>v*:e285?!3b:3<0qpsr;h31a?6=,;=m6<2481!3b;3<0(8k=:79~yx{2wvqp5`1b`94?"5?o0:oo5Y29395~J50:0:w[j7:3y'5a4=9jh0Z<<::3y'1`5=>2.>i?49;|~y>{e9?n1<7=50;2x 71a2><0D?9<;h31`?6=,;=m6<2481!3b;3;:7);j2;32?x{zu2c:>h4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3826`=Q9;?1>v*:e2825>"2m;0:=6sr}|9l5fd=83.9;k4>cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91:6*:e385?x{zu2wi=;o50;794?6|,;=m6:84H350?l75l3:1(?9i:00`?M40m2\94<4>{M0;7?7|^m21>v*>d3826a=Q9;?1>v*:e2826>"2m;0:>6sr}|9j57c=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=?k4V006>7}#=l91=?5+5d0957=zutw0e<=6:18'62`=9;i0Z?6>:0yO6=5=9r\o4745>3_;99744<,7?;4:T260<5s-?n?7?=;%7f6?753twvq6a>cc83>!40n3;hn6X=8082I4?;3;pZi652z&2`7<6kk1]=?;52z&6a6<13-?n>784}|~?xd5j?0;6?4?:1y'62`=jo1C>:=4i00`>5<#:>l1=?m4;n3`f?6=,;=m62481!3b;3<0(8k=:79~yx{52;294~"5?o0ij6F=729j57e=83.9;k4>2b98k4ee290/>:h51b`8R7>628qG>5=51zTg{zut1vn?m<:180>5<7s-82d83>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6:l1]=?;52z&6a67h4}|~?j7dj3:1(?9i:0aa?S4?93;p@?6<:0yU`=<5s-;o>7?lb:T260<5s-?n?784$4g1>3=zutw0qo2`9K625<,8>o6<:4i00`>5<#:>l1=?m4V3:2>4}K:191=vX>b`81!7c:3;9o6X>2481!3b;3<0(8k=:79~yx{P5080:wA<73;3xR4df2;q/=i<5d09U573=:r.>i>49;%7f6?05?52zN1<6<6s_;im7a4<^88>6?u+5d19<>"2m;037psrL3:1>1}Q:>:1?vXk6;0x 0c62m80(>l<:4g0?xPc?38p(8k>:00`?!5e;3?n?6s+6679a>{Q:>:1?vXk6;0x 0c62m80(>l<:4g0?xPc?38p(8k>:00`?!5e;3?n>6s+6679a>{Q:>:1?vXk6;0x 0c62m80(>l<:4g0?xPc?38p(8k>:e38 6d426*:e3826>{zuE83>7?tV35;>7}Ql?09w);j1;05b>"4j:0>i>5r$756>44:3yO6=5=9r\:nl4={%3g6?4182\:>84={%7f7?753-?n>7?=;|~H7>528q]>:652zTg2?4|,53;294~"5?o09;n5G2618m44c290/>:h513a8R7>628qG>5=51zTg{zut1b=?k50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0957c<^88>6?u+5d192>"2m;0=7psr}:m2gg<72-85}#:>l1>584H350?j7dj3:1(?9i:0aa?>{e:kk1<7:50;2x 71a2><0D?9<;h31`?6=,;=m6<2481!3b;3;:7);j2;32?x{zu2c:>h4?:%04b?75k2B9;h5Y29395~J50:0:w[j7:3y'5a4=9;o0Z<<::3y'1`5=981/9h<5109~yx{2481!3b;3<0(8k=:79~yx{51083>5}#:>l1;;5G2618m44c290/>:h513a8L71b3_83=7?tL3:0>4}Ql109w)?k2;31`>P6:<09w);j3;32?!3b:3;:7psr}:k26`<72-8h5Y13796~"2m:0:=6*:e3825>{zut1b=>750;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0956?<^88>6?u+5d1954=#=l81=<5r}|8m423290/>:h513a8R7>628qG>5=51zTg47{zut1b=9650;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0951><^88>6?u+5d1954=#=l81=<5r}|8m42>290/>:h513a8R7>628qG>5=51zTg47428q]h54={%3g6?73i2\:>84={%7f7?763-?n>7?>;|~y>o6P5080:wA<73;3xRa>=:r.:h?4>4c9U573=:r.>i>4>1:&6a7<692wvqp5f15a94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9=i0Z<<::3y'1`5=981/9h<5109~yx{2481!3b;3;:7);j2;32?x{zu2c:?<4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d38274=Q9;?1>v*:e2825>"2m;0:=6sr}|9j564=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=><4V006>7}#=l91=<5+5d0954=zutw0e<=<:18'62`=9;i0Z?6>:0yO6=5=9r\o474543_;9973=#=l81:6sr}|9j562=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=>:4V006>7}#=l91:6*:e385?x{zu2e:oo4?:%04b?7dj2\94<4>{M0;7?7|^m21>v*>d382gg=Q9;?1>v*:e285?!3b:3<0qpsr;|`1ff<728;1<7>t$35e>20<@;=87d?=d;29 71a288h7E<8e:T1<4<6sE83?7?tVe:96~"6l;0:>i5Y13796~"2m:0:=6*:e3825>{zut1b=?k50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0957c<^88>6?u+5d1954=#=l81=<5r}|8m45>290/>:h513a8R7>628qG>5=51zTg47428q]h54={%3g6?73<2\:>84={%7f7?763-?n>7?>;|~y>o6<>0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>469U573=:r.>i>4>1:&6a7<692wvqp5f15:94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9=20Z<<::3y'1`5=981/9h<5109~yx{26=4+26d957e<^;2:62481!3b;3;:7);j2;32?x{zu2c:8o4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3820g=Q9;?1>v*:e2825>"2m;0:=6sr}|9j51e=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=9m4V006>7}#=l91=<5+5d0954=zutw0e<:0yO6=5=9r\o4744a3_;99747<,7?<0:T260<5s-?n?7?>;%7f6?763twvq6g>3083>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6;81]=?;52z&6a6<692.>i?4>1:~yx=n9:81<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<51208R4422;q/9h=5109'1`4=981vqps4i010>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b528987[?=5;0x 0c42?1/9h<56:~yx=n9:>1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<51268R4422;q/9h=56:&6a7<13twvq6a>cc83>!40n3;hn6X=8082I4?;3;pZi652z&2`7<6kk1]=?;52z&6a6<13-?n>784}|~?xd5j00;694?:1y'62`=9jk0D?9<;h31`?6=,;=m6<2481!3b;3<0(8k=:79~yx{2wvqp5f12;94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9:30Z<<::3y'1`5=>2.>i?49;|~y>i6kk0;6)<8f;3`f>P5080:wA<73;3xRa>=:r.:h?4>cc9U573=:r.>i>49;%7f6?077=83:p(?9i:648L7143`;9h7>5$35e>44d3A87m4}|~?l75m3:1(?9i:00`?M40m2\94<4>{M0;7?7|^m21>v*>d3826`=Q9;?1>v*:e28`?!3b:3i0qpsr;h30=?6=,;=m6<2481!3b;3i0(8k=:b9~yx{?6=4+26d957e<^;2:6n5Y29395~J50:0:w[j7:3y'5a4=9==0Z<<::3y'1`5=k2.>i?4l;|~y>o6<10;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>499U573=:r.>i>4l;%7f6?e428q]h54={%3g6?7312\:>84={%7f7?e<,:h513a8R7>628qG>5=51zTg{zut1b=9l50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0951d<^88>6?u+5d19g>"2m;0h7psr}:k20f<72-85$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;31b>P6:<09w);j3;a8 0c52j1vqps4i013>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5289;7[?=5;0x 0c42j1/9h<5c:~yx=n9:;1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<51238R4422;q/9h=5c:&6a73383>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6;;1]=?;52z&6a67m4}|~?l74;3:1(?9i:00`?M40m2\94<4>{M0;7?7|^m21>v*>d38276=Q9;?1>v*:e28`?!3b:3i0qpsr;h300?6=,;=m6<2481!3b;3i0(8k=:b9~yx{6=4+26d957e<^;2:6P5080:wA<73;3xRa>=:r.:h?4>379U573=:r.>i>4>2:&6a7<6:2wvqp5f12594?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9:=0Z<<::3y'1`5=9;1/9h<5139~yx{2wvqp5f12c94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9:k0Z<<::3y'1`5=>2.>i?49;|~y>o6;k0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>3c9U573=:r.>i>49;%7f6?0428q]h54={%3g6?74k2\:>84={%7f7?0<,:h513a8R7>628qG>5=51zTg{zut1b=>k50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0956c<^88>6?u+5d192>"2m;0=7psr}:k27c<72-85$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;374>P6:<09w);j3;48 0c52?1vqps4i062>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b528>:7[?=5;0x 0c42?1/9h<56:~yx=n9=81<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<51508R4422;q/9h=56:&6a7<13twvq6g>4283>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6<:1]=?;52z&6a6<13-?n>784}|~?l73=3:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?;5:T260<5s-?n?784$4g1>3=zutw0e<:9:18'62`=9;i0Z?6>:0yO6=5=9r\o474213_;9973=#=l81:6sr}|9l5fd=83.9;k4>cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91:6*:e385?x{zu2wi>oh50;02>5<7s-8o6:m0;6)<8f;31g>N5?l1]>5?51zN1<6<6s_n36?u+1e0957b<^88>6?u+5d19g>"2m;0h7psr}:k26`<72-83883>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6;01]=?;52z&6a67m4}|~?l73<3:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?;4:T260<5s-?n?7m4$4g1>f=zutw0e<:8:18'62`=9;i0Z?6>:0yO6=5=9r\o474203_;997f=#=l81o6sr}|9j51>=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=964V006>7}#=l91o6*:e38`?x{zu2c:844?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3820<=Q9;?1>v*:e28`?!3b:3i0qpsr;h37e?6=,;=m6<2481!3b;3i0(8k=:b9~yx{i6=4+26d957e<^;2:6n5Y29395~J50:0:w[j7:3y'5a4=9=i0Z<<::3y'1`5=k2.>i?4l;|~y>o6:o0;6)<8f;31g>N5?l1]>5?51zN1<6<6s_n36?u+1e0957`<^88>6?u+5d19g>"2m;0h7psr}:k275<72-83083>!40n3;9o6F=7d9U6=7=9rF94>4>{Wf;>7}#9m81=>?4V006>7}#=l91o6*:e38`?x{zu2c:??4?:%04b?75k2B9;h5Y29395~J50:0:w[j7:3y'5a4=9:80Z<<::3y'1`5=k2.>i?4l;|~y>o6;:0;6)<8f;31g>N5?l1]>5?51zN1<6<6s_n36?u+1e09565<^88>6?u+5d19g>"2m;0h7psr}:k271<72-83483>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6;<1]=?;52z&6a67m4}|~?l74>3:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?<6:T260<5s-?n?7?=;%7f6?753twvq6g>3683>!40n3;9o6F=7d9U6=7=9rF94>4>{Wf;>7}#9m81=>94V006>7}#=l91=?5+5d0957=zutw0e<=7:18'62`=9;i0Z?6>:0yO6=5=9r\o4745?3_;9973=#=l81:6sr}|9j56g=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=>o4V006>7}#=l91:6*:e385?x{zu2c:?o4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3827g=Q9;?1>v*:e285?!3b:3<0qpsr;h30g?6=,;=m6<2481!3b;3<0(8k=:79~yx{2wvqp5f12g94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9:o0Z<<::3y'1`5=>2.>i?49;|~y>o6;o0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>3g9U573=:r.>i>49;%7f6?0428q]h54={%3g6?7382\:>84={%7f7?0<,:h513a8R7>628qG>5=51zTg;W311?4|,{zut1b=9<50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e09514<^88>6?u+5d192>"2m;0=7psr}:k206<72-85Y13796~"2m:0=7);j2;48yx{z3`;?97>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;371>P6:<09w);j3;48 0c52?1vqps4i065>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b528>=7[?=5;0x 0c42?1/9h<56:~yx=h9jh1<7*=7g82gg=Q:1;1=vB=8282Sb?2;q/=i<51b`8R4422;q/9h=56:&6a7<13twvq6sm2b294?5=83:p(?9i:0ab?M40;2c:>i4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3826a=Q9;?1>v*:e285?!3b:3<0qpsr;h31a?6=,;=m6<2481!3b;3<0(8k=:79~yx{2wvqp5rb3fa>5<5290;w)<8f;`e?M40;2c:>n4?:%04b?75k21d=nl50;&13c<6kk1]>5?51zN1<6<6s_n36?u+1e095fd<^88>6?u+5d192>"2m;0=7psr}:a6`2=8391<7>t$35e>71d3A82e83>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6:m1]=?;52z&6a6<13-?n>784}|~?l75m3:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?=e:T260<5s-?n?7h4$4g1>c=zutw0c:0yO6=5=9r\o474ee3_;9973=#=l81:6sr}|9~f7c4290=6=4?{%04b?75i2B9;>5+15f951=n9;i1<7*=7g826f=Q:1;1=vB=8282S7ei38p(2wvqp5fd083>!40n3n:7[<71;3xH7>428q]=oo52z&2`784={%7f7?0<,7}#9m81h?5Y13796~"2m:037);j2;:8yx{K:1818vX=7180Sb12;q/9h?5d39'7g5==l90q[j8:3y'1`7=9;i0(>l<:4g0?x"1?<0n7pX=7180Sb12;q/9h?5d39'7g5==l90q[j8:3y'1`7=9;i0(>l<:4g1?x"1?<0n7pX=7180Sb12;q/9h?5d39'7g5==l90q[j8:3y'1`7=l81/?o=55d18y!00=3=0q[?k1;0xRa0=:r.>i<4k2:&0f6<2m:1v(;9::018yx{P6:<09w);j3;31?!3b:3;97psrL3:1>4}Q:>21>vXk6;0x 0c62;{#>>?1=?5r}|9j636=83.9;k4=619U6=7=:rF94>4>{W3ae?4|,8n96?8?;W311?4|,445<51zT13=<5s_n=6?u+5d39636<,:h868k<;|&530<6:2wvq6a>cc83>!40n3;hn65rb3f`>5<4290;w)<8f;04g>N5?:1b=?j50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0957b<^88>6?u+5d192>"2m;0=7psr}:k26`<72-8h5Y13796~"2m:0=7);j2;48yx{z3f;hn7>5$35e>4ee3_83=7?tL3:0>4}Ql109w)?k2;3`f>P6:<09w);j3;48 0c52?1vqps4}c0g`?6=93:190c1<729q/>:h5779K625P5080:wA<73;3xRa>=:r.:h?4>2d9U573=:r.>i>4>1:&6a7<692wvqp5f12;94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9:30Z<<::3y'1`5=981/9h<5109~yx{2wvqp5rb3g3>5<0290;w)<8f;55?M40;2c:>i4?:%04b?75k2B9;h5Y29395~J50:0:w[j7:3y'5a4=9;n0Z<<::3y'1`5=981/9h<5109~yx{2481!3b;3;:7);j2;32?x{zu2c:894?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d38201=Q9;?1>v*:e2825>"2m;0:=6sr}|9j511=83.9;k4>2b9K62c<^;2:62481!3b;3;:7);j2;32?x{zu2e:oo4?:%04b?7dj2\94<4>{M0;7?7|^m21>v*>d382gg=Q9;?1>v*:e285?!3b:3<0qpsr;|`1a4<72>0;6=u+26d933=O:>90e<2481!3b;3;:7);j2;32?x{zu2c:>h4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3826`=Q9;?1>v*:e2825>"2m;0:=6sr}|9j56?=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=>74V006>7}#=l91=<5+5d0954=zutw0e<:;:18'62`=9;i0Z?6>:0yO6=5=9r\o474233_;99747<,7?;7:T260<5s-?n?7?>;%7f6?763twvq6g>4983>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6<11]=?;52z&6a6<692.>i?4>1:~yx=h9jh1<7*=7g82gg=Q:1;1=vB=8282Sb?2;q/=i<51b`8R4422;q/9h=56:&6a7<13twvq6sm2eg94?2=83:p(?9i:0ab?M40;2c:>i4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3826a=Q9;?1>v*:e285?!3b:3<0qpsr;h31a?6=,;=m6<2481!3b;3<0(8k=:79~yx{2wvqp5`1b`94?"5?o0:oo5Y29395~J50:0:w[j7:3y'5a4=9jh0Z<<::3y'1`5=>2.>i?49;|~y>{e:l?1<7<50;2x 71a2kl0D?9<;h31g?6=,;=m6<5}#:>l1>:m4H350?l75l3:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?=d:T260<5s-?n?784$4g1>3=zutw0e<:0yO6=5=9r\o4744b3_;997c=#=l81j6sr}|9l5fd=83.9;k4>cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91:6*:e385?x{zu2wi>hj50;494?6|,;=m6<"62b83>!40n3;9o6X=8082I4?;3;pZ2.>i?49;|~y>oc93:1(?9i:e38R7>628qG>5=51zT2fd<5s-;o>7j>;W311?4|,{zut1bh?4?:%04b?b53_83=74}Q9kk1>v*>d38g6>P6:<09w);j3;:8 0c5211vqpB=8387S40839pZi852z&6a44:e29~Ra1=:r.>i<4>2b9'7g5==l90q)885;g8yS40839pZi852z&6a44:e29~Ra1=:r.>i<4>2b9'7g5==l80q)885;g8yS40839pZi852z&6a44:e29~Ra1=:r.>i<4k1:&0f6<2m:1v(;9::69~R4b62;q]h;4={%7f5?b53-9i?7;j3:'223=9:1vqp5f27d94?"5?o09:k5Y29396~J50:0:w[?ma;0x 4b52;{zu2c9:=4?:%04b?4182\94<4={M0;7?7|^8hj6?u+1e09636<^88>6?u+5d1957=#=l81=?5r}|N1<7<6s_8<47{e:l<1<7=50;2x 71a2;=h7E<83:k26a<72-8i5Y13796~"2m:0=7);j2;48yx{z3`;9i7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;31a>P6:<09w);j3;48 0c52?1vqps4o0aa>5<#:>l1=nl4V3:2>4}K:191=vXk8;0x 4b528ii7[?=5;0x 0c42?1/9h<56:~yx=zj;o<6=4>:183!40n383:6F=729l5fd=83.9;k4>cc98yg4b13:187>50z&13c<0>2B9;>5f13f94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9;n0Z<<::3y'1`5=981/9h<5109~yx{428q]h54={%3g6?75m2\:>84={%7f7?763-?n>7?>;|~y>o6;00;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>389U573=:r.>i>4>1:&6a7<692wvqp5`1b`94?"5?o0:oo5Y29395~J50:0:w[j7:3y'5a4=9jh0Z<<::3y'1`5=>2.>i?49;|~y>{e:lk1<7650;2x 71a2><0D?9<;h31`?6=,;=m6<P5080:wA<73;3xRa>=:r.:h?4>2e9U573=:r.>i>4>1:&6a7<692wvqp5f13g94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9;o0Z<<::3y'1`5=981/9h<5109~yx{2481!3b;3;:7);j2;32?x{zu2c:8:4?:%04b?75k2B9;h5Y29395~J50:0:w[j7:3y'5a4=9==0Z<<::3y'1`5=981/9h<5109~yx{36=4+26d957e<^;2:62481!3b;3;:7);j2;32?x{zu2e:oo4?:%04b?7dj2\94<4>{M0;7?7|^m21>v*>d382gg=Q9;?1>v*:e285?!3b:3<0qpsr;|`1ag<7210;6=u+26d933=O:>90e<2481!3b;3;:7);j2;32?x{zu2c:>h4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3826`=Q9;?1>v*:e2825>"2m;0:=6sr}|9j56?=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=>74V006>7}#=l91=<5+5d0954=zutw0e<:;:18'62`=9;i0Z?6>:0yO6=5=9r\o474233_;99747<,7?;7:T260<5s-?n?7?>;%7f6?763twvq6g>4983>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6<11]=?;52z&6a6<692.>i?4>1:~yx=n9=31<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<515;8R4422;q/9h=5109'1`4=981vqps4o0aa>5<#:>l1=nl4V3:2>4}K:191=vXk8;0x 4b528ii7[?=5;0x 0c42?1/9h<56:~yx=zj;o36=4;:183!40n3;hm6F=729j57b=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=?j4V006>7}#=l91:6*:e385?x{zu2c:>h4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3826`=Q9;?1>v*:e285?!3b:3<0qpsr;h30=?6=,;=m6<2481!3b;3<0(8k=:79~yx{2wvqp5rb3ge>5<5290;w)<8f;`e?M40;2c:>n4?:%04b?75k21d=nl50;&13c<6kk1]>5?51zN1<6<6s_n36?u+1e095fd<^88>6?u+5d192>"2m;0=7psr}:a6c>=8391<7>t$35e>71d3A82e83>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6:m1]=?;52z&6a6<13-?n>784}|~?l75m3:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?=e:T260<5s-?n?7h4$4g1>c=zutw0c:0yO6=5=9r\o474ee3_;9973=#=l81:6sr}|9~f7`0290=6=4?{%04b?75i2B9;>5+15f951=n9;i1<7*=7g826f=Q:1;1=vB=8282S7ei38p(2wvqp5fd083>!40n3n:7[<71;3xH7>428q]=oo52z&2`784={%7f7?0<,7}#9m81h?5Y13796~"2m:037);j2;:8yx{K:1818vX=7180Sb12;q/9h?5d39'7g5==l90q[j8:3y'1`7=9;i0(>l<:4g0?x"1?<0n7pX=7180Sb12;q/9h?5d39'7g5==l90q[j8:3y'1`7=9;i0(>l<:4g1?x"1?<0n7pX=7180Sb12;q/9h?5d39'7g5==l90q[j8:3y'1`7=l81/?o=55d18y!00=3=0q[?k1;0xRa0=:r.>i<4k2:&0f6<2m:1v(;9::018yx{P6:<09w);j3;31?!3b:3;97psrL3:1>4}Q:>21>vXk6;0x 0c62;{#>>?1=?5r}|9j636=83.9;k4=619U6=7=:rF94>4>{W3ae?4|,8n96?8?;W311?4|,445<51zT13=<5s_n=6?u+5d39636<,:h868k<;|&530<6:2wvq6a>cc83>!40n3;hn65rb3d3>5<4290;w)<8f;04g>N5?:1b=?j50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0957b<^88>6?u+5d192>"2m;0=7psr}:k26`<72-8h5Y13796~"2m:0=7);j2;48yx{z3f;hn7>5$35e>4ee3_83=7?tL3:0>4}Ql109w)?k2;3`f>P6:<09w);j3;48 0c52?1vqps4}c0e5?6=93:190c1<729q/>:h5779K625P5080:wA<73;3xRa>=:r.:h?4>2d9U573=:r.>i>4>1:&6a7<692wvqp5f12;94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9:30Z<<::3y'1`5=981/9h<5109~yx{2wvqp5rb3d7>5<>290;w)<8f;55?M40;2c:>i4?:%04b?75k2B9;h5Y29395~J50:0:w[j7:3y'5a4=9;n0Z<<::3y'1`5=981/9h<5109~yx{2481!3b;3;:7);j2;32?x{zu2c:894?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d38201=Q9;?1>v*:e2825>"2m;0:=6sr}|9j511=83.9;k4>2b9K62c<^;2:62481!3b;3;:7);j2;32?x{zu2c:844?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3820<=Q9;?1>v*:e2825>"2m;0:=6sr}|9j51g=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=9o4V006>7}#=l91=<5+5d0954=zutw0c:0yO6=5=9r\o474ee3_;9973=#=l81:6sr}|9~f7`229026=4?{%04b?113A82e83>!40n3;9o6F=7d9U6=7=9rF94>4>{Wf;>7}#9m81=?j4V006>7}#=l91=<5+5d0954=zutw0e<:0yO6=5=9r\o4744b3_;99747<,7?<9:T260<5s-?n?7?>;%7f6?763twvq6g>4583>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6<=1]=?;52z&6a6<692.>i?4>1:~yx=n9==1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<51558R4422;q/9h=5109'1`4=981vqps4i06;>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b528>37[?=5;0x 0c428;0(8k=:038yx{z3`;?57>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;37=>P6:<09w);j3;32?!3b:3;:7psr}:k20d<72-8{zut1d=nl50;&13c<6kk1]>5?51zN1<6<6s_n36?u+1e095fd<^88>6?u+5d192>"2m;0=7psr}:a6c4=83>1<7>t$35e>4ef3A82e83>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6:m1]=?;52z&6a6<13-?n>784}|~?l75m3:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?=e:T260<5s-?n?784$4g1>3=zutw0e<=6:18'62`=9;i0Z?6>:0yO6=5=9r\o4745>3_;9973=#=l81:6sr}|9l5fd=83.9;k4>cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91:6*:e385?x{zu2wi>k750;094?6|,;=m6oh4H350?l75k3:1(?9i:00`?>i6kk0;6)<8f;3`f>P5080:wA<73;3xRa>=:r.:h?4>cc9U573=:r.>i>49;%7f6?06<729q/>:h526a8L7143`;9h7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;31`>P6:<09w);j3;48 0c52?1vqps4i00f>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5288n7[?=5;0x 0c42o1/9h<5f:~yx=h9jh1<7*=7g82gg=Q:1;1=vB=8282Sb?2;q/=i<51b`8R4422;q/9h=56:&6a7<13twvq6sm31394?0=83:p(?9i:00b?M40;2.:8i4>4:k26f<72-87}#9m81=?m4V006>7}#=l91:6*:e385?x{zu2co=7>5$35e>a7<^;2:62wvqp5fd383>!40n3n97[<71;0xH7>428q]=oo52z&2`784={%7f7?><,6*v*:e0826f=#;k919h=4}%441?c6*v*:e0826f=#;k919h<4}%441?c6*v*:e08g5>"4j:0>i>5r$756>2=z^8n:6?uYd781!3b93n97)=m3;7f7>{#>>?1=>5r}|9j63`=83.9;k4=6g9U6=7=:rF94>4>{W3ae?4|,8n96?8i;W311?4|,445<51zT13=<5s_n=6?u+5d3963`<,:h868k<;|&530<6:2wvq6g=6183>!40n38=<6X=8081I4?;3;pZi<4=619'7g5==l90q)885;31?x{z3f;hn7>5$35e>4ee32wi>ko50;194?6|,;=m6?9l;I047>o6:m0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>2e9U573=:r.>i>49;%7f6?0428q]h54={%3g6?75m2\:>84={%7f7?0<,:h51b`8R7>628qG>5=51zTg{zut1vn?hm:182>5<7s-854;294~"5?o0<:6F=729j57b=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=?j4V006>7}#=l91=<5+5d0954=zutw0e<2481!3b;3;:7);j2;32?x{zu2c:?44?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3827<=Q9;?1>v*:e2825>"2m;0:=6sr}|9l5fd=83.9;k4>cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91:6*:e385?x{zu2wi>kk50;32>5<7s-8o6:m0;6)<8f;31g>N5?l1]>5?51zN1<6<6s_n36?u+1e0957b<^88>6?u+5d1954=#=l81=<5r}|8m44b290/>:h513a8R7>628qG>5=51zTg47428q]h54={%3g6?7412\:>84={%7f7?763-?n>7?>;|~y>o6<=0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>459U573=:r.>i>4>1:&6a7<692wvqp5f15594?"5?o0:>n5G26g8R7>628qG>5=51zTg47428q]h54={%3g6?7302\:>84={%7f7?763-?n>7?>;|~y>o6<00;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>489U573=:r.>i>4>1:&6a7<692wvqp5f15c94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9=k0Z<<::3y'1`5=981/9h<5109~yx{i6=4+26d957e<^;2:62481!3b;3<0(8k=:79~yx{2wvqp5f12294?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9::0Z<<::3y'1`5=>2.>i?49;|~y>o6;80;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>309U573=:r.>i>49;%7f6?0428q]h54={%3g6?74:2\:>84={%7f7?0<,:h513a8R7>628qG>5=51zTg{zut1b=>:50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e09562<^88>6?u+5d192>"2m;0=7psr}:m2gg<72-8{M0;7?7|^m21>v*>d3826a=Q9;?1>v*:e2825>"2m;0:=6sr}|9j57c=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=?k4V006>7}#=l91=<5+5d0954=zutw0e<=6:18'62`=9;i0Z?6>:0yO6=5=9r\o4745>3_;99747<,7?;4:T260<5s-?n?7?>;%7f6?763twvq6g>4683>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6<>1]=?;52z&6a6<692.>i?4>1:~yx=n9=21<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<515:8R4422;q/9h=5109'1`4=981vqps4i06:>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b528>27[?=5;0x 0c428;0(8k=:038yx{z3`;?m7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;37e>P6:<09w);j3;32?!3b:3;:7psr}:k20g<72-8{zut1b=9m50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0951e<^88>6?u+5d192>"2m;0=7psr}:k26c<72-8k5Y13796~"2m:0=7);j2;48yx{z3`;8<7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;304>P6:<09w);j3;48 0c52?1vqps4i012>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5289:7[?=5;0x 0c42?1/9h<56:~yx=n9:81<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<51208R4422;q/9h=56:&6a7<13twvq6g>3283>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6;:1]=?;52z&6a6<13-?n>784}|~?l74<3:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?<4:T260<5s-?n?784$4g1>3=zutw0c:0yO6=5=9r\o474ee3_;9973=#=l81:6sr}|9~f7`d290?6=4?{%04b?7di2B9;>5f13f94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9;n0Z<<::3y'1`5=>2.>i?49;|~y>o6:l0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>2d9U573=:r.>i>49;%7f6?0428q]h54={%3g6?7412\:>84={%7f7?0<,:h51b`8R7>628qG>5=51zTg{zut1vn>><:181>5<7s-8o6:j0;6)<8f;31g>=h9jh1<7*=7g82gg=Q:1;1=vB=8282Sb?2;q/=i<51b`8R4422;q/9h=56:&6a7<13twvq6sm31a94?5=83:p(?9i:35`?M40;2c:>i4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3826a=Q9;?1>v*:e285?!3b:3<0qpsr;h31a?6=,;=m6<2481!3b;3l0(8k=:g9~yx{2wvqp5rb22a>5<1290;w)<8f;31e>N5?:1/=9j5159j57e=83.9;k4>2b9U6=7=9rF94>4>{W3ae?4|,8n96<{zut1bh<4?:%04b?b63_83=7?tL3:0>4}Q9kk1>v*>d38g5>P6:<09w);j3;48 0c52?1vqps4ie094?"5?o0o>6X=8081I4?;3;pZ764}|O6=4=7}#=l;1h?5+3c191`57}#=l;1h?5+3c191`57}#=l;1h?5+3c191`56*6<=4}|8m70a290/>:h527d8R7>62;qG>5=51zT2fd<5s-;o>7<9f:T260<5s-?n?7?=;%7f6?753twv@?6=:0yU62>=:r\o:770a3-9i?7;j3:'223=9;1vqp5f27294?"5?o09:=5Y29396~J50:0:w[?ma;0x 4b52;<;7[?=5;0x 0c42880(8k=:008yx{K:181=vX=7981Sb12;q/9h?52728 6d42{zu2e:oo4?:%04b?7dj21vn>>;:180>5<7s-82d83>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6:l1]=?;52z&6a6<13-?n>784}|~?j7dj3:1(?9i:0aa?S4?93;p@?6<:0yU`=<5s-;o>7?lb:T260<5s-?n?784$4g1>3=zutw0qo=?5;295?6=8r.9;k4=879K6255}#:>l1;;5G2618m44c290/>:h513a8R7>628qG>5=51zTg47h5Y13796~"2m:0:=6*:e3825>{zut1b=>750;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0956?<^88>6?u+5d1954=#=l81=<5r}|8k4ee290/>:h51b`8R7>628qG>5=51zTg{zut1vn>>7:1825?6=8r.9;k486:J136=n9;n1<7*=7g826f=O:>o0Z?6>:0yO6=5=9r\o4744c3_;99747<,7?=e:T260<5s-?n?7?>;%7f6?763twvq6g>3883>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6;01]=?;52z&6a6<692.>i?4>1:~yx=n9=>1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<51568R4422;q/9h=5109'1`4=981vqps4i064>5<#:>l1=?m4H35f?S4?93;p@?6<:0yU`=<5s-;o>7?;7:T260<5s-?n?7?>;%7f6?763twvq6g>4983>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6<11]=?;52z&6a6<692.>i?4>1:~yx=n9=31<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<515;8R4422;q/9h=5109'1`4=981vqps4i06b>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b528>j7[?=5;0x 0c428;0(8k=:038yx{z3`;?n7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;37f>P6:<09w);j3;32?!3b:3;:7psr}:k20f<72-8{zut1b=?h50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0957`<^88>6?u+5d192>"2m;0=7psr}:k275<72-85$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;305>P6:<09w);j3;48 0c52?1vqps4i011>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b528997[?=5;0x 0c42?1/9h<56:~yx=n9:91<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<51218R4422;q/9h=56:&6a7<13twvq6g>3583>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6;=1]=?;52z&6a6<13-?n>784}|~?j7dj3:1(?9i:0aa?S4?93;p@?6<:0yU`=<5s-;o>7?lb:T260<5s-?n?784$4g1>3=zutw0qo=?9;2954<729q/>:h5779K625428q]h54={%3g6?75l2\:>84={%7f7?763-?n>7?>;|~y>o6:l0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>2d9U573=:r.>i>4>1:&6a7<692wvqp5f12;94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9:30Z<<::3y'1`5=981/9h<5109~yx{?6=4+26d957e<^;2:62481!3b;3;:7);j2;32?x{zu2c:854?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3820==Q9;?1>v*:e2825>"2m;0:=6sr}|9j51?=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=974V006>7}#=l91=<5+5d0954=zutw0e<:n:18'62`=9;i0Z?6>:0yO6=5=9r\o4742f3_;99747<,7?;b:T260<5s-?n?7?>;%7f6?763twvq6g>4b83>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6i?4>1:~yx=n9;l1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<513d8R4422;q/9h=56:&6a7<13twvq6g>3183>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6;91]=?;52z&6a6<13-?n>784}|~?l7493:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?<1:T260<5s-?n?784$4g1>3=zutw0e<==:18'62`=9;i0Z?6>:0yO6=5=9r\o474553_;9973=#=l81:6sr}|9j565=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=>=4V006>7}#=l91:6*:e385?x{zu2c:?94?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d38271=Q9;?1>v*:e285?!3b:3<0qpsr;n3`f?6=,;=m62481!3b;3<0(8k=:79~yx{54;294~"5?o0:ol5G2618m44c290/>:h513a8R7>628qG>5=51zTg{zut1b=?k50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0957c<^88>6?u+5d192>"2m;0=7psr}:k27<<72-85$35e>4ee3_83=7?tL3:0>4}Ql109w)?k2;3`f>P6:<09w);j3;48 0c52?1vqps4}c13`?6=:3:1N5?:1b=?m50;&13c<6:j10c:0yO6=5=9r\o474ee3_;9973=#=l81:6sr}|9~f67129086=4?{%04b?40k2B9;>5f13f94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9;n0Z<<::3y'1`5=>2.>i?49;|~y>o6:l0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>2d9U573=:r.>i>4i;%7f6?`428q]h54={%3g6?7dj2\:>84={%7f7?0<,50z&13c<6:h1C>:=4$06g>42P6:<09w);j3;48 0c52?1vqps4ie394?"5?o0o=6X=8082I4?;3;pZ784}|~?lb5290/>:h5d39U6=7=:rF94>4>{W3ae?4|,8n96i<4V006>7}#=l9146*:e38;?x{zD;2969uY26297~Pc>38p(8k>:e08 6d42{#>>?1i6sY26297~Pc>38p(8k>:e08 6d42{#>>?1i6sY26297~Pc>38p(8k>:e08 6d42l<:4g0?x"1?<0<7pX>d081Sb12;q/9h?5d39'7g5==l90q)885;30?x{z3`8=j7>5$35e>70a3_83=74}Q9kk1>v*>d3812c=Q9;?1>v*:e2826>"2m;0:>6sr}M0;6?7|^;=36?uYd781!3b938=j6*6<<4}|8m707290/>:h52728R7>62;qG>5=51zT2fd<5s-;o>7<90:T260<5s-?n?7?=;%7f6?753twv@?6=:0yU62>=:r\o:77073-9i?7;j3:'223=9;1vqp5`1b`94?"5?o0:oo54}c13a?6=;3:190e<:0yO6=5=9r\o4744c3_;9973=#=l81:6sr}|9j57c=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=?k4V006>7}#=l91:6*:e385?x{zu2e:oo4?:%04b?7dj2\94<4>{M0;7?7|^m21>v*>d382gg=Q9;?1>v*:e285?!3b:3<0qpsr;|`04c<7280;6=u+26d96=0<@;=87b?lb;29 71a28ii76sm30394?2=83:p(?9i:648L7143`;9h7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;31`>P6:<09w);j3;32?!3b:3;:7psr}:k26`<72-85<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b528927[?=5;0x 0c428;0(8k=:038yx{z3f;hn7>5$35e>4ee3_83=7?tL3:0>4}Ql109w)?k2;3`f>P6:<09w);j3;48 0c52?1vqps4}c126?6=980;6=u+26d933=O:>90e<2481!3b;3;:7);j2;32?x{zu2c:>h4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3826`=Q9;?1>v*:e2825>"2m;0:=6sr}|9j56?=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=>74V006>7}#=l91=<5+5d0954=zutw0e<:;:18'62`=9;i0Z?6>:0yO6=5=9r\o474233_;99747<,{M0;7?7|^m21>v*>d38202=Q9;?1>v*:e2825>"2m;0:=6sr}|9j51>=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=964V006>7}#=l91=<5+5d0954=zutw0e<:6:18'62`=9;i0Z?6>:0yO6=5=9r\o4742>3_;99747<,7?;a:T260<5s-?n?7?>;%7f6?763twvq6g>4c83>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6i?4>1:~yx=n9=i1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<515a8R4422;q/9h=5109'1`4=981vqps4i00e>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5288m7[?=5;0x 0c428;0(8k=:038yx{z3`;8<7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;304>P6:<09w);j3;48 0c52?1vqps4i012>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5289:7[?=5;0x 0c42?1/9h<56:~yx=n9:81<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<51208R4422;q/9h=56:&6a7<13twvq6g>3283>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6;:1]=?;52z&6a6<13-?n>784}|~?l74<3:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?<4:T260<5s-?n?784$4g1>3=zutw0c:0yO6=5=9r\o474ee3_;9973=#=l81:6sr}|9~f674290:=7>50z&13c<0>2B9;>5f13f94?"5?o0:>n5G26g8R7>628qG>5=51zTg47428q]h54={%3g6?75m2\:>84={%7f7?763-?n>7?>;|~y>o6;00;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>389U573=:r.>i>4>1:&6a7<692wvqp5f15694?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9=>0Z<<::3y'1`5=981/9h<5109~yx{<6=4+26d957e<^;2:62481!3b;3;:7);j2;32?x{zu2c:844?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3820<=Q9;?1>v*:e2825>"2m;0:=6sr}|9j51g=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=9o4V006>7}#=l91=<5+5d0954=zutw0e<:m:18'62`=9;i0Z?6>:0yO6=5=9r\o4742e3_;99747<,7?;c:T260<5s-?n?7?>;%7f6?763twvq6g>2g83>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6:o1]=?;52z&6a6<692.>i?4>1:~yx=n9::1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<51228R4422;q/9h=56:&6a7<13twvq6g>3083>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6;81]=?;52z&6a6<13-?n>784}|~?l74:3:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?<2:T260<5s-?n?784$4g1>3=zutw0e<=<:18'62`=9;i0Z?6>:0yO6=5=9r\o474543_;9973=#=l81:6sr}|9j562=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=>:4V006>7}#=l91:6*:e385?x{zu2e:oo4?:%04b?7dj2\94<4>{M0;7?7|^m21>v*>d382gg=Q9;?1>v*:e285?!3b:3<0qpsr;|`055<72=0;6=u+26d95fg<@;=87d?=d;29 71a288h7[<71;3xH7>428q]h54={%3g6?75l2\:>84={%7f7?0<,:h513a8R7>628qG>5=51zTg{zut1b=>750;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0956?<^88>6?u+5d192>"2m;0=7psr}:m2gg<72-85}#:>l1nk5G2618m44d290/>:h513a8?j7dj3:1(?9i:0aa?S4?93;p@?6<:0yU`=<5s-;o>7?lb:T260<5s-?n?784$4g1>3=zutw0qo==0;297?6=8r.9;k4=7b9K6252wvqp5f13g94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9;o0Z<<::3y'1`5=n2.>i?4i;|~y>i6kk0;6)<8f;3`f>P5080:wA<73;3xRa>=:r.:h?4>cc9U573=:r.>i>49;%7f6?03<729q/>:h513c8L7143-;?h7?;;h31g?6=,;=m6<n5Y13796~"2m:0=7);j2;48yx{z3`n:6=4+26d9`4=Q:1;1=vB=8282S7ei38p(:3yO6=5=9r\:nl4={%3g6?b53_;997==#=l8146sr}M0;6?2|^;=;6>uYd781!3b93n97)=m3;7f7>{Ql>09w);j1;31g>"4j:0>i>5r$756>`=z^;=;6>uYd781!3b93n97)=m3;7f7>{Ql>09w);j1;31g>"4j:0>i?5r$756>`=z^;=;6>uYd781!3b93n97)=m3;7f7>{Ql>09w);j1;f2?!5e;3?n?6s+66793>{Q9m;1>vXk6;0x 0c62m80(>l<:4g0?x"1?<0:?6sr}:k12c<72-87}#9m81>;h4V006>7}#=l91=?5+5d0957=zutF94?4>{W04v*:e0812c=#;k919h=4}%441?753twv7d<90;29 71a2;<;7[<71;0xH7>428q]=oo52z&2`7<5>91]=?;52z&6a6<6:2.>i?4>2:~yI4?:3;pZ?97:3yU`3<5s-?n=7<90:&0f6<2m:1v(;9::008yx{5}#:>l1>:m4H350?l75l3:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?=d:T260<5s-?n?784$4g1>3=zutw0e<:0yO6=5=9r\o4744b3_;9973=#=l81:6sr}|9l5fd=83.9;k4>cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91:6*:e385?x{zu2wi?<750;394?6|,;=m6?69;I047>i6kk0;6)<8f;3`f>=zj:;i6=4;:183!40n3==7E<83:k26a<72-8i5Y13796~"2m:0:=6*:e3825>{zut1b=?k50;&13c<6:j1C>:k4V3:2>4}K:191=vXk8;0x 4b5288n7[?=5;0x 0c428;0(8k=:038yx{z3`;857>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;30=>P6:<09w);j3;32?!3b:3;:7psr}:m2gg<72-8{M0;7?7|^m21>v*>d3826a=Q9;?1>v*:e2825>"2m;0:=6sr}|9j57c=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=?k4V006>7}#=l91=<5+5d0954=zutw0e<=6:18'62`=9;i0Z?6>:0yO6=5=9r\o4745>3_;99747<,7?;4:T260<5s-?n?7?>;%7f6?763twvq6g>4683>!40n3;9o6F=7d9U6=7=9rF94>4>{Wf;>7}#9m81=994V006>7}#=l91=<5+5d0954=zutw0e<:7:18'62`=9;i0Z?6>:0yO6=5=9r\o4742?3_;99747<,7?;9:T260<5s-?n?7?>;%7f6?763twvq6g>4`83>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6i?4>1:~yx=n9=h1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<515`8R4422;q/9h=5109'1`4=981vqps4i06`>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b528>h7[?=5;0x 0c428;0(8k=:038yx{z3`;9j7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;31b>P6:<09w);j3;32?!3b:3;:7psr}:k275<72-8{zut1b=>?50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e09567<^88>6?u+5d192>"2m;0=7psr}:k277<72-85$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;307>P6:<09w);j3;48 0c52?1vqps4i017>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5289?7[?=5;0x 0c42?1/9h<56:~yx=h9jh1<7*=7g82gg=Q:1;1=vB=8282Sb?2;q/=i<51b`8R4422;q/9h=56:&6a7<13twvq6sm30f94?76290;w)<8f;55?M40;2c:>i4?:%04b?75k2B9;h5Y29395~J50:0:w[j7:3y'5a4=9;n0Z<<::3y'1`5=981/9h<5109~yx{2481!3b;3;:7);j2;32?x{zu2c:894?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d38201=Q9;?1>v*:e2825>"2m;0:=6sr}|9j511=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=994V006>7}#=l91=<5+5d0954=zutw0e<:7:18'62`=9;i0Z?6>:0yO6=5=9r\o4742?3_;99747<,7?;9:T260<5s-?n?7?>;%7f6?763twvq6g>4`83>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6i?4>1:~yx=n9=h1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<515`8R4422;q/9h=5109'1`4=981vqps4i06`>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b528>h7[?=5;0x 0c428;0(8k=:038yx{z3`;9j7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;31b>P6:<09w);j3;32?!3b:3;:7psr}:k275<72-8{zut1b=>?50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e09567<^88>6?u+5d192>"2m;0=7psr}:k277<72-85$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;307>P6:<09w);j3;48 0c52?1vqps4i017>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5289?7[?=5;0x 0c42?1/9h<56:~yx=h9jh1<7*=7g82gg=Q:1;1=vB=8282Sb?2;q/=i<51b`8R4422;q/9h=56:&6a7<13twvq6sm30c94?2=83:p(?9i:0ab?M40;2c:>i4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3826a=Q9;?1>v*:e285?!3b:3<0qpsr;h31a?6=,;=m6<2481!3b;3<0(8k=:79~yx{2wvqp5`1b`94?"5?o0:oo5Y29395~J50:0:w[j7:3y'5a4=9jh0Z<<::3y'1`5=>2.>i?49;|~y>{e;;;1<7<50;2x 71a2kl0D?9<;h31g?6=,;=m6<54?:383>5}#:>l1nk5G2618m44d290/>:h513a8?j7dj3:1(?9i:0aa?S4?93;p@?6<:0yU`=<5s-;o>7?lb:T260<5s-?n?784$4g1>3=zutw0qo==f;297?6=8r.9;k4=7b9K6252wvqp5f13g94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9;o0Z<<::3y'1`5=n2.>i?4i;|~y>i6kk0;6)<8f;3`f>P5080:wA<73;3xRa>=:r.:h?4>cc9U573=:r.>i>49;%7f6?03<729q/>:h513c8L7143-;?h7?;;h31g?6=,;=m6<n5Y13796~"2m:0=7);j2;48yx{z3`n:6=4+26d9`4=Q:1;1=vB=8282S7ei38p(:3yO6=5=9r\:nl4={%3g6?b53_;997==#=l8146sr}M0;6?2|^;=;6>uYd781!3b93n97)=m3;7f7>{Ql>09w);j1;31g>"4j:0>i>5r$756>`=z^;=;6>uYd781!3b93n97)=m3;7f7>{Ql>09w);j1;31g>"4j:0>i?5r$756>`=z^;=;6>uYd781!3b93n97)=m3;7f7>{Ql>09w);j1;f2?!5e;3?n?6s+66793>{Q9m;1>vXk6;0x 0c62m80(>l<:4g0?x"1?<0:?6sr}:k12c<72-87}#9m81>;h4V006>7}#=l91=?5+5d0957=zutF94?4>{W04v*:e0812c=#;k919h=4}%441?753twv7d<90;29 71a2;<;7[<71;0xH7>428q]=oo52z&2`7<5>91]=?;52z&6a6<6:2.>i?4>2:~yI4?:3;pZ?97:3yU`3<5s-?n=7<90:&0f6<2m:1v(;9::008yx{?4?:283>5}#:>l1>:m4H350?l75l3:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?=d:T260<5s-?n?784$4g1>3=zutw0e<:0yO6=5=9r\o4744b3_;9973=#=l81:6sr}|9l5fd=83.9;k4>cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91:6*:e385?x{zu2wi??=50;394?6|,;=m6?69;I047>i6kk0;6)<8f;3`f>=zj:8>6=4<:183!40n3==7E<83:k26a<72-8i5Y13796~"2m:0=7);j2;48yx{z3`;9i7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;31a>P6:<09w);j3;48 0c52?1vqps4o0aa>5<#:>l1=nl4V3:2>4}K:191=vXk8;0x 4b528ii7[?=5;0x 0c42?1/9h<56:~yx=zj:8=6=4;:183!40n3==7E<83:k26a<72-8i5Y13796~"2m:0:=6*:e3825>{zut1b=?k50;&13c<6:j1C>:k4V3:2>4}K:191=vXk8;0x 4b5288n7[?=5;0x 0c428;0(8k=:038yx{z3`;857>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;30=>P6:<09w);j3;32?!3b:3;:7psr}:m2gg<72-8:4?:0394?6|,;=m6:84H350?l75l3:1(?9i:00`?M40m2\94<4>{M0;7?7|^m21>v*>d3826a=Q9;?1>v*:e2825>"2m;0:=6sr}|9j57c=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=?k4V006>7}#=l91=<5+5d0954=zutw0e<=6:18'62`=9;i0Z?6>:0yO6=5=9r\o4745>3_;99747<,7?;4:T260<5s-?n?7?>;%7f6?763twvq6g>4683>!40n3;9o6F=7d9U6=7=9rF94>4>{Wf;>7}#9m81=994V006>7}#=l91=<5+5d0954=zutw0e<:7:18'62`=9;i0Z?6>:0yO6=5=9r\o4742?3_;99747<,7?;9:T260<5s-?n?7?>;%7f6?763twvq6g>4`83>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6i?4>1:~yx=n9=h1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<515`8R4422;q/9h=5109'1`4=981vqps4i06`>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b528>h7[?=5;0x 0c428;0(8k=:038yx{z3`;9j7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;31b>P6:<09w);j3;32?!3b:3;:7psr}:k275<72-8{zut1b=>?50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e09567<^88>6?u+5d1954=#=l81=<5r}|8m455290/>:h513a8R7>628qG>5=51zTg{zut1b=>=50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e09565<^88>6?u+5d192>"2m;0=7psr}:k271<72-85$35e>4ee3_83=7?tL3:0>4}Ql109w)?k2;3`f>P6:<09w);j3;48 0c52?1vqps4}c110?6=<3:190e<:0yO6=5=9r\o4744c3_;9973=#=l81:6sr}|9j57c=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=?k4V006>7}#=l91:6*:e385?x{zu2c:?44?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3827<=Q9;?1>v*:e285?!3b:3<0qpsr;n3`f?6=,;=m62481!3b;3<0(8k=:79~yx{52083>5}#:>l1;;5G2618m44c290/>:h513a8L71b3_83=7?tL3:0>4}Ql109w)?k2;31`>P6:<09w);j3;a8 0c52j1vqps4i00f>5<#:>l1=?m4H35f?S4?93;p@?6<:0yU`=<5s-;o>7?=e:T260<5s-?n?7m4$4g1>f=zutw0e<=6:18'62`=9;i0Z?6>:0yO6=5=9r\o4745>3_;997f=#=l81o6sr}|9j512=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=9:4V006>7}#=l91o6*:e38`?x{zu2c:8:4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d38202=Q9;?1>v*:e28`?!3b:3i0qpsr;h372481!3b;3i0(8k=:b9~yx{26=4+26d957e<^;2:6n5Y29395~J50:0:w[j7:3y'5a4=9=k0Z<<::3y'1`5=k2.>i?4l;|~y>o6P5080:wA<73;3xRa>=:r.:h?4>4c9U573=:r.>i>4l;%7f6?e428q]h54={%3g6?73k2\:>84={%7f7?e<,:h513a8R7>628qG>5=51zTg{zut1b=>>50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e09566<^88>6?u+5d19g>"2m;0h7psr}:k274<72-87>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;306>P6:<09w);j3;a8 0c52j1vqps4i010>5<#:>l1=?m4H35f?S4?93;p@?6<:0yU`=<5s-;o>7?<3:T260<5s-?n?7m4$4g1>f=zutw0e<=;:18'62`=9;i0Z?6>:0yO6=5=9r\o474533_;997f=#=l81o6sr}|9j563=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=>;4V006>7}#=l91=?5+5d0957=zutw0e<=9:18'62`=9;i0D?9j;W0;5?7|D;2862481!3b;3;97);j2;31?x{zu2c:?:4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d38272=Q9;?1>v*:e2826>"2m;0:>6sr}|9j56>=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=>64V006>7}#=l91:6*:e385?x{zu2c:?l4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3827d=Q9;?1>v*:e285?!3b:3<0qpsr;h30f?6=,;=m6<2481!3b;3<0(8k=:79~yx{2wvqp5f12f94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9:n0Z<<::3y'1`5=>2.>i?49;|~y>o6;l0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>3d9U573=:r.>i>49;%7f6?0428q]h54={%3g6?74n2\:>84={%7f7?0<,:h513a8R7>628qG>5=51zTg{zut1b=9?50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e09517<^88>6?u+5d192>"2m;0=7psr}:k207<72-85$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;377>P6:<09w);j3;48 0c52?1vqps4i066>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b528>>7[?=5;0x 0c42?1/9h<56:~yx=n9=<1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<51548R4422;q/9h=56:&6a7<13twvq6a>cc83>!40n3;hn6X=8082I4?;3;pZi652z&2`7<6kk1]=?;52z&6a6<13-?n>784}|~?xd4:h0;6??50;2x 71a2><0D?9<;h31`?6=,;=m6<P5080:wA<73;3xRa>=:r.:h?4>2e9U573=:r.>i>4l;%7f6?eh5Y13796~"2m:0h7);j2;a8yx{z3`;857>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;30=>P6:<09w);j3;a8 0c52j1vqps4i067>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b528>?7[?=5;0x 0c42j1/9h<5c:~yx=n9==1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<51558R4422;q/9h=5c:&6a74983>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6<11]=?;52z&6a67m4}|~?l7313:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?;9:T260<5s-?n?7m4$4g1>f=zutw0e<:n:18'62`=9;i0Z?6>:0yO6=5=9r\o4742f3_;997f=#=l81o6sr}|9j51d=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=9l4V006>7}#=l91o6*:e38`?x{zu2c:8n4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3820f=Q9;?1>v*:e28`?!3b:3i0qpsr;h31b?6=,;=m6<P5080:wA<73;3xRa>=:r.:h?4>2g9U573=:r.>i>4l;%7f6?e5$35e>44d3A87m4}|~?l74:3:1(?9i:00`?M40m2\94<4>{M0;7?7|^m21>v*>d38277=Q9;?1>v*:e28`?!3b:3i0qpsr;h307?6=,;=m6<P5080:wA<73;3xRa>=:r.:h?4>329U573=:r.>i>4l;%7f6?e428q]h54={%3g6?74<2\:>84={%7f7?e<,:h513a8R7>628qG>5=51zTg446*:e3826>{zut1b=>950;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e09561<^88>6?u+5d1957=#=l81=?5r}|8m45?290/>:h513a8R7>628qG>5=51zTg{zut1b=>o50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0956g<^88>6?u+5d192>"2m;0=7psr}:k27g<72-85$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;30g>P6:<09w);j3;48 0c52?1vqps4i01g>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5289o7[?=5;0x 0c42?1/9h<56:~yx=n9:o1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<512g8R4422;q/9h=56:&6a7<13twvq6g>3g83>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6;o1]=?;52z&6a6<13-?n>784}|~?l7383:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?;0:T260<5s-?n?784$4g1>3=zutw0e<:>:18'62`=9;i0Z?6>:0yO6=5=9r\o474263_;9973=#=l81:6sr}|9j514=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=9<4V006>7}#=l91:6*:e385?x{zu2c:8>4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d38206=Q9;?1>v*:e285?!3b:3<0qpsr;h371?6=,;=m6<2481!3b;3<0(8k=:79~yx{=6=4+26d957e<^;2:62wvqp5`1b`94?"5?o0:oo5Y29395~J50:0:w[j7:3y'5a4=9jh0Z<<::3y'1`5=>2.>i?49;|~y>{e;;h1<7<>:183!40n3==7E<83:k26a<72-82d83>!40n3;9o6F=7d9U6=7=9rF94>4>{Wf;>7}#9m81=?k4V006>7}#=l91o6*:e38`?x{zu2c:?44?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3827<=Q9;?1>v*:e28`?!3b:3i0qpsr;h370?6=,;=m6<2481!3b;3i0(8k=:b9~yx{<6=4+26d957e<^;2:6n5Y29395~J50:0:w[j7:3y'5a4=9=20Z<<::3y'1`5=k2.>i?4l;|~y>o6<00;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>489U573=:r.>i>4l;%7f6?e428q]h54={%3g6?73i2\:>84={%7f7?e<,:h513a8R7>628qG>5=51zTg{zut1b=9m50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0951e<^88>6?u+5d19g>"2m;0h7psr}:k26c<72-83183>!40n3;9o6F=7d9U6=7=9rF94>4>{Wf;>7}#9m81=>>4V006>7}#=l91o6*:e38`?x{zu2c:?<4?:%04b?75k2B9;h5Y29395~J50:0:w[j7:3y'5a4=9:;0Z<<::3y'1`5=k2.>i?4l;|~y>o6;;0;6)<8f;31g>N5?l1]>5?51zN1<6<6s_n36?u+1e09564<^88>6?u+5d19g>"2m;0h7psr}:k276<72-83583>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6;=1]=?;52z&6a67m4}|~?l74=3:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?<5:T260<5s-?n?7?=;%7f6?753twvq6g>3783>!40n3;9o6F=7d9U6=7=9rF94>4>{Wf;>7}#9m81=>84V006>7}#=l91=?5+5d0957=zutw0e<=8:18'62`=9;i0Z?6>:0yO6=5=9r\o474503_;99744<,7?<8:T260<5s-?n?784$4g1>3=zutw0e<=n:18'62`=9;i0Z?6>:0yO6=5=9r\o4745f3_;9973=#=l81:6sr}|9j56d=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=>l4V006>7}#=l91:6*:e385?x{zu2c:?n4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3827f=Q9;?1>v*:e285?!3b:3<0qpsr;h30`?6=,;=m6<2481!3b;3<0(8k=:79~yx{2wvqp5f12d94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9:l0Z<<::3y'1`5=>2.>i?49;|~y>o6<90;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>419U573=:r.>i>49;%7f6?0428q]h54={%3g6?7392\:>84={%7f7?0<,:h513a8R7>628qG>5=51zTg{zut1b=9=50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e09515<^88>6?u+5d192>"2m;0=7psr}:k200<72-85$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;372>P6:<09w);j3;48 0c52?1vqps4o0aa>5<#:>l1=nl4V3:2>4}K:191=vXk8;0x 4b528ii7[?=5;0x 0c42?1/9h<56:~yx=zj:8h6=4;:183!40n3;hm6F=729j57b=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=?j4V006>7}#=l91:6*:e385?x{zu2c:>h4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3826`=Q9;?1>v*:e285?!3b:3<0qpsr;h30=?6=,;=m6<2481!3b;3<0(8k=:79~yx{2wvqp5rb26b>5<5290;w)<8f;`e?M40;2c:>n4?:%04b?75k21d=nl50;&13c<6kk1]>5?51zN1<6<6s_n36?u+1e095fd<^88>6?u+5d192>"2m;0=7psr}:a705=83<1<7>t$35e>44f3A84e820>o6:j0;6)<8f;31g>P5080:wA<73;3xR4df2;q/=i<513a8R4422;q/9h=56:&6a7<13twvq6gk1;29 71a2m;0Z?6>:0yO6=5=9r\:nl4={%3g6?b63_;9973=#=l81:6sr}|9j`7<72-86X>2481!3b;320(8k=:99~yxJ50;0?w[<80;1xRa0=:r.>i<4k2:&0f6<2m:1vZi952z&6a4<6:j1/?o=55d18y!00=3o0q[<80;1xRa0=:r.>i<4k2:&0f6<2m:1vZi952z&6a4<6:j1/?o=55d08y!00=3o0q[<80;1xRa0=:r.>i<4k2:&0f6<2m:1vZi952z&6a44:e29~ 3122l1vZ:3yU`3<5s-?n=7j=;%1a7?3b;2w/::;5129~yx=n:?l1<7*=7g812c=Q:1;1>vB=8282S7ei38p(l<:4g0?x"1?<0:>6sr}:k125<72-87}#9m81>;>4V006>7}#=l91=?5+5d0957=zutF94?4>{W04v*:e08125=#;k919h=4}%441?753twv7b?lb;29 71a28ii76sm35a94?5=83:p(?9i:35`?M40;2c:>i4?:%04b?75k2B9;h5Y29395~J50:0:w[j7:3y'5a4=9;n0Z<<::3y'1`5=>2.>i?49;|~y>o6:l0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>2d9U573=:r.>i>49;%7f6?0428q]h54={%3g6?7dj2\:>84={%7f7?0<,50z&13c<50?1C>:=4o0aa>5<#:>l1=nl4;|`00c<72:0;6=u+26d933=O:>90e<:0yO6=5=9r\o4744c3_;99747<,7?=e:T260<5s-?n?7?>;%7f6?763twvq6a>cc83>!40n3;hn6X=8082I4?;3;pZi652z&2`7<6kk1]=?;52z&6a6<13-?n>784}|~?xd4=90;6>4?:1y'62`=??1C>:=4i00g>5<#:>l1=?m4H35f?S4?93;p@?6<:0yU`=<5s-;o>7?=d:T260<5s-?n?7?>;%7f6?763twvq6g>2d83>!40n3;9o6F=7d9U6=7=9rF94>4>{Wf;>7}#9m81=?k4V006>7}#=l91=<5+5d0954=zutw0c:0yO6=5=9r\o474ee3_;9973=#=l81:6sr}|9~f636290?6=4?{%04b?113A82e83>!40n3;9o6F=7d9U6=7=9rF94>4>{Wf;>7}#9m81=?j4V006>7}#=l91=<5+5d0954=zutw0e<:0yO6=5=9r\o4744b3_;99747<,7?<9:T260<5s-?n?7?>;%7f6?763twvq6a>cc83>!40n3;hn6X=8082I4?;3;pZi652z&2`7<6kk1]=?;52z&6a6<13-?n>784}|~?xd42481!3b;3<0(8k=:79~yx{2wvqp5f12;94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9:30Z<<::3y'1`5=>2.>i?49;|~y>i6kk0;6)<8f;3`f>P5080:wA<73;3xRa>=:r.:h?4>cc9U573=:r.>i>49;%7f6?06<729q/>:h5779K625428q]h54={%3g6?75l2\:>84={%7f7?763-?n>7?>;|~y>o6:l0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>2d9U573=:r.>i>4>1:&6a7<692wvqp5`1b`94?"5?o0:oo5Y29395~J50:0:w[j7:3y'5a4=9jh0Z<<::3y'1`5=>2.>i?49;|~y>{e;<>1<7<50;2x 71a2kl0D?9<;h31g?6=,;=m6<5}#:>l1=?o4H350?!73l3;?7d?=c;29 71a288h7[<71;3xH7>428q]=oo52z&2`7<6:j1]=?;52z&6a6<13-?n>784}|~?lb6290/>:h5d09U6=7=9rF94>4>{W3ae?4|,8n96i?4V006>7}#=l91:6*:e385?x{zu2co>7>5$35e>a4<^;2:6?uC29195~P6jh09w)?k2;f1?S75=38p(8k<:99'1`4=02wvqA<72;6xR7172:q]h;4={%7f5?b53-9i?7;j3:U`2<5s-?n=7?=c:&0f6<2m:1v(;9::d9~R7172:q]h;4={%7f5?b53-9i?7;j3:U`2<5s-?n=7?=c:&0f6<2m;1v(;9::d9~R7172:q]h;4={%7f5?b53-9i?7;j3:U`2<5s-?n=7j>;%1a7?3b;2w/::;5e:U5a7=:r\o:7a4<,:h868k<;|&530<6;2wvq6g=6g83>!40n38=j6X=8081I4?;3;pZi<4=6g9'7g5==l90q)885;31?x{z3`8=<7>5$35e>7073_83=74}Q9kk1>v*>d38125=Q9;?1>v*:e2826>"2m;0:>6sr}M0;6?7|^;=36?uYd781!3b938=<6*6<<4}|8k4ee290/>:h51b`8?xd4=?0;6>4?:1y'62`=:>i0D?9<;h31`?6=,;=m6<P5080:wA<73;3xRa>=:r.:h?4>2e9U573=:r.>i>49;%7f6?0428q]h54={%3g6?75m2\:>84={%7f7?0<,:h51b`8R7>628qG>5=51zTg{zut1vn>;8:182>5<7s-857>53;294~"5?o0<:6F=729j57b=83.9;k4>2b9K62c<^;2:6P5080:wA<73;3xRa>=:r.:h?4>2d9U573=:r.>i>4>1:&6a7<692wvqp5`1b`94?"5?o0:oo5Y29395~J50:0:w[j7:3y'5a4=9jh0Z<<::3y'1`5=>2.>i?49;|~y>{e;<0D?9<;h31`?6=,;=m6<P5080:wA<73;3xRa>=:r.:h?4>2e9U573=:r.>i>4>1:&6a7<692wvqp5f13g94?"5?o0:>n5G26g8R7>628qG>5=51zTg47428q]h54={%3g6?7dj2\:>84={%7f7?0<,50z&13c<0>2B9;>5f13f94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9;n0Z<<::3y'1`5=981/9h<5109~yx{2481!3b;3;:7);j2;32?x{zu2e:oo4?:%04b?7dj2\94<4>{M0;7?7|^m21>v*>d382gg=Q9;?1>v*:e285?!3b:3<0qpsr;|`01f<72=0;6=u+26d933=O:>90e<2481!3b;3;:7);j2;32?x{zu2c:>h4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3826`=Q9;?1>v*:e2825>"2m;0:=6sr}|9j56?=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=>74V006>7}#=l91=<5+5d0954=zutw0c:0yO6=5=9r\o474ee3_;9973=#=l81:6sr}|9~f63?290>6=4?{%04b?7di2B9;>5f13f94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9;n0Z<<::3y'1`5=>2.>i?49;|~y>o6:l0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>2d9U573=:r.>i>49;%7f6?0428q]h54={%3g6?7412\:>84={%7f7?0<,:h513a8R7>628qG>5=51zTg{zut1d=nl50;&13c<6kk1]>5?51zN1<6<6s_n36?u+1e095fd<^88>6?u+5d192>"2m;0=7psr}:a703=8391<7>t$35e>20<@;=87d?=d;29 71a288h7E<8e:T1<4<6sE83?7?tVe:96~"6l;0:>i5Y13796~"2m:0:=6*:e3825>{zut1b=?k50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0957c<^88>6?u+5d1954=#=l81=<5r}|8k4ee290/>:h51b`8R7>628qG>5=51zTg{zut1vn;>>:181>5<7s-8o6:j0;6)<8f;31g>=h9jh1<7*=7g82gg=Q:1;1=vB=8282Sb?2;q/=i<51b`8R4422;q/9h=56:&6a7<13twvq6sm61a94?0=83:p(?9i:00b?M40;2.:8i4>4:k26f<72-87}#9m81=?m4V006>7}#=l91:6*:e385?x{zu2co=7>5$35e>a7<^;2:62wvqp5fd383>!40n3n97[<71;0xH7>428q]=oo52z&2`784={%7f7?><,6*v*:e0826f=#;k919h=4}%441?c6*v*:e0826f=#;k919h<4}%441?c6*v*:e08g5>"4j:0>i>5r$756>2=z^8n:6?uYd781!3b93n97)=m3;7f7>{#>>?1=>5r}|9j63`=83.9;k4=6g9U6=7=:rF94>4>{W3ae?4|,8n96?8i;W311?4|,445<51zT13=<5s_n=6?u+5d3963`<,:h868k<;|&530<6:2wvq6g=6183>!40n38=<6X=8081I4?;3;pZi<4=619'7g5==l90q)885;31?x{z3f;hn7>5$35e>4ee32wi:=<50;194?6|,;=m6?9l;I047>o6:m0;6)<8f;31g>N5?l1]>5?51zN1<6<6s_n36?u+1e0957b<^88>6?u+5d192>"2m;0=7psr}:k26`<72-8h5Y13796~"2m:0=7);j2;48yx{z3f;hn7>5$35e>4ee3_83=7?tL3:0>4}Ql109w)?k2;3`f>P6:<09w);j3;48 0c52?1vqps4}c437?6=93:190c6<729q/>:h5779K6252481!3b;3;:7);j2;32?x{zu2e:oo4?:%04b?7dj2\94<4>{M0;7?7|^m21>v*>d382gg=Q9;?1>v*:e285?!3b:3<0qpsr;|`543<72:0;6=u+26d933=O:>90e<:0yO6=5=9r\o4744c3_;9973=#=l81:6sr}|9j57c=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=?k4V006>7}#=l91:6*:e385?x{zu2e:oo4?:%04b?7dj2\94<4>{M0;7?7|^m21>v*>d382gg=Q9;?1>v*:e285?!3b:3<0qpsr;|`542<72=0;6=u+26d933=O:>90e<2481!3b;3h0(8k=:c9~yx{428q]h54={%3g6?75m2\:>84={%7f7?763-?n>7?>;|~y>o6;00;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>389U573=:r.>i>4>1:&6a7<692wvqp5`1b`94?"5?o0:oo5Y29395~J50:0:w[j7:3y'5a4=9jh0Z<<::3y'1`5=>2.>i?49;|~y>{e>921<7:50;2x 71a2><0D?9<;h31`?6=,;=m6<2481!3b;3;:7);j2;32?x{zu2c:>h4?:%04b?75k2B9;h5Y29395~J50:0:w[j7:3y'5a4=9;o0Z<<::3y'1`5=981/9h<5109~yx{2481!3b;3<0(8k=:79~yx{54;294~"5?o0<:6F=729j57b=83.9;k4>2b9K62c<^;2:6P5080:wA<73;3xRa>=:r.:h?4>2d9U573=:r.>i>4>1:&6a7<692wvqp5f12;94?"5?o0:>n5G26g8R7>628qG>5=51zTg47428q]h54={%3g6?7dj2\:>84={%7f7?0<,50z&13c<0>2B9;>5f13f94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9;n0Z<<::3y'1`5=j2.>i?4m;|~y>o6:l0;6)<8f;31g>N5?l1]>5?51zN1<6<6s_n36?u+1e0957c<^88>6?u+5d1954=#=l81=<5r}|8m45>290/>:h513a8R7>628qG>5=51zTg47{zut1b=9950;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e09511<^88>6?u+5d1954=#=l81=<5r}|8k4ee290/>:h51b`8R7>628qG>5=51zTg{zut1vn;>;:184>5<7s-82d83>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6:l1]=?;52z&6a6<13-?n>784}|~?l7413:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?<9:T260<5s-?n?784$4g1>3=zutw0e<:;:18'62`=9;i0Z?6>:0yO6=5=9r\o474233_;9973=#=l81:6sr}|9j511=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=994V006>7}#=l91:6*:e385?x{zu2c:854?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3820==Q9;?1>v*:e285?!3b:3<0qpsr;n3`f?6=,;=m62481!3b;3<0(8k=:79~yx{52;294~"5?o0ij6F=729j57e=83.9;k4>2b98k4ee290/>:h51b`8R7>628qG>5=51zTg{zut1vn;8j:181>5<7s-8o6:j0;6)<8f;31g>=h9jh1<7*=7g82gg=Q:1;1=vB=8282Sb?2;q/=i<51b`8R4422;q/9h=56:&6a7<13twvq6sm66694?0=83:p(?9i:00b?M40;2.:8i4>4:k26f<72-87}#9m81=?m4V006>7}#=l91:6*:e385?x{zu2co=7>5$35e>a7<^;2:62wvqp5fd383>!40n3n97[<71;0xH7>428q]=oo52z&2`784={%7f7?><,6*v*:e0826f=#;k919h=4}%441?c6*v*:e0826f=#;k919h<4}%441?c6*v*:e08g5>"4j:0>i>5r$756>2=z^8n:6?uYd781!3b93n97)=m3;7f7>{#>>?1=>5r}|9j63`=83.9;k4=6g9U6=7=:rF94>4>{W3ae?4|,8n96?8i;W311?4|,445<51zT13=<5s_n=6?u+5d3963`<,:h868k<;|&530<6:2wvq6g=6183>!40n38=<6X=8081I4?;3;pZi<4=619'7g5==l90q)885;31?x{z3f;hn7>5$35e>4ee32wi:;750;194?6|,;=m6?9l;I047>o6:m0;6)<8f;31g>N5?l1]>5?51zN1<6<6s_n36?u+1e0957b<^88>6?u+5d192>"2m;0=7psr}:k26`<72-8h5Y13796~"2m:0=7);j2;48yx{z3f;hn7>5$35e>4ee3_83=7?tL3:0>4}Ql109w)?k2;3`f>P6:<09w);j3;48 0c52?1vqps4}c45e?6=93:190c6<729q/>:h5779K625428q]h54={%3g6?75l2\:>84={%7f7?763-?n>7?>;|~y>o6:l0;6)<8f;31g>N5?l1]>5?51zN1<6<6s_n36?u+1e0957c<^88>6?u+5d1954=#=l81=<5r}|8k4ee290/>:h51b`8R7>628qG>5=51zTg{zut1vn;8k:187>5<7s-8o6:m0;6)<8f;31g>N5?l1]>5?51zN1<6<6s_n36?u+1e0957b<^88>6?u+5d1954=#=l81=<5r}|8m44b290/>:h513a8L71b3_83=7?tL3:0>4}Ql109w)?k2;31a>P6:<09w);j3;32?!3b:3;:7psr}:k27<<72-85<#:>l1=nl4V3:2>4}K:191=vXk8;0x 4b528ii7[?=5;0x 0c42?1/9h<56:~yx=zj?2b9U6=7=9rF94>4>{Wf;>7}#9m81=?j4V006>7}#=l91:6*:e385?x{zu2c:>h4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3826`=Q9;?1>v*:e285?!3b:3<0qpsr;n3`f?6=,;=m62481!3b;3<0(8k=:79~yx{51083>5}#:>l1;;5G2618m44c290/>:h513a8L71b3_83=7?tL3:0>4}Ql109w)?k2;31`>P6:<09w);j3;a8 0c52j1vqps4i00f>5<#:>l1=?m4H35f?S4?93;p@?6<:0yU`=<5s-;o>7?=e:T260<5s-?n?7m4$4g1>f=zutw0e<=6:18'62`=9;i0Z?6>:0yO6=5=9r\o4745>3_;997f=#=l81o6sr}|9j512=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=9:4V006>7}#=l91o6*:e38`?x{zu2c:8:4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d38202=Q9;?1>v*:e28`?!3b:3i0qpsr;h372481!3b;3i0(8k=:b9~yx{26=4+26d957e<^;2:6n5Y29395~J50:0:w[j7:3y'5a4=9=k0Z<<::3y'1`5=k2.>i?4l;|~y>o6P5080:wA<73;3xRa>=:r.:h?4>4c9U573=:r.>i>4l;%7f6?e5$35e>44d3A87m4}|~?l7483:1(?9i:00`?M40m2\94<4>{M0;7?7|^m21>v*>d38275=Q9;?1>v*:e2826>"2m;0:>6sr}|9j567=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=>?4V006>7}#=l91=?5+5d0957=zutw0e<==:18'62`=9;i0Z?6>:0yO6=5=9r\o474553_;9973=#=l81:6sr}|9j565=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=>=4V006>7}#=l91:6*:e385?x{zu2c:?94?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d38271=Q9;?1>v*:e285?!3b:3<0qpsr;n3`f?6=,;=m62481!3b;3<0(8k=:79~yx{51083>5}#:>l1;;5G2618m44c290/>:h513a8L71b3_83=7?tL3:0>4}Ql109w)?k2;31`>P6:<09w);j3;a8 0c52j1vqps4i00f>5<#:>l1=?m4H35f?S4?93;p@?6<:0yU`=<5s-;o>7?=e:T260<5s-?n?7m4$4g1>f=zutw0e<=6:18'62`=9;i0Z?6>:0yO6=5=9r\o4745>3_;997f=#=l81o6sr}|9j512=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=9:4V006>7}#=l91o6*:e38`?x{zu2c:8:4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d38202=Q9;?1>v*:e28`?!3b:3i0qpsr;h372481!3b;3i0(8k=:b9~yx{26=4+26d957e<^;2:6n5Y29395~J50:0:w[j7:3y'5a4=9=k0Z<<::3y'1`5=k2.>i?4l;|~y>o6P5080:wA<73;3xRa>=:r.:h?4>4c9U573=:r.>i>4l;%7f6?e5$35e>44d3A8i?4>2:~yx=n9::1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<51228R4422;q/9h=5139'1`4=9;1vqps4i012>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5289:7[?=5;0x 0c42880(8k=:008yx{z3`;8>7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;306>P6:<09w);j3;48 0c52?1vqps4i010>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b528987[?=5;0x 0c42?1/9h<56:~yx=n9:>1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<51268R4422;q/9h=56:&6a7<13twvq6a>cc83>!40n3;hn6X=8082I4?;3;pZi652z&2`7<6kk1]=?;52z&6a6<13-?n>784}|~?xd1?80;6<0D?9<;h31`?6=,;=m6<P5080:wA<73;3xRa>=:r.:h?4>2e9U573=:r.>i>4l;%7f6?eh5Y13796~"2m:0h7);j2;a8yx{z3`;857>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;30=>P6:<09w);j3;a8 0c52j1vqps4i067>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b528>?7[?=5;0x 0c42j1/9h<5c:~yx=n9==1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<51558R4422;q/9h=5c:&6a74983>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6<11]=?;52z&6a67m4}|~?l7313:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?;9:T260<5s-?n?7m4$4g1>f=zutw0e<:n:18'62`=9;i0Z?6>:0yO6=5=9r\o4742f3_;997f=#=l81o6sr}|9j51d=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=9l4V006>7}#=l91o6*:e38`?x{zu2c:8n4?:%04b?75k2B9;h5Y29395~J50:0:w[j7:3y'5a4=9=i0Z<<::3y'1`5=k2.>i?4l;|~y>o6:o0;6)<8f;31g>N5?l1]>5?51zN1<6<6s_n36?u+1e0957`<^88>6?u+5d1957=#=l81=?5r}|8m457290/>:h513a8R7>628qG>5=51zTg44428q]h54={%3g6?7492\:>84={%7f7?753-?n>7?=;|~y>o6;;0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>339U573=:r.>i>49;%7f6?0428q]h54={%3g6?74;2\:>84={%7f7?0<,:h513a8R7>628qG>5=51zTg{zut1d=nl50;&13c<6kk1]>5?51zN1<6<6s_n36?u+1e095fd<^88>6?u+5d192>"2m;0=7psr}:a224=83>1<7>t$35e>4ef3A82e83>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6:m1]=?;52z&6a6<13-?n>784}|~?l75m3:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?=e:T260<5s-?n?784$4g1>3=zutw0e<=6:18'62`=9;i0Z?6>:0yO6=5=9r\o4745>3_;9973=#=l81:6sr}|9l5fd=83.9;k4>cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91:6*:e385?x{zu2wi>;?50;094?6|,;=m6oh4H350?l75k3:1(?9i:00`?>i6kk0;6)<8f;3`f>P5080:wA<73;3xRa>=:r.:h?4>cc9U573=:r.>i>49;%7f6?03<729q/>:h513c8L7143-;?h7?;;h31g?6=,;=m6<n5Y13796~"2m:0=7);j2;48yx{z3`n:6=4+26d9`4=Q:1;1=vB=8282S7ei38p(:3yO6=5=9r\:nl4={%3g6?b53_;997==#=l8146sr}M0;6?2|^;=;6>uYd781!3b93n97)=m3;7f7>{Ql>09w);j1;31g>"4j:0>i>5r$756>`=z^;=;6>uYd781!3b93n97)=m3;7f7>{Ql>09w);j1;31g>"4j:0>i?5r$756>`=z^;=;6>uYd781!3b93n97)=m3;7f7>{Ql>09w);j1;f2?!5e;3?n?6s+6679a>{Q9m;1>vXk6;0x 0c62m80(>l<:4g0?x"1?<0:?6sr}:k12c<72-87}#9m81>;h4V006>7}#=l91=?5+5d0957=zutF94?4>{W04v*:e0812c=#;k919h=4}%441?753twv7d<90;29 71a2;<;7[<71;0xH7>428q]=oo52z&2`7<5>91]=?;52z&6a6<6:2.>i?4>2:~yI4?:3;pZ?97:3yU`3<5s-?n=7<90:&0f6<2m:1v(;9::008yx{4?:283>5}#:>l1>:m4H350?l75l3:1(?9i:00`?M40m2\94<4>{M0;7?7|^m21>v*>d3826a=Q9;?1>v*:e285?!3b:3<0qpsr;h31a?6=,;=m6<2481!3b;3<0(8k=:79~yx{2wvqp5rb347>5<6290;w)<8f;0;2>N5?:1d=nl50;&13c<6kk10qo<95;291?6=8r.9;k486:J136=n9;n1<7*=7g826f=O:>o0Z?6>:0yO6=5=9r\o4744c3_;99747<,7?=e:T260<5s-?n?7?>;%7f6?763twvq6g>3883>!40n3;9o6F=7d9U6=7=9rF94>4>{Wf;>7}#9m81=>74V006>7}#=l91=<5+5d0954=zutw0e<:;:18'62`=9;i0Z?6>:0yO6=5=9r\o474233_;99747<,7?lb:T260<5s-?n?784$4g1>3=zutw0qo<92;297?6=8r.9;k486:J136=n9;n1<7*=7g826f=O:>o0Z?6>:0yO6=5=9r\o4744c3_;99747<,7?=e:T260<5s-?n?7?>;%7f6?763twvq6a>cc83>!40n3;hn6X=8082I4?;3;pZi652z&2`7<6kk1]=?;52z&6a6<13-?n>784}|~?xd5k=0;6?4?:1y'62`=jo1C>:=4i00`>5<#:>l1=?m4;n3`f?6=,;=m62481!3b;3<0(8k=:79~yx{53;294~"5?o09;n5G2618m44c290/>:h513a8R7>628qG>5=51zTg{zut1b=?k50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0957c<^88>6?u+5d19b>"2m;0m7psr}:m2gg<72-85}#:>l1=?o4H350?!73l3;?7d?=c;29 71a288h7[<71;3xH7>428q]=oo52z&2`7<6:j1]=?;52z&6a6<13-?n>784}|~?lb6290/>:h5d09U6=7=9rF94>4>{W3ae?4|,8n96i?4V006>7}#=l91:6*:e385?x{zu2co>7>5$35e>a4<^;2:6?uC29195~P6jh09w)?k2;f1?S75=38p(8k<:99'1`4=02wvqA<72;6xR7172:q]h;4={%7f5?b53-9i?7;j3:U`2<5s-?n=7?=c:&0f6<2m:1v(;9::d9~R7172:q]h;4={%7f5?b53-9i?7;j3:U`2<5s-?n=7?=c:&0f6<2m;1v(;9::d9~R7172:q]h;4={%7f5?b53-9i?7;j3:U`2<5s-?n=7j>;%1a7?3b;2w/::;57:U5a7=:r\o:7a4<,:h868k<;|&530<6;2wvq6g=6g83>!40n38=j6X=8081I4?;3;pZi<4=6g9'7g5==l90q)885;31?x{z3`8=<7>5$35e>7073_83=74}Q9kk1>v*>d38125=Q9;?1>v*:e2826>"2m;0:>6sr}M0;6?7|^;=36?uYd781!3b938=<6*6<<4}|8k4ee290/>:h51b`8?xd5k<0;6>4?:1y'62`=:>i0D?9<;h31`?6=,;=m6<2481!3b;3<0(8k=:79~yx{2wvqp5`1b`94?"5?o0:oo5Y29395~J50:0:w[j7:3y'5a4=9jh0Z<<::3y'1`5=>2.>i?49;|~y>{e:j<1<7?50;2x 71a2;2=7E<83:m2gg<72-82e83>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6:m1]=?;52z&6a6<692.>i?4>1:~yx=n9;o1<7*=7g826f=O:>o0Z?6>:0yO6=5=9r\o4744b3_;99747<,7?<9:T260<5s-?n?7?>;%7f6?763twvq6a>cc83>!40n3;hn6X=8082I4?;3;pZi652z&2`7<6kk1]=?;52z&6a6<13-?n>784}|~?xd5k00;6<0D?9<;h31`?6=,;=m6<P5080:wA<73;3xRa>=:r.:h?4>2e9U573=:r.>i>4>1:&6a7<692wvqp5f13g94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9;o0Z<<::3y'1`5=981/9h<5109~yx{2481!3b;3;:7);j2;32?x{zu2c:8:4?:%04b?75k2B9;h5Y29395~J50:0:w[j7:3y'5a4=9==0Z<<::3y'1`5=981/9h<5109~yx{36=4+26d957e<^;2:62481!3b;3;:7);j2;32?x{zu2c:8l4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3820d=Q9;?1>v*:e2825>"2m;0:=6sr}|9j51d=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=9l4V006>7}#=l91=<5+5d0954=zutw0e<:l:18'62`=9;i0Z?6>:0yO6=5=9r\o4742d3_;99747<,7?=f:T260<5s-?n?7?>;%7f6?763twvq6g>3183>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6;91]=?;52z&6a6<692.>i?4>1:~yx=n9:;1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<51238R4422;q/9h=5109'1`4=981vqps4i011>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b528997[?=5;0x 0c428;0(8k=:038yx{z3`;8?7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;307>P6:<09w);j3;32?!3b:3;:7psr}:k271<72-85$35e>4ee3_83=7?tL3:0>4}Ql109w)?k2;3`f>P6:<09w);j3;48 0c52?1vqps4}c0`e?6=980;6=u+26d933=O:>90e<2481!3b;3;:7);j2;32?x{zu2c:>h4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3826`=Q9;?1>v*:e2825>"2m;0:=6sr}|9j56?=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=>74V006>7}#=l91=<5+5d0954=zutw0e<:;:18'62`=9;i0Z?6>:0yO6=5=9r\o474233_;99747<,7?;7:T260<5s-?n?7?>;%7f6?763twvq6g>4983>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6<11]=?;52z&6a6<692.>i?4>1:~yx=n9=31<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<515;8R4422;q/9h=5109'1`4=981vqps4i06b>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b528>j7[?=5;0x 0c428;0(8k=:038yx{z3`;?n7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;37f>P6:<09w);j3;32?!3b:3;:7psr}:k20f<72-8{zut1b=?h50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0957`<^88>6?u+5d1954=#=l81=<5r}|8m457290/>:h513a8R7>628qG>5=51zTg47428q]h54={%3g6?7492\:>84={%7f7?763-?n>7?>;|~y>o6;;0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>339U573=:r.>i>4>1:&6a7<692wvqp5f12194?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9:90Z<<::3y'1`5=981/9h<5109~yx{2wvqp5`1b`94?"5?o0:oo5Y29395~J50:0:w[j7:3y'5a4=9jh0Z<<::3y'1`5=>2.>i?49;|~y>{e:j=1<7:50;2x 71a28ij7E<83:k26a<72-8i5Y13796~"2m:0=7);j2;48yx{z3`;9i7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;31a>P6:<09w);j3;48 0c52?1vqps4i01:>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b528927[?=5;0x 0c42?1/9h<56:~yx=h9jh1<7*=7g82gg=Q:1;1=vB=8282Sb?2;q/=i<51b`8R4422;q/9h=56:&6a7<13twvq6sm34d94?4=83:p(?9i:cd8L7143`;9o7>5$35e>44d32e:oo4?:%04b?7dj2\94<4>{M0;7?7|^m21>v*>d382gg=Q9;?1>v*:e285?!3b:3<0qpsr;|`02d<72:0;6=u+26d962e<@;=87d?=d;29 71a288h7[<71;3xH7>428q]h54={%3g6?75l2\:>84={%7f7?0<,:h513a8R7>628qG>5=51zTg{zut1d=nl50;&13c<6kk1]>5?51zN1<6<6s_n36?u+1e095fd<^88>6?u+5d192>"2m;0=7psr}:a73?=83<1<7>t$35e>44f3A84e820>o6:j0;6)<8f;31g>P5080:wA<73;3xR4df2;q/=i<513a8R4422;q/9h=56:&6a7<13twvq6gk1;29 71a2m;0Z?6>:0yO6=5=9r\:nl4={%3g6?b63_;9973=#=l81:6sr}|9j`7<72-86X>2481!3b;320(8k=:99~yxJ50;0?w[<80;1xRa0=:r.>i<4k2:&0f6<2m:1vZi952z&6a4<6:j1/?o=55d18y!00=3o0q[<80;1xRa0=:r.>i<4k2:&0f6<2m:1vZi952z&6a4<6:j1/?o=55d08y!00=3o0q[<80;1xRa0=:r.>i<4k2:&0f6<2m:1vZi952z&6a44:e29~ 3122l1vZ:3yU`3<5s-?n=7j=;%1a7?3b;2w/::;5129~yx=n:?l1<7*=7g812c=Q:1;1>vB=8282S7ei38p(l<:4g0?x"1?<0:>6sr}:k125<72-87}#9m81>;>4V006>7}#=l91=?5+5d0957=zutF94?4>{W04v*:e08125=#;k919h=4}%441?753twv7b?lb;29 71a28ii76sm37394?5=83:p(?9i:35`?M40;2c:>i4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3826a=Q9;?1>v*:e285?!3b:3<0qpsr;h31a?6=,;=m6<2481!3b;3<0(8k=:79~yx{2wvqp5rb241>5<6290;w)<8f;0;2>N5?:1d=nl50;&13c<6kk10qo=94;291?6=8r.9;k486:J136=n9;n1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<513f8R4422;q/9h=5109'1`4=981vqps4i00f>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5288n7[?=5;0x 0c428;0(8k=:038yx{z3`;857>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;30=>P6:<09w);j3;32?!3b:3;:7psr}:k201<72-8{zut1d=nl50;&13c<6kk1]>5?51zN1<6<6s_n36?u+1e095fd<^88>6?u+5d192>"2m;0=7psr}:a733=83?1<7>t$35e>20<@;=87d?=d;29 71a288h7[<71;3xH7>428q]h54={%3g6?75l2\:>84={%7f7?763-?n>7?>;|~y>o6:l0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>2d9U573=:r.>i>4>1:&6a7<692wvqp5f12;94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9:30Z<<::3y'1`5=981/9h<5109~yx{?6=4+26d957e<@;=n7[<71;3xH7>428q]h54={%3g6?73<2\:>84={%7f7?763-?n>7?>;|~y>i6kk0;6)<8f;3`f>P5080:wA<73;3xRa>=:r.:h?4>cc9U573=:r.>i>49;%7f6?00<729q/>:h5779K6252481!3b;3;:7);j2;32?x{zu2c:?44?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3827<=Q9;?1>v*:e2825>"2m;0:=6sr}|9j512=83.9;k4>2b9K62c<^;2:62481!3b;3<0(8k=:79~yx{55;294~"5?o0<:6F=729j57b=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=?j4V006>7}#=l91=<5+5d0954=zutw0e<2481!3b;3;:7);j2;32?x{zu2c:?44?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3827<=Q9;?1>v*:e2825>"2m;0:=6sr}|9j512=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=9:4V006>7}#=l91=<5+5d0954=zutw0c:0yO6=5=9r\o474ee3_;9973=#=l81:6sr}|9~f604290>6=4?{%04b?7di2B9;>5f13f94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9;n0Z<<::3y'1`5=>2.>i?49;|~y>o6:l0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>2d9U573=:r.>i>49;%7f6?0428q]h54={%3g6?7412\:>84={%7f7?0<,:h513a8R7>628qG>5=51zTg{zut1d=nl50;&13c<6kk1]>5?51zN1<6<6s_n36?u+1e095fd<^88>6?u+5d192>"2m;0=7psr}:a736=8391<7>t$35e>20<@;=87d?=d;29 71a288h7E<8e:T1<4<6sE83?7?tVe:96~"6l;0:>i5Y13796~"2m:0:=6*:e3825>{zut1b=?k50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0957c<^88>6?u+5d1954=#=l81=<5r}|8k4ee290/>:h51b`8R7>628qG>5=51zTg{zut1vn>on:181>5<7s-8o6:j0;6)<8f;31g>=h9jh1<7*=7g82gg=Q:1;1=vB=8282Sb?2;q/=i<51b`8R4422;q/9h=56:&6a7<13twvq6sm3c094?5=83:p(?9i:35`?M40;2c:>i4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3826a=Q9;?1>v*:e285?!3b:3<0qpsr;h31a?6=,;=m6<2481!3b;3l0(8k=:g9~yx{2wvqp5rb2`2>5<1290;w)<8f;31e>N5?:1/=9j5159j57e=83.9;k4>2b9U6=7=9rF94>4>{W3ae?4|,8n96<{zut1bh<4?:%04b?b63_83=7?tL3:0>4}Q9kk1>v*>d38g5>P6:<09w);j3;48 0c52?1vqps4ie094?"5?o0o>6X=8081I4?;3;pZ764}|O6=4=7}#=l;1h?5+3c191`57}#=l;1h?5+3c191`57}#=l;1h?5+3c191`56*6<=4}|8m70a290/>:h527d8R7>62;qG>5=51zT2fd<5s-;o>7<9f:T260<5s-?n?7?=;%7f6?753twv@?6=:0yU62>=:r\o:770a3-9i?7;j3:'223=9;1vqp5f27294?"5?o09:=5Y29396~J50:0:w[?ma;0x 4b52;<;7[?=5;0x 0c42880(8k=:008yx{K:181=vX=7981Sb12;q/9h?52728 6d42{zu2e:oo4?:%04b?7dj21vn>om:180>5<7s-82d83>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6:l1]=?;52z&6a6<13-?n>784}|~?j7dj3:1(?9i:0aa?S4?93;p@?6<:0yU`=<5s-;o>7?lb:T260<5s-?n?784$4g1>3=zutw0qo=nc;295?6=8r.9;k4=879K6255}#:>l1;;5G2618m44c290/>:h513a8R7>628qG>5=51zTg{zut1b=?k50;&13c<6:j1C>:k4V3:2>4}K:191=vXk8;0x 4b5288n7[?=5;0x 0c428;0(8k=:038yx{z3`;857>5$35e>44d3A87l4}|~?l73<3:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?;4:T260<5s-?n?7?>;%7f6?763twvq6a>cc83>!40n3;hn6X=8082I4?;3;pZi652z&2`7<6kk1]=?;52z&6a6<13-?n>784}|~?xd4io0;6;4?:1y'62`=??1C>:=4i00g>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5288o7[?=5;0x 0c42k1/9h<5b:~yx=n9;o1<7*=7g826f=O:>o0Z?6>:0yO6=5=9r\o4744b3_;99747<,7?<9:T260<5s-?n?7l4$4g1>g=zutw0e<:;:18'62`=9;i0D?9j;W0;5?7|D;2862481!3b;3;:7);j2;32?x{zu2c:8:4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d38202=Q9;?1>v*:e2825>"2m;0:=6sr}|9l5fd=83.9;k4>cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91:6*:e385?x{zu2wi?lj50;194?6|,;=m6o6:m0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>2e9U573=:r.>i>49;%7f6?0428q]h54={%3g6?75m2\:>84={%7f7?0<,:h51b`8R7>628qG>5=51zTg{zut1vn98n:181>5<7s-8o6:j0;6)<8f;31g>=h9jh1<7*=7g82gg=Q:1;1=vB=8282Sb?2;q/=i<51b`8R4422;q/9h=56:&6a7<13twvq6sm46194?4=83:p(?9i:cd8L7143`;9o7>5$35e>44d32e:oo4?:%04b?7dj2\94<4>{M0;7?7|^m21>v*>d382gg=Q9;?1>v*:e285?!3b:3<0qpsr;|`732<72:0;6=u+26d962e<@;=87d?=d;29 71a288h7[<71;3xH7>428q]h54={%3g6?75l2\:>84={%7f7?0<,:h513a8R7>628qG>5=51zTg{zut1d=nl50;&13c<6kk1]>5?51zN1<6<6s_n36?u+1e095fd<^88>6?u+5d192>"2m;0=7psr}:a020=83<1<7>t$35e>44f3A84e820>o6:j0;6)<8f;31g>P5080:wA<73;3xR4df2;q/=i<513a8R4422;q/9h=56:&6a7<13twvq6gk1;29 71a2m;0Z?6>:0yO6=5=9r\:nl4={%3g6?b63_;9973=#=l81:6sr}|9j`7<72-86X>2481!3b;320(8k=:99~yxJ50;0?w[<80;1xRa0=:r.>i<4k2:&0f6<2m:1vZi952z&6a4<6:j1/?o=55d18y!00=3o0q[<80;1xRa0=:r.>i<4k2:&0f6<2m:1vZi952z&6a4<6:j1/?o=55d08y!00=3o0q[<80;1xRa0=:r.>i<4k2:&0f6<2m:1vZi952z&6a44:e29~ 3122>1vZ:3yU`3<5s-?n=7j=;%1a7?3b;2w/::;5129~yx=n:?l1<7*=7g812c=Q:1;1>vB=8282S7ei38p(l<:4g0?x"1?<0:>6sr}:k125<72-87}#9m81>;>4V006>7}#=l91=?5+5d0957=zutF94?4>{W04v*:e08125=#;k919h=4}%441?753twv7b?lb;29 71a28ii76sm47`94?5=83:p(?9i:35`?M40;2c:>i4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3826a=Q9;?1>v*:e285?!3b:3<0qpsr;h31a?6=,;=m6<2481!3b;3<0(8k=:79~yx{2wvqp5rb54`>5<6290;w)<8f;0;2>N5?:1d=nl50;&13c<6kk10qo:9e;297?6=8r.9;k486:J136=n9;n1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<513f8R4422;q/9h=56:&6a7<13twvq6g>2d83>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6:l1]=?;52z&6a6<13-?n>784}|~?j7dj3:1(?9i:0aa?S4?93;p@?6<:0yU`=<5s-;o>7?lb:T260<5s-?n?784$4g1>3=zutw0qo:9f;2954<729q/>:h5779K625428q]h54={%3g6?75l2\:>84={%7f7?d<,:h513a8L71b3_83=7?tL3:0>4}Ql109w)?k2;31a>P6:<09w);j3;`8 0c52k1vqps4i01:>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b528927[?=5;0x 0c42k1/9h<5b:~yx=n9=>1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<51568R4422;q/9h=5b:&6a74683>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6<>1]=?;52z&6a67l4}|~?l7303:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?;8:T260<5s-?n?7l4$4g1>g=zutw0e<:6:18'62`=9;i0Z?6>:0yO6=5=9r\o4742>3_;997g=#=l81n6sr}|9j51g=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=9o4V006>7}#=l91n6*:e38a?x{zu2c:8o4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3820g=Q9;?1>v*:e28a?!3b:3h0qpsr;h37g?6=,;=m6<P5080:wA<73;3xRa>=:r.:h?4>4b9U573=:r.>i>4m;%7f6?dk5Y13796~"2m:0i7);j2;`8yx{z3`;8<7>5$35e>44d3A8i?4>1:~yx=n9:;1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<51238R4422;q/9h=5109'1`4=981vqps4i011>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b528997[?=5;0x 0c428;0(8k=:038yx{z3`;8?7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;307>P6:<09w);j3;32?!3b:3;:7psr}:k271<72-85$35e>4ee3_83=7?tL3:0>4}Ql109w)?k2;3`f>P6:<09w);j3;48 0c52?1vqps4}c644?6=980;6=u+26d933=O:>90e<2481!3b;3h0(8k=:c9~yx{428q]h54={%3g6?75m2\:>84={%7f7?d<,290/>:h513a8R7>628qG>5=51zTg{zut1b=9:50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e09512<^88>6?u+5d19f>"2m;0i7psr}:k202<72-85$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;37<>P6:<09w);j3;`8 0c52k1vqps4i06:>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b528>27[?=5;0x 0c42k1/9h<5b:~yx=n9=k1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<515c8R4422;q/9h=5b:&6a74c83>!40n3;9o6X=8082I4?;3;pZi652z&2`7<67l4}|~?l73k3:1(?9i:00`?M40m2\94<4>{M0;7?7|^m21>v*>d3820f=Q9;?1>v*:e28a?!3b:3h0qpsr;h31b?6=,;=m6<P5080:wA<73;3xRa>=:r.:h?4>2g9U573=:r.>i>4m;%7f6?d{zut1b=>?50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e09567<^88>6?u+5d1954=#=l81=<5r}|8m455290/>:h513a8R7>628qG>5=51zTg47428q]h54={%3g6?74;2\:>84={%7f7?763-?n>7?>;|~y>o6;=0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>359U573=:r.>i>49;%7f6?0428q]h54={%3g6?7dj2\:>84={%7f7?0<,:=4i00g>5<#:>l1=?m4H35f?S4?93;p@?6<:0yU`=<5s-;o>7?=d:T260<5s-?n?7l4$4g1>g=zutw0e<2481!3b;3h0(8k=:c9~yx{n5Y29395~J50:0:w[j7:3y'5a4=9=>0Z<<::3y'1`5=j2.>i?4m;|~y>o6<>0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>469U573=:r.>i>4m;%7f6?d428q]h54={%3g6?7302\:>84={%7f7?d<,290/>:h513a8R7>628qG>5=51zTg{zut1b=9o50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0951g<^88>6?u+5d19f>"2m;0i7psr}:k20g<72-85$35e>44d3A87l4}|~?l75n3:1(?9i:00`?M40m2\94<4>{M0;7?7|^m21>v*>d3826c=Q9;?1>v*:e28a?!3b:3h0qpsr;h304?6=,;=m6<P5080:wA<73;3xRa>=:r.:h?4>319U573=:r.>i>4>1:&6a7<692wvqp5f12394?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9:;0Z<<::3y'1`5=981/9h<5109~yx{2481!3b;3;:7);j2;32?x{zu2c:?94?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d38271=Q9;?1>v*:e285?!3b:3<0qpsr;n3`f?6=,;=m62481!3b;3<0(8k=:79~yx{<>7>51083>5}#:>l1;;5G2618m44c290/>:h513a8L71b3_83=7?tL3:0>4}Ql109w)?k2;31`>P6:<09w);j3;`8 0c52k1vqps4i00f>5<#:>l1=?m4H35f?S4?93;p@?6<:0yU`=<5s-;o>7?=e:T260<5s-?n?7l4$4g1>g=zutw0e<=6:18'62`=9;i0Z?6>:0yO6=5=9r\o4745>3_;997g=#=l81n6sr}|9j512=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=9:4V006>7}#=l91n6*:e38a?x{zu2c:8:4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d38202=Q9;?1>v*:e28a?!3b:3h0qpsr;h372481!3b;3h0(8k=:c9~yx{26=4+26d957e<^;2:6n5Y29395~J50:0:w[j7:3y'5a4=9=k0Z<<::3y'1`5=j2.>i?4m;|~y>o6P5080:wA<73;3xRa>=:r.:h?4>4c9U573=:r.>i>4m;%7f6?d5$35e>44d3A87l4}|~?l7483:1(?9i:00`?M40m2\94<4>{M0;7?7|^m21>v*>d38275=Q9;?1>v*:e2825>"2m;0:=6sr}|9j567=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=>?4V006>7}#=l91=<5+5d0954=zutw0e<==:18'62`=9;i0Z?6>:0yO6=5=9r\o474553_;99747<,7?<3:T260<5s-?n?7?>;%7f6?763twvq6g>3583>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6;=1]=?;52z&6a6<13-?n>784}|~?j7dj3:1(?9i:0aa?S4?93;p@?6<:0yU`=<5s-;o>7?lb:T260<5s-?n?784$4g1>3=zutw0qo:9d;292?6=8r.9;k4>c`9K6252wvqp5f13g94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9;o0Z<<::3y'1`5=>2.>i?49;|~y>o6;00;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>389U573=:r.>i>49;%7f6?0428q]h54={%3g6?73<2\:>84={%7f7?0<,:h513a8R7>628qG>5=51zTg{zut1d=nl50;&13c<6kk1]>5?51zN1<6<6s_n36?u+1e095fd<^88>6?u+5d192>"2m;0=7psr}:a022=83=1<7>t$35e>20<@;=87d?=d;29 71a288h7E<8e:T1<4<6sE83?7?tVe:96~"6l;0:>i5Y13796~"2m:0h7);j2;a8yx{z3`;9i7>5$35e>44d3A87m4}|~?l7413:1(?9i:00`?M40m2\94<4>{M0;7?7|^m21>v*>d3827<=Q9;?1>v*:e28`?!3b:3i0qpsr;h370?6=,;=m6<P5080:wA<73;3xRa>=:r.:h?4>459U573=:r.>i>4l;%7f6?e6*:e3826>{zut1b=9650;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0951><^88>6?u+5d1957=#=l81=?5r}|8k4ee290/>:h51b`8R7>628qG>5=51zTg{zut1vn<9k:181>5<7s-8o6:j0;6)<8f;31g>=h9jh1<7*=7g82gg=Q:1;1=vB=8282Sb?2;q/=i<51b`8R4422;q/9h=56:&6a7<13twvq6sm19194?4=83:p(?9i:cd8L7143`;9o7>5$35e>44d32e:oo4?:%04b?7dj2\94<4>{M0;7?7|^m21>v*>d382gg=Q9;?1>v*:e285?!3b:3<0qpsr;|`22b9U573=:r.>i>49;%7f6?0:18'62`=l81]>5?51zN1<6<6s_;im7a7<^88>6?u+5d192>"2m;0=7psr}:kg6?6=,;=m6i<4V3:2>7}K:191=vX>b`81!7c:3n97[?=5;0x 0c4211/9h<58:~yI4?:3>pZ?9?:2yU`3<5s-?n=7j=;%1a7?3b;2w]h:4={%7f5?75k2.8n>4:e29~ 3122l1vZ?9?:2yU`3<5s-?n=7j=;%1a7?3b;2w]h:4={%7f5?75k2.8n>4:e39~ 3122l1vZ?9?:2yU`3<5s-?n=7j=;%1a7?3b;2w]h:4={%7f5?b63-9i?7;j3:'223=?2w]=i?52zTg2?4|,0c43t.=;84>3:~y>o5>o0;6)<8f;05b>P50809wA<73;3xR4df2;q/=i<527d8R4422;q/9h=5139'1`4=9;1vqpB=8382S40038pZi852z&6a4<5>o1/?o=55d18y!00=3;97psr;h054?6=,;=m6?8?;W0;5?4|D;2866*:e3826>{zuE83>7?tV35;>7}Ql?09w);j1;054>"4j:0>i>5r$756>447d83>6<729q/>:h526a8L7143`;9h7>5$35e>44d3A8784}|~?l75m3:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?=e:T260<5s-?n?784$4g1>3=zutw0c:0yO6=5=9r\o474ee3_;9973=#=l81:6sr}|9~f41a290:6=4?{%04b?4?>2B9;>5`1b`94?"5?o0:oo54}c3;5?6=;3:1N5?:1b=?j50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0957b<^88>6?u+5d192>"2m;0=7psr}:k26`<72-8h5Y13796~"2m:0=7);j2;48yx{z3f;hn7>5$35e>4ee3_83=7?tL3:0>4}Ql109w)?k2;3`f>P6:<09w);j3;48 0c52?1vqps4}c3;6?6=980;6=u+26d933=O:>90e<2481!3b;3h0(8k=:c9~yx{428q]h54={%3g6?75m2\:>84={%7f7?d<,290/>:h513a8R7>628qG>5=51zTg{zut1b=9:50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e09512<^88>6?u+5d19f>"2m;0i7psr}:k202<72-85$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;37<>P6:<09w);j3;`8 0c52k1vqps4i06:>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b528>27[?=5;0x 0c42k1/9h<5b:~yx=n9=k1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<515c8R4422;q/9h=5b:&6a74c83>!40n3;9o6X=8082I4?;3;pZi652z&2`7<67l4}|~?l73k3:1(?9i:00`?M40m2\94<4>{M0;7?7|^m21>v*>d3820f=Q9;?1>v*:e28a?!3b:3h0qpsr;h31b?6=,;=m6<P5080:wA<73;3xRa>=:r.:h?4>2g9U573=:r.>i>4>1:&6a7<692wvqp5f12294?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9::0Z<<::3y'1`5=>2.>i?49;|~y>o6;80;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>309U573=:r.>i>49;%7f6?0428q]h54={%3g6?74:2\:>84={%7f7?0<,:h513a8R7>628qG>5=51zTg{zut1b=>:50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e09562<^88>6?u+5d192>"2m;0=7psr}:m2gg<72-85}#:>l1=no4H350?l75l3:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?=d:T260<5s-?n?784$4g1>3=zutw0e<:0yO6=5=9r\o4744b3_;9973=#=l81:6sr}|9l5fd=83.9;k4>cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91:6*:e385?x{zu2wi=5:50;02>5<7s-8o6:m0;6)<8f;31g>N5?l1]>5?51zN1<6<6s_n36?u+1e0957b<^88>6?u+5d19g>"2m;0h7psr}:k26`<72-83883>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6;01]=?;52z&6a67m4}|~?l73<3:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?;4:T260<5s-?n?7m4$4g1>f=zutw0e<:8:18'62`=9;i0Z?6>:0yO6=5=9r\o474203_;997f=#=l81o6sr}|9j51>=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=964V006>7}#=l91o6*:e38`?x{zu2c:844?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3820<=Q9;?1>v*:e28`?!3b:3i0qpsr;h37e?6=,;=m6<2481!3b;3i0(8k=:b9~yx{i6=4+26d957e<^;2:6n5Y29395~J50:0:w[j7:3y'5a4=9=i0Z<<::3y'1`5=k2.>i?4l;|~y>o6:o0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>2g9U573=:r.>i>4l;%7f6?e428q]h54={%3g6?7482\:>84={%7f7?e<,:h513a8R7>628qG>5=51zTg;W311?4|,{zut1b=><50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e09564<^88>6?u+5d19g>"2m;0h7psr}:k276<72-83583>!40n3;9o6F=7d9U6=7=9rF94>4>{Wf;>7}#9m81=>:4V006>7}#=l91o6*:e38`?x{zu2c:?84?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d38270=Q9;?1>v*:e28`?!3b:3i0qpsr;h302?6=,;=m6<2481!3b;3;97);j2;31?x{zu2c:?:4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d38272=Q9;?1>v*:e2826>"2m;0:>6sr}|9j56>=83.9;k4>2b9K62c<^;2:62481!3b;3<0(8k=:79~yx{2wvqp5f12a94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9:i0Z<<::3y'1`5=>2.>i?49;|~y>o6;m0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>3e9U573=:r.>i>49;%7f6?0428q]h54={%3g6?74m2\:>84={%7f7?0<,:h513a8R7>628qG>5=51zTg{zut1b=9>50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e09516<^88>6?u+5d192>"2m;0=7psr}:k204<72-87>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;376>P6:<09w);j3;48 0c52?1vqps4i060>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b528>87[?=5;0x 0c42?1/9h<56:~yx=n9=?1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<51578R4422;q/9h=56:&6a7<13twvq6g>4783>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6784}|~?j7dj3:1(?9i:0aa?S4?93;p@?6<:0yU`=<5s-;o>7?lb:T260<5s-?n?784$4g1>3=zutw0qo?75;2964<729q/>:h5779K625428q]h54={%3g6?75l2\:>84={%7f7?e<,:h513a8L71b3_83=7?tL3:0>4}Ql109w)?k2;31a>P6:<09w);j3;a8 0c52j1vqps4i01:>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b528927[?=5;0x 0c42j1/9h<5c:~yx=n9=>1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<51568R4422;q/9h=5c:&6a74683>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6<>1]=?;52z&6a67m4}|~?l7303:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?;8:T260<5s-?n?7m4$4g1>f=zutw0e<:6:18'62`=9;i0Z?6>:0yO6=5=9r\o4742>3_;997f=#=l81o6sr}|9j51g=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=9o4V006>7}#=l91o6*:e38`?x{zu2c:8o4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3820g=Q9;?1>v*:e28`?!3b:3i0qpsr;h37g?6=,;=m6<2481!3b;3i0(8k=:b9~yx{n5Y29395~J50:0:w[j7:3y'5a4=9::0Z<<::3y'1`5=k2.>i?4l;|~y>o6;80;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>309U573=:r.>i>4l;%7f6?e428q]h54={%3g6?74:2\:>84={%7f7?e<,:h513a8L71b3_83=7?tL3:0>4}Ql109w)?k2;307>P6:<09w);j3;a8 0c52j1vqps4i017>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5289?7[?=5;0x 0c42j1/9h<5c:~yx=n9:?1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<51278R4422;q/9h=5139'1`4=9;1vqps4i015>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5289=7[?=5;0x 0c42880(8k=:008yx{z3`;8;7>5$35e>44d3A81]=?;52z&6a6<6:2.>i?4>2:~yx=n9:21<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<512:8R4422;q/9h=5139'1`4=9;1vqps4i01b>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5289j7[?=5;0x 0c42?1/9h<56:~yx=n9:h1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<512`8R4422;q/9h=56:&6a7<13twvq6g>3b83>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6;j1]=?;52z&6a6<13-?n>784}|~?l74l3:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?3=zutw0e<=j:18'62`=9;i0Z?6>:0yO6=5=9r\o4745b3_;9973=#=l81:6sr}|9j56`=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=>h4V006>7}#=l91:6*:e385?x{zu2c:8=4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d38205=Q9;?1>v*:e285?!3b:3<0qpsr;h375?6=,;=m6<2481!3b;3<0(8k=:79~yx{96=4+26d957e<^;2:62wvqp5f15194?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9=90Z<<::3y'1`5=>2.>i?49;|~y>o6<<0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>449U573=:r.>i>49;%7f6?0428q]h54={%3g6?73>2\:>84={%7f7?0<,:h51b`8R7>628qG>5=51zTg{zut1vn<69:1815?6=8r.9;k486:J136=n9;n1<7*=7g826f=O:>o0Z?6>:0yO6=5=9r\o4744c3_;997f=#=l81o6sr}|9j57c=83.9;k4>2b9K62c<^;2:6n5Y29395~J50:0:w[j7:3y'5a4=9:30Z<<::3y'1`5=k2.>i?4l;|~y>o6<=0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>459U573=:r.>i>4l;%7f6?e428q]h54={%3g6?73?2\:>84={%7f7?e<,:h513a8R7>628qG>5=51zTg{zut1b=9750;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0951?<^88>6?u+5d19g>"2m;0h7psr}:k20d<72-85$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;37f>P6:<09w);j3;a8 0c52j1vqps4i06`>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b528>h7[?=5;0x 0c42j1/9h<5c:~yx=n9;l1<7*=7g826f=O:>o0Z?6>:0yO6=5=9r\o4744a3_;997f=#=l81o6sr}|9j566=83.9;k4>2b9K62c<^;2:6n5G26g8R7>628qG>5=51zTg;W311?4|,{zut1b=><50;&13c<6:j1C>:k4V3:2>4}K:191=vXk8;0x 4b528997[?=5;0x 0c42j1/9h<5c:~yx=n9:91<7*=7g826f=O:>o0Z?6>:0yO6=5=9r\o474543_;997f=#=l81o6sr}|9j562=83.9;k4>2b9K62c<^;2:6n5Y29395~J50:0:w[j7:3y'5a4=9:?0Z<<::3y'1`5=k2.>i?4l;|~y>o6;?0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>379U573=:r.>i>4>2:&6a7<6:2wvqp5f12594?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9:=0Z<<::3y'1`5=9;1/9h<5139~yx{428q]h54={%3g6?7402\:>84={%7f7?753-?n>7?=;|~y>o6;h0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>3`9U573=:r.>i>49;%7f6?0428q]h54={%3g6?74j2\:>84={%7f7?0<,:h513a8R7>628qG>5=51zTg{zut1b=>j50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0956b<^88>6?u+5d192>"2m;0=7psr}:k27`<72-85$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;30b>P6:<09w);j3;48 0c52?1vqps4i063>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b528>;7[?=5;0x 0c42?1/9h<56:~yx=n9=;1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<51538R4422;q/9h=56:&6a7<13twvq6g>4383>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6<;1]=?;52z&6a6<13-?n>784}|~?l73;3:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?;3:T260<5s-?n?784$4g1>3=zutw0e<:::18'62`=9;i0Z?6>:0yO6=5=9r\o474223_;9973=#=l81:6sr}|9j510=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=984V006>7}#=l91:6*:e385?x{zu2e:oo4?:%04b?7dj2\94<4>{M0;7?7|^m21>v*>d382gg=Q9;?1>v*:e285?!3b:3<0qpsr;|`2<2<72;;1<7>t$35e>20<@;=87d?=d;29 71a288h7E<8e:T1<4<6sE83?7?tVe:96~"6l;0:>i5Y13796~"2m:0h7);j2;a8yx{z3`;9i7>5$35e>44d3A87m4}|~?l7413:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?<9:T260<5s-?n?7m4$4g1>f=zutw0e<:;:18'62`=9;i0Z?6>:0yO6=5=9r\o474233_;997f=#=l81o6sr}|9j511=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=994V006>7}#=l91o6*:e38`?x{zu2c:854?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3820==Q9;?1>v*:e28`?!3b:3i0qpsr;h37=?6=,;=m6<2481!3b;3i0(8k=:b9~yx{j6=4+26d957e<^;2:6n5Y29395~J50:0:w[j7:3y'5a4=9=h0Z<<::3y'1`5=k2.>i?4l;|~y>o6P5080:wA<73;3xRa>=:r.:h?4>4b9U573=:r.>i>4l;%7f6?ek5Y13796~"2m:0h7);j2;a8yx{z3`;8<7>5$35e>44d3A87m4}|~?l7493:1(?9i:00`?M40m2\94<4>{M0;7?7|^m21>v*>d38274=Q9;?1>v*:e28`?!3b:3i0qpsr;h306?6=,;=m6<P5080:wA<73;3xRa>=:r.:h?4>339U573=:r.>i>4l;%7f6?e5Y13796~"2m:0h7);j2;a8yx{z3`;887>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;300>P6:<09w);j3;a8 0c52j1vqps4i016>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5289>7[?=5;0x 0c42880(8k=:008yx{z3`;8:7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;302>P6:<09w);j3;31?!3b:3;97psr}:k272<72-85<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b528937[?=5;0x 0c42880(8k=:008yx{z3`;8m7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;30e>P6:<09w);j3;48 0c52?1vqps4i01a>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5289i7[?=5;0x 0c42?1/9h<56:~yx=n9:i1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<512a8R4422;q/9h=56:&6a7<13twvq6g>3e83>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6;m1]=?;52z&6a6<13-?n>784}|~?l74m3:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?3=zutw0e<=i:18'62`=9;i0Z?6>:0yO6=5=9r\o4745a3_;9973=#=l81:6sr}|9j516=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=9>4V006>7}#=l91:6*:e385?x{zu2c:8<4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d38204=Q9;?1>v*:e285?!3b:3<0qpsr;h376?6=,;=m6<6X>2481!3b;3<0(8k=:79~yx{86=4+26d957e<^;2:62wvqp5f15794?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9=?0Z<<::3y'1`5=>2.>i?49;|~y>o6P5080:wA<73;3xRa>=:r.:h?4>479U573=:r.>i>49;%7f6?0428q]h54={%3g6?7dj2\:>84={%7f7?0<,50z&13c<6kh1C>:=4i00g>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5288o7[?=5;0x 0c42?1/9h<56:~yx=n9;o1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<513g8R4422;q/9h=56:&6a7<13twvq6g>3883>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6;01]=?;52z&6a6<13-?n>784}|~?l73<3:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?;4:T260<5s-?n?784$4g1>3=zutw0c:0yO6=5=9r\o474ee3_;9973=#=l81:6sr}|9~f10429096=4?{%04b?da3A82b83>!40n3;9o65`1b`94?"5?o0:oo5Y29395~J50:0:w[j7:3y'5a4=9jh0Z<<::3y'1`5=>2.>i?49;|~y>{en4?:%04b?75k2\94<4>{M0;7?7|^8hj6?u+1e0957e<^88>6?u+5d192>"2m;0=7psr}:kg5?6=,;=m6i?4V3:2>4}K:191=vX>b`81!7c:3n:7[?=5;0x 0c42?1/9h<56:~yx=nl;0;6)<8f;f1?S4?938p@?6<:0yU5gg=:r.:h?4k2:T260<5s-?n?764$4g1>==zutF94?4;{W044?5|^m<1>v*:e08g6>"4j:0>i>5rVe596~"2m80:>n5+3c191`5v*:e08g6>"4j:0>i>5rVe596~"2m80:>n5+3c191`4v*:e08g6>"4j:0>i>5rVe596~"2m80o=6*6h5rV0f2>7}Ql?09w);j1;f1?!5e;3?n?6s+667956=zut1b>;h50;&13c<5>o1]>5?52zN1<6<6s_;im770a3_;99744<,7}#=l;1>;h4$2`0>0c43t.=;84>2:~y>o5>90;6)<8f;054>P50809wA<73;3xR4df2;q/=i<52728R4422;q/9h=5139'1`4=9;1vqpB=8382S40038pZi852z&6a4<5>91/?o=55d18y!00=3;97psr;n3`f?6=,;=m6t$35e>71d3A82e83>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6:m1]=?;52z&6a6<13-?n>784}|~?l75m3:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?=e:T260<5s-?n?784$4g1>3=zutw0c:0yO6=5=9r\o474ee3_;9973=#=l81:6sr}|9~f101290:6=4?{%04b?4?>2B9;>5`1b`94?"5?o0:oo54}c653?6=;3:1N5?:1b=?j50;&13c<6:j1C>:k4V3:2>4}K:191=vXk8;0x 4b5288o7[?=5;0x 0c42k1/9h<5b:~yx=n9;o1<7*=7g826f=O:>o0Z?6>:0yO6=5=9r\o4744b3_;997g=#=l81n6sr}|9l5fd=83.9;k4>cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91:6*:e385?x{zu2wi8;:50;194?6|,;=m6:84H350?l75l3:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?=d:T260<5s-?n?7?>;%7f6?763twvq6g>2d83>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6:l1]=?;52z&6a6<692.>i?4>1:~yx=h9jh1<7*=7g82gg=Q:1;1=vB=8282Sb?2;q/=i<51b`8R4422;q/9h=56:&6a7<13twvq6sm46:94?4=83:p(?9i:cd8L7143`;9o7>5$35e>44d32e:oo4?:%04b?7dj2\94<4>{M0;7?7|^m21>v*>d382gg=Q9;?1>v*:e285?!3b:3<0qpsr;|`73`<72?0;6=u+26d957g<@;=87)?;d;37?l75k3:1(?9i:00`?S4?93;p@?6<:0yU5gg=:r.:h?4>2b9U573=:r.>i>49;%7f6?0:18'62`=l81]>5?51zN1<6<6s_;im7a7<^88>6?u+5d192>"2m;0=7psr}:kg6?6=,;=m6i<4V3:2>7}K:191=vX>b`81!7c:3n97[?=5;0x 0c4211/9h<58:~yI4?:3>pZ?9?:2yU`3<5s-?n=7j=;%1a7?3b;2w]h:4={%7f5?75k2.8n>4:e29~ 3122l1vZ?9?:2yU`3<5s-?n=7j=;%1a7?3b;2w]h:4={%7f5?75k2.8n>4:e39~ 3122l1vZ?9?:2yU`3<5s-?n=7j=;%1a7?3b;2w]h:4={%7f5?b63-9i?7;j3:'223=m2w]=i?52zTg2?4|,0c43t.=;84>3:~y>o5>o0;6)<8f;05b>P50809wA<73;3xR4df2;q/=i<527d8R4422;q/9h=5139'1`4=9;1vqpB=8382S40038pZi852z&6a4<5>o1/?o=55d18y!00=3;97psr;h054?6=,;=m6?8?;W0;5?4|D;2866*:e3826>{zuE83>7?tV35;>7}Ql?09w);j1;054>"4j:0>i>5r$756>446<729q/>:h526a8L7143`;9h7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;31`>P6:<09w);j3;48 0c52?1vqps4i00f>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5288n7[?=5;0x 0c42?1/9h<56:~yx=h9jh1<7*=7g82gg=Q:1;1=vB=8282Sb?2;q/=i<51b`8R4422;q/9h=56:&6a7<13twvq6sm46`94?7=83:p(?9i:3:5?M40;2e:oo4?:%04b?7dj21vn99l:180>5<7s-8o6:m0;6)<8f;31g>N5?l1]>5?51zN1<6<6s_n36?u+1e0957b<^88>6?u+5d19f>"2m;0i7psr}:k26`<72-8cc83>!40n3;hn6X=8082I4?;3;pZi652z&2`7<6kk1]=?;52z&6a6<13-?n>784}|~?xd3?00;6>4?:1y'62`=??1C>:=4i00g>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5288o7[?=5;0x 0c428;0(8k=:038yx{z3`;9i7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;31a>P6:<09w);j3;32?!3b:3;:7psr}:m2gg<72-8j?4?:383>5}#:>l1nk5G2618m44d290/>:h513a8?j7dj3:1(?9i:0aa?S4?93;p@?6<:0yU`=<5s-;o>7?lb:T260<5s-?n?784$4g1>3=zutw0qo;i8;296?6=8r.9;k4mf:J136=n9;i1<7*=7g826f=2wvqp5rb723>5<4290;w)<8f;04g>N5?:1b=?j50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0957b<^88>6?u+5d192>"2m;0=7psr}:k26`<72-8h5Y13796~"2m:0m7);j2;d8yx{z3f;hn7>5$35e>4ee3_83=7?tL3:0>4}Ql109w)?k2;3`f>P6:<09w);j3;48 0c52?1vqps4}c7eb?6=>3:190(<:k:068m44d290/>:h513a8R7>628qG>5=51zT2fd<5s-;o>7?=c:T260<5s-?n?784$4g1>3=zutw0ei?50;&13c{M0;7?7|^8hj6?u+1e09`4=Q9;?1>v*:e285?!3b:3<0qpsr;hf1>5<#:>l1h?5Y29396~J50:0:w[?ma;0x 4b52m80Z<<::3y'1`5=02.>i?47;|~H7>52=q]>:>53zTg2?4|,0c43t\o;744d3-9i?7;j3:'223=m2w]>:>53zTg2?4|,0c43t\o;744d3-9i?7;j2:'223=m2w]>:>53zTg2?4|,0c43t\o;7a7<,:h868k<;|&530<03t\:h<4={Wf5>7}#=l;1h?5+3c191`5i>4>2:&6a7<6:2wvqA<72;3xR71?2;q]h;4={%7f5?41n2.8n>4:e29~ 3122880qps4i343>5<#:>l1>;>4V3:2>7}K:191=vX>b`81!7c:38=<6X>2481!3b;3;97);j2;31?x{zD;29638p(8k>:343?!5e;3?n?6s+667957=zut1d=nl50;&13c<6kk10qo;i3;297?6=8r.9;k4=7b9K6252wvqp5f13g94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9;o0Z<<::3y'1`5=>2.>i?49;|~y>i6kk0;6)<8f;3`f>P5080:wA<73;3xRa>=:r.:h?4>cc9U573=:r.>i>49;%7f6?04<729q/>:h52948L7143f;hn7>5$35e>4ee32wi9k850;194?6|,;=m6:84H350?l75l3:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?=d:T260<5s-?n?784$4g1>3=zutw0e<:0yO6=5=9r\o4744b3_;9973=#=l81:6sr}|9l5fd=83.9;k4>cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91:6*:e385?x{zu2wi9k950;694?6|,;=m6:84H350?l75l3:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?=d:T260<5s-?n?7l4$4g1>g=zutw0e<2481!3b;3;:7);j2;32?x{zu2c:?44?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3827<=Q9;?1>v*:e2825>"2m;0:=6sr}|9l5fd=83.9;k4>cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91:6*:e385?x{zu2wi9k;50;194?6|,;=m6o6:m0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>2e9U573=:r.>i>49;%7f6?0428q]h54={%3g6?75m2\:>84={%7f7?0<,:h51b`8R7>628qG>5=51zTg{zut1vn8h6:187>5<7s-8o6:m0;6)<8f;31g>N5?l1]>5?51zN1<6<6s_n36?u+1e0957b<^88>6?u+5d19g>"2m;0h7psr}:k26`<72-85<#:>l1=?m4H35f?S4?93;p@?6<:0yU`=<5s-;o>7?<9:T260<5s-?n?7?=;%7f6?753twvq6a>cc83>!40n3;hn6X=8082I4?;3;pZi652z&2`7<6kk1]=?;52z&6a6<13-?n>784}|~?xd2nh0;644?:1y'62`=??1C>:=4i00g>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5288o7[?=5;0x 0c42j1/9h<5c:~yx=n9;o1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<513g8R4422;q/9h=5c:&6a73883>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6;01]=?;52z&6a67m4}|~?l73<3:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?;4:T260<5s-?n?7m4$4g1>f=zutw0e<:8:18'62`=9;i0Z?6>:0yO6=5=9r\o474203_;997f=#=l81o6sr}|9j51>=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=964V006>7}#=l91o6*:e38`?x{zu2c:844?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3820<=Q9;?1>v*:e28`?!3b:3i0qpsr;h37e?6=,;=m6<2481!3b;3i0(8k=:b9~yx{2wvqp5rb4da>5<693:1N5?:1b=?j50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0957b<^88>6?u+5d19g>"2m;0h7psr}:k26`<72-8h5Y13796~"2m:0h7);j2;a8yx{z3`;857>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;30=>P6:<09w);j3;a8 0c52j1vqps4i067>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b528>?7[?=5;0x 0c42j1/9h<5c:~yx=n9==1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<51558R4422;q/9h=5c:&6a74983>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6<11]=?;52z&6a67m4}|~?l7313:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?;9:T260<5s-?n?7m4$4g1>f=zutw0e<:n:18'62`=9;i0D?9j;W0;5?7|D;2862481!3b;3i0(8k=:b9~yx{i6=4+26d957e<@;=n7[<71;3xH7>428q]h54={%3g6?73j2\:>84={%7f7?753-?n>7?=;|~y>o6N5?l1]>5?51zN1<6<6s_n36?u+1e0951e<^88>6?u+5d1957=#=l81=?5r}|8m44a290/>:h513a8R7>628qG>5=51zTg{zut1b=>>50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e09566<^88>6?u+5d192>"2m;0=7psr}:k274<72-87>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;306>P6:<09w);j3;48 0c52?1vqps4i010>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b528987[?=5;0x 0c42?1/9h<56:~yx=n9:>1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<51268R4422;q/9h=56:&6a7<13twvq6a>cc83>!40n3;hn6X=8082I4?;3;pZi652z&2`7<6kk1]=?;52z&6a6<13-?n>784}|~?xd2nj0;6<0D?9<;h31`?6=,;=m6<2481!3b;3i0(8k=:b9~yx{n5Y29395~J50:0:w[j7:3y'5a4=9:30Z<<::3y'1`5=k2.>i?4l;|~y>o6<=0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>459U573=:r.>i>4l;%7f6?e428q]h54={%3g6?73?2\:>84={%7f7?e<,:h513a8R7>628qG>5=51zTg{zut1b=9750;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0951?<^88>6?u+5d19g>"2m;0h7psr}:k20d<72-84c83>!40n3;9o6F=7d9U6=7=9rF94>4>{Wf;>7}#9m81=9l4V006>7}#=l91=?5+5d0957=zutw0e<:l:18'62`=9;i0D?9j;W0;5?7|D;2862481!3b;3;97);j2;31?x{zu2c:>k4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3826c=Q9;?1>v*:e285?!3b:3<0qpsr;h304?6=,;=m6<2481!3b;3<0(8k=:79~yx{2wvqp5f12094?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9:80Z<<::3y'1`5=>2.>i?49;|~y>o6;:0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>329U573=:r.>i>49;%7f6?0428q]h54={%3g6?74<2\:>84={%7f7?0<,:h51b`8R7>628qG>5=51zTg{zut1vn8hk:186>5<7s-82d83>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6:l1]=?;52z&6a6<13-?n>784}|~?l7413:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?<9:T260<5s-?n?784$4g1>3=zutw0e<:;:18'62`=9;i0Z?6>:0yO6=5=9r\o474233_;9973=#=l81:6sr}|9l5fd=83.9;k4>cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91:6*:e385?x{zu2wi8h=50;094?6|,;=m6oh4H350?l75k3:1(?9i:00`?>i6kk0;6)<8f;3`f>P5080:wA<73;3xRa>=:r.:h?4>cc9U573=:r.>i>49;%7f6?07<729q/>:h5bg9K6255$35e>4ee3_83=7?tL3:0>4}Ql109w)?k2;3`f>P6:<09w);j3;48 0c52?1vqps4}c6fe?6=:3:1N5?:1b=?m50;&13c<6:j10c:0yO6=5=9r\o474ee3_;9973=#=l81:6sr}|9~f1`7290=6=4?{%04b?75i2B9;>5+15f951=n9;i1<7*=7g826f=Q:1;1=vB=8282S7ei38p(2wvqp5fd083>!40n3n:7[<71;3xH7>428q]=oo52z&2`784={%7f7?0<,7}#9m81h?5Y13796~"2m:037);j2;:8yx{K:1818vX=7180Sb12;q/9h?5d39'7g5==l90q[j8:3y'1`7=9;i0(>l<:4g0?x"1?<0n7pX=7180Sb12;q/9h?5d39'7g5==l90q[j8:3y'1`7=9;i0(>l<:4g1?x"1?<0n7pX=7180Sb12;q/9h?5d39'7g5==l90q[j8:3y'1`7=l81/?o=55d18y!00=3=0q[?k1;0xRa0=:r.>i<4k2:&0f6<2m:1v(;9::018yx{P6:<09w);j3;31?!3b:3;97psrL3:1>4}Q:>21>vXk6;0x 0c62;{#>>?1=?5r}|9j636=83.9;k4=619U6=7=:rF94>4>{W3ae?4|,8n96?8?;W311?4|,445<51zT13=<5s_n=6?u+5d39636<,:h868k<;|&530<6:2wvq6a>cc83>!40n3;hn65rb5g7>5<4290;w)<8f;04g>N5?:1b=?j50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0957b<^88>6?u+5d192>"2m;0=7psr}:k26`<72-8h5Y13796~"2m:0=7);j2;48yx{z3f;hn7>5$35e>4ee3_83=7?tL3:0>4}Ql109w)?k2;3`f>P6:<09w);j3;48 0c52?1vqps4}c6f1?6=93:190c6<729q/>:h5779K6252wvqp5f13g94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9;o0Z<<::3y'1`5=>2.>i?49;|~y>i6kk0;6)<8f;3`f>P5080:wA<73;3xRa>=:r.:h?4>cc9U573=:r.>i>49;%7f6?06<729q/>:h5779K625n5G26g8R7>628qG>5=51zTg47428q]h54={%3g6?7dj2\:>84={%7f7?0<,50z&13c<0>2B9;>5f13f94?"5?o0:>n5G26g8R7>628qG>5=51zTg47428q]h54={%3g6?75m2\:>84={%7f7?763-?n>7?>;|~y>i6kk0;6)<8f;3`f>P5080:wA<73;3xRa>=:r.:h?4>cc9U573=:r.>i>49;%7f6?01<729q/>:h51bc8L7143`;9h7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;31`>P6:<09w);j3;48 0c52?1vqps4i00f>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5288n7[?=5;0x 0c42?1/9h<56:~yx=n9:31<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<512;8R4422;q/9h=56:&6a7<13twvq6a>cc83>!40n3;hn6X=8082I4?;3;pZi652z&2`7<6kk1]=?;52z&6a6<13-?n>784}|~?xd3mk0;6;4?:1y'62`=??1C>:=4i00g>5<#:>l1=?m4H35f?S4?93;p@?6<:0yU`=<5s-;o>7?=d:T260<5s-?n?7m4$4g1>f=zutw0e<2481!3b;3i0(8k=:b9~yx{428q]h54={%3g6?7412\:>84={%7f7?e<,:h513a8L71b3_83=7?tL3:0>4}Ql109w)?k2;370>P6:<09w);j3;31?!3b:3;97psr}:k202<72-86*:e3826>{zut1d=nl50;&13c<6kk1]>5?51zN1<6<6s_n36?u+1e095fd<^88>6?u+5d192>"2m;0=7psr}:a0`e=83=1<7>t$35e>20<@;=87d?=d;29 71a288h7E<8e:T1<4<6sE83?7?tVe:96~"6l;0:>i5Y13796~"2m:0h7);j2;a8yx{z3`;9i7>5$35e>44d3A87m4}|~?l7413:1(?9i:00`?M40m2\94<4>{M0;7?7|^m21>v*>d3827<=Q9;?1>v*:e28`?!3b:3i0qpsr;h370?6=,;=m6<P5080:wA<73;3xRa>=:r.:h?4>459U573=:r.>i>4l;%7f6?e6*:e3826>{zut1b=9650;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0951><^88>6?u+5d1957=#=l81=?5r}|8k4ee290/>:h51b`8R7>628qG>5=51zTg{zut1vn9kk:184>5<7s-8o6:m0;6)<8f;31g>N5?l1]>5?51zN1<6<6s_n36?u+1e0957b<^88>6?u+5d19g>"2m;0h7psr}:k26`<72-83883>!40n3;9o6F=7d9U6=7=9rF94>4>{Wf;>7}#9m81=>74V006>7}#=l91o6*:e38`?x{zu2c:894?:%04b?75k2B9;h5Y29395~J50:0:w[j7:3y'5a4=9=>0Z<<::3y'1`5=9;1/9h<5139~yx{<6=4+26d957e<^;2:62481!3b;3;97);j2;31?x{zu2e:oo4?:%04b?7dj2\94<4>{M0;7?7|^m21>v*>d382gg=Q9;?1>v*:e285?!3b:3<0qpsr;|`7a`<72=0;6=u+26d95fg<@;=87d?=d;29 71a288h7[<71;3xH7>428q]h54={%3g6?75l2\:>84={%7f7?0<,:h513a8R7>628qG>5=51zTg{zut1b=>750;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0956?<^88>6?u+5d192>"2m;0=7psr}:m2gg<72-8h?4?:383>5}#:>l1nk5G2618m44d290/>:h513a8?j7dj3:1(?9i:0aa?S4?93;p@?6<:0yU`=<5s-;o>7?lb:T260<5s-?n?784$4g1>3=zutw0qo;k9;292?6=8r.9;k4>2`9K625<,8>o6<:4i00`>5<#:>l1=?m4V3:2>4}K:191=vX>b`81!7c:3;9o6X>2481!3b;3<0(8k=:79~yx{P5080:wA<73;3xR4df2;q/=i<5d09U573=:r.>i>49;%7f6?05?52zN1<6<6s_;im7a4<^88>6?u+5d19<>"2m;037psrL3:1>1}Q:>:1?vXk6;0x 0c62m80(>l<:4g0?xPc?38p(8k>:00`?!5e;3?n?6s+6679a>{Q:>:1?vXk6;0x 0c62m80(>l<:4g0?xPc?38p(8k>:00`?!5e;3?n>6s+6679a>{Q:>:1?vXk6;0x 0c62m80(>l<:4g0?xPc?38p(8k>:e38 6d426*:e3826>{zuE83>7?tV35;>7}Ql?09w);j1;05b>"4j:0>i>5r$756>44:3yO6=5=9r\:nl4={%3g6?4182\:>84={%7f7?753-?n>7?=;|~H7>528q]>:652zTg2?4|,53;294~"5?o09;n5G2618m44c290/>:h513a8L71b3_83=7?tL3:0>4}Ql109w)?k2;31`>P6:<09w);j3;48 0c52?1vqps4i00f>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5288n7[?=5;0x 0c42?1/9h<56:~yx=h9jh1<7*=7g82gg=Q:1;1=vB=8282Sb?2;q/=i<51b`8R4422;q/9h=56:&6a7<13twvq6sm5e694?7=83:p(?9i:3:5?M40;2e:oo4?:%04b?7dj21vn8j9:1825?6=8r.9;k486:J136=n9;n1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<513f8R4422;q/9h=5b:&6a72d83>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6:l1]=?;52z&6a67l4}|~?l7413:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?<9:T260<5s-?n?7l4$4g1>g=zutw0e<:;:18'62`=9;i0Z?6>:0yO6=5=9r\o474233_;997g=#=l81n6sr}|9j511=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=994V006>7}#=l91n6*:e38a?x{zu2c:854?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3820==Q9;?1>v*:e28a?!3b:3h0qpsr;h37=?6=,;=m6<2481!3b;3h0(8k=:c9~yx{j6=4+26d957e<^;2:6n5G26g8R7>628qG>5=51zTg{zut1b=9m50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0951e<^88>6?u+5d1954=#=l81=<5r}|8m44a290/>:h513a8R7>628qG>5=51zTg47428q]h54={%3g6?7482\:>84={%7f7?0<,:h513a8R7>628qG>5=51zTg;W311?4|,{zut1b=><50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e09564<^88>6?u+5d192>"2m;0=7psr}:k276<72-85Y13796~"2m:0=7);j2;48yx{z3`;887>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;300>P6:<09w);j3;48 0c52?1vqps4o0aa>5<#:>l1=nl4V3:2>4}K:191=vXk8;0x 4b528ii7[?=5;0x 0c42?1/9h<56:~yx=zj1;294~"5?o0<:6F=729j57b=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=?j4V006>7}#=l91n6*:e38a?x{zu2c:>h4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3826`=Q9;?1>v*:e28a?!3b:3h0qpsr;h30=?6=,;=m6<2481!3b;3h0(8k=:c9~yx{?6=4+26d957e<^;2:6n5Y29395~J50:0:w[j7:3y'5a4=9==0Z<<::3y'1`5=j2.>i?4m;|~y>o6<10;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>499U573=:r.>i>4m;%7f6?d428q]h54={%3g6?7312\:>84={%7f7?d<,:h513a8R7>628qG>5=51zTg{zut1b=9l50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0951d<^88>6?u+5d1954=#=l81=<5r}|8m42d290/>:h513a8R7>628qG>5=51zTg47428q]h54={%3g6?75n2\:>84={%7f7?763-?n>7?>;|~y>o6;90;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>319U573=:r.>i>49;%7f6?0428q]h54={%3g6?7492\:>84={%7f7?0<,:h513a8R7>628qG>5=51zTg{zut1b=>=50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e09565<^88>6?u+5d192>"2m;0=7psr}:k271<72-85$35e>4ee3_83=7?tL3:0>4}Ql109w)?k2;3`f>P6:<09w);j3;48 0c52?1vqps4}c7g1?6=;3:190e<:0yO6=5=9r\o4744c3_;9973=#=l81:6sr}|9j57c=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=?k4V006>7}#=l91:6*:e385?x{zu2e:oo4?:%04b?7dj2\94<4>{M0;7?7|^m21>v*>d382gg=Q9;?1>v*:e285?!3b:3<0qpsr;|`6`d<72:0;6=u+26d933=O:>90e<:0yO6=5=9r\o4744c3_;997g=#=l81n6sr}|9j57c=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=?k4V006>7}#=l91n6*:e38a?x{zu2e:oo4?:%04b?7dj2\94<4>{M0;7?7|^m21>v*>d382gg=Q9;?1>v*:e285?!3b:3<0qpsr;|`63<<72;0;6=u+26d9fc=O:>90e<428q]h54={%3g6?7dj2\:>84={%7f7?0<,7>50z&13c5f13a94?"5?o0:>n54o0aa>5<#:>l1=nl4V3:2>4}K:191=vXk8;0x 4b528ii7[?=5;0x 0c42?1/9h<56:~yx=zj<2?6=4=:183!40n3hm7E<83:k26f<72-8cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91m6*:e38b?x{zu2wi9:o50;194?6|,;=m6?9l;I047>o6:m0;6)<8f;31g>N5?l1]>5?51zN1<6<6s_n36?u+1e0957b<^88>6?u+5d192>"2m;0=7psr}:k26`<72-8h5Y13796~"2m:0=7);j2;48yx{z3f;hn7>5$35e>4ee3_83=7?tL3:0>4}Ql109w)?k2;3`f>P6:<09w);j3;48 0c52?1vqps4}c74f?6=93:190c6<729q/>:h5779K6252wvqp5f13g94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9;o0Z<<::3y'1`5=>2.>i?49;|~y>i6kk0;6)<8f;3`f>P5080:wA<73;3xRa>=:r.:h?4>cc9U573=:r.>i>49;%7f6?03<729q/>:h5779K625428q]h54={%3g6?75l2\:>84={%7f7?e<,:h513a8L71b3_83=7?tL3:0>4}Ql109w)?k2;31a>P6:<09w);j3;a8 0c52j1vqps4i01:>5<#:>l1=?m4H35f?S4?93;p@?6<:0yU`=<5s-;o>7?<9:T260<5s-?n?7m4$4g1>f=zutw0e<:;:18'62`=9;i0D?9j;W0;5?7|D;2862481!3b;3;97);j2;31?x{zu2c:8:4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d38202=Q9;?1>v*:e2826>"2m;0:>6sr}|9l5fd=83.9;k4>cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91:6*:e385?x{zu2wi9:h50;494?6|,;=m6:84H350?l75l3:1(?9i:00`?M40m2\94<4>{M0;7?7|^m21>v*>d3826a=Q9;?1>v*:e28`?!3b:3i0qpsr;h31a?6=,;=m6<P5080:wA<73;3xRa>=:r.:h?4>2d9U573=:r.>i>4l;%7f6?e5$35e>44d3A8i?4>2:~yx=n9==1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<51558R4422;q/9h=5139'1`4=9;1vqps4o0aa>5<#:>l1=nl4V3:2>4}K:191=vXk8;0x 4b528ii7[?=5;0x 0c42?1/9h<56:~yx=zj<2;6=49:183!40n3==7E<83:k26a<72-82d83>!40n3;9o6F=7d9U6=7=9rF94>4>{Wf;>7}#9m81=?k4V006>7}#=l91o6*:e38`?x{zu2c:?44?:%04b?75k2B9;h5Y29395~J50:0:w[j7:3y'5a4=9:30Z<<::3y'1`5=k2.>i?4l;|~y>o6<=0;6)<8f;31g>N5?l1]>5?51zN1<6<6s_n36?u+1e09512<^88>6?u+5d1957=#=l81=?5r}|8m420290/>:h513a8R7>628qG>5=51zTg44428q]h54={%3g6?7dj2\:>84={%7f7?0<,50z&13c<0>2B9;>5f13f94?"5?o0:>n5G26g8R7>628qG>5=51zTg{zut1b=?k50;&13c<6:j1C>:k4V3:2>4}K:191=vXk8;0x 4b5288n7[?=5;0x 0c42j1/9h<5c:~yx=n9:31<7*=7g826f=O:>o0Z?6>:0yO6=5=9r\o4745>3_;997f=#=l81o6sr}|9j512=83.9;k4>2b9K62c<^;2:6n5G26g8R7>628qG>5=51zTg44428q]h54={%3g6?7302\:>84={%7f7?753-?n>7?=;|~y>i6kk0;6)<8f;3`f>P5080:wA<73;3xRa>=:r.:h?4>cc9U573=:r.>i>49;%7f6?02<729q/>:h5779K625428q]h54={%3g6?75l2\:>84={%7f7?e<,:h513a8L71b3_83=7?tL3:0>4}Ql109w)?k2;31a>P6:<09w);j3;a8 0c52j1vqps4i01:>5<#:>l1=?m4H35f?S4?93;p@?6<:0yU`=<5s-;o>7?<9:T260<5s-?n?7m4$4g1>f=zutw0e<:;:18'62`=9;i0D?9j;W0;5?7|D;2862481!3b;3;97);j2;31?x{zu2c:8:4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d38202=Q9;?1>v*:e2826>"2m;0:>6sr}|9j51>=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=964V006>7}#=l91=?5+5d0957=zutw0c:0yO6=5=9r\o474ee3_;9973=#=l81:6sr}|9~f0>4290=6=4?{%04b?7di2B9;>5f13f94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9;n0Z<<::3y'1`5=>2.>i?49;|~y>o6:l0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>2d9U573=:r.>i>49;%7f6?0428q]h54={%3g6?7412\:>84={%7f7?0<,:h513a8R7>628qG>5=51zTg{zut1b=9950;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e09511<^88>6?u+5d192>"2m;0=7psr}:m2gg<72-85}#:>l1nk5G2618m44d290/>:h513a8?j7dj3:1(?9i:0aa?S4?93;p@?6<:0yU`=<5s-;o>7?lb:T260<5s-?n?784$4g1>3=zutw0qo:i7;296?6=8r.9;k4mf:J136=n9;i1<7*=7g826f=2wvqp5rb5df>5<5290;w)<8f;`e?M40;2c:>n4?:%04b?75k21d=nl50;&13c<6kk1]>5?51zN1<6<6s_n36?u+1e095fd<^88>6?u+5d192>"2m;0=7psr}:a153=8391<7>t$35e>71d3A82e83>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6:m1]=?;52z&6a6<13-?n>784}|~?l75m3:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?=e:T260<5s-?n?7h4$4g1>c=zutw0c:0yO6=5=9r\o474ee3_;9973=#=l81:6sr}|9~f063290=6=4?{%04b?75i2B9;>5+15f951=n9;i1<7*=7g826f=Q:1;1=vB=8282S7ei38p(2wvqp5fd083>!40n3n:7[<71;3xH7>428q]=oo52z&2`784={%7f7?0<,7}#9m81h?5Y13796~"2m:037);j2;:8yx{K:1818vX=7180Sb12;q/9h?5d39'7g5==l90q[j8:3y'1`7=9;i0(>l<:4g0?x"1?<0n7pX=7180Sb12;q/9h?5d39'7g5==l90q[j8:3y'1`7=9;i0(>l<:4g1?x"1?<0n7pX=7180Sb12;q/9h?5d39'7g5==l90q[j8:3y'1`7=l81/?o=55d18y!00=3=0q[?k1;0xRa0=:r.>i<4k2:&0f6<2m:1v(;9::018yx{P6:<09w);j3;31?!3b:3;97psrL3:1>4}Q:>21>vXk6;0x 0c62;{#>>?1=?5r}|9j636=83.9;k4=619U6=7=:rF94>4>{W3ae?4|,8n96?8?;W311?4|,445<51zT13=<5s_n=6?u+5d39636<,:h868k<;|&530<6:2wvq6a>cc83>!40n3;hn65rb5d:>5<4290;w)<8f;04g>N5?:1b=?j50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0957b<^88>6?u+5d192>"2m;0=7psr}:k26`<72-8h5Y13796~"2m:0=7);j2;48yx{z3f;hn7>5$35e>4ee3_83=7?tL3:0>4}Ql109w)?k2;3`f>P6:<09w);j3;48 0c52?1vqps4}c6ee?6=93:190c1<729q/>:h5779K625428q]h54={%3g6?75l2\:>84={%7f7?763-?n>7?>;|~y>o6:l0;6)<8f;31g>N5?l1]>5?51zN1<6<6s_n36?u+1e0957c<^88>6?u+5d1954=#=l81=<5r}|8m45>290/>:h513a8R7>628qG>5=51zTg47428q]h54={%3g6?7dj2\:>84={%7f7?0<,50z&13c<0>2B9;>5f13f94?"5?o0:>n5G26g8R7>628qG>5=51zTg47428q]h54={%3g6?75m2\:>84={%7f7?763-?n>7?>;|~y>o6;00;6)<8f;31g>N5?l1]>5?51zN1<6<6s_n36?u+1e0956?<^88>6?u+5d1954=#=l81=<5r}|8m423290/>:h513a8L71b3_83=7?tL3:0>4}Ql109w)?k2;370>P6:<09w);j3;32?!3b:3;:7psr}:m2gg<72-85}#:>l1=no4H350?l75l3:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?=d:T260<5s-?n?784$4g1>3=zutw0e<:0yO6=5=9r\o4744b3_;9973=#=l81:6sr}|9l5fd=83.9;k4>cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91:6*:e385?x{zu2wi8kh50;494?6|,;=m6:84H350?l75l3:1(?9i:00`?M40m2\94<4>{M0;7?7|^m21>v*>d3826a=Q9;?1>v*:e28`?!3b:3i0qpsr;h31a?6=,;=m6<P5080:wA<73;3xRa>=:r.:h?4>2d9U573=:r.>i>4l;%7f6?e5$35e>44d3A8i?4>2:~yx=n9==1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<51558R4422;q/9h=5139'1`4=9;1vqps4o0aa>5<#:>l1=nl4V3:2>4}K:191=vXk8;0x 4b528ii7[?=5;0x 0c42?1/9h<56:~yx=zj<:;6=49:183!40n3==7E<83:k26a<72-82d83>!40n3;9o6F=7d9U6=7=9rF94>4>{Wf;>7}#9m81=?k4V006>7}#=l91o6*:e38`?x{zu2c:?44?:%04b?75k2B9;h5Y29395~J50:0:w[j7:3y'5a4=9:30Z<<::3y'1`5=k2.>i?4l;|~y>o6<=0;6)<8f;31g>N5?l1]>5?51zN1<6<6s_n36?u+1e09512<^88>6?u+5d1957=#=l81=?5r}|8m420290/>:h513a8R7>628qG>5=51zTg44428q]h54={%3g6?7dj2\:>84={%7f7?0<,50z&13c<0>2B9;>5f13f94?"5?o0:>n5G26g8R7>628qG>5=51zTg{zut1b=?k50;&13c<6:j1C>:k4V3:2>4}K:191=vXk8;0x 4b5288n7[?=5;0x 0c42j1/9h<5c:~yx=n9:31<7*=7g826f=O:>o0Z?6>:0yO6=5=9r\o4745>3_;997f=#=l81o6sr}|9j512=83.9;k4>2b9K62c<^;2:62481!3b;3;97);j2;31?x{zu2e:oo4?:%04b?7dj2\94<4>{M0;7?7|^m21>v*>d382gg=Q9;?1>v*:e285?!3b:3<0qpsr;|`647<72=0;6=u+26d95fg<@;=87d?=d;29 71a288h7[<71;3xH7>428q]h54={%3g6?75l2\:>84={%7f7?0<,:h513a8R7>628qG>5=51zTg{zut1b=>750;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0956?<^88>6?u+5d192>"2m;0=7psr}:m2gg<72-85}#:>l1nk5G2618m44d290/>:h513a8?j7dj3:1(?9i:0aa?S4?93;p@?6<:0yU`=<5s-;o>7?lb:T260<5s-?n?784$4g1>3=zutw0qo<=5;296?6=8r.9;k4mf:J136=n9;i1<7*=7g826f=5<4290;w)<8f;04g>N5?:1b=?j50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0957b<^88>6?u+5d192>"2m;0=7psr}:k26`<72-8h5Y13796~"2m:0=7);j2;48yx{z3f;hn7>5$35e>4ee3_83=7?tL3:0>4}Ql109w)?k2;3`f>P6:<09w);j3;48 0c52?1vqps4}c015?6=93:190c6<729q/>:h5779K625n5Y29395~J50:0:w[j7:3y'5a4=9;o0Z<<::3y'1`5=981/9h<5109~yx{2wvqp5rb307>5<4290;w)<8f;55?M40;2c:>i4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3826a=Q9;?1>v*:e28a?!3b:3h0qpsr;h31a?6=,;=m6<P5080:wA<73;3xRa>=:r.:h?4>2d9U573=:r.>i>4>1:&6a7<692wvqp5`1b`94?"5?o0:oo5Y29395~J50:0:w[j7:3y'5a4=9jh0Z<<::3y'1`5=>2.>i?49;|~y>{e:;81<7=50;2x 71a28ij7E<83:k26a<72-8i5Y13796~"2m:0=7);j2;48yx{z3`;9i7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;31a>P6:<09w);j3;48 0c52?1vqps4o0aa>5<#:>l1=nl4V3:2>4}K:191=vXk8;0x 4b528ii7[?=5;0x 0c42?1/9h<56:~yx=zj?:o6=4=:183!40n3hm7E<83:k26f<72-8cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91:6*:e385?x{zu2wi:<:50;094?6|,;=m6oh4H350?l75k3:1(?9i:00`?>i6kk0;6)<8f;3`f>P5080:wA<73;3xRa>=:r.:h?4>cc9U573=:r.>i>49;%7f6?03<729q/>:h513c8L7143-;?h7?;;h31g?6=,;=m6<n5Y13796~"2m:0=7);j2;48yx{z3`n:6=4+26d9`4=Q:1;1=vB=8282S7ei38p(:3yO6=5=9r\:nl4={%3g6?b53_;997==#=l8146sr}M0;6?2|^;=;6>uYd781!3b93n97)=m3;7f7>{Ql>09w);j1;31g>"4j:0>i>5r$756>`=z^;=;6>uYd781!3b93n97)=m3;7f7>{Ql>09w);j1;31g>"4j:0>i?5r$756>`=z^;=;6>uYd781!3b93n97)=m3;7f7>{Ql>09w);j1;f2?!5e;3?n?6s+66793>{Q9m;1>vXk6;0x 0c62m80(>l<:4g0?x"1?<0:?6sr}:k12c<72-87}#9m81>;h4V006>7}#=l91=?5+5d0957=zutF94?4>{W04v*:e0812c=#;k919h=4}%441?753twv7d<90;29 71a2;<;7[<71;0xH7>428q]=oo52z&2`7<5>91]=?;52z&6a6<6:2.>i?4>2:~yI4?:3;pZ?97:3yU`3<5s-?n=7<90:&0f6<2m:1v(;9::008yx{5}#:>l1>:m4H350?l75l3:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?=d:T260<5s-?n?784$4g1>3=zutw0e<:0yO6=5=9r\o4744b3_;9973=#=l81:6sr}|9l5fd=83.9;k4>cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91:6*:e385?x{zu2wi:=h50;394?6|,;=m6?69;I047>i6kk0;6)<8f;3`f>=zj?;:6=4<:183!40n3==7E<83:k26a<72-8i5Y13796~"2m:0:=6*:e3825>{zut1b=?k50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0957c<^88>6?u+5d1954=#=l81=<5r}|8k4ee290/>:h51b`8R7>628qG>5=51zTg{zut1vn;?=:184>5<7s-8o6:m0;6)<8f;31g>N5?l1]>5?51zN1<6<6s_n36?u+1e0957b<^88>6?u+5d19f>"2m;0i7psr}:k26`<72-83883>!40n3;9o6F=7d9U6=7=9rF94>4>{Wf;>7}#9m81=>74V006>7}#=l91n6*:e38a?x{zu2c:894?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d38201=Q9;?1>v*:e2825>"2m;0:=6sr}|9j511=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=994V006>7}#=l91=<5+5d0954=zutw0e<:7:18'62`=9;i0Z?6>:0yO6=5=9r\o4742?3_;99747<,7?lb:T260<5s-?n?784$4g1>3=zutw0qo8>3;293?6=8r.9;k486:J136=n9;n1<7*=7g826f=O:>o0Z?6>:0yO6=5=9r\o4744c3_;997g=#=l81n6sr}|9j57c=83.9;k4>2b9K62c<^;2:6n5Y29395~J50:0:w[j7:3y'5a4=9:30Z<<::3y'1`5=981/9h<5109~yx{?6=4+26d957e<^;2:62481!3b;3;:7);j2;32?x{zu2c:854?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3820==Q9;?1>v*:e2825>"2m;0:=6sr}|9l5fd=83.9;k4>cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91:6*:e385?x{zu2wi:<>50;694?6|,;=m6o6:m0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>2e9U573=:r.>i>49;%7f6?0428q]h54={%3g6?75m2\:>84={%7f7?0<,290/>:h513a8R7>628qG>5=51zTg{zut1d=nl50;&13c<6kk1]>5?51zN1<6<6s_n36?u+1e095fd<^88>6?u+5d192>"2m;0=7psr}:a243=8321<7>t$35e>20<@;=87d?=d;29 71a288h7E<8e:T1<4<6sE83?7?tVe:96~"6l;0:>i5Y13796~"2m:0h7);j2;a8yx{z3`;9i7>5$35e>44d3A87m4}|~?l7413:1(?9i:00`?M40m2\94<4>{M0;7?7|^m21>v*>d3827<=Q9;?1>v*:e28`?!3b:3i0qpsr;h370?6=,;=m6<2481!3b;3;97);j2;31?x{zu2c:8:4?:%04b?75k2B9;h5Y29395~J50:0:w[j7:3y'5a4=9==0Z<<::3y'1`5=9;1/9h<5139~yx{36=4+26d957e<^;2:62481!3b;3;97);j2;31?x{zu2e:oo4?:%04b?7dj2\94<4>{M0;7?7|^m21>v*>d382gg=Q9;?1>v*:e285?!3b:3<0qpsr;|`553<7210;6=u+26d933=O:>90e<2481!3b;3i0(8k=:b9~yx{428q]h54={%3g6?75m2\:>84={%7f7?e<,290/>:h513a8R7>628qG>5=51zTg44428q]h54={%3g6?73<2\:>84={%7f7?753-?n>7?=;|~y>o6<>0;6)<8f;31g>N5?l1]>5?51zN1<6<6s_n36?u+1e09511<^88>6?u+5d1957=#=l81=?5r}|8m42?290/>:h513a8R7>628qG>5=51zTg44428q]h54={%3g6?7312\:>84={%7f7?753-?n>7?=;|~y>i6kk0;6)<8f;3`f>P5080:wA<73;3xRa>=:r.:h?4>cc9U573=:r.>i>49;%7f6?06<729q/>:h51bc8L7143`;9h7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;31`>P6:<09w);j3;48 0c52?1vqps4i00f>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5288n7[?=5;0x 0c42?1/9h<56:~yx=h9jh1<7*=7g82gg=Q:1;1=vB=8282Sb?2;q/=i<51b`8R4422;q/9h=56:&6a7<13twvq6sm48;94?4=83:p(?9i:cd8L7143`;9o7>5$35e>44d32e:oo4?:%04b?7dj2\94<4>{M0;7?7|^m21>v*>d382gg=Q9;?1>v*:e285?!3b:3<0qpsr;|`7e5<72?0;6=u+26d957g<@;=87)?;d;37?l75k3:1(?9i:00`?S4?93;p@?6<:0yU5gg=:r.:h?4>2b9U573=:r.>i>49;%7f6?0:18'62`=l81]>5?51zN1<6<6s_;im7a7<^88>6?u+5d192>"2m;0=7psr}:kg6?6=,;=m6i<4V3:2>7}K:191=vX>b`81!7c:3n97[?=5;0x 0c4211/9h<58:~yI4?:3>pZ?9?:2yU`3<5s-?n=7j=;%1a7?3b;2w]h:4={%7f5?75k2.8n>4:e29~ 3122l1vZ?9?:2yU`3<5s-?n=7j=;%1a7?3b;2w]h:4={%7f5?75k2.8n>4:e39~ 3122l1vZ?9?:2yU`3<5s-?n=7j=;%1a7?3b;2w]h:4={%7f5?b63-9i?7;j3:'223=?2w]=i?52zTg2?4|,0c43t.=;84>3:~y>o5>o0;6)<8f;05b>P50809wA<73;3xR4df2;q/=i<527d8R4422;q/9h=5139'1`4=9;1vqpB=8382S40038pZi852z&6a4<5>o1/?o=55d18y!00=3;97psr;h054?6=,;=m6?8?;W0;5?4|D;2866*:e3826>{zuE83>7?tV35;>7}Ql?09w);j1;054>"4j:0>i>5r$756>446<729q/>:h526a8L7143`;9h7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;31`>P6:<09w);j3;48 0c52?1vqps4i00f>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5288n7[?=5;0x 0c42?1/9h<56:~yx=h9jh1<7*=7g82gg=Q:1;1=vB=8282Sb?2;q/=i<51b`8R4422;q/9h=56:&6a7<13twvq6sm48`94?7=83:p(?9i:3:5?M40;2e:oo4?:%04b?7dj21vn97k:180>5<7s-8o6:m0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>2e9U573=:r.>i>4>1:&6a7<692wvqp5f13g94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9;o0Z<<::3y'1`5=981/9h<5109~yx{2wvqp5rb5;f>5<4290;w)<8f;55?M40;2c:>i4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3826a=Q9;?1>v*:e2825>"2m;0:=6sr}|9j57c=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=?k4V006>7}#=l91=<5+5d0954=zutw0c:0yO6=5=9r\o474ee3_;9973=#=l81:6sr}|9~f1?d29086=4?{%04b?7di2B9;>5f13f94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9;n0Z<<::3y'1`5=>2.>i?49;|~y>o6:l0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>2d9U573=:r.>i>49;%7f6?0428q]h54={%3g6?7dj2\:>84={%7f7?0<,7>50z&13c5f13a94?"5?o0:>n54o0aa>5<#:>l1=nl4V3:2>4}K:191=vXk8;0x 4b528ii7[?=5;0x 0c42?1/9h<56:~yx=zj=k26=4=:183!40n3hm7E<83:k26f<72-8cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91:6*:e385?x{zu2wi8lk50;494?6|,;=m6<"62b83>!40n3;9o6X=8082I4?;3;pZ2.>i?49;|~y>oc93:1(?9i:e38R7>628qG>5=51zT2fd<5s-;o>7j>;W311?4|,{zut1bh?4?:%04b?b53_83=74}Q9kk1>v*>d38g6>P6:<09w);j3;:8 0c5211vqpB=8387S40839pZi852z&6a44:e29~Ra1=:r.>i<4>2b9'7g5==l90q)885;g8yS40839pZi852z&6a44:e29~Ra1=:r.>i<4>2b9'7g5==l80q)885;g8yS40839pZi852z&6a44:e29~Ra1=:r.>i<4k1:&0f6<2m:1v(;9::69~R4b62;q]h;4={%7f5?b53-9i?7;j3:'223=9:1vqp5f27d94?"5?o09:k5Y29396~J50:0:w[?ma;0x 4b52;{zu2c9:=4?:%04b?4182\94<4={M0;7?7|^8hj6?u+1e09636<^88>6?u+5d1957=#=l81=?5r}|N1<7<6s_8<47{e2d83>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6:l1]=?;52z&6a6<13-?n>784}|~?j7dj3:1(?9i:0aa?S4?93;p@?6<:0yU`=<5s-;o>7?lb:T260<5s-?n?784$4g1>3=zutw0qo:n3;295?6=8r.9;k4=879K6255}#:>l1;;5G2618m44c290/>:h513a8R7>628qG>5=51zTg{zut1b=?k50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0957c<^88>6?u+5d192>"2m;0=7psr}:m2gg<72-85}#:>l1;;5G2618m44c290/>:h513a8R7>628qG>5=51zTg47h5Y13796~"2m:0:=6*:e3825>{zut1d=nl50;&13c<6kk1]>5?51zN1<6<6s_n36?u+1e095fd<^88>6?u+5d192>"2m;0=7psr}:a0d1=8391<7>t$35e>20<@;=87d?=d;29 71a288h7[<71;3xH7>428q]h54={%3g6?75l2\:>84={%7f7?763-?n>7?>;|~y>o6:l0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>2d9U573=:r.>i>4>1:&6a7<692wvqp5`1b`94?"5?o0:oo5Y29395~J50:0:w[j7:3y'5a4=9jh0Z<<::3y'1`5=>2.>i?49;|~y>{e<0D?9<;h31`?6=,;=m6<2481!3b;3;:7);j2;32?x{zu2c:>h4?:%04b?75k2B9;h5Y29395~J50:0:w[j7:3y'5a4=9;o0Z<<::3y'1`5=981/9h<5109~yx{2481!3b;3<0(8k=:79~yx{j87>55;294~"5?o0:ol5G2618m44c290/>:h513a8R7>628qG>5=51zTg{zut1b=?k50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0957c<^88>6?u+5d192>"2m;0=7psr}:k27<<72-85$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;370>P6:<09w);j3;48 0c52?1vqps4o0aa>5<#:>l1=nl4V3:2>4}K:191=vXk8;0x 4b528ii7[?=5;0x 0c42?1/9h<56:~yx=zj=kj6=4<:183!40n3==7E<83:k26a<72-8i5Y13796~"2m:0h7);j2;a8yx{z3`;9i7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;31a>P6:<09w);j3;31?!3b:3;97psr}:m2gg<72-85}#:>l1;;5G2618m44c290/>:h513a8R7>628qG>5=51zTg{zut1b=?k50;&13c<6:j1C>:k4V3:2>4}K:191=vXk8;0x 4b5288n7[?=5;0x 0c42880(8k=:008yx{z3f;hn7>5$35e>4ee3_83=7?tL3:0>4}Ql109w)?k2;3`f>P6:<09w);j3;48 0c52?1vqps4}c6bg?6=;3:190e<:0yO6=5=9r\o4744c3_;9973=#=l81:6sr}|9j57c=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=?k4V006>7}#=l91:6*:e385?x{zu2e:oo4?:%04b?7dj2\94<4>{M0;7?7|^m21>v*>d382gg=Q9;?1>v*:e285?!3b:3<0qpsr;|`77f<72;0;6=u+26d9fc=O:>90e<428q]h54={%3g6?7dj2\:>84={%7f7?0<,50z&13c<6:h1C>:=4$06g>43P6:<09w);j3;48 0c52?1vqps4ie394?"5?o0o=6X=8082I4?;3;pZ784}|~?lb5290/>:h5d39U6=7=:rF94>4>{W3ae?4|,8n96i<4V006>7}#=l9146*:e38;?x{zD;2969uY26297~Pc>38p(8k>:e08 6d42{#>>?1i6sY26297~Pc>38p(8k>:e08 6d42{#>>?1i6sY26297~Pc>38p(8k>:e08 6d42l<:4g0?x"1?<0<7pX>d081Sb12;q/9h?5d39'7g5==l90q)885;30?x{z3`8=j7>5$35e>70a3_83=74}Q9kk1>v*>d3812c=Q9;?1>v*:e2826>"2m;0:>6sr}M0;6?7|^;=36?uYd781!3b938=j6*6<<4}|8m707290/>:h52728R7>62;qG>5=51zT2fd<5s-;o>7<90:T260<5s-?n?7?=;%7f6?753twv@?6=:0yU62>=:r\o:77073-9i?7;j3:'223=9;1vqp5`1b`94?"5?o0:oo54}c60`?6=;3:190e<2481!3b;3<0(8k=:79~yx{2wvqp5`1b`94?"5?o0:oo5Y29395~J50:0:w[j7:3y'5a4=9jh0Z<<::3y'1`5=>2.>i?49;|~y>{e<:o1<7?50;2x 71a2;2=7E<83:m2gg<72-82e83>!40n3;9o6F=7d9U6=7=9rF94>4>{Wf;>7}#9m81=?j4V006>7}#=l91=<5+5d0954=zutw0e<2481!3b;3;:7);j2;32?x{zu2e:oo4?:%04b?7dj2\94<4>{M0;7?7|^m21>v*>d382gg=Q9;?1>v*:e285?!3b:3<0qpsr;|`704<72<0;6=u+26d933=O:>90e<2481!3b;3;:7);j2;32?x{zu2c:>h4?:%04b?75k2B9;h5Y29395~J50:0:w[j7:3y'5a4=9;o0Z<<::3y'1`5=981/9h<5109~yx{P5080:wA<73;3xRa>=:r.:h?4>459U573=:r.>i>4>1:&6a7<692wvqp5`1b`94?"5?o0:oo5Y29395~J50:0:w[j7:3y'5a4=9jh0Z<<::3y'1`5=>2.>i?49;|~y>{e<=81<7;50;2x 71a2><0D?9<;h31`?6=,;=m6<P5080:wA<73;3xRa>=:r.:h?4>2e9U573=:r.>i>4>1:&6a7<692wvqp5f13g94?"5?o0:>n5G26g8R7>628qG>5=51zTg47428q]h54={%3g6?7412\:>84={%7f7?763-?n>7?>;|~y>o6<=0;6)<8f;31g>N5?l1]>5?51zN1<6<6s_n36?u+1e09512<^88>6?u+5d1954=#=l81=<5r}|8k4ee290/>:h51b`8R7>628qG>5=51zTg{zut1vn9=i:187>5<7s-82d83>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6:l1]=?;52z&6a6<13-?n>784}|~?l7413:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?<9:T260<5s-?n?784$4g1>3=zutw0c:0yO6=5=9r\o474ee3_;9973=#=l81:6sr}|9~f17a29096=4?{%04b?da3A82b83>!40n3;9o65`1b`94?"5?o0:oo5Y29395~J50:0:w[j7:3y'5a4=9jh0Z<<::3y'1`5=>2.>i?49;|~y>{e<;=1<7850;2x 71a288j7E<83:&20a<6<2c:>n4?:%04b?75k2\94<4>{M0;7?7|^8hj6?u+1e0957e<^88>6?u+5d192>"2m;0=7psr}:kg5?6=,;=m6i?4V3:2>4}K:191=vX>b`81!7c:3n:7[?=5;0x 0c42?1/9h<56:~yx=nl;0;6)<8f;f1?S4?938p@?6<:0yU5gg=:r.:h?4k2:T260<5s-?n?764$4g1>==zutF94?4;{W044?5|^m<1>v*:e08g6>"4j:0>i>5rVe596~"2m80:>n5+3c191`5v*:e08g6>"4j:0>i>5rVe596~"2m80:>n5+3c191`4v*:e08g6>"4j:0>i>5rVe596~"2m80o=6*6:5rV0f2>7}Ql?09w);j1;f1?!5e;3?n?6s+667956=zut1b>;h50;&13c<5>o1]>5?52zN1<6<6s_;im770a3_;99744<,7}#=l;1>;h4$2`0>0c43t.=;84>2:~y>o5>90;6)<8f;054>P50809wA<73;3xR4df2;q/=i<52728R4422;q/9h=5139'1`4=9;1vqpB=8382S40038pZi852z&6a4<5>91/?o=55d18y!00=3;97psr;n3`f?6=,;=m6t$35e>71d3A82e83>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6:m1]=?;52z&6a6<13-?n>784}|~?l75m3:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?=e:T260<5s-?n?784$4g1>3=zutw0c:0yO6=5=9r\o474ee3_;9973=#=l81:6sr}|9~f146290:6=4?{%04b?4?>2B9;>5`1b`94?"5?o0:oo54}c617?6=;3:1N5?:1b=?j50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0957b<^88>6?u+5d1954=#=l81=<5r}|8m44b290/>:h513a8R7>628qG>5=51zTg47428q]h54={%3g6?7dj2\:>84={%7f7?0<,50z&13c<0>2B9;>5f13f94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9;n0Z<<::3y'1`5=981/9h<5109~yx{2481!3b;3<0(8k=:79~yx{997>54;294~"5?o0<:6F=729j57b=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=?j4V006>7}#=l91=<5+5d0954=zutw0e<2481!3b;3;:7);j2;32?x{zu2c:?44?:%04b?75k2B9;h5Y29395~J50:0:w[j7:3y'5a4=9:30Z<<::3y'1`5=981/9h<5109~yx{2wvqp5rb501>5<3290;w)<8f;3`e>N5?:1b=?j50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0957b<^88>6?u+5d192>"2m;0=7psr}:k26`<72-8h5Y13796~"2m:0=7);j2;48yx{z3`;857>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;30=>P6:<09w);j3;48 0c52?1vqps4o0aa>5<#:>l1=nl4V3:2>4}K:191=vXk8;0x 4b528ii7[?=5;0x 0c42?1/9h<56:~yx=zj:hn6=4=:183!40n3hm7E<83:k26f<72-8cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91:6*:e385?x{zu2wi?n=50;494?6|,;=m6<"62b83>!40n3;9o6X=8082I4?;3;pZ2.>i?49;|~y>oc93:1(?9i:e38R7>628qG>5=51zT2fd<5s-;o>7j>;W311?4|,{zut1bh?4?:%04b?b53_83=74}Q9kk1>v*>d38g6>P6:<09w);j3;:8 0c5211vqpB=8387S40839pZi852z&6a44:e29~Ra1=:r.>i<4>2b9'7g5==l90q)885;g8yS40839pZi852z&6a44:e29~Ra1=:r.>i<4>2b9'7g5==l80q)885;g8yS40839pZi852z&6a44:e29~Ra1=:r.>i<4k1:&0f6<2m:1v(;9::69~R4b62;q]h;4={%7f5?b53-9i?7;j3:'223=9:1vqp5f27d94?"5?o09:k5Y29396~J50:0:w[?ma;0x 4b52;{zu2c9:=4?:%04b?4182\94<4={M0;7?7|^8hj6?u+1e09636<^88>6?u+5d1957=#=l81=?5r}|N1<7<6s_8<47{e;kl1<7=50;2x 71a2;=h7E<83:k26a<72-8i5Y13796~"2m:0=7);j2;48yx{z3`;9i7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;31a>P6:<09w);j3;48 0c52?1vqps4o0aa>5<#:>l1=nl4V3:2>4}K:191=vXk8;0x 4b528ii7[?=5;0x 0c42?1/9h<56:~yx=zj:i;6=4>:183!40n383:6F=729l5fd=83.9;k4>cc98yg5d93:1?7>50z&13c<0>2B9;>5f13f94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9;n0Z<<::3y'1`5=j2.>i?4m;|~y>o6:l0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>2d9U573=:r.>i>4m;%7f6?d428q]h54={%3g6?7dj2\:>84={%7f7?0<,7>50z&13c5f13a94?"5?o0:>n54o0aa>5<#:>l1=nl4V3:2>4}K:191=vXk8;0x 4b528ii7[?=5;0x 0c42?1/9h<56:~yx=zj:nj6=4<:183!40n382b9U6=7=9rF94>4>{Wf;>7}#9m81=?j4V006>7}#=l91:6*:e385?x{zu2c:>h4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3826`=Q9;?1>v*:e28e?!3b:3l0qpsr;n3`f?6=,;=m62481!3b;3<0(8k=:79~yx{56;294~"5?o0:>l5G2618 42c28>0e<:0yO6=5=9r\:nl4={%3g6?75k2\:>84={%7f7?0<,7}#9m81h<5Y13796~"2m:0=7);j2;48yx{z3`n96=4+26d9`7=Q:1;1>vB=8282S7ei38p(a4<,:h868k<;|Tg3?4|,a4<,:h868k<;|Tg3?4|,a4<,:h868k<;|Tg3?4|,0c43t.=;848;|T2`4<5s_n=6?u+5d39`7=#;k919h=4}%441?743twv7d<9f;29 71a2;428q]=oo52z&2`7<5>o1]=?;52z&6a6<6:2.>i?4>2:~yI4?:3;pZ?97:3yU`3<5s-?n=7<9f:&0f6<2m:1v(;9::008yx{P6:<09w);j3;31?!3b:3;97psrL3:1>4}Q:>21>vXk6;0x 0c62;<;7)=m3;7f7>{#>>?1=?5r}|9l5fd=83.9;k4>cc98yg5c:3:1?7>50z&13c<5?j1C>:=4i00g>5<#:>l1=?m4H35f?S4?93;p@?6<:0yU`=<5s-;o>7?=d:T260<5s-?n?784$4g1>3=zutw0e<:0yO6=5=9r\o4744b3_;9973=#=l81:6sr}|9l5fd=83.9;k4>cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91:6*:e385?x{zu2wi?i=50;394?6|,;=m6?69;I047>i6kk0;6)<8f;3`f>=zj:n>6=4;:183!40n3==7E<83:k26a<72-8i5Y13796~"2m:0:=6*:e3825>{zut1b=?k50;&13c<6:j1C>:k4V3:2>4}K:191=vXk8;0x 4b5288n7[?=5;0x 0c42k1/9h<5b:~yx=n9:31<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<512;8R4422;q/9h=5109'1`4=981vqps4o0aa>5<#:>l1=nl4V3:2>4}K:191=vXk8;0x 4b528ii7[?=5;0x 0c42?1/9h<56:~yx=zj:n=6=49:183!40n3==7E<83:k26a<72-85<#:>l1=?m4H35f?S4?93;p@?6<:0yU`=<5s-;o>7?=e:T260<5s-?n?7?>;%7f6?763twvq6g>3883>!40n3;9o6F=7d9U6=7=9rF94>4>{Wf;>7}#9m81=>74V006>7}#=l91=<5+5d0954=zutw0e<:;:18'62`=9;i0D?9j;W0;5?7|D;2862481!3b;3;:7);j2;32?x{zu2c:8:4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d38202=Q9;?1>v*:e28a?!3b:3h0qpsr;n3`f?6=,;=m62481!3b;3<0(8k=:79~yx{56;294~"5?o0<:6F=729j57b=83.9;k4>2b9K62c<^;2:6P5080:wA<73;3xRa>=:r.:h?4>2d9U573=:r.>i>4>1:&6a7<692wvqp5f12;94?"5?o0:>n5G26g8R7>628qG>5=51zTg47{zut1b=9950;&13c<6:j1C>:k4V3:2>4}K:191=vXk8;0x 4b528><7[?=5;0x 0c428;0(8k=:038yx{z3f;hn7>5$35e>4ee3_83=7?tL3:0>4}Ql109w)?k2;3`f>P6:<09w);j3;48 0c52?1vqps4}c1g0?6=<3:190e<:0yO6=5=9r\o4744c3_;9973=#=l81:6sr}|9j57c=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=?k4V006>7}#=l91:6*:e385?x{zu2c:?44?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3827<=Q9;?1>v*:e285?!3b:3<0qpsr;n3`f?6=,;=m62481!3b;3<0(8k=:79~yx{947>52;294~"5?o0ij6F=729j57e=83.9;k4>2b98k4ee290/>:h51b`8R7>628qG>5=51zTg{zut1vn95<7s-8n5Y29395~J50:0:w[?ma;0x 4b5288h7[?=5;0x 0c42?1/9h<56:~yx=nl80;6)<8f;f2?S4?93;p@?6<:0yU5gg=:r.:h?4k1:T260<5s-?n?784$4g1>3=zutw0ei<50;&13cv*:e28;?!3b:320qpsC29090~P5?908w[j9:3y'1`7=l;1/?o=55d18ySb02;q/9h?513a8 6d424:e29~ 3122890qps4i34e>5<#:>l1>;h4V3:2>7}K:191=vX>b`81!7c:38=j6X>2481!3b;3;97);j2;31?x{zD;29638p(8k>:34e?!5e;3?n?6s+667957=zut1b>;>50;&13c<5>91]>5?52zN1<6<6s_;im77073_;99744<,7}#=l;1>;>4$2`0>0c43t.=;84>2:~y>i6kk0;6)<8f;3`f>=zj=826=4<:183!40n382b9U6=7=9rF94>4>{Wf;>7}#9m81=?j4V006>7}#=l91:6*:e385?x{zu2c:>h4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3826`=Q9;?1>v*:e285?!3b:3<0qpsr;n3`f?6=,;=m62481!3b;3<0(8k=:79~yx{9m7>51;294~"5?o094;5G2618k4ee290/>:h51b`8?xd3:k0;6>4?:1y'62`=??1C>:=4i00g>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5288o7[?=5;0x 0c428;0(8k=:038yx{z3`;9i7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;31a>P6:<09w);j3;32?!3b:3;:7psr}:m2gg<72-85}#:>l1nk5G2618m44d290/>:h513a8?j7dj3:1(?9i:0aa?S4?93;p@?6<:0yU`=<5s-;o>7?lb:T260<5s-?n?784$4g1>3=zutw0qo894;296?6=8r.9;k4mf:J136=n9;i1<7*=7g826f=2wvqp5rb744>5<1290;w)<8f;31e>N5?:1/=9j5149j57e=83.9;k4>2b9U6=7=9rF94>4>{W3ae?4|,8n96<{zut1bh<4?:%04b?b63_83=7?tL3:0>4}Q9kk1>v*>d38g5>P6:<09w);j3;48 0c52?1vqps4ie094?"5?o0o>6X=8081I4?;3;pZ764}|O6=4=7}#=l;1h?5+3c191`57}#=l;1h?5+3c191`57}#=l;1h?5+3c191`56*6<=4}|8m70a290/>:h527d8R7>62;qG>5=51zT2fd<5s-;o>7<9f:T260<5s-?n?7?=;%7f6?753twv@?6=:0yU62>=:r\o:770a3-9i?7;j3:'223=9;1vqp5f27294?"5?o09:=5Y29396~J50:0:w[?ma;0x 4b52;<;7[?=5;0x 0c42880(8k=:008yx{K:181=vX=7981Sb12;q/9h?52728 6d42{zu2e:oo4?:%04b?7dj21vn;;l:180>5<7s-8o0Z?6>:0yO6=5=9r\o4744c3_;9973=#=l81:6sr}|9j57c=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=?k4V006>7}#=l91:6*:e385?x{zu2e:oo4?:%04b?7dj2\94<4>{M0;7?7|^m21>v*>d382gg=Q9;?1>v*:e285?!3b:3<0qpsr;|`51a<7280;6=u+26d96=0<@;=87b?lb;29 71a28ii76sm64d94?5=83:p(?9i:648L7143`;9h7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;31`>P6:<09w);j3;48 0c52?1vqps4i00f>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5288n7[?=5;0x 0c42?1/9h<56:~yx=h9jh1<7*=7g82gg=Q:1;1=vB=8282Sb?2;q/=i<51b`8R4422;q/9h=56:&6a7<13twvq6sm67294?5=83:p(?9i:648L7143`;9h7>5$35e>44d3A8i?4>1:~yx=n9;o1<7*=7g826f=O:>o0Z?6>:0yO6=5=9r\o4744b3_;99747<,7?lb:T260<5s-?n?784$4g1>3=zutw0qo891;292?6=8r.9;k486:J136=n9;n1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<513f8R4422;q/9h=5109'1`4=981vqps4i00f>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5288n7[?=5;0x 0c42k1/9h<5b:~yx=n9:31<7*=7g826f=O:>o0Z?6>:0yO6=5=9r\o4745>3_;99747<,{M0;7?7|^m21>v*>d38201=Q9;?1>v*:e2825>"2m;0:=6sr}|9j511=83.9;k4>2b9K62c<^;2:62481!3b;3<0(8k=:79~yx{7>56;294~"5?o0<:6F=729j57b=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=?j4V006>7}#=l91n6*:e38a?x{zu2c:>h4?:%04b?75k2B9;h5Y29395~J50:0:w[j7:3y'5a4=9;o0Z<<::3y'1`5=981/9h<5109~yx{428q]h54={%3g6?7412\:>84={%7f7?763-?n>7?>;|~y>o6<=0;6)<8f;31g>N5?l1]>5?51zN1<6<6s_n36?u+1e09512<^88>6?u+5d1954=#=l81=<5r}|8m420290/>:h513a8R7>628qG>5=51zTg47428q]h54={%3g6?7dj2\:>84={%7f7?0<,50z&13c<0>2B9;>5f13f94?"5?o0:>n5G26g8R7>628qG>5=51zTg{zut1b=?k50;&13c<6:j1C>:k4V3:2>4}K:191=vXk8;0x 4b5288n7[?=5;0x 0c42k1/9h<5b:~yx=n9:31<7*=7g826f=O:>o0Z?6>:0yO6=5=9r\o4745>3_;997g=#=l81n6sr}|9j512=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=9:4V006>7}#=l91=<5+5d0954=zutw0e<:8:18'62`=9;i0D?9j;W0;5?7|D;2862481!3b;3;:7);j2;32?x{zu2c:854?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3820==Q9;?1>v*:e2825>"2m;0:=6sr}|9l5fd=83.9;k4>cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91:6*:e385?x{zu2wi:8k50;494?6|,;=m6o6:m0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>2e9U573=:r.>i>49;%7f6?0428q]h54={%3g6?75m2\:>84={%7f7?0<,290/>:h513a8R7>628qG>5=51zTg{zut1b=9:50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e09512<^88>6?u+5d192>"2m;0=7psr}:k202<72-85$35e>4ee3_83=7?tL3:0>4}Ql109w)?k2;3`f>P6:<09w);j3;48 0c52?1vqps4}c451?6=>3:1N5?:1b=?j50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0957b<^88>6?u+5d1957=#=l81=?5r}|8m44b290/>:h513a8R7>628qG>5=51zTg{zut1b=>750;&13c<6:j1C>:k4V3:2>4}K:191=vXk8;0x 4b528927[?=5;0x 0c42880(8k=:008yx{z3`;?87>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;370>P6:<09w);j3;31?!3b:3;97psr}:k202<72-85<#:>l1=nl4V3:2>4}K:191=vXk8;0x 4b528ii7[?=5;0x 0c42?1/9h<56:~yx=zj:o>6=4=:183!40n3hm7E<83:k26f<72-8cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91:6*:e385?x{zu2wi?hk50;194?6|,;=m6?9l;I047>o6:m0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>2e9U573=:r.>i>49;%7f6?0428q]h54={%3g6?75m2\:>84={%7f7?`<,:h51b`8R7>628qG>5=51zTg{zut1vn>kk:185>5<7s-8n5Y29395~J50:0:w[?ma;0x 4b5288h7[?=5;0x 0c42?1/9h<56:~yx=nl80;6)<8f;f2?S4?93;p@?6<:0yU5gg=:r.:h?4k1:T260<5s-?n?784$4g1>3=zutw0ei<50;&13cv*:e28;?!3b:320qpsC29090~P5?908w[j9:3y'1`7=l;1/?o=55d18ySb02;q/9h?513a8 6d424:e29~ 3122890qps4i34e>5<#:>l1>;h4V3:2>7}K:191=vX>b`81!7c:38=j6X>2481!3b;3;97);j2;31?x{zD;29638p(8k>:34e?!5e;3?n?6s+667957=zut1b>;>50;&13c<5>91]>5?52zN1<6<6s_;im77073_;99744<,7}#=l;1>;>4$2`0>0c43t.=;84>2:~y>i6kk0;6)<8f;3`f>=zj:o=6=4<:183!40n382b9U6=7=9rF94>4>{Wf;>7}#9m81=?j4V006>7}#=l91:6*:e385?x{zu2c:>h4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3826`=Q9;?1>v*:e285?!3b:3<0qpsr;n3`f?6=,;=m62481!3b;3<0(8k=:79~yx{51;294~"5?o094;5G2618k4ee290/>:h51b`8?xd4m00;694?:1y'62`=??1C>:=4i00g>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5288o7[?=5;0x 0c428;0(8k=:038yx{z3`;9i7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;31a>P6:<09w);j3;32?!3b:3;:7psr}:k27<<72-85$35e>4ee3_83=7?tL3:0>4}Ql109w)?k2;3`f>P6:<09w);j3;48 0c52?1vqps4}c1fe?6=<3:1N5?:1b=?j50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0957b<^88>6?u+5d1954=#=l81=<5r}|8m44b290/>:h513a8R7>628qG>5=51zTg47{zut1d=nl50;&13c<6kk1]>5?51zN1<6<6s_n36?u+1e095fd<^88>6?u+5d192>"2m;0=7psr}:a7`d=83>1<7>t$35e>20<@;=87d?=d;29 71a288h7[<71;3xH7>428q]h54={%3g6?75l2\:>84={%7f7?763-?n>7?>;|~y>o6:l0;6)<8f;31g>N5?l1]>5?51zN1<6<6s_n36?u+1e0957c<^88>6?u+5d19f>"2m;0i7psr}:k27<<72-8{zut1d=nl50;&13c<6kk1]>5?51zN1<6<6s_n36?u+1e095fd<^88>6?u+5d192>"2m;0=7psr}:a7`>=83>1<7>t$35e>4ef3A82e83>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6:m1]=?;52z&6a6<13-?n>784}|~?l75m3:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?=e:T260<5s-?n?784$4g1>3=zutw0e<=6:18'62`=9;i0Z?6>:0yO6=5=9r\o4745>3_;9973=#=l81:6sr}|9l5fd=83.9;k4>cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91:6*:e385?x{zu2wi8?k50;094?6|,;=m6oh4H350?l75k3:1(?9i:00`?>i6kk0;6)<8f;3`f>P5080:wA<73;3xRa>=:r.:h?4>cc9U573=:r.>i>49;%7f6?03<729q/>:h513c8L7143-;?h7?;;h31g?6=,;=m6<n5Y13796~"2m:0=7);j2;48yx{z3`n:6=4+26d9`4=Q:1;1=vB=8282S7ei38p(:3yO6=5=9r\:nl4={%3g6?b53_;997==#=l8146sr}M0;6?2|^;=;6>uYd781!3b93n97)=m3;7f7>{Ql>09w);j1;31g>"4j:0>i>5r$756>`=z^;=;6>uYd781!3b93n97)=m3;7f7>{Ql>09w);j1;31g>"4j:0>i?5r$756>`=z^;=;6>uYd781!3b93n97)=m3;7f7>{Ql>09w);j1;f2?!5e;3?n?6s+66793>{Q9m;1>vXk6;0x 0c62m80(>l<:4g0?x"1?<0:?6sr}:k12c<72-87}#9m81>;h4V006>7}#=l91=?5+5d0957=zutF94?4>{W04v*:e0812c=#;k919h=4}%441?753twv7d<90;29 71a2;<;7[<71;0xH7>428q]=oo52z&2`7<5>91]=?;52z&6a6<6:2.>i?4>2:~yI4?:3;pZ?97:3yU`3<5s-?n=7<90:&0f6<2m:1v(;9::008yx{k4?:283>5}#:>l1>:m4H350?l75l3:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?=d:T260<5s-?n?784$4g1>3=zutw0e<:0yO6=5=9r\o4744b3_;9973=#=l81:6sr}|9l5fd=83.9;k4>cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91:6*:e385?x{zu2wi8>>50;394?6|,;=m6?69;I047>i6kk0;6)<8f;3`f>=zj=9:6=4<:183!40n3==7E<83:k26a<72-8i5Y13796~"2m:0:=6*:e3825>{zut1b=?k50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0957c<^88>6?u+5d1954=#=l81=<5r}|8k4ee290/>:h51b`8R7>628qG>5=51zTg{zut1vn9=;:181>5<7s-8o6:j0;6)<8f;31g>=h9jh1<7*=7g82gg=Q:1;1=vB=8282Sb?2;q/=i<51b`8R4422;q/9h=56:&6a7<13twvq6sm42`94?0=83:p(?9i:00b?M40;2.:8i4>4:k26f<72-87}#9m81=?m4V006>7}#=l91:6*:e385?x{zu2co=7>5$35e>a7<^;2:62wvqp5fd383>!40n3n97[<71;0xH7>428q]=oo52z&2`784={%7f7?><,6*v*:e0826f=#;k919h=4}%441?c6*v*:e0826f=#;k919h<4}%441?c6*v*:e08g5>"4j:0>i>5r$756>2=z^8n:6?uYd781!3b93n97)=m3;7f7>{#>>?1=>5r}|9j63`=83.9;k4=6g9U6=7=:rF94>4>{W3ae?4|,8n96?8i;W311?4|,445<51zT13=<5s_n=6?u+5d3963`<,:h868k<;|&530<6:2wvq6g=6183>!40n38=<6X=8081I4?;3;pZi<4=619'7g5==l90q)885;31?x{z3f;hn7>5$35e>4ee32wi8>;50;194?6|,;=m6?9l;I047>o6:m0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>2e9U573=:r.>i>49;%7f6?0428q]h54={%3g6?75m2\:>84={%7f7?0<,:h51b`8R7>628qG>5=51zTg{zut1vn9=9:182>5<7s-8847>55;294~"5?o0<:6F=729j57b=83.9;k4>2b9K62c<^;2:6P5080:wA<73;3xRa>=:r.:h?4>2d9U573=:r.>i>4>1:&6a7<692wvqp5f12;94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9:30Z<<::3y'1`5=981/9h<5109~yx{?6=4+26d957e<^;2:62481!3b;3<0(8k=:79~yx{857>55;294~"5?o0<:6F=729j57b=83.9;k4>2b9K62c<^;2:6P5080:wA<73;3xRa>=:r.:h?4>2d9U573=:r.>i>4>1:&6a7<692wvqp5f12;94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9:30Z<<::3y'1`5=981/9h<5109~yx{?6=4+26d957e<^;2:62481!3b;3<0(8k=:79~yx{8;7>53;294~"5?o0:ol5G2618m44c290/>:h513a8R7>628qG>5=51zTg{zut1b=?k50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0957c<^88>6?u+5d192>"2m;0=7psr}:m2gg<72-84?:383>5}#:>l1nk5G2618m44d290/>:h513a8?j7dj3:1(?9i:0aa?S4?93;p@?6<:0yU`=<5s-;o>7?lb:T260<5s-?n?784$4g1>3=zutw0qo<67;296?6=8r.9;k4mf:J136=n9;i1<7*=7g826f=2wvqp5rb3;e>5<4290;w)<8f;04g>N5?:1b=?j50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0957b<^88>6?u+5d192>"2m;0=7psr}:k26`<72-8h5Y13796~"2m:0m7);j2;d8yx{z3f;hn7>5$35e>4ee3_83=7?tL3:0>4}Ql109w)?k2;3`f>P6:<09w);j3;48 0c52?1vqps4}c0:a?6=>3:190(<:k:068m44d290/>:h513a8R7>628qG>5=51zT2fd<5s-;o>7?=c:T260<5s-?n?784$4g1>3=zutw0ei?50;&13c{M0;7?7|^8hj6?u+1e09`4=Q9;?1>v*:e285?!3b:3<0qpsr;hf1>5<#:>l1h?5Y29396~J50:0:w[?ma;0x 4b52m80Z<<::3y'1`5=02.>i?47;|~H7>52=q]>:>53zTg2?4|,0c43t\o;744d3-9i?7;j3:'223=m2w]>:>53zTg2?4|,0c43t\o;744d3-9i?7;j2:'223=m2w]>:>53zTg2?4|,0c43t\o;7a7<,:h868k<;|&530<03t\:h<4={Wf5>7}#=l;1h?5+3c191`5i>4>2:&6a7<6:2wvqA<72;3xR71?2;q]h;4={%7f5?41n2.8n>4:e29~ 3122880qps4i343>5<#:>l1>;>4V3:2>7}K:191=vX>b`81!7c:38=<6X>2481!3b;3;97);j2;31?x{zD;29638p(8k>:343?!5e;3?n?6s+667957=zut1d=nl50;&13c<6kk10qo<64;297?6=8r.9;k4=7b9K6252wvqp5f13g94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9;o0Z<<::3y'1`5=>2.>i?49;|~y>i6kk0;6)<8f;3`f>P5080:wA<73;3xRa>=:r.:h?4>cc9U573=:r.>i>49;%7f6?04<729q/>:h52948L7143f;hn7>5$35e>4ee32wi>4850;:94?6|,;=m6:84H350?l75l3:1(?9i:00`?M40m2\94<4>{M0;7?7|^m21>v*>d3826a=Q9;?1>v*:e2825>"2m;0:=6sr}|9j57c=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=?k4V006>7}#=l91=<5+5d0954=zutw0e<=6:18'62`=9;i0Z?6>:0yO6=5=9r\o4745>3_;99747<,{M0;7?7|^m21>v*>d38201=Q9;?1>v*:e2825>"2m;0:=6sr}|9j511=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=994V006>7}#=l91=<5+5d0954=zutw0e<:7:18'62`=9;i0Z?6>:0yO6=5=9r\o4742?3_;99747<,7?;9:T260<5s-?n?7?>;%7f6?763twvq6a>cc83>!40n3;hn6X=8082I4?;3;pZi652z&2`7<6kk1]=?;52z&6a6<13-?n>784}|~?xd5110;6<0D?9<;h31`?6=,;=m6<P5080:wA<73;3xRa>=:r.:h?4>2e9U573=:r.>i>4l;%7f6?eh5Y13796~"2m:0h7);j2;a8yx{z3`;857>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;30=>P6:<09w);j3;a8 0c52j1vqps4i067>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b528>?7[?=5;0x 0c42j1/9h<5c:~yx=n9==1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<51558R4422;q/9h=5c:&6a74983>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6<11]=?;52z&6a67m4}|~?l7313:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?;9:T260<5s-?n?7m4$4g1>f=zutw0e<:n:18'62`=9;i0Z?6>:0yO6=5=9r\o4742f3_;997f=#=l81o6sr}|9j51d=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=9l4V006>7}#=l91o6*:e38`?x{zu2c:8n4?:%04b?75k2B9;h5Y29395~J50:0:w[j7:3y'5a4=9=i0Z<<::3y'1`5=k2.>i?4l;|~y>o6:o0;6)<8f;31g>N5?l1]>5?51zN1<6<6s_n36?u+1e0957`<^88>6?u+5d1957=#=l81=?5r}|8m457290/>:h513a8R7>628qG>5=51zTg44428q]h54={%3g6?7492\:>84={%7f7?753-?n>7?=;|~y>o6;;0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>339U573=:r.>i>4>2:&6a7<6:2wvqp5f12194?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9:90Z<<::3y'1`5=9;1/9h<5139~yx{2wvqp5`1b`94?"5?o0:oo5Y29395~J50:0:w[j7:3y'5a4=9jh0Z<<::3y'1`5=>2.>i?49;|~y>{e:031<7?>:183!40n3==7E<83:k26a<72-82d83>!40n3;9o6F=7d9U6=7=9rF94>4>{Wf;>7}#9m81=?k4V006>7}#=l91o6*:e38`?x{zu2c:?44?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3827<=Q9;?1>v*:e28`?!3b:3i0qpsr;h370?6=,;=m6<2481!3b;3i0(8k=:b9~yx{<6=4+26d957e<^;2:6n5Y29395~J50:0:w[j7:3y'5a4=9=20Z<<::3y'1`5=k2.>i?4l;|~y>o6<00;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>489U573=:r.>i>4l;%7f6?e428q]h54={%3g6?73i2\:>84={%7f7?e<,:h513a8R7>628qG>5=51zTg{zut1b=9m50;&13c<6:j1C>:k4V3:2>4}K:191=vXk8;0x 4b528>h7[?=5;0x 0c42j1/9h<5c:~yx=n9;l1<7*=7g826f=O:>o0Z?6>:0yO6=5=9r\o4744a3_;99744<,7?<0:T260<5s-?n?7?=;%7f6?753twvq6g>3083>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6;81]=?;52z&6a6<6:2.>i?4>2:~yx=n9:81<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<51208R4422;q/9h=5139'1`4=9;1vqps4i010>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b528987[?=5;0x 0c42880(8k=:008yx{z3`;887>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;300>P6:<09w);j3;48 0c52?1vqps4o0aa>5<#:>l1=nl4V3:2>4}K:191=vXk8;0x 4b528ii7[?=5;0x 0c42?1/9h<56:~yx=zj;3j6=4>1;294~"5?o0<:6F=729j57b=83.9;k4>2b9K62c<^;2:6n5G26g8R7>628qG>5=51zTg{zut1b=>750;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0956?<^88>6?u+5d19g>"2m;0h7psr}:k201<72-85$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;373>P6:<09w);j3;a8 0c52j1vqps4i06;>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b528>37[?=5;0x 0c42j1/9h<5c:~yx=n9=31<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<515;8R4422;q/9h=5c:&6a74`83>!40n3;9o6X=8082I4?;3;pZi652z&2`7<67m4}|~?l73j3:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?;b:T260<5s-?n?7m4$4g1>f=zutw0e<:l:18'62`=9;i0D?9j;W0;5?7|D;2862481!3b;3i0(8k=:b9~yx{428q]h54={%3g6?75n2\:>84={%7f7?753-?n>7?=;|~y>o6;90;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>319U573=:r.>i>4>2:&6a7<6:2wvqp5f12394?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9:;0Z<<::3y'1`5=9;1/9h<5139~yx{2481!3b;3;97);j2;31?x{zu2c:?94?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d38271=Q9;?1>v*:e285?!3b:3<0qpsr;n3`f?6=,;=m62481!3b;3<0(8k=:79~yx{51083>5}#:>l1;;5G2618m44c290/>:h513a8L71b3_83=7?tL3:0>4}Ql109w)?k2;31`>P6:<09w);j3;a8 0c52j1vqps4i00f>5<#:>l1=?m4H35f?S4?93;p@?6<:0yU`=<5s-;o>7?=e:T260<5s-?n?7m4$4g1>f=zutw0e<=6:18'62`=9;i0Z?6>:0yO6=5=9r\o4745>3_;997f=#=l81o6sr}|9j512=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=9:4V006>7}#=l91o6*:e38`?x{zu2c:8:4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d38202=Q9;?1>v*:e28`?!3b:3i0qpsr;h372481!3b;3i0(8k=:b9~yx{26=4+26d957e<^;2:6n5Y29395~J50:0:w[j7:3y'5a4=9=k0Z<<::3y'1`5=k2.>i?4l;|~y>o6P5080:wA<73;3xRa>=:r.:h?4>4c9U573=:r.>i>4l;%7f6?e5$35e>44d3A8i?4>2:~yx=n9::1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<51228R4422;q/9h=5139'1`4=9;1vqps4i012>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5289:7[?=5;0x 0c42880(8k=:008yx{z3`;8>7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;306>P6:<09w);j3;31?!3b:3;97psr}:k276<72-85Y13796~"2m:0:>6*:e3826>{zut1b=>:50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e09562<^88>6?u+5d192>"2m;0=7psr}:m2gg<72-85}#:>l1=no4H350?l75l3:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?=d:T260<5s-?n?784$4g1>3=zutw0e<:0yO6=5=9r\o4744b3_;9973=#=l81:6sr}|9j56?=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=>74V006>7}#=l91:6*:e385?x{zu2c:894?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d38201=Q9;?1>v*:e285?!3b:3<0qpsr;n3`f?6=,;=m62481!3b;3<0(8k=:79~yx{52;294~"5?o0ij6F=729j57e=83.9;k4>2b98k4ee290/>:h51b`8R7>628qG>5=51zTg{zut1vn?o7:180>5<7s-82d83>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6:l1]=?;52z&6a67h4}|~?j7dj3:1(?9i:0aa?S4?93;p@?6<:0yU`=<5s-;o>7?lb:T260<5s-?n?784$4g1>3=zutw0qo2`9K625<,8>o6<:4i00`>5<#:>l1=?m4V3:2>4}K:191=vX>b`81!7c:3;9o6X>2481!3b;3<0(8k=:79~yx{P5080:wA<73;3xR4df2;q/=i<5d09U573=:r.>i>49;%7f6?05?52zN1<6<6s_;im7a4<^88>6?u+5d19<>"2m;037psrL3:1>1}Q:>:1?vXk6;0x 0c62m80(>l<:4g0?xPc?38p(8k>:00`?!5e;3?n?6s+6679a>{Q:>:1?vXk6;0x 0c62m80(>l<:4g0?xPc?38p(8k>:00`?!5e;3?n>6s+6679a>{Q:>:1?vXk6;0x 0c62m80(>l<:4g0?xPc?38p(8k>:e38 6d426*:e3826>{zuE83>7?tV35;>7}Ql?09w);j1;05b>"4j:0>i>5r$756>44:3yO6=5=9r\:nl4={%3g6?4182\:>84={%7f7?753-?n>7?=;|~H7>528q]>:652zTg2?4|,53;294~"5?o09;n5G2618m44c290/>:h513a8R7>628qG>5=51zTg{zut1b=?k50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0957c<^88>6?u+5d192>"2m;0=7psr}:m2gg<72-85}#:>l1>584H350?j7dj3:1(?9i:0aa?>{e:h>1<7950;2x 71a2><0D?9<;h31`?6=,;=m6<P5080:wA<73;3xRa>=:r.:h?4>2e9U573=:r.>i>4>1:&6a7<692wvqp5f13g94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9;o0Z<<::3y'1`5=981/9h<5109~yx{2481!3b;3;:7);j2;32?x{zu2c:8:4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d38202=Q9;?1>v*:e2825>"2m;0:=6sr}|9j51>=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=964V006>7}#=l91=<5+5d0954=zutw0c:0yO6=5=9r\o474ee3_;9973=#=l81:6sr}|9~f7g2290<6=4?{%04b?113A82e83>!40n3;9o6F=7d9U6=7=9rF94>4>{Wf;>7}#9m81=?j4V006>7}#=l91=<5+5d0954=zutw0e<:0yO6=5=9r\o4744b3_;99747<,7?<9:T260<5s-?n?7?>;%7f6?763twvq6g>4583>!40n3;9o6F=7d9U6=7=9rF94>4>{Wf;>7}#9m81=9:4V006>7}#=l91=<5+5d0954=zutw0e<:8:18'62`=9;i0D?9j;W0;5?7|D;2862481!3b;3;:7);j2;32?x{zu2c:854?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3820==Q9;?1>v*:e2825>"2m;0:=6sr}|9l5fd=83.9;k4>cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91:6*:e385?x{zu2wi>l=50;194?6|,;=m6o6:m0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>2e9U573=:r.>i>49;%7f6?0428q]h54={%3g6?75m2\:>84={%7f7?0<,:h51b`8R7>628qG>5=51zTg{zut1vn>jm:181>5<7s-8o6:j0;6)<8f;31g>=h9jh1<7*=7g82gg=Q:1;1=vB=8282Sb?2;q/=i<51b`8R4422;q/9h=56:&6a7<13twvq6sm3d694?0=83:p(?9i:00b?M40;2.:8i4>4:k26f<72-87}#9m81=?m4V006>7}#=l91:6*:e385?x{zu2co=7>5$35e>a7<^;2:62wvqp5fd383>!40n3n97[<71;0xH7>428q]=oo52z&2`784={%7f7?><,6*v*:e0826f=#;k919h=4}%441?c6*v*:e0826f=#;k919h<4}%441?c6*v*:e08g5>"4j:0>i>5r$756>2=z^8n:6?uYd781!3b93n97)=m3;7f7>{#>>?1=>5r}|9j63`=83.9;k4=6g9U6=7=:rF94>4>{W3ae?4|,8n96?8i;W311?4|,445<51zT13=<5s_n=6?u+5d3963`<,:h868k<;|&530<6:2wvq6g=6183>!40n38=<6X=8081I4?;3;pZi<4=619'7g5==l90q)885;31?x{z3f;hn7>5$35e>4ee32wi?im50;194?6|,;=m6?9l;I047>o6:m0;6)<8f;31g>N5?l1]>5?51zN1<6<6s_n36?u+1e0957b<^88>6?u+5d192>"2m;0=7psr}:k26`<72-8h5Y13796~"2m:0=7);j2;48yx{z3f;hn7>5$35e>4ee3_83=7?tL3:0>4}Ql109w)?k2;3`f>P6:<09w);j3;48 0c52?1vqps4}c1g`?6=93:190c6<729q/>:h5779K6252481!3b;3;:7);j2;32?x{zu2e:oo4?:%04b?7dj2\94<4>{M0;7?7|^m21>v*>d382gg=Q9;?1>v*:e285?!3b:3<0qpsr;|`0a5<72:0;6=u+26d933=O:>90e<2481!3b;3;:7);j2;32?x{zu2c:>h4?:%04b?75k2B9;h5Y29395~J50:0:w[j7:3y'5a4=9;o0Z<<::3y'1`5=981/9h<5109~yx{2wvqp5rb2g2>5<4290;w)<8f;55?M40;2c:>i4?:%04b?75k2B9;h5Y29395~J50:0:w[j7:3y'5a4=9;n0Z<<::3y'1`5=j2.>i?4m;|~y>o6:l0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>2d9U573=:r.>i>4>1:&6a7<692wvqp5`1b`94?"5?o0:oo5Y29395~J50:0:w[j7:3y'5a4=9jh0Z<<::3y'1`5=>2.>i?49;|~y>{e;l81<7:50;2x 71a2><0D?9<;h31`?6=,;=m6<2481!3b;3;:7);j2;32?x{zu2c:>h4?:%04b?75k2B9;h5Y29395~J50:0:w[j7:3y'5a4=9;o0Z<<::3y'1`5=981/9h<5109~yx{2481!3b;3<0(8k=:79~yx{55;294~"5?o0:ol5G2618m44c290/>:h513a8R7>628qG>5=51zTg{zut1b=?k50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0957c<^88>6?u+5d192>"2m;0=7psr}:k27<<72-85$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;370>P6:<09w);j3;48 0c52?1vqps4o0aa>5<#:>l1=nl4V3:2>4}K:191=vXk8;0x 4b528ii7[?=5;0x 0c42?1/9h<56:~yx=zj:om6=4=:183!40n3hm7E<83:k26f<72-8cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91:6*:e385?x{zu2wi?k750;194?6|,;=m6?9l;I047>o6:m0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>2e9U573=:r.>i>49;%7f6?0428q]h54={%3g6?75m2\:>84={%7f7?`<,:h51b`8R7>628qG>5=51zTg{zut1vn>h7:185>5<7s-8n5Y29395~J50:0:w[?ma;0x 4b5288h7[?=5;0x 0c42?1/9h<56:~yx=nl80;6)<8f;f2?S4?93;p@?6<:0yU5gg=:r.:h?4k1:T260<5s-?n?784$4g1>3=zutw0ei<50;&13cv*:e28;?!3b:320qpsC29090~P5?908w[j9:3y'1`7=l;1/?o=55d18ySb02;q/9h?513a8 6d424:e29~ 3122890qps4i34e>5<#:>l1>;h4V3:2>7}K:191=vX>b`81!7c:38=j6X>2481!3b;3;97);j2;31?x{zD;29638p(8k>:34e?!5e;3?n?6s+667957=zut1b>;>50;&13c<5>91]>5?52zN1<6<6s_;im77073_;99744<,7}#=l;1>;>4$2`0>0c43t.=;84>2:~y>i6kk0;6)<8f;3`f>=zj:l;6=4<:183!40n382b9U6=7=9rF94>4>{Wf;>7}#9m81=?j4V006>7}#=l91:6*:e385?x{zu2c:>h4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3826`=Q9;?1>v*:e285?!3b:3<0qpsr;n3`f?6=,;=m62481!3b;3<0(8k=:79~yx{51;294~"5?o094;5G2618k4ee290/>:h51b`8?xd4n:0;694?:1y'62`=??1C>:=4i00g>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5288o7[?=5;0x 0c428;0(8k=:038yx{z3`;9i7>5$35e>44d3A87l4}|~?l7413:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?<9:T260<5s-?n?7?>;%7f6?763twvq6a>cc83>!40n3;hn6X=8082I4?;3;pZi652z&2`7<6kk1]=?;52z&6a6<13-?n>784}|~?xd4n=0;684?:1y'62`=??1C>:=4i00g>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5288o7[?=5;0x 0c428;0(8k=:038yx{z3`;9i7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;31a>P6:<09w);j3;32?!3b:3;:7psr}:k27<<72-8{zut1b=9:50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e09512<^88>6?u+5d19f>"2m;0i7psr}:m2gg<72-85}#:>l1;;5G2618m44c290/>:h513a8R7>628qG>5=51zTg47428q]h54={%3g6?75m2\:>84={%7f7?763-?n>7?>;|~y>o6;00;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>389U573=:r.>i>4>1:&6a7<692wvqp5f15694?"5?o0:>n5G26g8R7>628qG>5=51zTg47428q]h54={%3g6?7dj2\:>84={%7f7?0<,3:197>50z&13c<0>2B9;>5f13f94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9;n0Z<<::3y'1`5=981/9h<5109~yx{428q]h54={%3g6?75m2\:>84={%7f7?763-?n>7?>;|~y>o6;00;6)<8f;31g>N5?l1]>5?51zN1<6<6s_n36?u+1e0956?<^88>6?u+5d1954=#=l81=<5r}|8m423290/>:h513a8R7>628qG>5=51zTg47428q]h54={%3g6?7dj2\:>84={%7f7?0<,50z&13c<6kh1C>:=4i00g>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5288o7[?=5;0x 0c42?1/9h<56:~yx=n9;o1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<513g8R4422;q/9h=56:&6a7<13twvq6g>3883>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6;01]=?;52z&6a6<13-?n>784}|~?l73<3:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?;4:T260<5s-?n?784$4g1>3=zutw0c:0yO6=5=9r\o474ee3_;9973=#=l81:6sr}|9~f33429096=4?{%04b?da3A82b83>!40n3;9o65`1b`94?"5?o0:oo5Y29395~J50:0:w[j7:3y'5a4=9jh0Z<<::3y'1`5=>2.>i?49;|~y>{e>n4?:%04b?75k2\94<4>{M0;7?7|^8hj6?u+1e0957e<^88>6?u+5d192>"2m;0=7psr}:kg5?6=,;=m6i?4V3:2>4}K:191=vX>b`81!7c:3n:7[?=5;0x 0c42?1/9h<56:~yx=nl;0;6)<8f;f1?S4?938p@?6<:0yU5gg=:r.:h?4k2:T260<5s-?n?764$4g1>==zutF94?4;{W044?5|^m<1>v*:e08g6>"4j:0>i>5rVe596~"2m80:>n5+3c191`5v*:e08g6>"4j:0>i>5rVe596~"2m80:>n5+3c191`4v*:e08g6>"4j:0>i>5rVe596~"2m80o=6*6:5rV0f2>7}Ql?09w);j1;f1?!5e;3?n?6s+667956=zut1b>;h50;&13c<5>o1]>5?52zN1<6<6s_;im770a3_;99744<,7}#=l;1>;h4$2`0>0c43t.=;84>2:~y>o5>90;6)<8f;054>P50809wA<73;3xR4df2;q/=i<52728R4422;q/9h=5139'1`4=9;1vqpB=8382S40038pZi852z&6a4<5>91/?o=55d18y!00=3;97psr;n3`f?6=,;=m6t$35e>71d3A82e83>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6:m1]=?;52z&6a6<13-?n>784}|~?l75m3:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?=e:T260<5s-?n?784$4g1>3=zutw0c:0yO6=5=9r\o474ee3_;9973=#=l81:6sr}|9~f332290:6=4?{%04b?4?>2B9;>5`1b`94?"5?o0:oo54}c463?6=?3:1N5?:1b=?j50;&13c<6:j1C>:k4V3:2>4}K:191=vXk8;0x 4b5288o7[?=5;0x 0c42k1/9h<5b:~yx=n9;o1<7*=7g826f=O:>o0Z?6>:0yO6=5=9r\o4744b3_;997g=#=l81n6sr}|9j56?=83.9;k4>2b9K62c<^;2:6n5Y29395~J50:0:w[j7:3y'5a4=9=>0Z<<::3y'1`5=981/9h<5109~yx{<6=4+26d957e<^;2:62481!3b;3;:7);j2;32?x{zu2e:oo4?:%04b?7dj2\94<4>{M0;7?7|^m21>v*>d382gg=Q9;?1>v*:e285?!3b:3<0qpsr;|`51=<72>0;6=u+26d933=O:>90e<2481!3b;3h0(8k=:c9~yx{428q]h54={%3g6?75m2\:>84={%7f7?d<,290/>:h513a8R7>628qG>5=51zTg47428q]h54={%3g6?73<2\:>84={%7f7?763-?n>7?>;|~y>o6<>0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>469U573=:r.>i>4>1:&6a7<692wvqp5f15:94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9=20Z<<::3y'1`5=981/9h<5109~yx{2wvqp5rb775>5<4290;w)<8f;3`e>N5?:1b=?j50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0957b<^88>6?u+5d192>"2m;0=7psr}:k26`<72-8h5Y13796~"2m:0=7);j2;48yx{z3f;hn7>5$35e>4ee3_83=7?tL3:0>4}Ql109w)?k2;3`f>P6:<09w);j3;48 0c52?1vqps4}c64b?6=:3:1N5?:1b=?m50;&13c<6:j10c:0yO6=5=9r\o474ee3_;9973=#=l81:6sr}|9~f1>f290=6=4?{%04b?75i2B9;>5+15f951=n9;i1<7*=7g826f=Q:1;1=vB=8282S7ei38p(2wvqp5fd083>!40n3n:7[<71;3xH7>428q]=oo52z&2`784={%7f7?0<,7}#9m81h?5Y13796~"2m:037);j2;:8yx{K:1818vX=7180Sb12;q/9h?5d39'7g5==l90q[j8:3y'1`7=9;i0(>l<:4g0?x"1?<0n7pX=7180Sb12;q/9h?5d39'7g5==l90q[j8:3y'1`7=9;i0(>l<:4g1?x"1?<0n7pX=7180Sb12;q/9h?5d39'7g5==l90q[j8:3y'1`7=l81/?o=55d18y!00=3=0q[?k1;0xRa0=:r.>i<4k2:&0f6<2m:1v(;9::018yx{P6:<09w);j3;31?!3b:3;97psrL3:1>4}Q:>21>vXk6;0x 0c62;{#>>?1=?5r}|9j636=83.9;k4=619U6=7=:rF94>4>{W3ae?4|,8n96?8?;W311?4|,445<51zT13=<5s_n=6?u+5d39636<,:h868k<;|&530<6:2wvq6a>cc83>!40n3;hn65rb5:3>5<4290;w)<8f;04g>N5?:1b=?j50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0957b<^88>6?u+5d192>"2m;0=7psr}:k26`<72-8h5Y13796~"2m:0=7);j2;48yx{z3f;hn7>5$35e>4ee3_83=7?tL3:0>4}Ql109w)?k2;3`f>P6:<09w);j3;48 0c52?1vqps4}c6;5?6=93:190c6<729q/>:h5779K6252wvqp5f13g94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9;o0Z<<::3y'1`5=>2.>i?49;|~y>i6kk0;6)<8f;3`f>P5080:wA<73;3xRa>=:r.:h?4>cc9U573=:r.>i>49;%7f6?047=83:p(?9i:648L7143`;9h7>5$35e>44d3A87l4}|~?l75m3:1(?9i:00`?M40m2\94<4>{M0;7?7|^m21>v*>d3826`=Q9;?1>v*:e28a?!3b:3h0qpsr;h30=?6=,;=m6<2481!3b;3h0(8k=:c9~yx{?6=4+26d957e<^;2:6n5Y29395~J50:0:w[j7:3y'5a4=9==0Z<<::3y'1`5=j2.>i?4m;|~y>o6<10;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>499U573=:r.>i>4m;%7f6?d428q]h54={%3g6?7312\:>84={%7f7?d<,:h513a8R7>628qG>5=51zTg{zut1b=9l50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0951d<^88>6?u+5d19f>"2m;0i7psr}:k20f<72-82g83>!40n3;9o6F=7d9U6=7=9rF94>4>{Wf;>7}#9m81=?h4V006>7}#=l91n6*:e38a?x{zu2c:?=4?:%04b?75k2B9;h5Y29395~J50:0:w[j7:3y'5a4=9::0Z<<::3y'1`5=981/9h<5109~yx{6X>2481!3b;3;:7);j2;32?x{zu2c:?>4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d38276=Q9;?1>v*:e285?!3b:3<0qpsr;h300?6=,;=m6<2481!3b;3<0(8k=:79~yx{2wvqp5rb5:6>5<693:1N5?:1b=?j50;&13c<6:j1C>:k4V3:2>4}K:191=vXk8;0x 4b5288o7[?=5;0x 0c42k1/9h<5b:~yx=n9;o1<7*=7g826f=O:>o0Z?6>:0yO6=5=9r\o4744b3_;997g=#=l81n6sr}|9j56?=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=>74V006>7}#=l91n6*:e38a?x{zu2c:894?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d38201=Q9;?1>v*:e28a?!3b:3h0qpsr;h373?6=,;=m6<2481!3b;3h0(8k=:c9~yx{36=4+26d957e<^;2:6n5Y29395~J50:0:w[j7:3y'5a4=9=30Z<<::3y'1`5=j2.>i?4m;|~y>o6P5080:wA<73;3xRa>=:r.:h?4>4`9U573=:r.>i>4m;%7f6?d428q]h54={%3g6?73j2\:>84={%7f7?d<,:h513a8L71b3_83=7?tL3:0>4}Ql109w)?k2;37g>P6:<09w);j3;`8 0c52k1vqps4i00e>5<#:>l1=?m4H35f?S4?93;p@?6<:0yU`=<5s-;o>7?=f:T260<5s-?n?7l4$4g1>g=zutw0e<=?:18'62`=9;i0D?9j;W0;5?7|D;2862481!3b;3;:7);j2;32?x{zu2c:?<4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d38274=Q9;?1>v*:e2825>"2m;0:=6sr}|9j564=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=><4V006>7}#=l91=<5+5d0954=zutw0e<=<:18'62`=9;i0Z?6>:0yO6=5=9r\o474543_;9973=#=l81:6sr}|9j562=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=>:4V006>7}#=l91:6*:e385?x{zu2e:oo4?:%04b?7dj2\94<4>{M0;7?7|^m21>v*>d382gg=Q9;?1>v*:e285?!3b:3<0qpsr;|`7<3<728;1<7>t$35e>20<@;=87d?=d;29 71a288h7E<8e:T1<4<6sE83?7?tVe:96~"6l;0:>i5Y13796~"2m:0i7);j2;`8yx{z3`;9i7>5$35e>44d3A87l4}|~?l7413:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?<9:T260<5s-?n?7l4$4g1>g=zutw0e<:;:18'62`=9;i0Z?6>:0yO6=5=9r\o474233_;997g=#=l81n6sr}|9j511=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=994V006>7}#=l91n6*:e38a?x{zu2c:854?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3820==Q9;?1>v*:e28a?!3b:3h0qpsr;h37=?6=,;=m6<2481!3b;3h0(8k=:c9~yx{j6=4+26d957e<^;2:6n5Y29395~J50:0:w[j7:3y'5a4=9=h0Z<<::3y'1`5=j2.>i?4m;|~y>o6N5?l1]>5?51zN1<6<6s_n36?u+1e0951e<^88>6?u+5d19f>"2m;0i7psr}:k26c<72-83183>!40n3;9o6F=7d9U6=7=9rF94>4>{Wf;>7}#9m81=>>4V006>7}#=l91=<5+5d0954=zutw0e<=>:18'62`=9;i0Z?6>:0yO6=5=9r\o474563_;99747<,7?<2:T260<5s-?n?7?>;%7f6?763twvq6g>3283>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6;:1]=?;52z&6a6<13-?n>784}|~?l74<3:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?<4:T260<5s-?n?784$4g1>3=zutw0c:0yO6=5=9r\o474ee3_;9973=#=l81:6sr}|9~f1>0290:=7>50z&13c<0>2B9;>5f13f94?"5?o0:>n5G26g8R7>628qG>5=51zTg{zut1b=?k50;&13c<6:j1C>:k4V3:2>4}K:191=vXk8;0x 4b5288n7[?=5;0x 0c42k1/9h<5b:~yx=n9:31<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<512;8R4422;q/9h=5b:&6a74583>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6<=1]=?;52z&6a67l4}|~?l73?3:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?;7:T260<5s-?n?7l4$4g1>g=zutw0e<:7:18'62`=9;i0Z?6>:0yO6=5=9r\o4742?3_;997g=#=l81n6sr}|9j51?=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=974V006>7}#=l91n6*:e38a?x{zu2c:8l4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3820d=Q9;?1>v*:e28a?!3b:3h0qpsr;h37f?6=,;=m6<2481!3b;3h0(8k=:c9~yx{h6=4+26d957e<@;=n7[<71;3xH7>428q]h54={%3g6?73k2\:>84={%7f7?d<,:h513a8L71b3_83=7?tL3:0>4}Ql109w)?k2;31b>P6:<09w);j3;`8 0c52k1vqps4i013>5<#:>l1=?m4H35f?S4?93;p@?6<:0yU`=<5s-;o>7?<0:T260<5s-?n?7?>;%7f6?763twvq6g>3083>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6;81]=?;52z&6a6<692.>i?4>1:~yx=n9:81<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<51208R4422;q/9h=5109'1`4=981vqps4i010>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b528987[?=5;0x 0c42?1/9h<56:~yx=n9:>1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<51268R4422;q/9h=56:&6a7<13twvq6a>cc83>!40n3;hn6X=8082I4?;3;pZi652z&2`7<6kk1]=?;52z&6a6<13-?n>784}|~?xd3010;6<0D?9<;h31`?6=,;=m6<P5080:wA<73;3xRa>=:r.:h?4>2e9U573=:r.>i>4m;%7f6?dh5Y13796~"2m:0i7);j2;`8yx{z3`;857>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;30=>P6:<09w);j3;`8 0c52k1vqps4i067>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b528>?7[?=5;0x 0c42k1/9h<5b:~yx=n9==1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<51558R4422;q/9h=5b:&6a74983>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6<11]=?;52z&6a67l4}|~?l7313:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?;9:T260<5s-?n?7l4$4g1>g=zutw0e<:n:18'62`=9;i0Z?6>:0yO6=5=9r\o4742f3_;997g=#=l81n6sr}|9j51d=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=9l4V006>7}#=l91n6*:e38a?x{zu2c:8n4?:%04b?75k2B9;h5Y29395~J50:0:w[j7:3y'5a4=9=i0Z<<::3y'1`5=j2.>i?4m;|~y>o6:o0;6)<8f;31g>N5?l1]>5?51zN1<6<6s_n36?u+1e0957`<^88>6?u+5d1954=#=l81=<5r}|8m457290/>:h513a8R7>628qG>5=51zTg47428q]h54={%3g6?7492\:>84={%7f7?763-?n>7?>;|~y>o6;;0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>339U573=:r.>i>4>1:&6a7<692wvqp5f12194?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9:90Z<<::3y'1`5=>2.>i?49;|~y>o6;=0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>359U573=:r.>i>49;%7f6?0428q]h54={%3g6?7dj2\:>84={%7f7?0<,50z&13c<6kh1C>:=4i00g>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5288o7[?=5;0x 0c42?1/9h<56:~yx=n9;o1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<513g8R4422;q/9h=56:&6a7<13twvq6g>3883>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6;01]=?;52z&6a6<13-?n>784}|~?l73<3:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?;4:T260<5s-?n?784$4g1>3=zutw0e<:8:18'62`=9;i0Z?6>:0yO6=5=9r\o474203_;9973=#=l81:6sr}|9j51>=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=964V006>7}#=l91:6*:e385?x{zu2e:oo4?:%04b?7dj2\94<4>{M0;7?7|^m21>v*>d382gg=Q9;?1>v*:e285?!3b:3<0qpsr;|`20`<72;0;6=u+26d9fc=O:>90e<428q]h54={%3g6?7dj2\:>84={%7f7?0<,50z&13c<6:h1C>:=4$06g>42P6:<09w);j3;48 0c52?1vqps4ie394?"5?o0o=6X=8082I4?;3;pZ784}|~?lb5290/>:h5d39U6=7=:rF94>4>{W3ae?4|,8n96i<4V006>7}#=l9146*:e38;?x{zD;2969uY26297~Pc>38p(8k>:e08 6d42{#>>?1i6sY26297~Pc>38p(8k>:e08 6d42{#>>?1i6sY26297~Pc>38p(8k>:e08 6d42l<:4g0?x"1?<0<7pX>d081Sb12;q/9h?5d39'7g5==l90q)885;30?x{z3`8=j7>5$35e>70a3_83=74}Q9kk1>v*>d3812c=Q9;?1>v*:e2826>"2m;0:>6sr}M0;6?7|^;=36?uYd781!3b938=j6*6<<4}|8m707290/>:h52728R7>62;qG>5=51zT2fd<5s-;o>7<90:T260<5s-?n?7?=;%7f6?753twv@?6=:0yU62>=:r\o:77073-9i?7;j3:'223=9;1vqp5`1b`94?"5?o0:oo54}c37b?6=;3:190e<:0yO6=5=9r\o4744c3_;9973=#=l81:6sr}|9j57c=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=?k4V006>7}#=l91:6*:e385?x{zu2e:oo4?:%04b?7dj2\94<4>{M0;7?7|^m21>v*>d382gg=Q9;?1>v*:e285?!3b:3<0qpsr;|`215<7280;6=u+26d96=0<@;=87b?lb;29 71a28ii76sm14094?5=83:p(?9i:648L7143`;9h7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;31`>P6:<09w);j3;32?!3b:3;:7psr}:k26`<72-8h5Y13796~"2m:0:=6*:e3825>{zut1d=nl50;&13c<6kk1]>5?51zN1<6<6s_n36?u+1e095fd<^88>6?u+5d192>"2m;0=7psr}:a505=8391<7>t$35e>20<@;=87d?=d;29 71a288h7[<71;3xH7>428q]h54={%3g6?75l2\:>84={%7f7?763-?n>7?>;|~y>o6:l0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>2d9U573=:r.>i>4>1:&6a7<692wvqp5`1b`94?"5?o0:oo5Y29395~J50:0:w[j7:3y'5a4=9jh0Z<<::3y'1`5=>2.>i?49;|~y>{e9<>1<7=50;2x 71a2><0D?9<;h31`?6=,;=m6<2481!3b;3;:7);j2;32?x{zu2c:>h4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3826`=Q9;?1>v*:e2825>"2m;0:=6sr}|9l5fd=83.9;k4>cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91:6*:e385?x{zu2wi=8;50;194?6|,;=m6:84H350?l75l3:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?=d:T260<5s-?n?784$4g1>3=zutw0e<:0yO6=5=9r\o4744b3_;9973=#=l81:6sr}|9l5fd=83.9;k4>cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91:6*:e385?x{zu2wi=8850;194?6|,;=m6:84H350?l75l3:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?=d:T260<5s-?n?7?>;%7f6?763twvq6g>2d83>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6:l1]=?;52z&6a6<692.>i?4>1:~yx=h9jh1<7*=7g82gg=Q:1;1=vB=8282Sb?2;q/=i<51b`8R4422;q/9h=56:&6a7<13twvq6sm14594?2=83:p(?9i:648L7143`;9h7>5$35e>44d3A8i?4>1:~yx=n9;o1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<513g8R4422;q/9h=5109'1`4=981vqps4i01:>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b528927[?=5;0x 0c428;0(8k=:038yx{z3f;hn7>5$35e>4ee3_83=7?tL3:0>4}Ql109w)?k2;3`f>P6:<09w);j3;48 0c52?1vqps4}c365?6=?3:190e<:0yO6=5=9r\o4744c3_;9973=#=l81:6sr}|9j57c=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=?k4V006>7}#=l91:6*:e385?x{zu2c:?44?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3827<=Q9;?1>v*:e285?!3b:3<0qpsr;h370?6=,;=m6<2481!3b;3<0(8k=:79~yx{<6=4+26d957e<^;2:62wvqp5f15:94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9=20Z<<::3y'1`5=>2.>i?49;|~y>i6kk0;6)<8f;3`f>P5080:wA<73;3xRa>=:r.:h?4>cc9U573=:r.>i>49;%7f6?07<729q/>:h5bg9K6255$35e>4ee3_83=7?tL3:0>4}Ql109w)?k2;3`f>P6:<09w);j3;48 0c52?1vqps4}c145?6=;3:190e<:0yO6=5=9r\o4744c3_;9973=#=l81:6sr}|9j57c=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=?k4V006>7}#=l91j6*:e38e?x{zu2e:oo4?:%04b?7dj2\94<4>{M0;7?7|^m21>v*>d382gg=Q9;?1>v*:e285?!3b:3<0qpsr;|`035<72?0;6=u+26d957g<@;=87)?;d;37?l75k3:1(?9i:00`?S4?93;p@?6<:0yU5gg=:r.:h?4>2b9U573=:r.>i>49;%7f6?0:18'62`=l81]>5?51zN1<6<6s_;im7a7<^88>6?u+5d192>"2m;0=7psr}:kg6?6=,;=m6i<4V3:2>7}K:191=vX>b`81!7c:3n97[?=5;0x 0c4211/9h<58:~yI4?:3>pZ?9?:2yU`3<5s-?n=7j=;%1a7?3b;2w]h:4={%7f5?75k2.8n>4:e29~ 3122l1vZ?9?:2yU`3<5s-?n=7j=;%1a7?3b;2w]h:4={%7f5?75k2.8n>4:e39~ 3122l1vZ?9?:2yU`3<5s-?n=7j=;%1a7?3b;2w]h:4={%7f5?b63-9i?7;j3:'223=?2w]=i?52zTg2?4|,0c43t.=;84>3:~y>o5>o0;6)<8f;05b>P50809wA<73;3xR4df2;q/=i<527d8R4422;q/9h=5139'1`4=9;1vqpB=8382S40038pZi852z&6a4<5>o1/?o=55d18y!00=3;97psr;h054?6=,;=m6?8?;W0;5?4|D;2866*:e3826>{zuE83>7?tV35;>7}Ql?09w);j1;054>"4j:0>i>5r$756>446<729q/>:h526a8L7143`;9h7>5$35e>44d3A8784}|~?l75m3:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?=e:T260<5s-?n?784$4g1>3=zutw0c:0yO6=5=9r\o474ee3_;9973=#=l81:6sr}|9~f60c290:6=4?{%04b?4?>2B9;>5`1b`94?"5?o0:oo54}c15a?6=<3:1N5?:1b=?j50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0957b<^88>6?u+5d19f>"2m;0i7psr}:k26`<72-85<#:>l1=?m4H35f?S4?93;p@?6<:0yU`=<5s-;o>7?<9:T260<5s-?n?7?>;%7f6?763twvq6a>cc83>!40n3;hn6X=8082I4?;3;pZi652z&2`7<6kk1]=?;52z&6a6<13-?n>784}|~?xd3980;6?4?:1y'62`=jo1C>:=4i00`>5<#:>l1=?m4;n3`f?6=,;=m62481!3b;3<0(8k=:79~yx{::7>56;294~"5?o0:>l5G2618 42c28>0e<:0yO6=5=9r\:nl4={%3g6?75k2\:>84={%7f7?0<,7}#9m81h<5Y13796~"2m:0=7);j2;48yx{z3`n96=4+26d9`7=Q:1;1>vB=8282S7ei38p(a4<,:h868k<;|Tg3?4|,a4<,:h868k<;|Tg3?4|,a4<,:h868k<;|Tg3?4|,0c43t.=;848;|T2`4<5s_n=6?u+5d39`7=#;k919h=4}%441?743twv7d<9f;29 71a2;428q]=oo52z&2`7<5>o1]=?;52z&6a6<6:2.>i?4>2:~yI4?:3;pZ?97:3yU`3<5s-?n=7<9f:&0f6<2m:1v(;9::008yx{P6:<09w);j3;31?!3b:3;97psrL3:1>4}Q:>21>vXk6;0x 0c62;<;7)=m3;7f7>{#>>?1=?5r}|9l5fd=83.9;k4>cc98yg26:3:1?7>50z&13c<5?j1C>:=4i00g>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5288o7[?=5;0x 0c42?1/9h<56:~yx=n9;o1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<513g8R4422;q/9h=56:&6a7<13twvq6a>cc83>!40n3;hn6X=8082I4?;3;pZi652z&2`7<6kk1]=?;52z&6a6<13-?n>784}|~?xd39:0;6<4?:1y'62`=:1<0D?9<;n3`f?6=,;=m6t$35e>20<@;=87d?=d;29 71a288h7[<71;3xH7>428q]h54={%3g6?75l2\:>84={%7f7?763-?n>7?>;|~y>o6:l0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>2d9U573=:r.>i>4>1:&6a7<692wvqp5`1b`94?"5?o0:oo5Y29395~J50:0:w[j7:3y'5a4=9jh0Z<<::3y'1`5=>2.>i?49;|~y>{e<8=1<7<50;2x 71a2kl0D?9<;h31g?6=,;=m6<5}#:>l1=?o4H350?!73l3;?7d?=c;29 71a288h7[<71;3xH7>428q]=oo52z&2`7<6:j1]=?;52z&6a6<13-?n>784}|~?lb6290/>:h5d09U6=7=9rF94>4>{W3ae?4|,8n96i?4V006>7}#=l91:6*:e385?x{zu2co>7>5$35e>a4<^;2:6?uC29195~P6jh09w)?k2;f1?S75=38p(8k<:99'1`4=02wvqA<72;6xR7172:q]h;4={%7f5?b53-9i?7;j3:U`2<5s-?n=7?=c:&0f6<2m:1v(;9::d9~R7172:q]h;4={%7f5?b53-9i?7;j3:U`2<5s-?n=7?=c:&0f6<2m;1v(;9::d9~R7172:q]h;4={%7f5?b53-9i?7;j3:U`2<5s-?n=7j>;%1a7?3b;2w/::;57:U5a7=:r\o:7a4<,:h868k<;|&530<6;2wvq6g=6g83>!40n38=j6X=8081I4?;3;pZi<4=6g9'7g5==l90q)885;31?x{z3`8=<7>5$35e>7073_83=74}Q9kk1>v*>d38125=Q9;?1>v*:e2826>"2m;0:>6sr}M0;6?7|^;=36?uYd781!3b938=<6*6<<4}|8k4ee290/>:h51b`8?xd3910;6>4?:1y'62`=:>i0D?9<;h31`?6=,;=m6<2481!3b;3<0(8k=:79~yx{2wvqp5`1b`94?"5?o0:oo5Y29395~J50:0:w[j7:3y'5a4=9jh0Z<<::3y'1`5=>2.>i?49;|~y>{e<831<7?50;2x 71a2;2=7E<83:m2gg<72-82e83>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6:m1]=?;52z&6a6<692.>i?4>1:~yx=n9;o1<7*=7g826f=O:>o0Z?6>:0yO6=5=9r\o4744b3_;99747<,7?<9:T260<5s-?n?7?>;%7f6?763twvq6a>cc83>!40n3;hn6X=8082I4?;3;pZi652z&2`7<6kk1]=?;52z&6a6<13-?n>784}|~?xd39j0;694?:1y'62`=??1C>:=4i00g>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5288o7[?=5;0x 0c428;0(8k=:038yx{z3`;9i7>5$35e>44d3A8i?4>1:~yx=n9:31<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<512;8R4422;q/9h=5109'1`4=981vqps4o0aa>5<#:>l1=nl4V3:2>4}K:191=vXk8;0x 4b528ii7[?=5;0x 0c42?1/9h<56:~yx=zj=;j6=4<:183!40n3;hm6F=729j57b=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=?j4V006>7}#=l91:6*:e385?x{zu2c:>h4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3826`=Q9;?1>v*:e285?!3b:3<0qpsr;n3`f?6=,;=m62481!3b;3<0(8k=:79~yx{>;7>52;294~"5?o0ij6F=729j57e=83.9;k4>2b98k4ee290/>:h51b`8R7>628qG>5=51zTg{zut1vn9;l:185>5<7s-8n5Y29395~J50:0:w[?ma;0x 4b5288h7[?=5;0x 0c42?1/9h<56:~yx=nl80;6)<8f;f2?S4?93;p@?6<:0yU5gg=:r.:h?4k1:T260<5s-?n?784$4g1>3=zutw0ei<50;&13cv*:e28;?!3b:320qpsC29090~P5?908w[j9:3y'1`7=l;1/?o=55d18ySb02;q/9h?513a8 6d424:e29~ 3122890qps4i34e>5<#:>l1>;h4V3:2>7}K:191=vX>b`81!7c:38=j6X>2481!3b;3;97);j2;31?x{zD;29638p(8k>:34e?!5e;3?n?6s+667957=zut1b>;>50;&13c<5>91]>5?52zN1<6<6s_;im77073_;99744<,7}#=l;1>;>4$2`0>0c43t.=;84>2:~y>i6kk0;6)<8f;3`f>=zj=?36=4<:183!40n382b9U6=7=9rF94>4>{Wf;>7}#9m81=?j4V006>7}#=l91:6*:e385?x{zu2c:>h4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3826`=Q9;?1>v*:e285?!3b:3<0qpsr;n3`f?6=,;=m62481!3b;3<0(8k=:79~yx{>57>51;294~"5?o094;5G2618k4ee290/>:h51b`8?xd3=h0;6>4?:1y'62`=??1C>:=4i00g>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5288o7[?=5;0x 0c428;0(8k=:038yx{z3`;9i7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;31a>P6:<09w);j3;32?!3b:3;:7psr}:m2gg<72-85}#:>l1nk5G2618m44d290/>:h513a8?j7dj3:1(?9i:0aa?S4?93;p@?6<:0yU`=<5s-;o>7?lb:T260<5s-?n?784$4g1>3=zutw0qo?8c;297?6=8r.9;k4=7b9K6252wvqp5f13g94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9;o0Z<<::3y'1`5=n2.>i?4i;|~y>i6kk0;6)<8f;3`f>P5080:wA<73;3xRa>=:r.:h?4>cc9U573=:r.>i>49;%7f6?07c83>3<729q/>:h513c8L7143-;?h7?;;h31g?6=,;=m6<n5Y13796~"2m:0=7);j2;48yx{z3`n:6=4+26d9`4=Q:1;1=vB=8282S7ei38p(:3yO6=5=9r\:nl4={%3g6?b53_;997==#=l8146sr}M0;6?2|^;=;6>uYd781!3b93n97)=m3;7f7>{Ql>09w);j1;31g>"4j:0>i>5r$756>`=z^;=;6>uYd781!3b93n97)=m3;7f7>{Ql>09w);j1;31g>"4j:0>i?5r$756>`=z^;=;6>uYd781!3b93n97)=m3;7f7>{Ql>09w);j1;f2?!5e;3?n?6s+66793>{Q9m;1>vXk6;0x 0c62m80(>l<:4g0?x"1?<0:?6sr}:k12c<72-87}#9m81>;h4V006>7}#=l91=?5+5d0957=zutF94?4>{W04v*:e0812c=#;k919h=4}%441?753twv7d<90;29 71a2;<;7[<71;0xH7>428q]=oo52z&2`7<5>91]=?;52z&6a6<6:2.>i?4>2:~yI4?:3;pZ?97:3yU`3<5s-?n=7<90:&0f6<2m:1v(;9::008yx{5}#:>l1>:m4H350?l75l3:1(?9i:00`?M40m2\94<4>{M0;7?7|^m21>v*>d3826a=Q9;?1>v*:e285?!3b:3<0qpsr;h31a?6=,;=m6<2481!3b;3<0(8k=:79~yx{2wvqp5rb052>5<6290;w)<8f;0;2>N5?:1d=nl50;&13c<6kk10qo?83;297?6=8r.9;k486:J136=n9;n1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<513f8R4422;q/9h=5109'1`4=981vqps4i00f>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5288n7[?=5;0x 0c428;0(8k=:038yx{z3f;hn7>5$35e>4ee3_83=7?tL3:0>4}Ql109w)?k2;3`f>P6:<09w);j3;48 0c52?1vqps4}c340?6=;3:1N5?:1b=?j50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0957b<^88>6?u+5d192>"2m;0=7psr}:k26`<72-8h5Y13796~"2m:0=7);j2;48yx{z3f;hn7>5$35e>4ee3_83=7?tL3:0>4}Ql109w)?k2;3`f>P6:<09w);j3;48 0c52?1vqps4}c341?6=;3:1N5?:1b=?j50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0957b<^88>6?u+5d192>"2m;0=7psr}:k26`<72-8h5Y13796~"2m:0=7);j2;48yx{z3f;hn7>5$35e>4ee3_83=7?tL3:0>4}Ql109w)?k2;3`f>P6:<09w);j3;48 0c52?1vqps4}c342?6=<3:1N5?:1b=?j50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0957b<^88>6?u+5d1954=#=l81=<5r}|8m44b290/>:h513a8R7>628qG>5=51zTg475$35e>4ee3_83=7?tL3:0>4}Ql109w)?k2;3`f>P6:<09w);j3;48 0c52?1vqps4}c343?6=<3:1N5?:1b=?j50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0957b<^88>6?u+5d1954=#=l81=<5r}|8m44b290/>:h513a8L71b3_83=7?tL3:0>4}Ql109w)?k2;31a>P6:<09w);j3;32?!3b:3;:7psr}:k27<<72-8{zut1d=nl50;&13c<6kk1]>5?51zN1<6<6s_n36?u+1e095fd<^88>6?u+5d192>"2m;0=7psr}:a52>=83>1<7>t$35e>20<@;=87d?=d;29 71a288h7E<8e:T1<4<6sE83?7?tVe:96~"6l;0:>i5Y13796~"2m:0:=6*:e3825>{zut1b=?k50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0957c<^88>6?u+5d1954=#=l81=<5r}|8m45>290/>:h513a8R7>628qG>5=51zTg{zut1d=nl50;&13c<6kk1]>5?51zN1<6<6s_n36?u+1e095fd<^88>6?u+5d192>"2m;0=7psr}:a52?=83>1<7>t$35e>20<@;=87d?=d;29 71a288h7[<71;3xH7>428q]h54={%3g6?75l2\:>84={%7f7?763-?n>7?>;|~y>o6:l0;6)<8f;31g>N5?l1]>5?51zN1<6<6s_n36?u+1e0957c<^88>6?u+5d1954=#=l81=<5r}|8m45>290/>:h513a8R7>628qG>5=51zTg47428q]h54={%3g6?7dj2\:>84={%7f7?0<,50z&13c<6kh1C>:=4i00g>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5288o7[?=5;0x 0c42?1/9h<56:~yx=n9;o1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<513g8R4422;q/9h=56:&6a7<13twvq6g>3883>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6;01]=?;52z&6a6<13-?n>784}|~?l73<3:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?;4:T260<5s-?n?784$4g1>3=zutw0e<:8:18'62`=9;i0Z?6>:0yO6=5=9r\o474203_;9973=#=l81:6sr}|9j51>=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=964V006>7}#=l91:6*:e385?x{zu2c:844?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3820<=Q9;?1>v*:e285?!3b:3<0qpsr;n3`f?6=,;=m62481!3b;3<0(8k=:79~yx{52;294~"5?o0ij6F=729j57e=83.9;k4>2b98k4ee290/>:h51b`8R7>628qG>5=51zTg{zut1vn5<7s-82d83>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6:l1]=?;52z&6a67h4}|~?j7dj3:1(?9i:0aa?S4?93;p@?6<:0yU`=<5s-;o>7?lb:T260<5s-?n?784$4g1>3=zutw0qo?nb;292?6=8r.9;k4>2`9K625<,8>o6<:4i00`>5<#:>l1=?m4V3:2>4}K:191=vX>b`81!7c:3;9o6X>2481!3b;3<0(8k=:79~yx{P5080:wA<73;3xR4df2;q/=i<5d09U573=:r.>i>49;%7f6?05?52zN1<6<6s_;im7a4<^88>6?u+5d19<>"2m;037psrL3:1>1}Q:>:1?vXk6;0x 0c62m80(>l<:4g0?xPc?38p(8k>:00`?!5e;3?n?6s+6679a>{Q:>:1?vXk6;0x 0c62m80(>l<:4g0?xPc?38p(8k>:00`?!5e;3?n>6s+6679a>{Q:>:1?vXk6;0x 0c62m80(>l<:4g0?xPc?38p(8k>:e38 6d426*:e3826>{zuE83>7?tV35;>7}Ql?09w);j1;05b>"4j:0>i>5r$756>44:3yO6=5=9r\:nl4={%3g6?4182\:>84={%7f7?753-?n>7?=;|~H7>528q]>:652zTg2?4|,53;294~"5?o09;n5G2618m44c290/>:h513a8L71b3_83=7?tL3:0>4}Ql109w)?k2;31`>P6:<09w);j3;48 0c52?1vqps4i00f>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5288n7[?=5;0x 0c42?1/9h<56:~yx=h9jh1<7*=7g82gg=Q:1;1=vB=8282Sb?2;q/=i<51b`8R4422;q/9h=56:&6a7<13twvq6sm1`394?7=83:p(?9i:3:5?M40;2e:oo4?:%04b?7dj21vn5<7s-8o6:m0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>2e9U573=:r.>i>4>1:&6a7<692wvqp5f13g94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9;o0Z<<::3y'1`5=981/9h<5109~yx{2wvqp5rb0c7>5<4290;w)<8f;55?M40;2c:>i4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3826a=Q9;?1>v*:e285?!3b:3<0qpsr;h31a?6=,;=m6<2481!3b;3<0(8k=:79~yx{2wvqp5rb0c6>5<4290;w)<8f;55?M40;2c:>i4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3826a=Q9;?1>v*:e285?!3b:3<0qpsr;h31a?6=,;=m6<2481!3b;3<0(8k=:79~yx{2wvqp5rb0c5>5<3290;w)<8f;55?M40;2c:>i4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3826a=Q9;?1>v*:e2825>"2m;0:=6sr}|9j57c=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=?k4V006>7}#=l91=<5+5d0954=zutw0e<=6:18'62`=9;i0D?9j;W0;5?7|D;2862481!3b;3h0(8k=:c9~yx{2wvqp5rb0c4>5<3290;w)<8f;55?M40;2c:>i4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3826a=Q9;?1>v*:e2825>"2m;0:=6sr}|9j57c=83.9;k4>2b9K62c<^;2:62481!3b;3;:7);j2;32?x{zu2e:oo4?:%04b?7dj2\94<4>{M0;7?7|^m21>v*>d382gg=Q9;?1>v*:e285?!3b:3<0qpsr;|`2e=<72=0;6=u+26d933=O:>90e<2481!3b;3;:7);j2;32?x{zu2c:>h4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3826`=Q9;?1>v*:e2825>"2m;0:=6sr}|9j56?=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=>74V006>7}#=l91n6*:e38a?x{zu2e:oo4?:%04b?7dj2\94<4>{M0;7?7|^m21>v*>d382gg=Q9;?1>v*:e285?!3b:3<0qpsr;|`2e<<72=0;6=u+26d933=O:>90e<:0yO6=5=9r\o4744c3_;99747<,{M0;7?7|^m21>v*>d3826`=Q9;?1>v*:e2825>"2m;0:=6sr}|9j56?=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=>74V006>7}#=l91=<5+5d0954=zutw0c:0yO6=5=9r\o474ee3_;9973=#=l81:6sr}|9~f4g529036=4?{%04b?7di2B9;>5f13f94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9;n0Z<<::3y'1`5=>2.>i?49;|~y>o6:l0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>2d9U573=:r.>i>49;%7f6?0428q]h54={%3g6?7412\:>84={%7f7?0<,:h513a8R7>628qG>5=51zTg{zut1b=9950;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e09511<^88>6?u+5d192>"2m;0=7psr}:k20=<72-85$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;37=>P6:<09w);j3;48 0c52?1vqps4o0aa>5<#:>l1=nl4V3:2>4}K:191=vXk8;0x 4b528ii7[?=5;0x 0c42?1/9h<56:~yx=zj:=96=4=:183!40n3hm7E<83:k26f<72-8cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91:6*:e385?x{zu2wi?:650;094?6|,;=m6oh4H350?l75k3:1(?9i:00`?>i6kk0;6)<8f;3`f>P5080:wA<73;3xRa>=:r.:h?4>cc9U573=:r.>i>49;%7f6?03<729q/>:h513c8L7143-;?h7?;;h31g?6=,;=m6<n5Y13796~"2m:0=7);j2;48yx{z3`n:6=4+26d9`4=Q:1;1=vB=8282S7ei38p(:3yO6=5=9r\:nl4={%3g6?b53_;997==#=l8146sr}M0;6?2|^;=;6>uYd781!3b93n97)=m3;7f7>{Ql>09w);j1;31g>"4j:0>i>5r$756>`=z^;=;6>uYd781!3b93n97)=m3;7f7>{Ql>09w);j1;31g>"4j:0>i?5r$756>`=z^;=;6>uYd781!3b93n97)=m3;7f7>{Ql>09w);j1;f2?!5e;3?n?6s+66793>{Q9m;1>vXk6;0x 0c62m80(>l<:4g0?x"1?<0:?6sr}:k12c<72-87}#9m81>;h4V006>7}#=l91=?5+5d0957=zutF94?4>{W04v*:e0812c=#;k919h=4}%441?753twv7d<90;29 71a2;<;7[<71;0xH7>428q]=oo52z&2`7<5>91]=?;52z&6a6<6:2.>i?4>2:~yI4?:3;pZ?97:3yU`3<5s-?n=7<90:&0f6<2m:1v(;9::008yx{4?:283>5}#:>l1>:m4H350?l75l3:1(?9i:00`?M40m2\94<4>{M0;7?7|^m21>v*>d3826a=Q9;?1>v*:e285?!3b:3<0qpsr;h31a?6=,;=m6<2481!3b;3<0(8k=:79~yx{2wvqp5rb257>5<6290;w)<8f;0;2>N5?:1d=nl50;&13c<6kk10qo=86;297?6=8r.9;k486:J136=n9;n1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<513f8R4422;q/9h=56:&6a7<13twvq6g>2d83>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6:l1]=?;52z&6a6<13-?n>784}|~?j7dj3:1(?9i:0aa?S4?93;p@?6<:0yU`=<5s-;o>7?lb:T260<5s-?n?784$4g1>3=zutw0qo=87;297?6=8r.9;k486:J136=n9;n1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<513f8R4422;q/9h=5109'1`4=981vqps4i00f>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5288n7[?=5;0x 0c428;0(8k=:038yx{z3f;hn7>5$35e>4ee3_83=7?tL3:0>4}Ql109w)?k2;3`f>P6:<09w);j3;48 0c52?1vqps4}c141?6=;3:190e<:0yO6=5=9r\o4744c3_;9973=#=l81:6sr}|9j57c=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=?k4V006>7}#=l91:6*:e385?x{zu2e:oo4?:%04b?7dj2\94<4>{M0;7?7|^m21>v*>d382gg=Q9;?1>v*:e285?!3b:3<0qpsr;|`03<<72:0;6=u+26d933=O:>90e<:0yO6=5=9r\o4744c3_;997f=#=l81o6sr}|9j57c=83.9;k4>2b9K62c<^;2:62481!3b;3<0(8k=:79~yx{53;294~"5?o0<:6F=729j57b=83.9;k4>2b9K62c<^;2:6P5080:wA<73;3xRa>=:r.:h?4>2d9U573=:r.>i>4>2:&6a7<6:2wvqp5`1b`94?"5?o0:oo5Y29395~J50:0:w[j7:3y'5a4=9jh0Z<<::3y'1`5=>2.>i?49;|~y>{e;>h1<7=50;2x 71a2><0D?9<;h31`?6=,;=m6<P5080:wA<73;3xRa>=:r.:h?4>2e9U573=:r.>i>4>2:&6a7<6:2wvqp5f13g94?"5?o0:>n5G26g8R7>628qG>5=51zTg44428q]h54={%3g6?7dj2\:>84={%7f7?0<,:=4i00g>5<#:>l1=?m4H35f?S4?93;p@?6<:0yU`=<5s-;o>7?=d:T260<5s-?n?7m4$4g1>f=zutw0e<2481!3b;3i0(8k=:b9~yx{n5Y29395~J50:0:w[j7:3y'5a4=9=>0Z<<::3y'1`5=k2.>i?4l;|~y>o6<>0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>469U573=:r.>i>4l;%7f6?e428q]h54={%3g6?7302\:>84={%7f7?e<,290/>:h513a8R7>628qG>5=51zTg{zut1b=9o50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0951g<^88>6?u+5d19g>"2m;0h7psr}:k20g<72-85$35e>44d3A87m4}|~?l75n3:1(?9i:00`?M40m2\94<4>{M0;7?7|^m21>v*>d3826c=Q9;?1>v*:e2826>"2m;0:>6sr}|9j566=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=>>4V006>7}#=l91:6*:e385?x{zu2c:?<4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d38274=Q9;?1>v*:e285?!3b:3<0qpsr;h306?6=,;=m6<6X>2481!3b;3<0(8k=:79~yx{2wvqp5f12694?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9:>0Z<<::3y'1`5=>2.>i?49;|~y>i6kk0;6)<8f;3`f>P5080:wA<73;3xRa>=:r.:h?4>cc9U573=:r.>i>49;%7f6?00<729q/>:h51bc8L7143`;9h7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;31`>P6:<09w);j3;48 0c52?1vqps4i00f>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5288n7[?=5;0x 0c42?1/9h<56:~yx=n9:31<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<512;8R4422;q/9h=56:&6a7<13twvq6g>4583>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6<=1]=?;52z&6a6<13-?n>784}|~?j7dj3:1(?9i:0aa?S4?93;p@?6<:0yU`=<5s-;o>7?lb:T260<5s-?n?784$4g1>3=zutw0qo=70;296?6=8r.9;k4mf:J136=n9;i1<7*=7g826f=2wvqp5rb2:4>5<5290;w)<8f;`e?M40;2c:>n4?:%04b?75k21d=nl50;&13c<6kk1]>5?51zN1<6<6s_n36?u+1e095fd<^88>6?u+5d192>"2m;0=7psr}:a7=b=8391<7>t$35e>71d3A82e83>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6:m1]=?;52z&6a6<13-?n>784}|~?l75m3:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?=e:T260<5s-?n?7h4$4g1>c=zutw0c:0yO6=5=9r\o474ee3_;9973=#=l81:6sr}|9~f6>d290=6=4?{%04b?75i2B9;>5+15f951=n9;i1<7*=7g826f=Q:1;1=vB=8282S7ei38p(2wvqp5fd083>!40n3n:7[<71;3xH7>428q]=oo52z&2`784={%7f7?0<,7}#9m81h?5Y13796~"2m:037);j2;:8yx{K:1818vX=7180Sb12;q/9h?5d39'7g5==l90q[j8:3y'1`7=9;i0(>l<:4g0?x"1?<0n7pX=7180Sb12;q/9h?5d39'7g5==l90q[j8:3y'1`7=9;i0(>l<:4g1?x"1?<0n7pX=7180Sb12;q/9h?5d39'7g5==l90q[j8:3y'1`7=l81/?o=55d18y!00=3=0q[?k1;0xRa0=:r.>i<4k2:&0f6<2m:1v(;9::018yx{P6:<09w);j3;31?!3b:3;97psrL3:1>4}Q:>21>vXk6;0x 0c62;{#>>?1=?5r}|9j636=83.9;k4=619U6=7=:rF94>4>{W3ae?4|,8n96?8?;W311?4|,445<51zT13=<5s_n=6?u+5d39636<,:h868k<;|&530<6:2wvq6a>cc83>!40n3;hn65rb2:2>5<4290;w)<8f;04g>N5?:1b=?j50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0957b<^88>6?u+5d192>"2m;0=7psr}:k26`<72-8h5Y13796~"2m:0=7);j2;48yx{z3f;hn7>5$35e>4ee3_83=7?tL3:0>4}Ql109w)?k2;3`f>P6:<09w);j3;48 0c52?1vqps4}c1;6?6=93:190c1<729q/>:h5779K625n5G26g8R7>628qG>5=51zTg47428q]h54={%3g6?7412\:>84={%7f7?763-?n>7?>;|~y>i6kk0;6)<8f;3`f>P5080:wA<73;3xRa>=:r.:h?4>cc9U573=:r.>i>49;%7f6?01<729q/>:h5779K625428q]h54={%3g6?75l2\:>84={%7f7?d<,:h513a8R7>628qG>5=51zTg47428q]h54={%3g6?7412\:>84={%7f7?763-?n>7?>;|~y>i6kk0;6)<8f;3`f>P5080:wA<73;3xRa>=:r.:h?4>cc9U573=:r.>i>49;%7f6?01<729q/>:h5779K6252481!3b;3;:7);j2;32?x{zu2c:?44?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3827<=Q9;?1>v*:e2825>"2m;0:=6sr}|9l5fd=83.9;k4>cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91:6*:e385?x{zu2wi?5=50;694?6|,;=m6o6:m0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>2e9U573=:r.>i>49;%7f6?0428q]h54={%3g6?75m2\:>84={%7f7?0<,290/>:h513a8R7>628qG>5=51zTg{zut1d=nl50;&13c<6kk1]>5?51zN1<6<6s_n36?u+1e095fd<^88>6?u+5d192>"2m;0=7psr}:a7=>=8391<7>t$35e>20<@;=87d?=d;29 71a288h7[<71;3xH7>428q]h54={%3g6?75l2\:>84={%7f7?e<,:h513a8L71b3_83=7?tL3:0>4}Ql109w)?k2;31a>P6:<09w);j3;31?!3b:3;97psr}:m2gg<72-85}#:>l1;;5G2618m44c290/>:h513a8L71b3_83=7?tL3:0>4}Ql109w)?k2;31`>P6:<09w);j3;31?!3b:3;97psr}:k26`<72-85<#:>l1=nl4V3:2>4}K:191=vXk8;0x 4b528ii7[?=5;0x 0c42?1/9h<56:~yx=zj:2j6=4<:183!40n3;hm6F=729j57b=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=?j4V006>7}#=l91:6*:e385?x{zu2c:>h4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3826`=Q9;?1>v*:e285?!3b:3<0qpsr;n3`f?6=,;=m62481!3b;3<0(8k=:79~yx{;<7>52;294~"5?o0ij6F=729j57e=83.9;k4>2b98k4ee290/>:h51b`8R7>628qG>5=51zTg{zut1vn9>::185>5<7s-8n5Y29395~J50:0:w[?ma;0x 4b5288h7[?=5;0x 0c42?1/9h<56:~yx=nl80;6)<8f;f2?S4?93;p@?6<:0yU5gg=:r.:h?4k1:T260<5s-?n?784$4g1>3=zutw0ei<50;&13cv*:e28;?!3b:320qpsC29090~P5?908w[j9:3y'1`7=l;1/?o=55d18ySb02;q/9h?513a8 6d424:e29~ 3122890qps4i34e>5<#:>l1>;h4V3:2>7}K:191=vX>b`81!7c:38=j6X>2481!3b;3;97);j2;31?x{zD;29638p(8k>:34e?!5e;3?n?6s+667957=zut1b>;>50;&13c<5>91]>5?52zN1<6<6s_;im77073_;99744<,7}#=l;1>;>4$2`0>0c43t.=;84>2:~y>i6kk0;6)<8f;3`f>=zj=::6=4<:183!40n382b9U6=7=9rF94>4>{Wf;>7}#9m81=?j4V006>7}#=l91:6*:e385?x{zu2c:>h4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3826`=Q9;?1>v*:e285?!3b:3<0qpsr;n3`f?6=,;=m62481!3b;3<0(8k=:79~yx{;>7>51;294~"5?o094;5G2618k4ee290/>:h51b`8?xd38:0;6>4?:1y'62`=??1C>:=4i00g>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5288o7[?=5;0x 0c428;0(8k=:038yx{z3`;9i7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;31a>P6:<09w);j3;32?!3b:3;:7psr}:m2gg<72-85}#:>l1nk5G2618m44d290/>:h513a8?j7dj3:1(?9i:0aa?S4?93;p@?6<:0yU`=<5s-;o>7?lb:T260<5s-?n?784$4g1>3=zutw0qo82`9K625<,8>o6<:4i00`>5<#:>l1=?m4V3:2>4}K:191=vX>b`81!7c:3;9o6X>2481!3b;3<0(8k=:79~yx{P5080:wA<73;3xR4df2;q/=i<5d09U573=:r.>i>49;%7f6?05?52zN1<6<6s_;im7a4<^88>6?u+5d19<>"2m;037psrL3:1>1}Q:>:1?vXk6;0x 0c62m80(>l<:4g0?xPc?38p(8k>:00`?!5e;3?n?6s+6679a>{Q:>:1?vXk6;0x 0c62m80(>l<:4g0?xPc?38p(8k>:00`?!5e;3?n>6s+6679a>{Q:>:1?vXk6;0x 0c62m80(>l<:4g0?xPc?38p(8k>:e38 6d426*:e3826>{zuE83>7?tV35;>7}Ql?09w);j1;05b>"4j:0>i>5r$756>44:3yO6=5=9r\:nl4={%3g6?4182\:>84={%7f7?753-?n>7?=;|~H7>528q]>:652zTg2?4|,53;294~"5?o09;n5G2618m44c290/>:h513a8R7>628qG>5=51zTg{zut1b=?k50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0957c<^88>6?u+5d192>"2m;0=7psr}:m2gg<72-85}#:>l1>584H350?j7dj3:1(?9i:0aa?>{e>:31<7=50;2x 71a2><0D?9<;h31`?6=,;=m6<2481!3b;3;:7);j2;32?x{zu2c:>h4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3826`=Q9;?1>v*:e2825>"2m;0:=6sr}|9l5fd=83.9;k4>cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91:6*:e385?x{zu2wi:>m50;094?6|,;=m6oh4H350?l75k3:1(?9i:00`?>i6kk0;6)<8f;3`f>P5080:wA<73;3xRa>=:r.:h?4>cc9U573=:r.>i>49;%7f6?03<729q/>:h513c8L7143-;?h7?;;h31g?6=,;=m6<n5Y13796~"2m:0=7);j2;48yx{z3`n:6=4+26d9`4=Q:1;1=vB=8282S7ei38p(:3yO6=5=9r\:nl4={%3g6?b53_;997==#=l8146sr}M0;6?2|^;=;6>uYd781!3b93n97)=m3;7f7>{Ql>09w);j1;31g>"4j:0>i>5r$756>`=z^;=;6>uYd781!3b93n97)=m3;7f7>{Ql>09w);j1;31g>"4j:0>i?5r$756>`=z^;=;6>uYd781!3b93n97)=m3;7f7>{Ql>09w);j1;f2?!5e;3?n?6s+66793>{Q9m;1>vXk6;0x 0c62m80(>l<:4g0?x"1?<0:?6sr}:k12c<72-87}#9m81>;h4V006>7}#=l91=?5+5d0957=zutF94?4>{W04v*:e0812c=#;k919h=4}%441?753twv7d<90;29 71a2;<;7[<71;0xH7>428q]=oo52z&2`7<5>91]=?;52z&6a6<6:2.>i?4>2:~yI4?:3;pZ?97:3yU`3<5s-?n=7<90:&0f6<2m:1v(;9::008yx{5}#:>l1>:m4H350?l75l3:1(?9i:00`?M40m2\94<4>{M0;7?7|^m21>v*>d3826a=Q9;?1>v*:e285?!3b:3<0qpsr;h31a?6=,;=m6<2481!3b;3<0(8k=:79~yx{2wvqp5rb71f>5<6290;w)<8f;0;2>N5?:1d=nl50;&13c<6kk10qo8;0;297?6=8r.9;k486:J136=n9;n1<7*=7g826f=O:>o0Z?6>:0yO6=5=9r\o4744c3_;99747<,{M0;7?7|^m21>v*>d3826`=Q9;?1>v*:e2825>"2m;0:=6sr}|9l5fd=83.9;k4>cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91:6*:e385?x{zu2wi:9?50;694?6|,;=m6:84H350?l75l3:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?=d:T260<5s-?n?7?>;%7f6?763twvq6g>2d83>!40n3;9o6F=7d9U6=7=9rF94>4>{Wf;>7}#9m81=?k4V006>7}#=l91=<5+5d0954=zutw0e<=6:18'62`=9;i0D?9j;W0;5?7|D;2862481!3b;3;:7);j2;32?x{zu2e:oo4?:%04b?7dj2\94<4>{M0;7?7|^m21>v*>d382gg=Q9;?1>v*:e285?!3b:3<0qpsr;|`57c<72:0;6=u+26d95fg<@;=87d?=d;29 71a288h7[<71;3xH7>428q]h54={%3g6?75l2\:>84={%7f7?0<,:h513a8R7>628qG>5=51zTg{zut1d=nl50;&13c<6kk1]>5?51zN1<6<6s_n36?u+1e095fd<^88>6?u+5d192>"2m;0=7psr}:a212=8381<7>t$35e>g`<@;=87d?=c;29 71a288h76a>cc83>!40n3;hn6X=8082I4?;3;pZi652z&2`7<6kk1]=?;52z&6a6<13-?n>784}|~?xd1<00;6;4?:1y'62`=9;k0D?9<;%37`?733`;9o7>5$35e>44d3_83=7?tL3:0>4}Q9kk1>v*>d3826f=Q9;?1>v*:e285?!3b:3<0qpsr;hf2>5<#:>l1h<5Y29395~J50:0:w[?ma;0x 4b52m;0Z<<::3y'1`5=>2.>i?49;|~y>oc:3:1(?9i:e08R7>62;qG>5=51zT2fd<5s-;o>7j=;W311?4|,{zuE83>7:tV353>6}Ql?09w);j1;f1?!5e;3?n?6sYd681!3b93;9o6*6h5rV353>6}Ql?09w);j1;f1?!5e;3?n?6sYd681!3b93;9o6*6h5rV353>6}Ql?09w);j1;f1?!5e;3?n?6sYd681!3b93n:7)=m3;7f7>{#>>?1;6sY1e396~Pc>38p(8k>:e08 6d42{zu2c9:k4?:%04b?41n2\94<4={M0;7?7|^8hj6?u+1e0963`<^88>6?u+5d1957=#=l81=?5r}|N1<7<6s_8<47i>4>2:&6a7<6:2wvqA<72;3xR71?2;q]h;4={%7f5?4182.8n>4:e29~ 3122880qps4o0aa>5<#:>l1=nl4;|`500<72:0;6=u+26d962e<@;=87d?=d;29 71a288h7[<71;3xH7>428q]h54={%3g6?75l2\:>84={%7f7?0<,:h513a8R7>628qG>5=51zTg{zut1d=nl50;&13c<6kk1]>5?51zN1<6<6s_n36?u+1e095fd<^88>6?u+5d192>"2m;0=7psr}:a210=83;1<7>t$35e>7>13A8cc83>!40n3;hn65rb764>5<4290;w)<8f;55?M40;2c:>i4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3826a=Q9;?1>v*:e2825>"2m;0:=6sr}|9j57c=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=?k4V006>7}#=l91=<5+5d0954=zutw0c:0yO6=5=9r\o474ee3_;9973=#=l81:6sr}|9~f32f29096=4?{%04b?da3A82b83>!40n3;9o65`1b`94?"5?o0:oo5Y29395~J50:0:w[j7:3y'5a4=9jh0Z<<::3y'1`5=>2.>i?49;|~y>{e><81<7850;2x 71a288j7E<83:&20a<6<2c:>n4?:%04b?75k2\94<4>{M0;7?7|^8hj6?u+1e0957e<^88>6?u+5d192>"2m;0=7psr}:kg5?6=,;=m6i?4V3:2>4}K:191=vX>b`81!7c:3n:7[?=5;0x 0c42?1/9h<56:~yx=nl;0;6)<8f;f1?S4?938p@?6<:0yU5gg=:r.:h?4k2:T260<5s-?n?764$4g1>==zutF94?4;{W044?5|^m<1>v*:e08g6>"4j:0>i>5rVe596~"2m80:>n5+3c191`5v*:e08g6>"4j:0>i>5rVe596~"2m80:>n5+3c191`4v*:e08g6>"4j:0>i>5rVe596~"2m80o=6*6:5rV0f2>7}Ql?09w);j1;f1?!5e;3?n?6s+667956=zut1b>;h50;&13c<5>o1]>5?52zN1<6<6s_;im770a3_;99744<,7}#=l;1>;h4$2`0>0c43t.=;84>2:~y>o5>90;6)<8f;054>P50809wA<73;3xR4df2;q/=i<52728R4422;q/9h=5139'1`4=9;1vqpB=8382S40038pZi852z&6a4<5>91/?o=55d18y!00=3;97psr;n3`f?6=,;=m6t$35e>71d3A82e83>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6:m1]=?;52z&6a6<13-?n>784}|~?l75m3:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?=e:T260<5s-?n?784$4g1>3=zutw0c:0yO6=5=9r\o474ee3_;9973=#=l81:6sr}|9~f32d290:6=4?{%04b?4?>2B9;>5`1b`94?"5?o0:oo54}c47a?6=<3:1N5?:1b=?j50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0957b<^88>6?u+5d1954=#=l81=<5r}|8m44b290/>:h513a8L71b3_83=7?tL3:0>4}Ql109w)?k2;31a>P6:<09w);j3;32?!3b:3;:7psr}:k27<<72-8{zut1d=nl50;&13c<6kk1]>5?51zN1<6<6s_n36?u+1e095fd<^88>6?u+5d192>"2m;0=7psr}:a21`=83<1<7>t$35e>20<@;=87d?=d;29 71a288h7[<71;3xH7>428q]h54={%3g6?75l2\:>84={%7f7?763-?n>7?>;|~y>o6:l0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>2d9U573=:r.>i>4m;%7f6?d{zut1b=9:50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e09512<^88>6?u+5d1954=#=l81=<5r}|8m420290/>:h513a8L71b3_83=7?tL3:0>4}Ql109w)?k2;373>P6:<09w);j3;32?!3b:3;:7psr}:m2gg<72-85}#:>l1;;5G2618m44c290/>:h513a8R7>628qG>5=51zTg{zut1b=?k50;&13c<6:j1C>:k4V3:2>4}K:191=vXk8;0x 4b5288n7[?=5;0x 0c428;0(8k=:038yx{z3`;857>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;30=>P6:<09w);j3;32?!3b:3;:7psr}:k201<72-85<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b528><7[?=5;0x 0c428;0(8k=:038yx{z3f;hn7>5$35e>4ee3_83=7?tL3:0>4}Ql109w)?k2;3`f>P6:<09w);j3;48 0c52?1vqps4}c47`?6=<3:190e<:0yO6=5=9r\o4744c3_;9973=#=l81:6sr}|9j57c=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=?k4V006>7}#=l91:6*:e385?x{zu2c:?44?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3827<=Q9;?1>v*:e285?!3b:3<0qpsr;n3`f?6=,;=m62481!3b;3<0(8k=:79~yx{cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91:6*:e385?x{zu2wi:54?:783>5}#:>l1=?o4H350?!73l3;?7d?=c;29 71a288h7[<71;3xH7>428q]=oo52z&2`7<6:j1]=?;52z&6a6<13-?n>784}|~?lb6290/>:h5d09U6=7=9rF94>4>{W3ae?4|,8n96i?4V006>7}#=l91:6*:e385?x{zu2co>7>5$35e>a4<^;2:6?uC29195~P6jh09w)?k2;f1?S75=38p(8k<:99'1`4=02wvqA<72;6xR7172:q]h;4={%7f5?b53-9i?7;j3:U`2<5s-?n=7?=c:&0f6<2m:1v(;9::d9~R7172:q]h;4={%7f5?b53-9i?7;j3:U`2<5s-?n=7?=c:&0f6<2m;1v(;9::d9~R7172:q]h;4={%7f5?b53-9i?7;j3:U`2<5s-?n=7j>;%1a7?3b;2w/::;57:U5a7=:r\o:7a4<,:h868k<;|&530<6;2wvq6g=6g83>!40n38=j6X=8081I4?;3;pZi<4=6g9'7g5==l90q)885;31?x{z3`8=<7>5$35e>7073_83=74}Q9kk1>v*>d38125=Q9;?1>v*:e2826>"2m;0:>6sr}M0;6?7|^;=36?uYd781!3b938=<6*6<<4}|8k4ee290/>:h51b`8?xd2n3:1?7>50z&13c<5?j1C>:=4i00g>5<#:>l1=?m4H35f?S4?93;p@?6<:0yU`=<5s-;o>7?=d:T260<5s-?n?784$4g1>3=zutw0e<:0yO6=5=9r\o4744b3_;9973=#=l81:6sr}|9l5fd=83.9;k4>cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91:6*:e385?x{zu2wi:=4?:083>5}#:>l1>584H350?j7dj3:1(?9i:0aa?>{e>;0;6:4?:1y'62`=??1C>:=4i00g>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5288o7[?=5;0x 0c428;0(8k=:038yx{z3`;9i7>5$35e>44d3A8i?4>1:~yx=n9:31<7*=7g826f=O:>o0Z?6>:0yO6=5=9r\o4745>3_;99747<,{M0;7?7|^m21>v*>d38201=Q9;?1>v*:e2825>"2m;0:=6sr}|9j511=83.9;k4>2b9K62c<^;2:62481!3b;3;:7);j2;32?x{zu2e:oo4?:%04b?7dj2\94<4>{M0;7?7|^m21>v*>d382gg=Q9;?1>v*:e285?!3b:3<0qpsr;|`57?6=?3:1N5?:1b=?j50;&13c<6:j1C>:k4V3:2>4}K:191=vXk8;0x 4b5288o7[?=5;0x 0c428;0(8k=:038yx{z3`;9i7>5$35e>44d3A8i?4>1:~yx=n9:31<7*=7g826f=O:>o0Z?6>:0yO6=5=9r\o4745>3_;99747<,{M0;7?7|^m21>v*>d38201=Q9;?1>v*:e2825>"2m;0:=6sr}|9j511=83.9;k4>2b9K62c<^;2:62481!3b;3;:7);j2;32?x{zu2e:oo4?:%04b?7dj2\94<4>{M0;7?7|^m21>v*>d382gg=Q9;?1>v*:e285?!3b:3<0qpsr;|`50?6=?3:1N5?:1b=?j50;&13c<6:j1C>:k4V3:2>4}K:191=vXk8;0x 4b5288o7[?=5;0x 0c428;0(8k=:038yx{z3`;9i7>5$35e>44d3A8i?4>1:~yx=n9:31<7*=7g826f=O:>o0Z?6>:0yO6=5=9r\o4745>3_;99747<,{M0;7?7|^m21>v*>d38201=Q9;?1>v*:e2825>"2m;0:=6sr}|9j511=83.9;k4>2b9K62c<^;2:62481!3b;3;:7);j2;32?x{zu2e:oo4?:%04b?7dj2\94<4>{M0;7?7|^m21>v*>d382gg=Q9;?1>v*:e285?!3b:3<0qpsr;|`51?6=?3:1N5?:1b=?j50;&13c<6:j1C>:k4V3:2>4}K:191=vXk8;0x 4b5288o7[?=5;0x 0c428;0(8k=:038yx{z3`;9i7>5$35e>44d3A8i?4>1:~yx=n9:31<7*=7g826f=O:>o0Z?6>:0yO6=5=9r\o4745>3_;99747<,{M0;7?7|^m21>v*>d38201=Q9;?1>v*:e2825>"2m;0:=6sr}|9j511=83.9;k4>2b9K62c<^;2:6P5080:wA<73;3xRa>=:r.:h?4>499U573=:r.>i>4>1:&6a7<692wvqp5`1b`94?"5?o0:oo5Y29395~J50:0:w[j7:3y'5a4=9jh0Z<<::3y'1`5=>2.>i?49;|~y>{e>?0;654?:1y'62`=??1C>:=4i00g>5<#:>l1=?m4H35f?S4?93;p@?6<:0yU`=<5s-;o>7?=d:T260<5s-?n?7?>;%7f6?763twvq6g>2d83>!40n3;9o6F=7d9U6=7=9rF94>4>{Wf;>7}#9m81=?k4V006>7}#=l91=<5+5d0954=zutw0e<=6:18'62`=9;i0D?9j;W0;5?7|D;2862481!3b;3;:7);j2;32?x{zu2c:894?:%04b?75k2B9;h5Y29395~J50:0:w[j7:3y'5a4=9=>0Z<<::3y'1`5=981/9h<5109~yx{<6=4+26d957e<^;2:6P5080:wA<73;3xRa>=:r.:h?4>499U573=:r.>i>4>1:&6a7<692wvqp5f15;94?"5?o0:>n5G26g8R7>628qG>5=51zTg47428q]h54={%3g6?7dj2\:>84={%7f7?0<,5f13f94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9;n0Z<<::3y'1`5=>2.>i?49;|~y>o6:l0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>2d9U573=:r.>i>49;%7f6?0428q]h54={%3g6?7412\:>84={%7f7?0<,:h513a8R7>628qG>5=51zTg{zut1b=9950;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e09511<^88>6?u+5d192>"2m;0=7psr}:m2gg<72-84?:383>5}#:>l1nk5G2618m44d290/>:h513a8?j7dj3:1(?9i:0aa?S4?93;p@?6<:0yU`=<5s-;o>7?lb:T260<5s-?n?784$4g1>3=zutw0qo?6e;292?6=8r.9;k4>2`9K625<,8>o6<:4i00`>5<#:>l1=?m4V3:2>4}K:191=vX>b`81!7c:3;9o6X>2481!3b;3<0(8k=:79~yx{P5080:wA<73;3xR4df2;q/=i<5d09U573=:r.>i>49;%7f6?05?52zN1<6<6s_;im7a4<^88>6?u+5d19<>"2m;037psrL3:1>1}Q:>:1?vXk6;0x 0c62m80(>l<:4g0?xPc?38p(8k>:00`?!5e;3?n?6s+6679a>{Q:>:1?vXk6;0x 0c62m80(>l<:4g0?xPc?38p(8k>:00`?!5e;3?n>6s+6679a>{Q:>:1?vXk6;0x 0c62m80(>l<:4g0?xPc?38p(8k>:e38 6d426*:e3826>{zuE83>7?tV35;>7}Ql?09w);j1;05b>"4j:0>i>5r$756>44:3yO6=5=9r\:nl4={%3g6?4182\:>84={%7f7?753-?n>7?=;|~H7>528q]>:652zTg2?4|,53;294~"5?o09;n5G2618m44c290/>:h513a8L71b3_83=7?tL3:0>4}Ql109w)?k2;31`>P6:<09w);j3;48 0c52?1vqps4i00f>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5288n7[?=5;0x 0c42?1/9h<56:~yx=h9jh1<7*=7g82gg=Q:1;1=vB=8282Sb?2;q/=i<51b`8R4422;q/9h=56:&6a7<13twvq6sm18794?7=83:p(?9i:3:5?M40;2e:oo4?:%04b?7dj21vn<78:180>5<7s-8o6:m0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>2e9U573=:r.>i>49;%7f6?0428q]h54={%3g6?75m2\:>84={%7f7?0<,:h51b`8R7>628qG>5=51zTg{zut1vn<77:180>5<7s-8o6:m0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>2e9U573=:r.>i>4>1:&6a7<692wvqp5f13g94?"5?o0:>n5G26g8R7>628qG>5=51zTg47428q]h54={%3g6?7dj2\:>84={%7f7?0<,13:1?7>50z&13c<0>2B9;>5f13f94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9;n0Z<<::3y'1`5=981/9h<5109~yx{2481!3b;3<0(8k=:79~yx{53;294~"5?o0<:6F=729j57b=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=?j4V006>7}#=l91=<5+5d0954=zutw0e<2481!3b;3;:7);j2;32?x{zu2e:oo4?:%04b?7dj2\94<4>{M0;7?7|^m21>v*>d382gg=Q9;?1>v*:e285?!3b:3<0qpsr;|`2=g<72=0;6=u+26d933=O:>90e<2481!3b;3h0(8k=:c9~yx{428q]h54={%3g6?75m2\:>84={%7f7?763-?n>7?>;|~y>o6;00;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>389U573=:r.>i>4>1:&6a7<692wvqp5`1b`94?"5?o0:oo5Y29395~J50:0:w[j7:3y'5a4=9jh0Z<<::3y'1`5=>2.>i?49;|~y>{e90i1<7:50;2x 71a2><0D?9<;h31`?6=,;=m6<2481!3b;3;:7);j2;32?x{zu2c:>h4?:%04b?75k2B9;h5Y29395~J50:0:w[j7:3y'5a4=9;o0Z<<::3y'1`5=981/9h<5109~yx{2481!3b;3<0(8k=:79~yx{57;294~"5?o0:ol5G2618m44c290/>:h513a8R7>628qG>5=51zTg{zut1b=?k50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0957c<^88>6?u+5d192>"2m;0=7psr}:k27<<72-85$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;370>P6:<09w);j3;48 0c52?1vqps4i064>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b528><7[?=5;0x 0c42?1/9h<56:~yx=n9=21<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<515:8R4422;q/9h=56:&6a7<13twvq6a>cc83>!40n3;hn6X=8082I4?;3;pZi652z&2`7<6kk1]=?;52z&6a6<13-?n>784}|~?xd6im0;6?4?:1y'62`=jo1C>:=4i00`>5<#:>l1=?m4;n3`f?6=,;=m62481!3b;3<0(8k=:79~yx{56;294~"5?o0:>l5G2618 42c28>0e<:0yO6=5=9r\:nl4={%3g6?75k2\:>84={%7f7?0<,7}#9m81h<5Y13796~"2m:0=7);j2;48yx{z3`n96=4+26d9`7=Q:1;1>vB=8282S7ei38p(a4<,:h868k<;|Tg3?4|,a4<,:h868k<;|Tg3?4|,a4<,:h868k<;|Tg3?4|,0c43t.=;848;|T2`4<5s_n=6?u+5d39`7=#;k919h=4}%441?743twv7d<9f;29 71a2;428q]=oo52z&2`7<5>o1]=?;52z&6a6<6:2.>i?4>2:~yI4?:3;pZ?97:3yU`3<5s-?n=7<9f:&0f6<2m:1v(;9::008yx{P6:<09w);j3;31?!3b:3;97psrL3:1>4}Q:>21>vXk6;0x 0c62;<;7)=m3;7f7>{#>>?1=?5r}|9l5fd=83.9;k4>cc98yg7fm3:1?7>50z&13c<5?j1C>:=4i00g>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5288o7[?=5;0x 0c42?1/9h<56:~yx=n9;o1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<513g8R4422;q/9h=56:&6a7<13twvq6a>cc83>!40n3;hn6X=8082I4?;3;pZi652z&2`7<6kk1]=?;52z&6a6<13-?n>784}|~?xd6io0;6<4?:1y'62`=:1<0D?9<;n3`f?6=,;=m6t$35e>20<@;=87d?=d;29 71a288h7[<71;3xH7>428q]h54={%3g6?75l2\:>84={%7f7?0<,:h513a8R7>628qG>5=51zTg{zut1d=nl50;&13c<6kk1]>5?51zN1<6<6s_n36?u+1e095fd<^88>6?u+5d192>"2m;0=7psr}:a5g4=8391<7>t$35e>20<@;=87d?=d;29 71a288h7[<71;3xH7>428q]h54={%3g6?75l2\:>84={%7f7?0<,:h513a8R7>628qG>5=51zTg{zut1d=nl50;&13c<6kk1]>5?51zN1<6<6s_n36?u+1e095fd<^88>6?u+5d192>"2m;0=7psr}:a5g5=83>1<7>t$35e>20<@;=87d?=d;29 71a288h7E<8e:T1<4<6sE83?7?tVe:96~"6l;0:>i5Y13796~"2m:0:=6*:e3825>{zut1b=?k50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0957c<^88>6?u+5d1954=#=l81=<5r}|8m45>290/>:h513a8R7>628qG>5=51zTg47428q]h54={%3g6?7dj2\:>84={%7f7?0<,50z&13c<0>2B9;>5f13f94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9;n0Z<<::3y'1`5=981/9h<5109~yx{428q]h54={%3g6?75m2\:>84={%7f7?763-?n>7?>;|~y>o6;00;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>389U573=:r.>i>4>1:&6a7<692wvqp5`1b`94?"5?o0:oo5Y29395~J50:0:w[j7:3y'5a4=9jh0Z<<::3y'1`5=>2.>i?49;|~y>{e9k?1<7;50;2x 71a2><0D?9<;h31`?6=,;=m6<P5080:wA<73;3xRa>=:r.:h?4>2e9U573=:r.>i>4>1:&6a7<692wvqp5f13g94?"5?o0:>n5G26g8R7>628qG>5=51zTg47{zut1b=9:50;&13c<6:j1C>:k4V3:2>4}K:191=vXk8;0x 4b528>?7[?=5;0x 0c428;0(8k=:038yx{z3f;hn7>5$35e>4ee3_83=7?tL3:0>4}Ql109w)?k2;3`f>P6:<09w);j3;48 0c52?1vqps4}c3a2?6=03:1N5?:1b=?j50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0957b<^88>6?u+5d19f>"2m;0i7psr}:k26`<72-83883>!40n3;9o6F=7d9U6=7=9rF94>4>{Wf;>7}#9m81=>74V006>7}#=l91n6*:e38a?x{zu2c:894?:%04b?75k2B9;h5Y29395~J50:0:w[j7:3y'5a4=9=>0Z<<::3y'1`5=981/9h<5109~yx{<6=4+26d957e<@;=n7[<71;3xH7>428q]h54={%3g6?73?2\:>84={%7f7?763-?n>7?>;|~y>o6<10;6)<8f;31g>N5?l1]>5?51zN1<6<6s_n36?u+1e0951><^88>6?u+5d1954=#=l81=<5r}|8m42>290/>:h513a8R7>628qG>5=51zTg47428q]h54={%3g6?7dj2\:>84={%7f7?0<,50z&13c<0>2B9;>5f13f94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9;n0Z<<::3y'1`5=j2.>i?4m;|~y>o6:l0;6)<8f;31g>N5?l1]>5?51zN1<6<6s_n36?u+1e0957c<^88>6?u+5d19f>"2m;0i7psr}:k27<<72-85<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b528>?7[?=5;0x 0c428;0(8k=:038yx{z3`;?;7>5$35e>44d3A81]=?;52z&6a6<692.>i?4>1:~yx=n9=21<7*=7g826f=O:>o0Z?6>:0yO6=5=9r\o4742?3_;99747<,7?;9:T260<5s-?n?7?>;%7f6?763twvq6a>cc83>!40n3;hn6X=8082I4?;3;pZi652z&2`7<6kk1]=?;52z&6a6<13-?n>784}|~?xd6j90;654?:1y'62`=9jk0D?9<;h31`?6=,;=m6<2481!3b;3<0(8k=:79~yx{2wvqp5f12;94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9:30Z<<::3y'1`5=>2.>i?49;|~y>o6<=0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>459U573=:r.>i>49;%7f6?0428q]h54={%3g6?73?2\:>84={%7f7?0<,:h513a8R7>628qG>5=51zTg{zut1b=9750;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0951?<^88>6?u+5d192>"2m;0=7psr}:m2gg<72-84?:383>5}#:>l1nk5G2618m44d290/>:h513a8?j7dj3:1(?9i:0aa?S4?93;p@?6<:0yU`=<5s-;o>7?lb:T260<5s-?n?784$4g1>3=zutw0qo?kf;292?6=8r.9;k4>2`9K625<,8>o6<:4i00`>5<#:>l1=?m4V3:2>4}K:191=vX>b`81!7c:3;9o6X>2481!3b;3<0(8k=:79~yx{P5080:wA<73;3xR4df2;q/=i<5d09U573=:r.>i>49;%7f6?05?52zN1<6<6s_;im7a4<^88>6?u+5d19<>"2m;037psrL3:1>1}Q:>:1?vXk6;0x 0c62m80(>l<:4g0?xPc?38p(8k>:00`?!5e;3?n?6s+6679a>{Q:>:1?vXk6;0x 0c62m80(>l<:4g0?xPc?38p(8k>:00`?!5e;3?n>6s+6679a>{Q:>:1?vXk6;0x 0c62m80(>l<:4g0?xPc?38p(8k>:e38 6d426*:e3826>{zuE83>7?tV35;>7}Ql?09w);j1;05b>"4j:0>i>5r$756>44:3yO6=5=9r\:nl4={%3g6?4182\:>84={%7f7?753-?n>7?=;|~H7>528q]>:652zTg2?4|,53;294~"5?o09;n5G2618m44c290/>:h513a8R7>628qG>5=51zTg{zut1b=?k50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0957c<^88>6?u+5d192>"2m;0=7psr}:m2gg<72-85}#:>l1>584H350?j7dj3:1(?9i:0aa?>{e9m=1<7=50;2x 71a2><0D?9<;h31`?6=,;=m6<2481!3b;3<0(8k=:79~yx{2wvqp5`1b`94?"5?o0:oo5Y29395~J50:0:w[j7:3y'5a4=9jh0Z<<::3y'1`5=>2.>i?49;|~y>{e9m21<7=50;2x 71a2><0D?9<;h31`?6=,;=m6<2481!3b;3<0(8k=:79~yx{2wvqp5`1b`94?"5?o0:oo5Y29395~J50:0:w[j7:3y'5a4=9jh0Z<<::3y'1`5=>2.>i?49;|~y>{e9m31<7=50;2x 71a2><0D?9<;h31`?6=,;=m6<2481!3b;3h0(8k=:c9~yx{428q]h54={%3g6?75m2\:>84={%7f7?763-?n>7?>;|~y>i6kk0;6)<8f;3`f>P5080:wA<73;3xRa>=:r.:h?4>cc9U573=:r.>i>49;%7f6?0d`83>6<729q/>:h5779K625428q]h54={%3g6?75l2\:>84={%7f7?d<,:h513a8L71b3_83=7?tL3:0>4}Ql109w)?k2;31a>P6:<09w);j3;32?!3b:3;:7psr}:m2gg<72-85}#:>l1;;5G2618m44c290/>:h513a8L71b3_83=7?tL3:0>4}Ql109w)?k2;31`>P6:<09w);j3;32?!3b:3;:7psr}:k26`<72-85<#:>l1=nl4V3:2>4}K:191=vXk8;0x 4b528ii7[?=5;0x 0c42?1/9h<56:~yx=zj8nh6=4<:183!40n3==7E<83:k26a<72-8i5Y13796~"2m:0:=6*:e3825>{zut1b=?k50;&13c<6:j1C>:k4V3:2>4}K:191=vXk8;0x 4b5288n7[?=5;0x 0c428;0(8k=:038yx{z3f;hn7>5$35e>4ee3_83=7?tL3:0>4}Ql109w)?k2;3`f>P6:<09w);j3;48 0c52?1vqps4}c3g`?6=<3:1N5?:1b=?j50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0957b<^88>6?u+5d19f>"2m;0i7psr}:k26`<72-8h5Y13796~"2m:0i7);j2;`8yx{z3`;857>5$35e>44d3A8i?4>1:~yx=h9jh1<7*=7g82gg=Q:1;1=vB=8282Sb?2;q/=i<51b`8R4422;q/9h=56:&6a7<13twvq6sm1e494?>=83:p(?9i:0ab?M40;2c:>i4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3826a=Q9;?1>v*:e285?!3b:3<0qpsr;h31a?6=,;=m6<2481!3b;3<0(8k=:79~yx{2wvqp5f15694?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9=>0Z<<::3y'1`5=>2.>i?49;|~y>o6<>0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>469U573=:r.>i>49;%7f6?0428q]h54={%3g6?7302\:>84={%7f7?0<,290/>:h513a8R7>628qG>5=51zTg{zut1d=nl50;&13c<6kk1]>5?51zN1<6<6s_n36?u+1e095fd<^88>6?u+5d192>"2m;0=7psr}:a5c?=8381<7>t$35e>g`<@;=87d?=c;29 71a288h76a>cc83>!40n3;hn6X=8082I4?;3;pZi652z&2`7<6kk1]=?;52z&6a6<13-?n>784}|~?xd5880;6?4?:1y'62`=jo1C>:=4i00`>5<#:>l1=?m4;n3`f?6=,;=m62481!3b;3<0(8k=:79~yx{56;294~"5?o0:>l5G2618 42c28>0e<:0yO6=5=9r\:nl4={%3g6?75k2\:>84={%7f7?0<,7}#9m81h<5Y13796~"2m:0=7);j2;48yx{z3`n96=4+26d9`7=Q:1;1>vB=8282S7ei38p(a4<,:h868k<;|Tg3?4|,a4<,:h868k<;|Tg3?4|,a4<,:h868k<;|Tg3?4|,0c43t.=;848;|T2`4<5s_n=6?u+5d39`7=#;k919h=4}%441?743twv7d<9f;29 71a2;428q]=oo52z&2`7<5>o1]=?;52z&6a6<6:2.>i?4>2:~yI4?:3;pZ?97:3yU`3<5s-?n=7<9f:&0f6<2m:1v(;9::008yx{P6:<09w);j3;31?!3b:3;97psrL3:1>4}Q:>21>vXk6;0x 0c62;<;7)=m3;7f7>{#>>?1=?5r}|9l5fd=83.9;k4>cc98yg7ai3:1?7>50z&13c<5?j1C>:=4i00g>5<#:>l1=?m4H35f?S4?93;p@?6<:0yU`=<5s-;o>7?=d:T260<5s-?n?784$4g1>3=zutw0e<:0yO6=5=9r\o4744b3_;9973=#=l81:6sr}|9l5fd=83.9;k4>cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91:6*:e385?x{zu2wi=kl50;394?6|,;=m6?69;I047>i6kk0;6)<8f;3`f>=zj8lo6=4;:183!40n3==7E<83:k26a<72-85<#:>l1=?m4H35f?S4?93;p@?6<:0yU`=<5s-;o>7?=e:T260<5s-?n?7?>;%7f6?763twvq6g>3883>!40n3;9o6F=7d9U6=7=9rF94>4>{Wf;>7}#9m81=>74V006>7}#=l91=<5+5d0954=zutw0c:0yO6=5=9r\o474ee3_;9973=#=l81:6sr}|9~f4`b290>6=4?{%04b?113A82e83>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6:m1]=?;52z&6a67l4}|~?l75m3:1(?9i:00`?M40m2\94<4>{M0;7?7|^m21>v*>d3826`=Q9;?1>v*:e2825>"2m;0:=6sr}|9j56?=83.9;k4>2b9K62c<^;2:6P5080:wA<73;3xRa>=:r.:h?4>459U573=:r.>i>4>1:&6a7<692wvqp5`1b`94?"5?o0:oo5Y29395~J50:0:w[j7:3y'5a4=9jh0Z<<::3y'1`5=>2.>i?49;|~y>{e9ol1<7850;2x 71a2><0D?9<;h31`?6=,;=m6<2481!3b;3;:7);j2;32?x{zu2c:>h4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3826`=Q9;?1>v*:e28a?!3b:3h0qpsr;h30=?6=,;=m6<P5080:wA<73;3xRa>=:r.:h?4>389U573=:r.>i>4>1:&6a7<692wvqp5f15694?"5?o0:>n5G26g8R7>628qG>5=51zTg47{zut1d=nl50;&13c<6kk1]>5?51zN1<6<6s_n36?u+1e095fd<^88>6?u+5d192>"2m;0=7psr}:a656=83<1<7>t$35e>20<@;=87d?=d;29 71a288h7[<71;3xH7>428q]h54={%3g6?75l2\:>84={%7f7?d<,:h513a8L71b3_83=7?tL3:0>4}Ql109w)?k2;31a>P6:<09w);j3;32?!3b:3;:7psr}:k27<<72-85<#:>l1=?m4H35f?S4?93;p@?6<:0yU`=<5s-;o>7?;4:T260<5s-?n?7?>;%7f6?763twvq6g>4683>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6<>1]=?;52z&6a6<692.>i?4>1:~yx=h9jh1<7*=7g82gg=Q:1;1=vB=8282Sb?2;q/=i<51b`8R4422;q/9h=56:&6a7<13twvq6sm1ga94?3=83:p(?9i:0ab?M40;2c:>i4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3826a=Q9;?1>v*:e285?!3b:3<0qpsr;h31a?6=,;=m6<2481!3b;3<0(8k=:79~yx{2wvqp5f15694?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9=>0Z<<::3y'1`5=>2.>i?49;|~y>i6kk0;6)<8f;3`f>P5080:wA<73;3xRa>=:r.:h?4>cc9U573=:r.>i>49;%7f6?02<729q/>:h5779K625428q]h54={%3g6?75l2\:>84={%7f7?e<,:h513a8L71b3_83=7?tL3:0>4}Ql109w)?k2;31a>P6:<09w);j3;a8 0c52j1vqps4i01:>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b528927[?=5;0x 0c42880(8k=:008yx{z3`;?87>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;370>P6:<09w);j3;31?!3b:3;97psr}:k202<72-85<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b528>37[?=5;0x 0c42880(8k=:008yx{z3f;hn7>5$35e>4ee3_83=7?tL3:0>4}Ql109w)?k2;3`f>P6:<09w);j3;48 0c52?1vqps4}c0;3?6=:3:1N5?:1b=?m50;&13c<6:j10c:0yO6=5=9r\o474ee3_;9973=#=l81:6sr}|9~f7>d290=6=4?{%04b?75i2B9;>5+15f951=n9;i1<7*=7g826f=Q:1;1=vB=8282S7ei38p(2wvqp5fd083>!40n3n:7[<71;3xH7>428q]=oo52z&2`784={%7f7?0<,7}#9m81h?5Y13796~"2m:037);j2;:8yx{K:1818vX=7180Sb12;q/9h?5d39'7g5==l90q[j8:3y'1`7=9;i0(>l<:4g0?x"1?<0n7pX=7180Sb12;q/9h?5d39'7g5==l90q[j8:3y'1`7=9;i0(>l<:4g1?x"1?<0n7pX=7180Sb12;q/9h?5d39'7g5==l90q[j8:3y'1`7=l81/?o=55d18y!00=3=0q[?k1;0xRa0=:r.>i<4k2:&0f6<2m:1v(;9::018yx{P6:<09w);j3;31?!3b:3;97psrL3:1>4}Q:>21>vXk6;0x 0c62;{#>>?1=?5r}|9j636=83.9;k4=619U6=7=:rF94>4>{W3ae?4|,8n96?8?;W311?4|,445<51zT13=<5s_n=6?u+5d39636<,:h868k<;|&530<6:2wvq6a>cc83>!40n3;hn65rb3:;>5<4290;w)<8f;04g>N5?:1b=?j50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0957b<^88>6?u+5d192>"2m;0=7psr}:k26`<72-8h5Y13796~"2m:0=7);j2;48yx{z3f;hn7>5$35e>4ee3_83=7?tL3:0>4}Ql109w)?k2;3`f>P6:<09w);j3;48 0c52?1vqps4}c0;=?6=93:190c6<729q/>:h5779K625n5Y29395~J50:0:w[j7:3y'5a4=9;o0Z<<::3y'1`5=j2.>i?4m;|~y>i6kk0;6)<8f;3`f>P5080:wA<73;3xRa>=:r.:h?4>cc9U573=:r.>i>49;%7f6?07<729q/>:h5bg9K6255$35e>4ee3_83=7?tL3:0>4}Ql109w)?k2;3`f>P6:<09w);j3;48 0c52?1vqps4}c1:1?6=:3:1N5?:1b=?m50;&13c<6:j10c:0yO6=5=9r\o474ee3_;9973=#=l81:6sr}|9~f6?e29086=4?{%04b?40k2B9;>5f13f94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9;n0Z<<::3y'1`5=>2.>i?49;|~y>o6:l0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>2d9U573=:r.>i>4i;%7f6?`428q]h54={%3g6?7dj2\:>84={%7f7?0<,i3:1:7>50z&13c<6:h1C>:=4$06g>42P6:<09w);j3;48 0c52?1vqps4ie394?"5?o0o=6X=8082I4?;3;pZ784}|~?lb5290/>:h5d39U6=7=:rF94>4>{W3ae?4|,8n96i<4V006>7}#=l9146*:e38;?x{zD;2969uY26297~Pc>38p(8k>:e08 6d42{#>>?1i6sY26297~Pc>38p(8k>:e08 6d42{#>>?1i6sY26297~Pc>38p(8k>:e08 6d42l<:4g0?x"1?<0<7pX>d081Sb12;q/9h?5d39'7g5==l90q)885;30?x{z3`8=j7>5$35e>70a3_83=74}Q9kk1>v*>d3812c=Q9;?1>v*:e2826>"2m;0:>6sr}M0;6?7|^;=36?uYd781!3b938=j6*6<<4}|8m707290/>:h52728R7>62;qG>5=51zT2fd<5s-;o>7<90:T260<5s-?n?7?=;%7f6?753twv@?6=:0yU62>=:r\o:77073-9i?7;j3:'223=9;1vqp5`1b`94?"5?o0:oo54}c1;b?6=;3:190e<:0yO6=5=9r\o4744c3_;9973=#=l81:6sr}|9j57c=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=?k4V006>7}#=l91:6*:e385?x{zu2e:oo4?:%04b?7dj2\94<4>{M0;7?7|^m21>v*>d382gg=Q9;?1>v*:e285?!3b:3<0qpsr;|`0=5<7280;6=u+26d96=0<@;=87b?lb;29 71a28ii76sm38094?2=83:p(?9i:648L7143`;9h7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;31`>P6:<09w);j3;`8 0c52k1vqps4i00f>5<#:>l1=?m4H35f?S4?93;p@?6<:0yU`=<5s-;o>7?=e:T260<5s-?n?7?>;%7f6?763twvq6g>3883>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6;01]=?;52z&6a6<692.>i?4>1:~yx=h9jh1<7*=7g82gg=Q:1;1=vB=8282Sb?2;q/=i<51b`8R4422;q/9h=56:&6a7<13twvq6sm38194?3=83:p(?9i:648L7143`;9h7>5$35e>44d3A87l4}|~?l75m3:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?=e:T260<5s-?n?7?>;%7f6?763twvq6g>3883>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6;01]=?;52z&6a6<692.>i?4>1:~yx=n9=>1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<51568R4422;q/9h=5109'1`4=981vqps4o0aa>5<#:>l1=nl4V3:2>4}K:191=vXk8;0x 4b528ii7[?=5;0x 0c42?1/9h<56:~yx=zj:3?6=4::183!40n3==7E<83:k26a<72-8i5Y13796~"2m:0:=6*:e3825>{zut1b=?k50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0957c<^88>6?u+5d1954=#=l81=<5r}|8m45>290/>:h513a8R7>628qG>5=51zTg47428q]h54={%3g6?73<2\:>84={%7f7?763-?n>7?>;|~y>i6kk0;6)<8f;3`f>P5080:wA<73;3xRa>=:r.:h?4>cc9U573=:r.>i>49;%7f6?01<729q/>:h51bc8L7143`;9h7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;31`>P6:<09w);j3;48 0c52?1vqps4i00f>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5288n7[?=5;0x 0c42?1/9h<56:~yx=n9:31<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<512;8R4422;q/9h=56:&6a7<13twvq6a>cc83>!40n3;hn6X=8082I4?;3;pZi652z&2`7<6kk1]=?;52z&6a6<13-?n>784}|~?xd41?0;6??50;2x 71a2><0D?9<;h31`?6=,;=m6<P5080:wA<73;3xRa>=:r.:h?4>2e9U573=:r.>i>4l;%7f6?eh5Y13796~"2m:0h7);j2;a8yx{z3`;857>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;30=>P6:<09w);j3;a8 0c52j1vqps4i067>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b528>?7[?=5;0x 0c42j1/9h<5c:~yx=n9==1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<51558R4422;q/9h=5c:&6a74983>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6<11]=?;52z&6a67m4}|~?l7313:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?;9:T260<5s-?n?7m4$4g1>f=zutw0e<:n:18'62`=9;i0Z?6>:0yO6=5=9r\o4742f3_;997f=#=l81o6sr}|9j51d=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=9l4V006>7}#=l91o6*:e38`?x{zu2c:8n4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3820f=Q9;?1>v*:e28`?!3b:3i0qpsr;h31b?6=,;=m6<2481!3b;3i0(8k=:b9~yx{n5Y29395~J50:0:w[j7:3y'5a4=9:;0Z<<::3y'1`5=k2.>i?4l;|~y>o6;;0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>339U573=:r.>i>4l;%7f6?e5Y13796~"2m:0h7);j2;a8yx{z3`;887>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;300>P6:<09w);j3;a8 0c52j1vqps4i016>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5289>7[?=5;0x 0c42880(8k=:008yx{z3`;8:7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;302>P6:<09w);j3;31?!3b:3;97psr}:k272<72-85<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b528937[?=5;0x 0c42880(8k=:008yx{z3`;8m7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;30e>P6:<09w);j3;48 0c52?1vqps4i01a>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5289i7[?=5;0x 0c42?1/9h<56:~yx=n9:i1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<512a8R4422;q/9h=56:&6a7<13twvq6g>3e83>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6;m1]=?;52z&6a6<13-?n>784}|~?l74m3:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?3=zutw0e<=i:18'62`=9;i0Z?6>:0yO6=5=9r\o4745a3_;9973=#=l81:6sr}|9j516=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=9>4V006>7}#=l91:6*:e385?x{zu2c:8<4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d38204=Q9;?1>v*:e285?!3b:3<0qpsr;h376?6=,;=m6<6X>2481!3b;3<0(8k=:79~yx{86=4+26d957e<^;2:62wvqp5f15794?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9=?0Z<<::3y'1`5=>2.>i?49;|~y>o6P5080:wA<73;3xRa>=:r.:h?4>479U573=:r.>i>49;%7f6?0428q]h54={%3g6?7dj2\:>84={%7f7?0<,?3:1><4?:1y'62`=??1C>:=4i00g>5<#:>l1=?m4H35f?S4?93;p@?6<:0yU`=<5s-;o>7?=d:T260<5s-?n?7m4$4g1>f=zutw0e<2481!3b;3i0(8k=:b9~yx{n5Y29395~J50:0:w[j7:3y'5a4=9=>0Z<<::3y'1`5=k2.>i?4l;|~y>o6<>0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>469U573=:r.>i>4l;%7f6?e428q]h54={%3g6?7302\:>84={%7f7?e<,290/>:h513a8R7>628qG>5=51zTg{zut1b=9o50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0951g<^88>6?u+5d19g>"2m;0h7psr}:k20g<72-85$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;37g>P6:<09w);j3;a8 0c52j1vqps4i00e>5<#:>l1=?m4H35f?S4?93;p@?6<:0yU`=<5s-;o>7?=f:T260<5s-?n?7m4$4g1>f=zutw0e<=?:18'62`=9;i0D?9j;W0;5?7|D;2862481!3b;3i0(8k=:b9~yx{428q]h54={%3g6?7492\:>84={%7f7?e<,:h513a8L71b3_83=7?tL3:0>4}Ql109w)?k2;306>P6:<09w);j3;a8 0c52j1vqps4i010>5<#:>l1=?m4H35f?S4?93;p@?6<:0yU`=<5s-;o>7?<3:T260<5s-?n?7m4$4g1>f=zutw0e<=;:18'62`=9;i0Z?6>:0yO6=5=9r\o474533_;997f=#=l81o6sr}|9j563=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=>;4V006>7}#=l91=?5+5d0957=zutw0e<=9:18'62`=9;i0Z?6>:0yO6=5=9r\o474513_;99744<,{M0;7?7|^m21>v*>d38272=Q9;?1>v*:e2826>"2m;0:>6sr}|9j56>=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=>64V006>7}#=l91=?5+5d0957=zutw0e<=n:18'62`=9;i0Z?6>:0yO6=5=9r\o4745f3_;9973=#=l81:6sr}|9j56d=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=>l4V006>7}#=l91:6*:e385?x{zu2c:?n4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3827f=Q9;?1>v*:e285?!3b:3<0qpsr;h30`?6=,;=m6<2481!3b;3<0(8k=:79~yx{2wvqp5f12d94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9:l0Z<<::3y'1`5=>2.>i?49;|~y>o6<90;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>419U573=:r.>i>49;%7f6?0428q]h54={%3g6?7392\:>84={%7f7?0<,:h513a8R7>628qG>5=51zTg{zut1b=9=50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e09515<^88>6?u+5d192>"2m;0=7psr}:k200<72-85$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;372>P6:<09w);j3;48 0c52?1vqps4o0aa>5<#:>l1=nl4V3:2>4}K:191=vXk8;0x 4b528ii7[?=5;0x 0c42?1/9h<56:~yx=zj:336=4<:183!40n3;hm6F=729j57b=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=?j4V006>7}#=l91:6*:e385?x{zu2c:>h4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3826`=Q9;?1>v*:e285?!3b:3<0qpsr;n3`f?6=,;=m62481!3b;3<0(8k=:79~yx{52;294~"5?o0ij6F=729j57e=83.9;k4>2b98k4ee290/>:h51b`8R7>628qG>5=51zTg{zut1vn>o>:185>5<7s-8n5Y29395~J50:0:w[?ma;0x 4b5288h7[?=5;0x 0c42?1/9h<56:~yx=nl80;6)<8f;f2?S4?93;p@?6<:0yU5gg=:r.:h?4k1:T260<5s-?n?784$4g1>3=zutw0ei<50;&13cv*:e28;?!3b:320qpsC29090~P5?908w[j9:3y'1`7=l;1/?o=55d18ySb02;q/9h?513a8 6d424:e29~ 3122890qps4i34e>5<#:>l1>;h4V3:2>7}K:191=vX>b`81!7c:38=j6X>2481!3b;3;97);j2;31?x{zD;29638p(8k>:34e?!5e;3?n?6s+667957=zut1b>;>50;&13c<5>91]>5?52zN1<6<6s_;im77073_;99744<,7}#=l;1>;>4$2`0>0c43t.=;84>2:~y>i6kk0;6)<8f;3`f>=zj:3o6=4<:183!40n382b9U6=7=9rF94>4>{Wf;>7}#9m81=?j4V006>7}#=l91:6*:e385?x{zu2c:>h4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3826`=Q9;?1>v*:e285?!3b:3<0qpsr;n3`f?6=,;=m62481!3b;3<0(8k=:79~yx{51;294~"5?o094;5G2618k4ee290/>:h51b`8?xd41o0;6>4?:1y'62`=??1C>:=4i00g>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5288o7[?=5;0x 0c42k1/9h<5b:~yx=n9;o1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<513g8R4422;q/9h=5b:&6a7cc83>!40n3;hn6X=8082I4?;3;pZi652z&2`7<6kk1]=?;52z&6a6<13-?n>784}|~?xd4k=0;6?4?:1y'62`=jo1C>:=4i00`>5<#:>l1=?m4;n3`f?6=,;=m62481!3b;3<0(8k=:79~yx{52;294~"5?o0ij6F=729j57e=83.9;k4>2b98k4ee290/>:h51b`8R7>628qG>5=51zTg{zut1vn>j?:180>5<7s-82d83>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6:l1]=?;52z&6a67h4}|~?j7dj3:1(?9i:0aa?S4?93;p@?6<:0yU`=<5s-;o>7?lb:T260<5s-?n?784$4g1>3=zutw0qo=lf;292?6=8r.9;k4>2`9K625<,8>o6<:4i00`>5<#:>l1=?m4V3:2>4}K:191=vX>b`81!7c:3;9o6X>2481!3b;3<0(8k=:79~yx{P5080:wA<73;3xR4df2;q/=i<5d09U573=:r.>i>49;%7f6?05?52zN1<6<6s_;im7a4<^88>6?u+5d19<>"2m;037psrL3:1>1}Q:>:1?vXk6;0x 0c62m80(>l<:4g0?xPc?38p(8k>:00`?!5e;3?n?6s+6679a>{Q:>:1?vXk6;0x 0c62m80(>l<:4g0?xPc?38p(8k>:00`?!5e;3?n>6s+6679a>{Q:>:1?vXk6;0x 0c62m80(>l<:4g0?xPc?38p(8k>:e38 6d426*:e3826>{zuE83>7?tV35;>7}Ql?09w);j1;05b>"4j:0>i>5r$756>44:3yO6=5=9r\:nl4={%3g6?4182\:>84={%7f7?753-?n>7?=;|~H7>528q]>:652zTg2?4|,53;294~"5?o09;n5G2618m44c290/>:h513a8R7>628qG>5=51zTg{zut1b=?k50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0957c<^88>6?u+5d192>"2m;0=7psr}:m2gg<72-85}#:>l1>584H350?j7dj3:1(?9i:0aa?>{e;j21<7;50;2x 71a2><0D?9<;h31`?6=,;=m6<P5080:wA<73;3xRa>=:r.:h?4>2e9U573=:r.>i>4>1:&6a7<692wvqp5f13g94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9;o0Z<<::3y'1`5=981/9h<5109~yx{P5080:wA<73;3xRa>=:r.:h?4>459U573=:r.>i>4>1:&6a7<692wvqp5`1b`94?"5?o0:oo5Y29395~J50:0:w[j7:3y'5a4=9jh0Z<<::3y'1`5=>2.>i?49;|~y>{e;j31<7950;2x 71a2><0D?9<;h31`?6=,;=m6<P5080:wA<73;3xRa>=:r.:h?4>2e9U573=:r.>i>4>1:&6a7<692wvqp5f13g94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9;o0Z<<::3y'1`5=981/9h<5109~yx{428q]h54={%3g6?7412\:>84={%7f7?763-?n>7?>;|~y>o6<=0;6)<8f;31g>N5?l1]>5?51zN1<6<6s_n36?u+1e09512<^88>6?u+5d1954=#=l81=<5r}|8m420290/>:h513a8L71b3_83=7?tL3:0>4}Ql109w)?k2;373>P6:<09w);j3;32?!3b:3;:7psr}:k20=<72-8{zut1d=nl50;&13c<6kk1]>5?51zN1<6<6s_n36?u+1e095fd<^88>6?u+5d192>"2m;0=7psr}:a7fg=83=1<7>t$35e>20<@;=87d?=d;29 71a288h7E<8e:T1<4<6sE83?7?tVe:96~"6l;0:>i5Y13796~"2m:0:=6*:e3825>{zut1b=?k50;&13c<6:j1C>:k4V3:2>4}K:191=vXk8;0x 4b5288n7[?=5;0x 0c428;0(8k=:038yx{z3`;857>5$35e>44d3A8i?4>1:~yx=n9=>1<7*=7g826f=O:>o0Z?6>:0yO6=5=9r\o474233_;99747<,{M0;7?7|^m21>v*>d38202=Q9;?1>v*:e2825>"2m;0:=6sr}|9j51>=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=964V006>7}#=l91=<5+5d0954=zutw0c:0yO6=5=9r\o474ee3_;9973=#=l81:6sr}|9~f6ee290<6=4?{%04b?113A82e83>!40n3;9o6F=7d9U6=7=9rF94>4>{Wf;>7}#9m81=?j4V006>7}#=l91=<5+5d0954=zutw0e<2481!3b;3;:7);j2;32?x{zu2c:?44?:%04b?75k2B9;h5Y29395~J50:0:w[j7:3y'5a4=9:30Z<<::3y'1`5=981/9h<5109~yx{?6=4+26d957e<@;=n7[<71;3xH7>428q]h54={%3g6?73<2\:>84={%7f7?763-?n>7?>;|~y>o6<>0;6)<8f;31g>N5?l1]>5?51zN1<6<6s_n36?u+1e09511<^88>6?u+5d1954=#=l81=<5r}|8m42?290/>:h513a8R7>628qG>5=51zTg47428q]h54={%3g6?7dj2\:>84={%7f7?0<,50z&13c<6kh1C>:=4i00g>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5288o7[?=5;0x 0c42?1/9h<56:~yx=n9;o1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<513g8R4422;q/9h=56:&6a7<13twvq6g>3883>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6;01]=?;52z&6a6<13-?n>784}|~?l73<3:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?;4:T260<5s-?n?784$4g1>3=zutw0c:0yO6=5=9r\o474ee3_;9973=#=l81:6sr}|9~f6ec290>6=4?{%04b?113A82e83>!40n3;9o6F=7d9U6=7=9rF94>4>{Wf;>7}#9m81=?j4V006>7}#=l91=?5+5d0957=zutw0e<:0yO6=5=9r\o4744b3_;99744<,7?<9:T260<5s-?n?7?=;%7f6?753twvq6g>4583>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6<=1]=?;52z&6a6<6:2.>i?4>2:~yx=h9jh1<7*=7g82gg=Q:1;1=vB=8282Sb?2;q/=i<51b`8R4422;q/9h=56:&6a7<13twvq6sm3gc94?4=83:p(?9i:cd8L7143`;9o7>5$35e>44d32e:oo4?:%04b?7dj2\94<4>{M0;7?7|^m21>v*>d382gg=Q9;?1>v*:e285?!3b:3<0qpsr;|`0bc<72?0;6=u+26d957g<@;=87)?;d;37?l75k3:1(?9i:00`?S4?93;p@?6<:0yU5gg=:r.:h?4>2b9U573=:r.>i>49;%7f6?0:18'62`=l81]>5?51zN1<6<6s_;im7a7<^88>6?u+5d192>"2m;0=7psr}:kg6?6=,;=m6i<4V3:2>7}K:191=vX>b`81!7c:3n97[?=5;0x 0c4211/9h<58:~yI4?:3>pZ?9?:2yU`3<5s-?n=7j=;%1a7?3b;2w]h:4={%7f5?75k2.8n>4:e29~ 3122l1vZ?9?:2yU`3<5s-?n=7j=;%1a7?3b;2w]h:4={%7f5?75k2.8n>4:e39~ 3122l1vZ?9?:2yU`3<5s-?n=7j=;%1a7?3b;2w]h:4={%7f5?b63-9i?7;j3:'223=?2w]=i?52zTg2?4|,0c43t.=;84>3:~y>o5>o0;6)<8f;05b>P50809wA<73;3xR4df2;q/=i<527d8R4422;q/9h=5139'1`4=9;1vqpB=8382S40038pZi852z&6a4<5>o1/?o=55d18y!00=3;97psr;h054?6=,;=m6?8?;W0;5?4|D;2866*:e3826>{zuE83>7?tV35;>7}Ql?09w);j1;054>"4j:0>i>5r$756>446<729q/>:h526a8L7143`;9h7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;31`>P6:<09w);j3;48 0c52?1vqps4i00f>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5288n7[?=5;0x 0c42?1/9h<56:~yx=h9jh1<7*=7g82gg=Q:1;1=vB=8282Sb?2;q/=i<51b`8R4422;q/9h=56:&6a7<13twvq6sm3ga94?7=83:p(?9i:3:5?M40;2e:oo4?:%04b?7dj21vn>hk:180>5<7s-8o6:m0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>2e9U573=:r.>i>4m;%7f6?d428q]h54={%3g6?75m2\:>84={%7f7?d<,:h51b`8R7>628qG>5=51zTg{zut1vn9>9:181>5<7s-8o6:j0;6)<8f;31g>=h9jh1<7*=7g82gg=Q:1;1=vB=8282Sb?2;q/=i<51b`8R4422;q/9h=56:&6a7<13twvq6sm40294?0=83:p(?9i:00b?M40;2.:8i4>4:k26f<72-87}#9m81=?m4V006>7}#=l91:6*:e385?x{zu2co=7>5$35e>a7<^;2:62wvqp5fd383>!40n3n97[<71;0xH7>428q]=oo52z&2`784={%7f7?><,6*v*:e0826f=#;k919h=4}%441?c6*v*:e0826f=#;k919h<4}%441?c6*v*:e08g5>"4j:0>i>5r$756>2=z^8n:6?uYd781!3b93n97)=m3;7f7>{#>>?1=>5r}|9j63`=83.9;k4=6g9U6=7=:rF94>4>{W3ae?4|,8n96?8i;W311?4|,445<51zT13=<5s_n=6?u+5d3963`<,:h868k<;|&530<6:2wvq6g=6183>!40n38=<6X=8081I4?;3;pZi<4=619'7g5==l90q)885;31?x{z3f;hn7>5$35e>4ee32wi8=950;194?6|,;=m6?9l;I047>o6:m0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>2e9U573=:r.>i>49;%7f6?0428q]h54={%3g6?75m2\:>84={%7f7?0<,:h51b`8R7>628qG>5=51zTg{zut1vn9>7:182>5<7s-8;m7>53;294~"5?o0<:6F=729j57b=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=?j4V006>7}#=l91=<5+5d0954=zutw0e<:0yO6=5=9r\o4744b3_;99747<,7?lb:T260<5s-?n?784$4g1>3=zutw0qo:?b;297?6=8r.9;k486:J136=n9;n1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<513f8R4422;q/9h=5109'1`4=981vqps4i00f>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5288n7[?=5;0x 0c428;0(8k=:038yx{z3f;hn7>5$35e>4ee3_83=7?tL3:0>4}Ql109w)?k2;3`f>P6:<09w);j3;48 0c52?1vqps4}c63g?6=;3:1N5?:1b=?j50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0957b<^88>6?u+5d1954=#=l81=<5r}|8m44b290/>:h513a8R7>628qG>5=51zTg47428q]h54={%3g6?7dj2\:>84={%7f7?0<,50z&13c<0>2B9;>5f13f94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9;n0Z<<::3y'1`5=981/9h<5109~yx{428q]h54={%3g6?75m2\:>84={%7f7?763-?n>7?>;|~y>o6;00;6)<8f;31g>N5?l1]>5?51zN1<6<6s_n36?u+1e0956?<^88>6?u+5d1954=#=l81=<5r}|8k4ee290/>:h51b`8R7>628qG>5=51zTg{zut1vn9>j:18;>5<7s-8o6:m0;6)<8f;31g>N5?l1]>5?51zN1<6<6s_n36?u+1e0957b<^88>6?u+5d1954=#=l81=<5r}|8m44b290/>:h513a8L71b3_83=7?tL3:0>4}Ql109w)?k2;31a>P6:<09w);j3;32?!3b:3;:7psr}:k27<<72-85<#:>l1=?m4H35f?S4?93;p@?6<:0yU`=<5s-;o>7?;4:T260<5s-?n?7?>;%7f6?763twvq6g>4683>!40n3;9o6F=7d9U6=7=9rF94>4>{Wf;>7}#9m81=994V006>7}#=l91=<5+5d0954=zutw0e<:7:18'62`=9;i0D?9j;W0;5?7|D;2862481!3b;3;:7);j2;32?x{zu2c:844?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3820<=Q9;?1>v*:e2825>"2m;0:=6sr}|9l5fd=83.9;k4>cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91:6*:e385?x{zu2wi8=750;494?6|,;=m6o6:m0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>2e9U573=:r.>i>49;%7f6?0428q]h54={%3g6?75m2\:>84={%7f7?0<,290/>:h513a8R7>628qG>5=51zTg{zut1b=9:50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e09512<^88>6?u+5d192>"2m;0=7psr}:k202<72-85$35e>4ee3_83=7?tL3:0>4}Ql109w)?k2;3`f>P6:<09w);j3;48 0c52?1vqps4}c671?6=:3:1N5?:1b=?m50;&13c<6:j10c:0yO6=5=9r\o474ee3_;9973=#=l81:6sr}|9~f12f290=6=4?{%04b?75i2B9;>5+15f951=n9;i1<7*=7g826f=Q:1;1=vB=8282S7ei38p(2wvqp5fd083>!40n3n:7[<71;3xH7>428q]=oo52z&2`784={%7f7?0<,7}#9m81h?5Y13796~"2m:037);j2;:8yx{K:1818vX=7180Sb12;q/9h?5d39'7g5==l90q[j8:3y'1`7=9;i0(>l<:4g0?x"1?<0n7pX=7180Sb12;q/9h?5d39'7g5==l90q[j8:3y'1`7=9;i0(>l<:4g1?x"1?<0n7pX=7180Sb12;q/9h?5d39'7g5==l90q[j8:3y'1`7=l81/?o=55d18y!00=3=0q[?k1;0xRa0=:r.>i<4k2:&0f6<2m:1v(;9::018yx{P6:<09w);j3;31?!3b:3;97psrL3:1>4}Q:>21>vXk6;0x 0c62;{#>>?1=?5r}|9j636=83.9;k4=619U6=7=:rF94>4>{W3ae?4|,8n96?8?;W311?4|,445<51zT13=<5s_n=6?u+5d39636<,:h868k<;|&530<6:2wvq6a>cc83>!40n3;hn65rb565>5<4290;w)<8f;04g>N5?:1b=?j50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0957b<^88>6?u+5d192>"2m;0=7psr}:k26`<72-8h5Y13796~"2m:0=7);j2;48yx{z3f;hn7>5$35e>4ee3_83=7?tL3:0>4}Ql109w)?k2;3`f>P6:<09w);j3;48 0c52?1vqps4}c673?6=93:190c6<729q/>:h5779K6252481!3b;3;:7);j2;32?x{zu2e:oo4?:%04b?7dj2\94<4>{M0;7?7|^m21>v*>d382gg=Q9;?1>v*:e285?!3b:3<0qpsr;|`70g<72;0;6=u+26d9fc=O:>90e<428q]h54={%3g6?7dj2\:>84={%7f7?0<,50z&13c<6:h1C>:=4$06g>42P6:<09w);j3;48 0c52?1vqps4ie394?"5?o0o=6X=8082I4?;3;pZ784}|~?lb5290/>:h5d39U6=7=:rF94>4>{W3ae?4|,8n96i<4V006>7}#=l9146*:e38;?x{zD;2969uY26297~Pc>38p(8k>:e08 6d42{#>>?1i6sY26297~Pc>38p(8k>:e08 6d42{#>>?1i6sY26297~Pc>38p(8k>:e08 6d42l<:4g0?x"1?<0<7pX>d081Sb12;q/9h?5d39'7g5==l90q)885;30?x{z3`8=j7>5$35e>70a3_83=74}Q9kk1>v*>d3812c=Q9;?1>v*:e2826>"2m;0:>6sr}M0;6?7|^;=36?uYd781!3b938=j6*6<<4}|8m707290/>:h52728R7>62;qG>5=51zT2fd<5s-;o>7<90:T260<5s-?n?7?=;%7f6?753twv@?6=:0yU62>=:r\o:77073-9i?7;j3:'223=9;1vqp5`1b`94?"5?o0:oo54}c67g?6=;3:190e<:0yO6=5=9r\o4744c3_;9973=#=l81:6sr}|9j57c=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=?k4V006>7}#=l91:6*:e385?x{zu2e:oo4?:%04b?7dj2\94<4>{M0;7?7|^m21>v*>d382gg=Q9;?1>v*:e285?!3b:3<0qpsr;|`70a<7280;6=u+26d96=0<@;=87b?lb;29 71a28ii76sm45g94?5=83:p(?9i:648L7143`;9h7>5$35e>44d3A87l4}|~?l75m3:1(?9i:00`?M40m2\94<4>{M0;7?7|^m21>v*>d3826`=Q9;?1>v*:e28a?!3b:3h0qpsr;n3`f?6=,;=m62481!3b;3<0(8k=:79~yx{>=7>52;294~"5?o0ij6F=729j57e=83.9;k4>2b98k4ee290/>:h51b`8R7>628qG>5=51zTg{zut1vn9;9:185>5<7s-8n5Y29395~J50:0:w[?ma;0x 4b5288h7[?=5;0x 0c42?1/9h<56:~yx=nl80;6)<8f;f2?S4?93;p@?6<:0yU5gg=:r.:h?4k1:T260<5s-?n?784$4g1>3=zutw0ei<50;&13cv*:e28;?!3b:320qpsC29090~P5?908w[j9:3y'1`7=l;1/?o=55d18ySb02;q/9h?513a8 6d424:e29~ 3122890qps4i34e>5<#:>l1>;h4V3:2>7}K:191=vX>b`81!7c:38=j6X>2481!3b;3;97);j2;31?x{zD;29638p(8k>:34e?!5e;3?n?6s+667957=zut1b>;>50;&13c<5>91]>5?52zN1<6<6s_;im77073_;99744<,7}#=l;1>;>4$2`0>0c43t.=;84>2:~y>i6kk0;6)<8f;3`f>=zj=?96=4<:183!40n382b9U6=7=9rF94>4>{Wf;>7}#9m81=?j4V006>7}#=l91:6*:e385?x{zu2c:>h4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3826`=Q9;?1>v*:e285?!3b:3<0qpsr;n3`f?6=,;=m62481!3b;3<0(8k=:79~yx{>?7>51;294~"5?o094;5G2618k4ee290/>:h51b`8?xd3==0;6>4?:1y'62`=??1C>:=4i00g>5<#:>l1=?m4H35f?S4?93;p@?6<:0yU`=<5s-;o>7?=d:T260<5s-?n?7?>;%7f6?763twvq6g>2d83>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6:l1]=?;52z&6a6<692.>i?4>1:~yx=h9jh1<7*=7g82gg=Q:1;1=vB=8282Sb?2;q/=i<51b`8R4422;q/9h=56:&6a7<13twvq6sm62294?4=83:p(?9i:cd8L7143`;9o7>5$35e>44d32e:oo4?:%04b?7dj2\94<4>{M0;7?7|^m21>v*>d382gg=Q9;?1>v*:e285?!3b:3<0qpsr;|`570<72?0;6=u+26d957g<@;=87)?;d;37?l75k3:1(?9i:00`?S4?93;p@?6<:0yU5gg=:r.:h?4>2b9U573=:r.>i>49;%7f6?0:18'62`=l81]>5?51zN1<6<6s_;im7a7<^88>6?u+5d192>"2m;0=7psr}:kg6?6=,;=m6i<4V3:2>7}K:191=vX>b`81!7c:3n97[?=5;0x 0c4211/9h<58:~yI4?:3>pZ?9?:2yU`3<5s-?n=7j=;%1a7?3b;2w]h:4={%7f5?75k2.8n>4:e29~ 3122l1vZ?9?:2yU`3<5s-?n=7j=;%1a7?3b;2w]h:4={%7f5?75k2.8n>4:e39~ 3122l1vZ?9?:2yU`3<5s-?n=7j=;%1a7?3b;2w]h:4={%7f5?b63-9i?7;j3:'223=?2w]=i?52zTg2?4|,0c43t.=;84>3:~y>o5>o0;6)<8f;05b>P50809wA<73;3xR4df2;q/=i<527d8R4422;q/9h=5139'1`4=9;1vqpB=8382S40038pZi852z&6a4<5>o1/?o=55d18y!00=3;97psr;h054?6=,;=m6?8?;W0;5?4|D;2866*:e3826>{zuE83>7?tV35;>7}Ql?09w);j1;054>"4j:0>i>5r$756>446<729q/>:h526a8L7143`;9h7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;31`>P6:<09w);j3;48 0c52?1vqps4i00f>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5288n7[?=5;0x 0c42?1/9h<56:~yx=h9jh1<7*=7g82gg=Q:1;1=vB=8282Sb?2;q/=i<51b`8R4422;q/9h=56:&6a7<13twvq6sm62094?7=83:p(?9i:3:5?M40;2e:oo4?:%04b?7dj21vn;=<:180>5<7s-8o6:m0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>2e9U573=:r.>i>4>1:&6a7<692wvqp5f13g94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9;o0Z<<::3y'1`5=981/9h<5109~yx{2wvqp5rb7;94?4=83:p(?9i:cd8L7143`;9o7>5$35e>44d32e:oo4?:%04b?7dj2\94<4>{M0;7?7|^m21>v*>d382gg=Q9;?1>v*:e285?!3b:3<0qpsr;|`5`?6=:3:1N5?:1b=?m50;&13c<6:j10c:0yO6=5=9r\o474ee3_;9973=#=l81:6sr}|9~f22=83<1<7>t$35e>44f3A84e820>o6:j0;6)<8f;31g>P5080:wA<73;3xR4df2;q/=i<513a8R4422;q/9h=56:&6a7<13twvq6gk1;29 71a2m;0Z?6>:0yO6=5=9r\:nl4={%3g6?b63_;9973=#=l81:6sr}|9j`7<72-86X>2481!3b;320(8k=:99~yxJ50;0?w[<80;1xRa0=:r.>i<4k2:&0f6<2m:1vZi952z&6a4<6:j1/?o=55d18y!00=3o0q[<80;1xRa0=:r.>i<4k2:&0f6<2m:1vZi952z&6a4<6:j1/?o=55d08y!00=3o0q[<80;1xRa0=:r.>i<4k2:&0f6<2m:1vZi952z&6a44:e29~ 3122>1vZ:3yU`3<5s-?n=7j=;%1a7?3b;2w/::;5129~yx=n:?l1<7*=7g812c=Q:1;1>vB=8282S7ei38p(l<:4g0?x"1?<0:>6sr}:k125<72-87}#9m81>;>4V006>7}#=l91=?5+5d0957=zutF94?4>{W04v*:e08125=#;k919h=4}%441?753twv7b?lb;29 71a28ii76sm6`83>6<729q/>:h526a8L7143`;9h7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;31`>P6:<09w);j3;48 0c52?1vqps4i00f>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5288n7[?=5;0x 0c42?1/9h<56:~yx=h9jh1<7*=7g82gg=Q:1;1=vB=8282Sb?2;q/=i<51b`8R4422;q/9h=56:&6a7<13twvq6sm6c83>4<729q/>:h52948L7143f;hn7>5$35e>4ee32wi:n4?:283>5}#:>l1;;5G2618m44c290/>:h513a8R7>628qG>5=51zTg47428q]h54={%3g6?75m2\:>84={%7f7?763-?n>7?>;|~y>i6kk0;6)<8f;3`f>P5080:wA<73;3xRa>=:r.:h?4>cc9U573=:r.>i>49;%7f6?0:h5779K625428q]h54={%3g6?75l2\:>84={%7f7?e<,:h513a8L71b3_83=7?tL3:0>4}Ql109w)?k2;31a>P6:<09w);j3;a8 0c52j1vqps4i01:>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b528927[?=5;0x 0c42j1/9h<5c:~yx=n9=>1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<51568R4422;q/9h=5c:&6a74683>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6<>1]=?;52z&6a67m4}|~?l7303:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?;8:T260<5s-?n?7m4$4g1>f=zutw0e<:6:18'62`=9;i0Z?6>:0yO6=5=9r\o4742>3_;997f=#=l81o6sr}|9j51g=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=9o4V006>7}#=l91o6*:e38`?x{zu2c:8o4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3820g=Q9;?1>v*:e28`?!3b:3i0qpsr;h37g?6=,;=m6<2481!3b;3i0(8k=:b9~yx{n5Y29395~J50:0:w[j7:3y'5a4=9::0Z<<::3y'1`5=k2.>i?4l;|~y>o6;80;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>309U573=:r.>i>4l;%7f6?e428q]h54={%3g6?74:2\:>84={%7f7?e<,:h513a8L71b3_83=7?tL3:0>4}Ql109w)?k2;307>P6:<09w);j3;a8 0c52j1vqps4i017>5<#:>l1=?m4H35f?S4?93;p@?6<:0yU`=<5s-;o>7?<4:T260<5s-?n?7m4$4g1>f=zutw0e<=::18'62`=9;i0Z?6>:0yO6=5=9r\o474523_;997f=#=l81o6sr}|9j560=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=>84V006>7}#=l91=?5+5d0957=zutw0e<=8:18'62`=9;i0Z?6>:0yO6=5=9r\o474503_;99744<,{M0;7?7|^m21>v*>d3827==Q9;?1>v*:e2826>"2m;0:>6sr}|9j56g=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=>o4V006>7}#=l91:6*:e385?x{zu2c:?o4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3827g=Q9;?1>v*:e285?!3b:3<0qpsr;h30g?6=,;=m6<2481!3b;3<0(8k=:79~yx{2wvqp5f12g94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9:o0Z<<::3y'1`5=>2.>i?49;|~y>o6;o0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>3g9U573=:r.>i>49;%7f6?0428q]h54={%3g6?7382\:>84={%7f7?0<,:h513a8R7>628qG>5=51zTg;W311?4|,{zut1b=9<50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e09514<^88>6?u+5d192>"2m;0=7psr}:k206<72-85Y13796~"2m:0=7);j2;48yx{z3`;?97>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;371>P6:<09w);j3;48 0c52?1vqps4i065>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b528>=7[?=5;0x 0c42?1/9h<56:~yx=h9jh1<7*=7g82gg=Q:1;1=vB=8282Sb?2;q/=i<51b`8R4422;q/9h=56:&6a7<13twvq6sm6g83>77=83:p(?9i:648L7143`;9h7>5$35e>44d3A87m4}|~?l75m3:1(?9i:00`?M40m2\94<4>{M0;7?7|^m21>v*>d3826`=Q9;?1>v*:e28`?!3b:3i0qpsr;h30=?6=,;=m6<2481!3b;3i0(8k=:b9~yx{?6=4+26d957e<^;2:6n5Y29395~J50:0:w[j7:3y'5a4=9==0Z<<::3y'1`5=k2.>i?4l;|~y>o6<10;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>499U573=:r.>i>4l;%7f6?e428q]h54={%3g6?7312\:>84={%7f7?e<,:h513a8R7>628qG>5=51zTg{zut1b=9l50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0951d<^88>6?u+5d19g>"2m;0h7psr}:k20f<72-85$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;31b>P6:<09w);j3;a8 0c52j1vqps4i013>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5289;7[?=5;0x 0c42j1/9h<5c:~yx=n9:;1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<51238R4422;q/9h=5c:&6a73383>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6;;1]=?;52z&6a67m4}|~?l74;3:1(?9i:00`?M40m2\94<4>{M0;7?7|^m21>v*>d38276=Q9;?1>v*:e28`?!3b:3i0qpsr;h300?6=,;=m6<2481!3b;3i0(8k=:b9~yx{6=4+26d957e<^;2:62481!3b;3;97);j2;31?x{zu2c:?:4?:%04b?75k2B9;h5Y29395~J50:0:w[j7:3y'5a4=9:=0Z<<::3y'1`5=9;1/9h<5139~yx{2481!3b;3<0(8k=:79~yx{2wvqp5f12a94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9:i0Z<<::3y'1`5=>2.>i?49;|~y>o6;m0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>3e9U573=:r.>i>49;%7f6?0428q]h54={%3g6?74m2\:>84={%7f7?0<,:h513a8R7>628qG>5=51zTg{zut1b=9>50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e09516<^88>6?u+5d192>"2m;0=7psr}:k204<72-87>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;376>P6:<09w);j3;48 0c52?1vqps4i060>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b528>87[?=5;0x 0c42?1/9h<56:~yx=n9=?1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<51578R4422;q/9h=56:&6a7<13twvq6g>4783>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6784}|~?j7dj3:1(?9i:0aa?S4?93;p@?6<:0yU`=<5s-;o>7?lb:T260<5s-?n?784$4g1>3=zutw0qo9?:1815?6=8r.9;k486:J136=n9;n1<7*=7g826f=O:>o0Z?6>:0yO6=5=9r\o4744c3_;997f=#=l81o6sr}|9j57c=83.9;k4>2b9K62c<^;2:6n5Y29395~J50:0:w[j7:3y'5a4=9:30Z<<::3y'1`5=k2.>i?4l;|~y>o6<=0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>459U573=:r.>i>4l;%7f6?e428q]h54={%3g6?73?2\:>84={%7f7?e<,:h513a8R7>628qG>5=51zTg{zut1b=9750;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0951?<^88>6?u+5d19g>"2m;0h7psr}:k20d<72-85$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;37f>P6:<09w);j3;a8 0c52j1vqps4i06`>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b528>h7[?=5;0x 0c42j1/9h<5c:~yx=n9;l1<7*=7g826f=O:>o0Z?6>:0yO6=5=9r\o4744a3_;997f=#=l81o6sr}|9j566=83.9;k4>2b9K62c<^;2:6n5G26g8R7>628qG>5=51zTg;W311?4|,{zut1b=><50;&13c<6:j1C>:k4V3:2>4}K:191=vXk8;0x 4b528997[?=5;0x 0c42j1/9h<5c:~yx=n9:91<7*=7g826f=O:>o0Z?6>:0yO6=5=9r\o474543_;997f=#=l81o6sr}|9j562=83.9;k4>2b9K62c<^;2:6n5Y29395~J50:0:w[j7:3y'5a4=9:?0Z<<::3y'1`5=k2.>i?4l;|~y>o6;?0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>379U573=:r.>i>4>2:&6a7<6:2wvqp5f12594?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9:=0Z<<::3y'1`5=9;1/9h<5139~yx{428q]h54={%3g6?7402\:>84={%7f7?753-?n>7?=;|~y>o6;h0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>3`9U573=:r.>i>49;%7f6?0428q]h54={%3g6?74j2\:>84={%7f7?0<,:h513a8R7>628qG>5=51zTg{zut1b=>j50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0956b<^88>6?u+5d192>"2m;0=7psr}:k27`<72-85$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;30b>P6:<09w);j3;48 0c52?1vqps4i063>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b528>;7[?=5;0x 0c42?1/9h<56:~yx=n9=;1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<51538R4422;q/9h=56:&6a7<13twvq6g>4383>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6<;1]=?;52z&6a6<13-?n>784}|~?l73;3:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?;3:T260<5s-?n?784$4g1>3=zutw0e<:::18'62`=9;i0Z?6>:0yO6=5=9r\o474223_;9973=#=l81:6sr}|9j510=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=984V006>7}#=l91:6*:e385?x{zu2e:oo4?:%04b?7dj2\94<4>{M0;7?7|^m21>v*>d382gg=Q9;?1>v*:e285?!3b:3<0qpsr;|`45?6=:80;6=u+26d933=O:>90e<2481!3b;3i0(8k=:b9~yx{428q]h54={%3g6?75m2\:>84={%7f7?e<,290/>:h513a8R7>628qG>5=51zTg{zut1b=9:50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e09512<^88>6?u+5d19g>"2m;0h7psr}:k202<72-85$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;37<>P6:<09w);j3;a8 0c52j1vqps4i06:>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b528>27[?=5;0x 0c42j1/9h<5c:~yx=n9=k1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<515c8R4422;q/9h=5c:&6a74c83>!40n3;9o6X=8082I4?;3;pZi652z&2`7<67m4}|~?l73k3:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?;c:T260<5s-?n?7m4$4g1>f=zutw0e<2481!3b;3i0(8k=:b9~yx{428q]h54={%3g6?7482\:>84={%7f7?e<,:h513a8L71b3_83=7?tL3:0>4}Ql109w)?k2;305>P6:<09w);j3;a8 0c52j1vqps4i011>5<#:>l1=?m4H35f?S4?93;p@?6<:0yU`=<5s-;o>7?<2:T260<5s-?n?7m4$4g1>f=zutw0e<=<:18'62`=9;i0D?9j;W0;5?7|D;2862481!3b;3i0(8k=:b9~yx{428q]h54={%3g6?74<2\:>84={%7f7?e<,:h513a8R7>628qG>5=51zTg{zut1b=>850;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e09560<^88>6?u+5d1957=#=l81=?5r}|8m450290/>:h513a8R7>628qG>5=51zTg446*:e3826>{zut1b=>o50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0956g<^88>6?u+5d192>"2m;0=7psr}:k27g<72-85$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;30g>P6:<09w);j3;48 0c52?1vqps4i01g>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5289o7[?=5;0x 0c42?1/9h<56:~yx=n9:o1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<512g8R4422;q/9h=56:&6a7<13twvq6g>3g83>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6;o1]=?;52z&6a6<13-?n>784}|~?l7383:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?;0:T260<5s-?n?784$4g1>3=zutw0e<:>:18'62`=9;i0Z?6>:0yO6=5=9r\o474263_;9973=#=l81:6sr}|9j514=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=9<4V006>7}#=l91:6*:e385?x{zu2c:8>4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d38206=Q9;?1>v*:e285?!3b:3<0qpsr;h371?6=,;=m6<2481!3b;3<0(8k=:79~yx{=6=4+26d957e<^;2:62wvqp5`1b`94?"5?o0:oo5Y29395~J50:0:w[j7:3y'5a4=9jh0Z<<::3y'1`5=>2.>i?49;|~y>{e?;0;684?:1y'62`=9jk0D?9<;h31`?6=,;=m6<2481!3b;3<0(8k=:79~yx{2wvqp5f12;94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9:30Z<<::3y'1`5=>2.>i?49;|~y>o6<=0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>459U573=:r.>i>49;%7f6?0428q]h54={%3g6?7dj2\:>84={%7f7?0<,7>50z&13c5f13a94?"5?o0:>n54o0aa>5<#:>l1=nl4V3:2>4}K:191=vXk8;0x 4b528ii7[?=5;0x 0c42?1/9h<56:~yx=zj8396=49:183!40n3;9m6F=729'51b=9=1b=?m50;&13c<6:j1]>5?51zN1<6<6s_;im744d3_;9973=#=l81:6sr}|9j`4<72-8;W0;5?7|D;2862481!3b;3<0(8k=:79~yx{P50809wA<73;3xR4df2;q/=i<5d39U573=:r.>i>47;%7f6?>5<54zT135<4s_n=6?u+5d39`7=#;k919h=4}Wf4>7}#=l;1=?m4$2`0>0c43t.=;84j;|T135<4s_n=6?u+5d39`7=#;k919h=4}Wf4>7}#=l;1=?m4$2`0>0c53t.=;84j;|T135<4s_n=6?u+5d39`7=#;k919h=4}Wf4>7}#=l;1h<5+3c191`5v*:e08g6>"4j:0>i>5r$756>45:3yO6=5=9r\:nl4={%3g6?41n2\:>84={%7f7?753-?n>7?=;|~H7>528q]>:652zTg2?4|,vB=8282S7ei38p(l<:4g0?x"1?<0:>6sr}:m2gg<72-8d29086=4?{%04b?40k2B9;>5f13f94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9;n0Z<<::3y'1`5=>2.>i?49;|~y>o6:l0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>2d9U573=:r.>i>49;%7f6?0428q]h54={%3g6?7dj2\:>84={%7f7?0<,50z&13c<50?1C>:=4o0aa>5<#:>l1=nl4;|`290e<:0yO6=5=9r\o4744c3_;99747<,7?=e:T260<5s-?n?7?>;%7f6?763twvq6a>cc83>!40n3;hn6X=8082I4?;3;pZi652z&2`7<6kk1]=?;52z&6a6<13-?n>784}|~?xd6190;6>4?:1y'62`=??1C>:=4i00g>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5288o7[?=5;0x 0c428;0(8k=:038yx{z3`;9i7>5$35e>44d3A8i?4>1:~yx=h9jh1<7*=7g82gg=Q:1;1=vB=8282Sb?2;q/=i<51b`8R4422;q/9h=56:&6a7<13twvq6sm19g94?5=83:p(?9i:0ab?M40;2c:>i4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3826a=Q9;?1>v*:e285?!3b:3<0qpsr;h31a?6=,;=m6<2481!3b;3<0(8k=:79~yx{2wvqp5rb3:g>5<5290;w)<8f;`e?M40;2c:>n4?:%04b?75k21d=nl50;&13c<6kk1]>5?51zN1<6<6s_n36?u+1e095fd<^88>6?u+5d192>"2m;0=7psr}:a6<4=83<1<7>t$35e>44f3A84e820>o6:j0;6)<8f;31g>P5080:wA<73;3xR4df2;q/=i<513a8R4422;q/9h=56:&6a7<13twvq6gk1;29 71a2m;0Z?6>:0yO6=5=9r\:nl4={%3g6?b63_;9973=#=l81:6sr}|9j`7<72-86X>2481!3b;320(8k=:99~yxJ50;0?w[<80;1xRa0=:r.>i<4k2:&0f6<2m:1vZi952z&6a4<6:j1/?o=55d18y!00=3o0q[<80;1xRa0=:r.>i<4k2:&0f6<2m:1vZi952z&6a4<6:j1/?o=55d08y!00=3o0q[<80;1xRa0=:r.>i<4k2:&0f6<2m:1vZi952z&6a44:e29~ 3122>1vZ:3yU`3<5s-?n=7j=;%1a7?3b;2w/::;5129~yx=n:?l1<7*=7g812c=Q:1;1>vB=8282S7ei38p(l<:4g0?x"1?<0:>6sr}:k125<72-87}#9m81>;>4V006>7}#=l91=?5+5d0957=zutF94?4>{W04v*:e08125=#;k919h=4}%441?753twv7b?lb;29 71a28ii76sm29g94?5=83:p(?9i:35`?M40;2c:>i4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3826a=Q9;?1>v*:e285?!3b:3<0qpsr;h31a?6=,;=m6<2481!3b;3<0(8k=:79~yx{2wvqp5rb3:e>5<6290;w)<8f;0;2>N5?:1d=nl50;&13c<6kk10qo<60;297?6=8r.9;k486:J136=n9;n1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<513f8R4422;q/9h=5109'1`4=981vqps4i00f>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5288n7[?=5;0x 0c428;0(8k=:038yx{z3f;hn7>5$35e>4ee3_83=7?tL3:0>4}Ql109w)?k2;3`f>P6:<09w);j3;48 0c52?1vqps4}c104?6=:3:1N5?:1b=?m50;&13c<6:j10c:0yO6=5=9r\o474ee3_;9973=#=l81:6sr}|9~f652290=6=4?{%04b?75i2B9;>5+15f951=n9;i1<7*=7g826f=Q:1;1=vB=8282S7ei38p(2wvqp5fd083>!40n3n:7[<71;3xH7>428q]=oo52z&2`784={%7f7?0<,7}#9m81h?5Y13796~"2m:037);j2;:8yx{K:1818vX=7180Sb12;q/9h?5d39'7g5==l90q[j8:3y'1`7=9;i0(>l<:4g0?x"1?<0n7pX=7180Sb12;q/9h?5d39'7g5==l90q[j8:3y'1`7=9;i0(>l<:4g1?x"1?<0n7pX=7180Sb12;q/9h?5d39'7g5==l90q[j8:3y'1`7=l81/?o=55d18y!00=3=0q[?k1;0xRa0=:r.>i<4k2:&0f6<2m:1v(;9::018yx{P6:<09w);j3;31?!3b:3;97psrL3:1>4}Q:>21>vXk6;0x 0c62;{#>>?1=?5r}|9j636=83.9;k4=619U6=7=:rF94>4>{W3ae?4|,8n96?8?;W311?4|,445<51zT13=<5s_n=6?u+5d39636<,:h868k<;|&530<6:2wvq6a>cc83>!40n3;hn65rb212>5<4290;w)<8f;04g>N5?:1b=?j50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0957b<^88>6?u+5d192>"2m;0=7psr}:k26`<72-8h5Y13796~"2m:0=7);j2;48yx{z3f;hn7>5$35e>4ee3_83=7?tL3:0>4}Ql109w)?k2;3`f>P6:<09w);j3;48 0c52?1vqps4}c106?6=93:190c47=83:p(?9i:648L7143`;9h7>5$35e>44d3A8i?4>1:~yx=n9;o1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<513g8R4422;q/9h=5109'1`4=981vqps4i01:>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b528927[?=5;0x 0c428;0(8k=:038yx{z3`;?87>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;370>P6:<09w);j3;32?!3b:3;:7psr}:k202<72-8{zut1b=9650;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0951><^88>6?u+5d1954=#=l81=<5r}|8m42>290/>:h513a8R7>628qG>5=51zTg47428q]h54={%3g6?73i2\:>84={%7f7?763-?n>7?>;|~y>o6P5080:wA<73;3xRa>=:r.:h?4>4c9U573=:r.>i>4>1:&6a7<692wvqp5f15a94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9=i0Z<<::3y'1`5=981/9h<5109~yx{2481!3b;3;:7);j2;32?x{zu2c:?<4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d38274=Q9;?1>v*:e285?!3b:3<0qpsr;h306?6=,;=m6<6X>2481!3b;3<0(8k=:79~yx{2wvqp5f12694?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9:>0Z<<::3y'1`5=>2.>i?49;|~y>i6kk0;6)<8f;3`f>P5080:wA<73;3xRa>=:r.:h?4>cc9U573=:r.>i>49;%7f6?07<729q/>:h5bg9K6255$35e>4ee3_83=7?tL3:0>4}Ql109w)?k2;3`f>P6:<09w);j3;48 0c52?1vqps4}c1b=?6=>3:190(<:k:068m44d290/>:h513a8R7>628qG>5=51zT2fd<5s-;o>7?=c:T260<5s-?n?784$4g1>3=zutw0ei?50;&13c{M0;7?7|^8hj6?u+1e09`4=Q9;?1>v*:e285?!3b:3<0qpsr;hf1>5<#:>l1h?5Y29396~J50:0:w[?ma;0x 4b52m80Z<<::3y'1`5=02.>i?47;|~H7>52=q]>:>53zTg2?4|,0c43t\o;744d3-9i?7;j3:'223=m2w]>:>53zTg2?4|,0c43t\o;744d3-9i?7;j2:'223=m2w]>:>53zTg2?4|,0c43t\o;7a7<,:h868k<;|&530<03t\:h<4={Wf5>7}#=l;1h?5+3c191`5i>4>2:&6a7<6:2wvqA<72;3xR71?2;q]h;4={%7f5?41n2.8n>4:e29~ 3122880qps4i343>5<#:>l1>;>4V3:2>7}K:191=vX>b`81!7c:38=<6X>2481!3b;3;97);j2;31?x{zD;29638p(8k>:343?!5e;3?n?6s+667957=zut1d=nl50;&13c<6kk10qo=n3;297?6=8r.9;k4=7b9K6252wvqp5f13g94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9;o0Z<<::3y'1`5=>2.>i?49;|~y>i6kk0;6)<8f;3`f>P5080:wA<73;3xRa>=:r.:h?4>cc9U573=:r.>i>49;%7f6?04<729q/>:h52948L7143f;hn7>5$35e>4ee32wi?l850;494?6|,;=m6:84H350?l75l3:1(?9i:00`?M40m2\94<4>{M0;7?7|^m21>v*>d3826a=Q9;?1>v*:e28a?!3b:3h0qpsr;h31a?6=,;=m6<2481!3b;3h0(8k=:c9~yx{428q]h54={%3g6?7412\:>84={%7f7?d<,:h513a8L71b3_83=7?tL3:0>4}Ql109w)?k2;370>P6:<09w);j3;`8 0c52k1vqps4i064>5<#:>l1=?m4H35f?S4?93;p@?6<:0yU`=<5s-;o>7?;7:T260<5s-?n?7l4$4g1>g=zutw0c:0yO6=5=9r\o474ee3_;9973=#=l81:6sr}|9~f6g0290=6=4?{%04b?113A82e83>!40n3;9o6F=7d9U6=7=9rF94>4>{Wf;>7}#9m81=?j4V006>7}#=l91n6*:e38a?x{zu2c:>h4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3826`=Q9;?1>v*:e28a?!3b:3h0qpsr;h30=?6=,;=m6<P5080:wA<73;3xRa>=:r.:h?4>389U573=:r.>i>4m;%7f6?d5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;373>P6:<09w);j3;32?!3b:3;:7psr}:m2gg<72-85}#:>l1=no4H350?l75l3:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?=d:T260<5s-?n?784$4g1>3=zutw0e<:0yO6=5=9r\o4744b3_;9973=#=l81:6sr}|9l5fd=83.9;k4>cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91:6*:e385?x{zu2wi?o:50;094?6|,;=m6oh4H350?l75k3:1(?9i:00`?>i6kk0;6)<8f;3`f>P5080:wA<73;3xRa>=:r.:h?4>cc9U573=:r.>i>49;%7f6?07<729q/>:h5bg9K6255$35e>4ee3_83=7?tL3:0>4}Ql109w)?k2;3`f>P6:<09w);j3;48 0c52?1vqps4}c1a`?6=>3:190(<:k:068m44d290/>:h513a8R7>628qG>5=51zT2fd<5s-;o>7?=c:T260<5s-?n?784$4g1>3=zutw0ei?50;&13c{M0;7?7|^8hj6?u+1e09`4=Q9;?1>v*:e285?!3b:3<0qpsr;hf1>5<#:>l1h?5Y29396~J50:0:w[?ma;0x 4b52m80Z<<::3y'1`5=02.>i?47;|~H7>52=q]>:>53zTg2?4|,0c43t\o;744d3-9i?7;j3:'223=m2w]>:>53zTg2?4|,0c43t\o;744d3-9i?7;j2:'223=m2w]>:>53zTg2?4|,0c43t\o;7a7<,:h868k<;|&530<03t\:h<4={Wf5>7}#=l;1h?5+3c191`5i>4>2:&6a7<6:2wvqA<72;3xR71?2;q]h;4={%7f5?41n2.8n>4:e29~ 3122880qps4i343>5<#:>l1>;>4V3:2>7}K:191=vX>b`81!7c:38=<6X>2481!3b;3;97);j2;31?x{zD;29638p(8k>:343?!5e;3?n?6s+667957=zut1d=nl50;&13c<6kk10qo=m5;297?6=8r.9;k4=7b9K6252wvqp5f13g94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9;o0Z<<::3y'1`5=>2.>i?49;|~y>i6kk0;6)<8f;3`f>P5080:wA<73;3xRa>=:r.:h?4>cc9U573=:r.>i>49;%7f6?04<729q/>:h52948L7143f;hn7>5$35e>4ee32wi?o950;194?6|,;=m6:84H350?l75l3:1(?9i:00`?M40m2\94<4>{M0;7?7|^m21>v*>d3826a=Q9;?1>v*:e28a?!3b:3h0qpsr;h31a?6=,;=m6<P5080:wA<73;3xRa>=:r.:h?4>2d9U573=:r.>i>4m;%7f6?d428q]h54={%3g6?7dj2\:>84={%7f7?0<,50z&13c<0>2B9;>5f13f94?"5?o0:>n5G26g8R7>628qG>5=51zTg44428q]h54={%3g6?75m2\:>84={%7f7?753-?n>7?=;|~y>o6;00;6)<8f;31g>N5?l1]>5?51zN1<6<6s_n36?u+1e0956?<^88>6?u+5d1957=#=l81=?5r}|8k4ee290/>:h51b`8R7>628qG>5=51zTg{zut1vn>ln:187>5<7s-8o6:m0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>2e9U573=:r.>i>4>2:&6a7<6:2wvqp5f13g94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9;o0Z<<::3y'1`5=9;1/9h<5139~yx{428q]h54={%3g6?7412\:>84={%7f7?753-?n>7?=;|~y>i6kk0;6)<8f;3`f>P5080:wA<73;3xRa>=:r.:h?4>cc9U573=:r.>i>49;%7f6?06<729q/>:h51bc8L7143`;9h7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;31`>P6:<09w);j3;48 0c52?1vqps4i00f>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5288n7[?=5;0x 0c42?1/9h<56:~yx=h9jh1<7*=7g82gg=Q:1;1=vB=8282Sb?2;q/=i<51b`8R4422;q/9h=56:&6a7<13twvq6sm44f94?4=83:p(?9i:cd8L7143`;9o7>5$35e>44d32e:oo4?:%04b?7dj2\94<4>{M0;7?7|^m21>v*>d382gg=Q9;?1>v*:e285?!3b:3<0qpsr;|`727<72?0;6=u+26d957g<@;=87)?;d;37?l75k3:1(?9i:00`?S4?93;p@?6<:0yU5gg=:r.:h?4>2b9U573=:r.>i>49;%7f6?0:18'62`=l81]>5?51zN1<6<6s_;im7a7<^88>6?u+5d192>"2m;0=7psr}:kg6?6=,;=m6i<4V3:2>7}K:191=vX>b`81!7c:3n97[?=5;0x 0c4211/9h<58:~yI4?:3>pZ?9?:2yU`3<5s-?n=7j=;%1a7?3b;2w]h:4={%7f5?75k2.8n>4:e29~ 3122l1vZ?9?:2yU`3<5s-?n=7j=;%1a7?3b;2w]h:4={%7f5?75k2.8n>4:e39~ 3122l1vZ?9?:2yU`3<5s-?n=7j=;%1a7?3b;2w]h:4={%7f5?b63-9i?7;j3:'223=?2w]=i?52zTg2?4|,0c43t.=;84>3:~y>o5>o0;6)<8f;05b>P50809wA<73;3xR4df2;q/=i<527d8R4422;q/9h=5139'1`4=9;1vqpB=8382S40038pZi852z&6a4<5>o1/?o=55d18y!00=3;97psr;h054?6=,;=m6?8?;W0;5?4|D;2866*:e3826>{zuE83>7?tV35;>7}Ql?09w);j1;054>"4j:0>i>5r$756>446<729q/>:h526a8L7143`;9h7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;31`>P6:<09w);j3;48 0c52?1vqps4i00f>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5288n7[?=5;0x 0c42?1/9h<56:~yx=h9jh1<7*=7g82gg=Q:1;1=vB=8282Sb?2;q/=i<51b`8R4422;q/9h=56:&6a7<13twvq6sm44d94?7=83:p(?9i:3:5?M40;2e:oo4?:%04b?7dj21vn98?:180>5<7s-8o6:m0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>2e9U573=:r.>i>4>1:&6a7<692wvqp5f13g94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9;o0Z<<::3y'1`5=981/9h<5109~yx{2wvqp5rb4:4>5<5290;w)<8f;`e?M40;2c:>n4?:%04b?75k21d=nl50;&13c<6kk1]>5?51zN1<6<6s_n36?u+1e095fd<^88>6?u+5d192>"2m;0=7psr}:a1=d=8381<7>t$35e>g`<@;=87d?=c;29 71a288h76a>cc83>!40n3;hn6X=8082I4?;3;pZi652z&2`7<6kk1]=?;52z&6a6<13-?n>784}|~?xd2180;6;4?:1y'62`=9;k0D?9<;%37`?733`;9o7>5$35e>44d3_83=7?tL3:0>4}Q9kk1>v*>d3826f=Q9;?1>v*:e285?!3b:3<0qpsr;hf2>5<#:>l1h<5Y29395~J50:0:w[?ma;0x 4b52m;0Z<<::3y'1`5=>2.>i?49;|~y>oc:3:1(?9i:e08R7>62;qG>5=51zT2fd<5s-;o>7j=;W311?4|,{zuE83>7:tV353>6}Ql?09w);j1;f1?!5e;3?n?6sYd681!3b93;9o6*6h5rV353>6}Ql?09w);j1;f1?!5e;3?n?6sYd681!3b93;9o6*6h5rV353>6}Ql?09w);j1;f1?!5e;3?n?6sYd681!3b93n:7)=m3;7f7>{#>>?1;6sY1e396~Pc>38p(8k>:e08 6d42{zu2c9:k4?:%04b?41n2\94<4={M0;7?7|^8hj6?u+1e0963`<^88>6?u+5d1957=#=l81=?5r}|N1<7<6s_8<47i>4>2:&6a7<6:2wvqA<72;3xR71?2;q]h;4={%7f5?4182.8n>4:e29~ 3122880qps4o0aa>5<#:>l1=nl4;|`6<=<72:0;6=u+26d962e<@;=87d?=d;29 71a288h7[<71;3xH7>428q]h54={%3g6?75l2\:>84={%7f7?0<,:h513a8R7>628qG>5=51zTg{zut1d=nl50;&13c<6kk1]>5?51zN1<6<6s_n36?u+1e095fd<^88>6?u+5d192>"2m;0=7psr}:a1=?=83;1<7>t$35e>7>13A8cc83>!40n3;hn65rb4:b>5<4290;w)<8f;55?M40;2c:>i4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3826a=Q9;?1>v*:e2825>"2m;0:=6sr}|9j57c=83.9;k4>2b9K62c<^;2:62481!3b;3<0(8k=:79~yx{54;294~"5?o0<:6F=729j57b=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=?j4V006>7}#=l91o6*:e38`?x{zu2c:>h4?:%04b?75k2B9;h5Y29395~J50:0:w[j7:3y'5a4=9;o0Z<<::3y'1`5=k2.>i?4l;|~y>o6;00;6)<8f;31g>N5?l1]>5?51zN1<6<6s_n36?u+1e0956?<^88>6?u+5d1957=#=l81=?5r}|8k4ee290/>:h51b`8R7>628qG>5=51zTg{zut1vn86k:186>5<7s-8o6:m0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>2e9U573=:r.>i>4l;%7f6?eh5Y13796~"2m:0h7);j2;a8yx{z3`;857>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;30=>P6:<09w);j3;a8 0c52j1vqps4i067>5<#:>l1=?m4H35f?S4?93;p@?6<:0yU`=<5s-;o>7?;4:T260<5s-?n?7?=;%7f6?753twvq6a>cc83>!40n3;hn6X=8082I4?;3;pZi652z&2`7<6kk1]=?;52z&6a6<13-?n>784}|~?xd20l0;6;4?:1y'62`=??1C>:=4i00g>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5288o7[?=5;0x 0c42880(8k=:008yx{z3`;9i7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;31a>P6:<09w);j3;31?!3b:3;97psr}:k27<<72-86*:e3826>{zut1b=9:50;&13c<6:j1C>:k4V3:2>4}K:191=vXk8;0x 4b528>?7[?=5;0x 0c42880(8k=:008yx{z3`;?;7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;373>P6:<09w);j3;31?!3b:3;97psr}:m2gg<72-84k4?:583>5}#:>l1=no4H350?l75l3:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?=d:T260<5s-?n?784$4g1>3=zutw0e<:0yO6=5=9r\o4744b3_;9973=#=l81:6sr}|9j56?=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=>74V006>7}#=l91:6*:e385?x{zu2e:oo4?:%04b?7dj2\94<4>{M0;7?7|^m21>v*>d382gg=Q9;?1>v*:e285?!3b:3<0qpsr;|`6a1<72;0;6=u+26d9fc=O:>90e<428q]h54={%3g6?7dj2\:>84={%7f7?0<,7>50z&13c5f13a94?"5?o0:>n54o0aa>5<#:>l1=nl4V3:2>4}K:191=vXk8;0x 4b528ii7[?=5;0x 0c42?1/9h<56:~yx=zj5?51zN1<6<6s_;im744d3_;9973=#=l81:6sr}|9j`4<72-8;W0;5?7|D;2862481!3b;3<0(8k=:79~yx{P50809wA<73;3xR4df2;q/=i<5d39U573=:r.>i>47;%7f6?>5<54zT135<4s_n=6?u+5d39`7=#;k919h=4}Wf4>7}#=l;1=?m4$2`0>0c43t.=;84j;|T135<4s_n=6?u+5d39`7=#;k919h=4}Wf4>7}#=l;1=?m4$2`0>0c53t.=;84j;|T135<4s_n=6?u+5d39`7=#;k919h=4}Wf4>7}#=l;1h<5+3c191`5v*:e08g6>"4j:0>i>5r$756>45:3yO6=5=9r\:nl4={%3g6?41n2\:>84={%7f7?753-?n>7?=;|~H7>528q]>:652zTg2?4|,vB=8282S7ei38p(l<:4g0?x"1?<0:>6sr}:m2gg<72-85f13f94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9;n0Z<<::3y'1`5=>2.>i?49;|~y>o6:l0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>2d9U573=:r.>i>49;%7f6?0428q]h54={%3g6?7dj2\:>84={%7f7?0<,3:1=7>50z&13c<50?1C>:=4o0aa>5<#:>l1=nl4;|`6a=<72:0;6=u+26d933=O:>90e<:0yO6=5=9r\o4744c3_;99747<,7?=e:T260<5s-?n?7?>;%7f6?763twvq6a>cc83>!40n3;hn6X=8082I4?;3;pZi652z&2`7<6kk1]=?;52z&6a6<13-?n>784}|~?xd2m00;6>4?:1y'62`=??1C>:=4i00g>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5288o7[?=5;0x 0c428;0(8k=:038yx{z3`;9i7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;31a>P6:<09w);j3;32?!3b:3;:7psr}:m2gg<72-8il4?:283>5}#:>l1;;5G2618m44c290/>:h513a8R7>628qG>5=51zTg{zut1b=?k50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0957c<^88>6?u+5d192>"2m;0=7psr}:m2gg<72-8i:4?:583>5}#:>l1=no4H350?l75l3:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?=d:T260<5s-?n?784$4g1>3=zutw0e<:0yO6=5=9r\o4744b3_;9973=#=l81:6sr}|9j56?=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=>74V006>7}#=l91:6*:e385?x{zu2e:oo4?:%04b?7dj2\94<4>{M0;7?7|^m21>v*>d382gg=Q9;?1>v*:e285?!3b:3<0qpsr;|`6af<728;1<7>t$35e>20<@;=87d?=d;29 71a288h7E<8e:T1<4<6sE83?7?tVe:96~"6l;0:>i5Y13796~"2m:0h7);j2;a8yx{z3`;9i7>5$35e>44d3A87m4}|~?l7413:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?<9:T260<5s-?n?7m4$4g1>f=zutw0e<:;:18'62`=9;i0Z?6>:0yO6=5=9r\o474233_;997f=#=l81o6sr}|9j511=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=994V006>7}#=l91o6*:e38`?x{zu2c:854?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3820==Q9;?1>v*:e28`?!3b:3i0qpsr;h37=?6=,;=m6<2481!3b;3i0(8k=:b9~yx{j6=4+26d957e<^;2:6n5Y29395~J50:0:w[j7:3y'5a4=9=h0Z<<::3y'1`5=k2.>i?4l;|~y>o6N5?l1]>5?51zN1<6<6s_n36?u+1e0951e<^88>6?u+5d19g>"2m;0h7psr}:k26c<72-85<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5289;7[?=5;0x 0c42880(8k=:008yx{z3`;8=7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;305>P6:<09w);j3;31?!3b:3;97psr}:k277<72-86*:e3826>{zut1b=>=50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e09565<^88>6?u+5d192>"2m;0=7psr}:k271<72-85$35e>4ee3_83=7?tL3:0>4}Ql109w)?k2;3`f>P6:<09w);j3;48 0c52?1vqps4}c7f`?6=980;6=u+26d933=O:>90e<2481!3b;3i0(8k=:b9~yx{428q]h54={%3g6?75m2\:>84={%7f7?e<,290/>:h513a8R7>628qG>5=51zTg{zut1b=9:50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e09512<^88>6?u+5d19g>"2m;0h7psr}:k202<72-85$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;37<>P6:<09w);j3;a8 0c52j1vqps4i06:>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b528>27[?=5;0x 0c42j1/9h<5c:~yx=n9=k1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<515c8R4422;q/9h=5c:&6a74c83>!40n3;9o6X=8082I4?;3;pZi652z&2`7<67m4}|~?l73k3:1(?9i:00`?M40m2\94<4>{M0;7?7|^m21>v*>d3820f=Q9;?1>v*:e28`?!3b:3i0qpsr;h31b?6=,;=m6<P5080:wA<73;3xRa>=:r.:h?4>2g9U573=:r.>i>4>2:&6a7<6:2wvqp5f12294?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9::0Z<<::3y'1`5=9;1/9h<5139~yx{6X>2481!3b;3;97);j2;31?x{zu2c:?>4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d38276=Q9;?1>v*:e285?!3b:3<0qpsr;h300?6=,;=m6<2481!3b;3<0(8k=:79~yx{2wvqp5rb4gf>5<693:1N5?:1b=?j50;&13c<6:j1C>:k4V3:2>4}K:191=vXk8;0x 4b5288o7[?=5;0x 0c42j1/9h<5c:~yx=n9;o1<7*=7g826f=O:>o0Z?6>:0yO6=5=9r\o4744b3_;997f=#=l81o6sr}|9j56?=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=>74V006>7}#=l91o6*:e38`?x{zu2c:894?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d38201=Q9;?1>v*:e28`?!3b:3i0qpsr;h373?6=,;=m6<2481!3b;3i0(8k=:b9~yx{36=4+26d957e<^;2:6n5Y29395~J50:0:w[j7:3y'5a4=9=30Z<<::3y'1`5=k2.>i?4l;|~y>o6P5080:wA<73;3xRa>=:r.:h?4>4`9U573=:r.>i>4l;%7f6?e428q]h54={%3g6?73j2\:>84={%7f7?e<,:h513a8L71b3_83=7?tL3:0>4}Ql109w)?k2;37g>P6:<09w);j3;a8 0c52j1vqps4i00e>5<#:>l1=?m4H35f?S4?93;p@?6<:0yU`=<5s-;o>7?=f:T260<5s-?n?7?=;%7f6?753twvq6g>3183>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6;91]=?;52z&6a6<6:2.>i?4>2:~yx=n9:;1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<51238R4422;q/9h=5139'1`4=9;1vqps4i011>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b528997[?=5;0x 0c42880(8k=:008yx{z3`;8?7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;307>P6:<09w);j3;48 0c52?1vqps4i017>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5289?7[?=5;0x 0c42?1/9h<56:~yx=h9jh1<7*=7g82gg=Q:1;1=vB=8282Sb?2;q/=i<51b`8R4422;q/9h=56:&6a7<13twvq6sm5dd94?2=83:p(?9i:0ab?M40;2c:>i4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3826a=Q9;?1>v*:e285?!3b:3<0qpsr;h31a?6=,;=m6<2481!3b;3<0(8k=:79~yx{2wvqp5`1b`94?"5?o0:oo5Y29395~J50:0:w[j7:3y'5a4=9jh0Z<<::3y'1`5=>2.>i?49;|~y>{e>8k1<7<50;2x 71a2kl0D?9<;h31g?6=,;=m6<5}#:>l1=?o4H350?!73l3;?7d?=c;29 71a288h7[<71;3xH7>428q]=oo52z&2`7<6:j1]=?;52z&6a6<13-?n>784}|~?lb6290/>:h5d09U6=7=9rF94>4>{W3ae?4|,8n96i?4V006>7}#=l91:6*:e385?x{zu2co>7>5$35e>a4<^;2:6?uC29195~P6jh09w)?k2;f1?S75=38p(8k<:99'1`4=02wvqA<72;6xR7172:q]h;4={%7f5?b53-9i?7;j3:U`2<5s-?n=7?=c:&0f6<2m:1v(;9::d9~R7172:q]h;4={%7f5?b53-9i?7;j3:U`2<5s-?n=7?=c:&0f6<2m;1v(;9::d9~R7172:q]h;4={%7f5?b53-9i?7;j3:U`2<5s-?n=7j>;%1a7?3b;2w/::;57:U5a7=:r\o:7a4<,:h868k<;|&530<6;2wvq6g=6g83>!40n38=j6X=8081I4?;3;pZi<4=6g9'7g5==l90q)885;31?x{z3`8=<7>5$35e>7073_83=74}Q9kk1>v*>d38125=Q9;?1>v*:e2826>"2m;0:>6sr}M0;6?7|^;=36?uYd781!3b938=<6*6<<4}|8k4ee290/>:h51b`8?xd19k0;6>4?:1y'62`=:>i0D?9<;h31`?6=,;=m6<2481!3b;3<0(8k=:79~yx{2wvqp5`1b`94?"5?o0:oo5Y29395~J50:0:w[j7:3y'5a4=9jh0Z<<::3y'1`5=>2.>i?49;|~y>{e>8i1<7?50;2x 71a2;2=7E<83:m2gg<72-82e83>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6:m1]=?;52z&6a6<692.>i?4>1:~yx=n9;o1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<513g8R4422;q/9h=5109'1`4=981vqps4o0aa>5<#:>l1=nl4V3:2>4}K:191=vXk8;0x 4b528ii7[?=5;0x 0c42?1/9h<56:~yx=zj?8;6=4=:183!40n3hm7E<83:k26f<72-8cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91:6*:e385?x{zu2wi:?750;494?6|,;=m6<"62b83>!40n3;9o6X=8082I4?;3;pZ2.>i?49;|~y>oc93:1(?9i:e38R7>628qG>5=51zT2fd<5s-;o>7j>;W311?4|,{zut1bh?4?:%04b?b53_83=74}Q9kk1>v*>d38g6>P6:<09w);j3;:8 0c5211vqpB=8387S40839pZi852z&6a44:e29~Ra1=:r.>i<4>2b9'7g5==l90q)885;g8yS40839pZi852z&6a44:e29~Ra1=:r.>i<4>2b9'7g5==l80q)885;g8yS40839pZi852z&6a44:e29~Ra1=:r.>i<4k1:&0f6<2m:1v(;9::69~R4b62;q]h;4={%7f5?b53-9i?7;j3:'223=9:1vqp5f27d94?"5?o09:k5Y29396~J50:0:w[?ma;0x 4b52;{zu2c9:=4?:%04b?4182\94<4={M0;7?7|^8hj6?u+1e09636<^88>6?u+5d1957=#=l81=?5r}|N1<7<6s_8<47{e>;;1<7=50;2x 71a2;=h7E<83:k26a<72-8i5Y13796~"2m:0=7);j2;48yx{z3`;9i7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;31a>P6:<09w);j3;48 0c52?1vqps4o0aa>5<#:>l1=nl4V3:2>4}K:191=vXk8;0x 4b528ii7[?=5;0x 0c42?1/9h<56:~yx=zj?896=4>:183!40n383:6F=729l5fd=83.9;k4>cc98yg05<3:1?7>50z&13c<0>2B9;>5f13f94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9;n0Z<<::3y'1`5=981/9h<5109~yx{2481!3b;3<0(8k=:79~yx{53;294~"5?o0<:6F=729j57b=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=?j4V006>7}#=l91=<5+5d0954=zutw0e<:0yO6=5=9r\o4744b3_;99747<,7?lb:T260<5s-?n?784$4g1>3=zutw0qo8=6;293?6=8r.9;k486:J136=n9;n1<7*=7g826f=O:>o0Z?6>:0yO6=5=9r\o4744c3_;997g=#=l81n6sr}|9j57c=83.9;k4>2b9K62c<^;2:6n5G26g8R7>628qG>5=51zTg{zut1b=9:50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e09512<^88>6?u+5d1954=#=l81=<5r}|8m420290/>:h513a8R7>628qG>5=51zTg47428q]h54={%3g6?7302\:>84={%7f7?763-?n>7?>;|~y>i6kk0;6)<8f;3`f>P5080:wA<73;3xRa>=:r.:h?4>cc9U573=:r.>i>49;%7f6?02<729q/>:h5779K625428q]h54={%3g6?75l2\:>84={%7f7?d<,:h513a8L71b3_83=7?tL3:0>4}Ql109w)?k2;31a>P6:<09w);j3;`8 0c52k1vqps4i01:>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b528927[?=5;0x 0c428;0(8k=:038yx{z3`;?87>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;370>P6:<09w);j3;32?!3b:3;:7psr}:k202<72-8{zut1b=9650;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0951><^88>6?u+5d1954=#=l81=<5r}|8k4ee290/>:h51b`8R7>628qG>5=51zTg{zut1vn;<<:186>5<7s-82d83>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6:l1]=?;52z&6a6<13-?n>784}|~?l7413:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?<9:T260<5s-?n?784$4g1>3=zutw0e<:;:18'62`=9;i0Z?6>:0yO6=5=9r\o474233_;9973=#=l81:6sr}|9l5fd=83.9;k4>cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91:6*:e385?x{zu2wi:?o50;094?6|,;=m6oh4H350?l75k3:1(?9i:00`?>i6kk0;6)<8f;3`f>P5080:wA<73;3xRa>=:r.:h?4>cc9U573=:r.>i>49;%7f6?03<729q/>:h513c8L7143-;?h7?;;h31g?6=,;=m6<n5Y13796~"2m:0=7);j2;48yx{z3`n:6=4+26d9`4=Q:1;1=vB=8282S7ei38p(:3yO6=5=9r\:nl4={%3g6?b53_;997==#=l8146sr}M0;6?2|^;=;6>uYd781!3b93n97)=m3;7f7>{Ql>09w);j1;31g>"4j:0>i>5r$756>`=z^;=;6>uYd781!3b93n97)=m3;7f7>{Ql>09w);j1;31g>"4j:0>i?5r$756>`=z^;=;6>uYd781!3b93n97)=m3;7f7>{Ql>09w);j1;f2?!5e;3?n?6s+66793>{Q9m;1>vXk6;0x 0c62m80(>l<:4g0?x"1?<0:?6sr}:k12c<72-87}#9m81>;h4V006>7}#=l91=?5+5d0957=zutF94?4>{W04v*:e0812c=#;k919h=4}%441?753twv7d<90;29 71a2;<;7[<71;0xH7>428q]=oo52z&2`7<5>91]=?;52z&6a6<6:2.>i?4>2:~yI4?:3;pZ?97:3yU`3<5s-?n=7<90:&0f6<2m:1v(;9::008yx{o4?:283>5}#:>l1>:m4H350?l75l3:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?=d:T260<5s-?n?784$4g1>3=zutw0e<:0yO6=5=9r\o4744b3_;9973=#=l81:6sr}|9l5fd=83.9;k4>cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91:6*:e385?x{zu2wi:?m50;394?6|,;=m6?69;I047>i6kk0;6)<8f;3`f>=zj?8o6=4<:183!40n3==7E<83:k26a<72-8i5Y13796~"2m:0:=6*:e3825>{zut1b=?k50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0957c<^88>6?u+5d1954=#=l81=<5r}|8k4ee290/>:h51b`8R7>628qG>5=51zTg{zut1vn?>::181>5<7s-8o6:j0;6)<8f;31g>=h9jh1<7*=7g82gg=Q:1;1=vB=8282Sb?2;q/=i<51b`8R4422;q/9h=56:&6a7<13twvq6sm21`94?4=83:p(?9i:cd8L7143`;9o7>5$35e>44d32e:oo4?:%04b?7dj2\94<4>{M0;7?7|^m21>v*>d382gg=Q9;?1>v*:e28b?!3b:3k0qpsr;|`143<72:0;6=u+26d962e<@;=87d?=d;29 71a288h7[<71;3xH7>428q]h54={%3g6?75l2\:>84={%7f7?0<,:h513a8R7>628qG>5=51zTg{zut1d=nl50;&13c<6kk1]>5?51zN1<6<6s_n36?u+1e095fd<^88>6?u+5d192>"2m;0=7psr}:a651=83;1<7>t$35e>7>13A8cc83>!40n3;hn65rb32:>5<4290;w)<8f;55?M40;2c:>i4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3826a=Q9;?1>v*:e28a?!3b:3h0qpsr;h31a?6=,;=m6<P5080:wA<73;3xRa>=:r.:h?4>2d9U573=:r.>i>4>1:&6a7<692wvqp5`1b`94?"5?o0:oo5Y29395~J50:0:w[j7:3y'5a4=9jh0Z<<::3y'1`5=>2.>i?49;|~y>{e:9k1<7=50;2x 71a2><0D?9<;h31`?6=,;=m6<2481!3b;3;:7);j2;32?x{zu2c:>h4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3826`=Q9;?1>v*:e28a?!3b:3h0qpsr;n3`f?6=,;=m62481!3b;3<0(8k=:79~yx{53;294~"5?o0:ol5G2618m44c290/>:h513a8R7>628qG>5=51zTg{zut1b=?k50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0957c<^88>6?u+5d192>"2m;0=7psr}:m2gg<72-85}#:>l1nk5G2618m44d290/>:h513a8?j7dj3:1(?9i:0aa?S4?93;p@?6<:0yU`=<5s-;o>7?lb:T260<5s-?n?784$4g1>3=zutw0qo<>2;296?6=8r.9;k4mf:J136=n9;i1<7*=7g826f=5<4290;w)<8f;04g>N5?:1b=?j50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0957b<^88>6?u+5d192>"2m;0=7psr}:k26`<72-8h5Y13796~"2m:0=7);j2;48yx{z3f;hn7>5$35e>4ee3_83=7?tL3:0>4}Ql109w)?k2;3`f>P6:<09w);j3;48 0c52?1vqps4}c03a?6=93:190c6<729q/>:h5779K625n5G26g8R7>628qG>5=51zTg47428q]h54={%3g6?7dj2\:>84={%7f7?0<,50z&13c<0>2B9;>5f13f94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9;n0Z<<::3y'1`5=981/9h<5109~yx{2.>i?49;|~y>{e:9l1<7=50;2x 71a28ij7E<83:k26a<72-8i5Y13796~"2m:0=7);j2;48yx{z3`;9i7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;31a>P6:<09w);j3;48 0c52?1vqps4o0aa>5<#:>l1=nl4V3:2>4}K:191=vXk8;0x 4b528ii7[?=5;0x 0c42?1/9h<56:~yx=zj;8=6=4=:183!40n3hm7E<83:k26f<72-8cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91:6*:e385?x{zu2wi>?m50;094?6|,;=m6oh4H350?l75k3:1(?9i:00`?>i6kk0;6)<8f;3`f>P5080:wA<73;3xRa>=:r.:h?4>cc9U573=:r.>i>49;%7f6?07<729q/>:h5bg9K6255$35e>4ee3_83=7?tL3:0>4}Ql109w)?k2;3`f>P6:<09w);j3;c8 0c52h1vqps4}c013?6=;3:190e<:0yO6=5=9r\o4744c3_;9973=#=l81:6sr}|9j57c=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=?k4V006>7}#=l91:6*:e385?x{zu2e:oo4?:%04b?7dj2\94<4>{M0;7?7|^m21>v*>d382gg=Q9;?1>v*:e285?!3b:3<0qpsr;|`16=<7280;6=u+26d96=0<@;=87b?lb;29 71a28ii76sm23c94?5=83:p(?9i:648L7143`;9h7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;31`>P6:<09w);j3;`8 0c52k1vqps4i00f>5<#:>l1=?m4H35f?S4?93;p@?6<:0yU`=<5s-;o>7?=e:T260<5s-?n?7?>;%7f6?763twvq6a>cc83>!40n3;hn6X=8082I4?;3;pZi652z&2`7<6kk1]=?;52z&6a6<13-?n>784}|~?xd5:k0;6>4?:1y'62`=??1C>:=4i00g>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5288o7[?=5;0x 0c428;0(8k=:038yx{z3`;9i7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;31a>P6:<09w);j3;`8 0c52k1vqps4o0aa>5<#:>l1=nl4V3:2>4}K:191=vXk8;0x 4b528ii7[?=5;0x 0c42?1/9h<56:~yx=zj;826=4<:183!40n3;hm6F=729j57b=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=?j4V006>7}#=l91:6*:e385?x{zu2c:>h4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3826`=Q9;?1>v*:e285?!3b:3<0qpsr;n3`f?6=,;=m62481!3b;3<0(8k=:79~yx{51083>5}#:>l1;;5G2618m44c290/>:h513a8L71b3_83=7?tL3:0>4}Ql109w)?k2;31`>P6:<09w);j3;31?!3b:3;97psr}:k26`<72-8h5Y13796~"2m:0:>6*:e3826>{zut1b=>750;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0956?<^88>6?u+5d1957=#=l81=?5r}|8m423290/>:h513a8R7>628qG>5=51zTg44428q]h54={%3g6?73?2\:>84={%7f7?753-?n>7?=;|~y>o6<10;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>499U573=:r.>i>4>2:&6a7<6:2wvqp5f15;94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9=30Z<<::3y'1`5=9;1/9h<5139~yx{j6=4+26d957e<^;2:62481!3b;3;97);j2;31?x{zu2c:8n4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3820f=Q9;?1>v*:e2826>"2m;0:>6sr}|9j57`=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=?h4V006>7}#=l91=?5+5d0957=zutw0e<=?:18'62`=9;i0Z?6>:0yO6=5=9r\o474573_;99744<,7?<1:T260<5s-?n?7?=;%7f6?753twvq6g>3383>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6;;1]=?;52z&6a6<13-?n>784}|~?l74;3:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?<3:T260<5s-?n?784$4g1>3=zutw0e<=;:18'62`=9;i0Z?6>:0yO6=5=9r\o474533_;9973=#=l81:6sr}|9l5fd=83.9;k4>cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91:6*:e385?x{zu2wi>?h50;094?6|,;=m6oh4H350?l75k3:1(?9i:00`?>i6kk0;6)<8f;3`f>P5080:wA<73;3xRa>=:r.:h?4>cc9U573=:r.>i>49;%7f6?07<729q/>:h5bg9K6255$35e>4ee3_83=7?tL3:0>4}Ql109w)?k2;3`f>P6:<09w);j3;c8 0c52h1vqps4}c004?6=;3:190e<:0yO6=5=9r\o4744c3_;9973=#=l81:6sr}|9j57c=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=?k4V006>7}#=l91:6*:e385?x{zu2e:oo4?:%04b?7dj2\94<4>{M0;7?7|^m21>v*>d382gg=Q9;?1>v*:e285?!3b:3<0qpsr;|`174<7280;6=u+26d96=0<@;=87b?lb;29 71a28ii76sm22194?5=83:p(?9i:648L7143`;9h7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;31`>P6:<09w);j3;`8 0c52k1vqps4i00f>5<#:>l1=?m4H35f?S4?93;p@?6<:0yU`=<5s-;o>7?=e:T260<5s-?n?7?>;%7f6?763twvq6a>cc83>!40n3;hn6X=8082I4?;3;pZi652z&2`7<6kk1]=?;52z&6a6<13-?n>784}|~?xd5;=0;6>4?:1y'62`=??1C>:=4i00g>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5288o7[?=5;0x 0c428;0(8k=:038yx{z3`;9i7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;31a>P6:<09w);j3;`8 0c52k1vqps4o0aa>5<#:>l1=nl4V3:2>4}K:191=vXk8;0x 4b528ii7[?=5;0x 0c42?1/9h<56:~yx=zj;996=4<:183!40n3;hm6F=729j57b=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=?j4V006>7}#=l91:6*:e385?x{zu2c:>h4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3826`=Q9;?1>v*:e285?!3b:3<0qpsr;n3`f?6=,;=m62481!3b;3<0(8k=:79~yx{52;294~"5?o0ij6F=729j57e=83.9;k4>2b98k4ee290/>:h51b`8R7>628qG>5=51zTg{zut1vn?=l:181>5<7s-8o6:j0;6)<8f;31g>=h9jh1<7*=7g82gg=Q:1;1=vB=8282Sb?2;q/=i<51b`8R4422;q/9h=5a:&6a7i4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3826a=Q9;?1>v*:e285?!3b:3<0qpsr;h31a?6=,;=m6<2481!3b;3<0(8k=:79~yx{2wvqp5rb31;>5<6290;w)<8f;0;2>N5?:1d=nl50;&13c<6kk10qo<2d83>!40n3;9o6F=7d9U6=7=9rF94>4>{Wf;>7}#9m81=?k4V006>7}#=l91=<5+5d0954=zutw0c:0yO6=5=9r\o474ee3_;9973=#=l81:6sr}|9~f75e29086=4?{%04b?113A82e83>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6:m1]=?;52z&6a6<692.>i?4>1:~yx=n9;o1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<513g8R4422;q/9h=5b:&6a7cc83>!40n3;hn6X=8082I4?;3;pZi652z&2`7<6kk1]=?;52z&6a6<13-?n>784}|~?xd5;00;6>4?:1y'62`=9jk0D?9<;h31`?6=,;=m6<2481!3b;3<0(8k=:79~yx{2wvqp5`1b`94?"5?o0:oo5Y29395~J50:0:w[j7:3y'5a4=9jh0Z<<::3y'1`5=>2.>i?49;|~y>{e::n1<7<50;2x 71a2kl0D?9<;h31g?6=,;=m6<4?:383>5}#:>l1nk5G2618m44d290/>:h513a8?j7dj3:1(?9i:0aa?S4?93;p@?6<:0yU`=<5s-;o>7?lb:T260<5s-?n?7o4$4g1>d=zutw0qo<2wvqp5f13g94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9;o0Z<<::3y'1`5=>2.>i?49;|~y>i6kk0;6)<8f;3`f>P5080:wA<73;3xRa>=:r.:h?4>cc9U573=:r.>i>49;%7f6?04<729q/>:h52948L7143f;hn7>5$35e>4ee32wi>9?50;194?6|,;=m6:84H350?l75l3:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?=d:T260<5s-?n?7l4$4g1>g=zutw0e<2481!3b;3;:7);j2;32?x{zu2e:oo4?:%04b?7dj2\94<4>{M0;7?7|^m21>v*>d382gg=Q9;?1>v*:e285?!3b:3<0qpsr;|`107<72:0;6=u+26d933=O:>90e<:0yO6=5=9r\o4744c3_;99747<,7?=e:T260<5s-?n?7l4$4g1>g=zutw0c:0yO6=5=9r\o474ee3_;9973=#=l81:6sr}|9~f72729086=4?{%04b?7di2B9;>5f13f94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9;n0Z<<::3y'1`5=>2.>i?49;|~y>o6:l0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>2d9U573=:r.>i>49;%7f6?0428q]h54={%3g6?7dj2\:>84={%7f7?0<,7>50z&13c5f13a94?"5?o0:>n54o0aa>5<#:>l1=nl4V3:2>4}K:191=vXk8;0x 4b528ii7[?=5;0x 0c42?1/9h<56:~yx=zj;>j6=4=:183!40n3hm7E<83:k26f<72-8cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91m6*:e38b?x{zu2wi>9;50;194?6|,;=m6?9l;I047>o6:m0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>2e9U573=:r.>i>49;%7f6?0428q]h54={%3g6?75m2\:>84={%7f7?0<,:h51b`8R7>628qG>5=51zTg{zut1vn?:9:182>5<7s-853;294~"5?o0<:6F=729j57b=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=?j4V006>7}#=l91n6*:e38a?x{zu2c:>h4?:%04b?75k2B9;h5Y29395~J50:0:w[j7:3y'5a4=9;o0Z<<::3y'1`5=981/9h<5109~yx{2wvqp5rb36:>5<4290;w)<8f;55?M40;2c:>i4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3826a=Q9;?1>v*:e2825>"2m;0:=6sr}|9j57c=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=?k4V006>7}#=l91n6*:e38a?x{zu2e:oo4?:%04b?7dj2\94<4>{M0;7?7|^m21>v*>d382gg=Q9;?1>v*:e285?!3b:3<0qpsr;|`102<72:0;6=u+26d95fg<@;=87d?=d;29 71a288h7[<71;3xH7>428q]h54={%3g6?75l2\:>84={%7f7?0<,:h513a8R7>628qG>5=51zTg{zut1d=nl50;&13c<6kk1]>5?51zN1<6<6s_n36?u+1e095fd<^88>6?u+5d192>"2m;0=7psr}:a61d=8381<7>t$35e>g`<@;=87d?=c;29 71a288h76a>cc83>!40n3;hn6X=8082I4?;3;pZi652z&2`7<6kk1]=?;52z&6a6<13-?n>784}|~?xd5=80;6?4?:1y'62`=jo1C>:=4i00`>5<#:>l1=?m4;n3`f?6=,;=m62481!3b;3k0(8k=:`9~yx{53;294~"5?o09;n5G2618m44c290/>:h513a8R7>628qG>5=51zTg{zut1b=?k50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0957c<^88>6?u+5d192>"2m;0=7psr}:m2gg<72-85}#:>l1>584H350?j7dj3:1(?9i:0aa?>{e:=l1<7=50;2x 71a2><0D?9<;h31`?6=,;=m6<2481!3b;3h0(8k=:c9~yx{428q]h54={%3g6?75m2\:>84={%7f7?763-?n>7?>;|~y>i6kk0;6)<8f;3`f>P5080:wA<73;3xRa>=:r.:h?4>cc9U573=:r.>i>49;%7f6?06<729q/>:h5779K6252481!3b;3h0(8k=:c9~yx{2wvqp5rb36f>5<4290;w)<8f;3`e>N5?:1b=?j50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0957b<^88>6?u+5d192>"2m;0=7psr}:k26`<72-8h5Y13796~"2m:0=7);j2;48yx{z3f;hn7>5$35e>4ee3_83=7?tL3:0>4}Ql109w)?k2;3`f>P6:<09w);j3;48 0c52?1vqps4}c066?6=:3:1N5?:1b=?m50;&13c<6:j10c:0yO6=5=9r\o474ee3_;9973=#=l81:6sr}|9~f73?29096=4?{%04b?da3A82b83>!40n3;9o65`1b`94?"5?o0:oo5Y29395~J50:0:w[j7:3y'5a4=9jh0Z<<::3y'1`5=i2.>i?4n;|~y>{e:<91<7=50;2x 71a2;=h7E<83:k26a<72-8i5Y13796~"2m:0=7);j2;48yx{z3`;9i7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;31a>P6:<09w);j3;48 0c52?1vqps4o0aa>5<#:>l1=nl4V3:2>4}K:191=vXk8;0x 4b528ii7[?=5;0x 0c42?1/9h<56:~yx=zj;??6=4>:183!40n383:6F=729l5fd=83.9;k4>cc98yg42>3:1?7>50z&13c<0>2B9;>5f13f94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9;n0Z<<::3y'1`5=j2.>i?4m;|~y>o6:l0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>2d9U573=:r.>i>4>1:&6a7<692wvqp5`1b`94?"5?o0:oo5Y29395~J50:0:w[j7:3y'5a4=9jh0Z<<::3y'1`5=>2.>i?49;|~y>{e:<=1<7=50;2x 71a2><0D?9<;h31`?6=,;=m6<2481!3b;3h0(8k=:c9~yx{428q]h54={%3g6?75m2\:>84={%7f7?763-?n>7?>;|~y>i6kk0;6)<8f;3`f>P5080:wA<73;3xRa>=:r.:h?4>cc9U573=:r.>i>49;%7f6?06<729q/>:h51bc8L7143`;9h7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;31`>P6:<09w);j3;48 0c52?1vqps4i00f>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5288n7[?=5;0x 0c42?1/9h<56:~yx=h9jh1<7*=7g82gg=Q:1;1=vB=8282Sb?2;q/=i<51b`8R4422;q/9h=56:&6a7<13twvq6sm24;94?4=83:p(?9i:cd8L7143`;9o7>5$35e>44d32e:oo4?:%04b?7dj2\94<4>{M0;7?7|^m21>v*>d382gg=Q9;?1>v*:e285?!3b:3<0qpsr;|`11c<72;0;6=u+26d9fc=O:>90e<428q]h54={%3g6?7dj2\:>84={%7f7?g<,50z&13c<5?j1C>:=4i00g>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5288o7[?=5;0x 0c42?1/9h<56:~yx=n9;o1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<513g8R4422;q/9h=56:&6a7<13twvq6a>cc83>!40n3;hn6X=8082I4?;3;pZi652z&2`7<6kk1]=?;52z&6a6<13-?n>784}|~?xd5=k0;6<4?:1y'62`=:1<0D?9<;n3`f?6=,;=m6t$35e>20<@;=87d?=d;29 71a288h7[<71;3xH7>428q]h54={%3g6?75l2\:>84={%7f7?d<,:h513a8L71b3_83=7?tL3:0>4}Ql109w)?k2;31a>P6:<09w);j3;32?!3b:3;:7psr}:m2gg<72-85}#:>l1;;5G2618m44c290/>:h513a8R7>628qG>5=51zTg{zut1b=?k50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0957c<^88>6?u+5d1954=#=l81=<5r}|8k4ee290/>:h51b`8R7>628qG>5=51zTg{zut1vn?;l:180>5<7s-82d83>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6:l1]=?;52z&6a6<13-?n>784}|~?j7dj3:1(?9i:0aa?S4?93;p@?6<:0yU`=<5s-;o>7?lb:T260<5s-?n?784$4g1>3=zutw0qo;:8;296?6=8r.9;k4mf:J136=n9;i1<7*=7g826f=2wvqp5rb47`>5<5290;w)<8f;`e?M40;2c:>n4?:%04b?75k21d=nl50;&13c<6kk1]>5?51zN1<6<6s_n36?u+1e095fd<^88>6?u+5d19e>"2m;0j7psr}:a10?=8391<7>t$35e>71d3A82e83>!40n3;9o6F=7d9U6=7=9rF94>4>{Wf;>7}#9m81=?j4V006>7}#=l91:6*:e385?x{zu2c:>h4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3826`=Q9;?1>v*:e285?!3b:3<0qpsr;n3`f?6=,;=m62481!3b;3<0(8k=:79~yx{m7>51;294~"5?o094;5G2618k4ee290/>:h51b`8?xd2=k0;6>4?:1y'62`=??1C>:=4i00g>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5288o7[?=5;0x 0c42k1/9h<5b:~yx=n9;o1<7*=7g826f=O:>o0Z?6>:0yO6=5=9r\o4744b3_;997g=#=l81n6sr}|9l5fd=83.9;k4>cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91:6*:e385?x{zu2wi9l<50;094?6|,;=m6oh4H350?l75k3:1(?9i:00`?>i6kk0;6)<8f;3`f>P5080:wA<73;3xRa>=:r.:h?4>cc9U573=:r.>i>49;%7f6?07<729q/>:h5bg9K6255$35e>4ee3_83=7?tL3:0>4}Ql109w)?k2;3`f>P6:<09w);j3;48 0c52?1vqps4}c7bg?6=:3:1N5?:1b=?m50;&13c<6:j10c:0yO6=5=9r\o474ee3_;997d=#=l81m6sr}|9~f0g429086=4?{%04b?40k2B9;>5f13f94?"5?o0:>n5G26g8R7>628qG>5=51zTg{zut1b=?k50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0957c<^88>6?u+5d192>"2m;0=7psr}:m2gg<72-8m94?:083>5}#:>l1>584H350?j7dj3:1(?9i:0aa?>{e=h?1<7=50;2x 71a2><0D?9<;h31`?6=,;=m6<P5080:wA<73;3xRa>=:r.:h?4>2e9U573=:r.>i>4m;%7f6?dh5Y13796~"2m:0i7);j2;`8yx{z3f;hn7>5$35e>4ee3_83=7?tL3:0>4}Ql109w)?k2;3`f>P6:<09w);j3;48 0c52?1vqps4}c7b3?6=<3:1N5?:1b=?j50;&13c<6:j1C>:k4V3:2>4}K:191=vXk8;0x 4b5288o7[?=5;0x 0c42880(8k=:008yx{z3`;9i7>5$35e>44d3A8i?4>2:~yx=n9:31<7*=7g826f=O:>o0Z?6>:0yO6=5=9r\o4745>3_;99744<,7?lb:T260<5s-?n?784$4g1>3=zutw0qo;n8;291?6=8r.9;k486:J136=n9;n1<7*=7g826f=O:>o0Z?6>:0yO6=5=9r\o4744c3_;997f=#=l81o6sr}|9j57c=83.9;k4>2b9K62c<^;2:6n5G26g8R7>628qG>5=51zTg44428q]h54={%3g6?73<2\:>84={%7f7?753-?n>7?=;|~y>i6kk0;6)<8f;3`f>P5080:wA<73;3xRa>=:r.:h?4>cc9U573=:r.>i>49;%7f6?00<729q/>:h5779K625n5G26g8R7>628qG>5=51zTg446*:e3826>{zut1b=9:50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e09512<^88>6?u+5d1957=#=l81=?5r}|8k4ee290/>:h51b`8R7>628qG>5=51zTg{zut1vn8on:184>5<7s-8o6:m0;6)<8f;31g>N5?l1]>5?51zN1<6<6s_n36?u+1e0957b<^88>6?u+5d19g>"2m;0h7psr}:k26`<72-83883>!40n3;9o6F=7d9U6=7=9rF94>4>{Wf;>7}#9m81=>74V006>7}#=l91o6*:e38`?x{zu2c:894?:%04b?75k2B9;h5Y29395~J50:0:w[j7:3y'5a4=9=>0Z<<::3y'1`5=9;1/9h<5139~yx{<6=4+26d957e<^;2:62481!3b;3;97);j2;31?x{zu2e:oo4?:%04b?7dj2\94<4>{M0;7?7|^m21>v*>d382gg=Q9;?1>v*:e285?!3b:3<0qpsr;|`6eg<72<0;6=u+26d95fg<@;=87d?=d;29 71a288h7[<71;3xH7>428q]h54={%3g6?75l2\:>84={%7f7?0<,:h513a8R7>628qG>5=51zTg{zut1b=>750;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0956?<^88>6?u+5d192>"2m;0=7psr}:k201<72-85$35e>4ee3_83=7?tL3:0>4}Ql109w)?k2;3`f>P6:<09w);j3;48 0c52?1vqps4}c6a5?6=:3:1N5?:1b=?m50;&13c<6:j10c:0yO6=5=9r\o474ee3_;9973=#=l81:6sr}|9~f1d229096=4?{%04b?da3A82b83>!40n3;9o65`1b`94?"5?o0:oo5Y29395~J50:0:w[j7:3y'5a4=9jh0Z<<::3y'1`5=i2.>i?4n;|~y>{ei5Y13796~"2m:0=7);j2;48yx{z3`;9i7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;31a>P6:<09w);j3;48 0c52?1vqps4o0aa>5<#:>l1=nl4V3:2>4}K:191=vXk8;0x 4b528ii7[?=5;0x 0c42?1/9h<56:~yx=zj=h86=4>:183!40n383:6F=729l5fd=83.9;k4>cc98yg2e<3:1?7>50z&13c<0>2B9;>5f13f94?"5?o0:>n5G26g8R7>628qG>5=51zTg47h5Y13796~"2m:0:=6*:e3825>{zut1d=nl50;&13c<6kk1]>5?51zN1<6<6s_n36?u+1e095fd<^88>6?u+5d192>"2m;0=7psr}:a15>=8381<7>t$35e>g`<@;=87d?=c;29 71a288h76a>cc83>!40n3;hn6X=8082I4?;3;pZi652z&2`7<6kk1]=?;52z&6a6<13-?n>784}|~?xd28m0;6;4?:1y'62`=9;k0D?9<;%37`?733`;9o7>5$35e>44d3_83=7?tL3:0>4}Q9kk1>v*>d3826f=Q9;?1>v*:e285?!3b:3<0qpsr;hf2>5<#:>l1h<5Y29395~J50:0:w[?ma;0x 4b52m;0Z<<::3y'1`5=>2.>i?49;|~y>oc:3:1(?9i:e08R7>62;qG>5=51zT2fd<5s-;o>7j=;W311?4|,{zuE83>7:tV353>6}Ql?09w);j1;f1?!5e;3?n?6sYd681!3b93;9o6*6h5rV353>6}Ql?09w);j1;f1?!5e;3?n?6sYd681!3b93;9o6*6h5rV353>6}Ql?09w);j1;f1?!5e;3?n?6sYd681!3b93n:7)=m3;7f7>{#>>?1;6sY1e396~Pc>38p(8k>:e08 6d42{zu2c9:k4?:%04b?41n2\94<4={M0;7?7|^8hj6?u+1e0963`<^88>6?u+5d1957=#=l81=?5r}|N1<7<6s_8<47i>4>2:&6a7<6:2wvqA<72;3xR71?2;q]h;4={%7f5?4182.8n>4:e29~ 3122880qps4o0aa>5<#:>l1=nl4;|`64<<72:0;6=u+26d962e<@;=87d?=d;29 71a288h7[<71;3xH7>428q]h54={%3g6?75l2\:>84={%7f7?0<,:h513a8R7>628qG>5=51zTg{zut1d=nl50;&13c<6kk1]>5?51zN1<6<6s_n36?u+1e095fd<^88>6?u+5d192>"2m;0=7psr}:a15g=83;1<7>t$35e>7>13A8cc83>!40n3;hn65rb42a>5<4290;w)<8f;55?M40;2c:>i4?:%04b?75k2B9;h5Y29395~J50:0:w[j7:3y'5a4=9;n0Z<<::3y'1`5=981/9h<5109~yx{428q]h54={%3g6?75m2\:>84={%7f7?763-?n>7?>;|~y>i6kk0;6)<8f;3`f>P5080:wA<73;3xRa>=:r.:h?4>cc9U573=:r.>i>49;%7f6?07<729q/>:h5bg9K6255$35e>4ee3_83=7?tL3:0>4}Ql109w)?k2;3`f>P6:<09w);j3;48 0c52?1vqps4}c72f?6=>3:190(<:k:068m44d290/>:h513a8R7>628qG>5=51zT2fd<5s-;o>7?=c:T260<5s-?n?784$4g1>3=zutw0ei?50;&13c{M0;7?7|^8hj6?u+1e09`4=Q9;?1>v*:e285?!3b:3<0qpsr;hf1>5<#:>l1h?5Y29396~J50:0:w[?ma;0x 4b52m80Z<<::3y'1`5=02.>i?47;|~H7>52=q]>:>53zTg2?4|,0c43t\o;744d3-9i?7;j3:'223=m2w]>:>53zTg2?4|,0c43t\o;744d3-9i?7;j2:'223=m2w]>:>53zTg2?4|,0c43t\o;7a7<,:h868k<;|&530<03t\:h<4={Wf5>7}#=l;1h?5+3c191`5i>4>2:&6a7<6:2wvqA<72;3xR71?2;q]h;4={%7f5?41n2.8n>4:e29~ 3122880qps4i343>5<#:>l1>;>4V3:2>7}K:191=vX>b`81!7c:38=<6X>2481!3b;3;97);j2;31?x{zD;29638p(8k>:343?!5e;3?n?6s+667957=zut1d=nl50;&13c<6kk10qo;>1;297?6=8r.9;k4=7b9K6252wvqp5f13g94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9;o0Z<<::3y'1`5=>2.>i?49;|~y>i6kk0;6)<8f;3`f>P5080:wA<73;3xRa>=:r.:h?4>cc9U573=:r.>i>49;%7f6?04<729q/>:h52948L7143f;hn7>5$35e>4ee32wi9<:50;194?6|,;=m6:84H350?l75l3:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?=d:T260<5s-?n?784$4g1>3=zutw0e<:0yO6=5=9r\o4744b3_;9973=#=l81:6sr}|9l5fd=83.9;k4>cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91:6*:e385?x{zu2wi9<;50;194?6|,;=m6:84H350?l75l3:1(?9i:00`?M40m2\94<4>{M0;7?7|^m21>v*>d3826a=Q9;?1>v*:e2825>"2m;0:=6sr}|9j57c=83.9;k4>2b9K62c<^;2:62481!3b;3<0(8k=:79~yx{53;294~"5?o0<:6F=729j57b=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=?j4V006>7}#=l91n6*:e38a?x{zu2c:>h4?:%04b?75k2B9;h5Y29395~J50:0:w[j7:3y'5a4=9;o0Z<<::3y'1`5=981/9h<5109~yx{2wvqp5rb434>5<4290;w)<8f;55?M40;2c:>i4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3826a=Q9;?1>v*:e28a?!3b:3h0qpsr;h31a?6=,;=m6<P5080:wA<73;3xRa>=:r.:h?4>2d9U573=:r.>i>4>1:&6a7<692wvqp5`1b`94?"5?o0:oo5Y29395~J50:0:w[j7:3y'5a4=9jh0Z<<::3y'1`5=>2.>i?49;|~y>{e=821<7:50;2x 71a2><0D?9<;h31`?6=,;=m6<2481!3b;3h0(8k=:c9~yx{n5G26g8R7>628qG>5=51zTg47428q]h54={%3g6?7dj2\:>84={%7f7?0<,50z&13c<0>2B9;>5f13f94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9;n0Z<<::3y'1`5=j2.>i?4m;|~y>o6:l0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>2d9U573=:r.>i>4m;%7f6?d{zut1d=nl50;&13c<6kk1]>5?51zN1<6<6s_n36?u+1e095fd<^88>6?u+5d192>"2m;0=7psr}:a145=83=1<7>t$35e>4ef3A82e83>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6:m1]=?;52z&6a6<13-?n>784}|~?l75m3:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?=e:T260<5s-?n?784$4g1>3=zutw0e<=6:18'62`=9;i0Z?6>:0yO6=5=9r\o4745>3_;9973=#=l81:6sr}|9j512=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=9:4V006>7}#=l91:6*:e385?x{zu2c:8:4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d38202=Q9;?1>v*:e285?!3b:3<0qpsr;h372481!3b;3<0(8k=:79~yx{2wvqp5rb402>5<5290;w)<8f;`e?M40;2c:>n4?:%04b?75k21d=nl50;&13c<6kk1]>5?51zN1<6<6s_n36?u+1e095fd<^88>6?u+5d192>"2m;0=7psr}:a173=8381<7>t$35e>g`<@;=87d?=c;29 71a288h76a>cc83>!40n3;hn6X=8082I4?;3;pZi652z&2`7<6kk1]=?;52z&6a6<13-?n>784}|~?xd2:k0;6;4?:1y'62`=9;k0D?9<;%37`?733`;9o7>5$35e>44d3_83=7?tL3:0>4}Q9kk1>v*>d3826f=Q9;?1>v*:e285?!3b:3<0qpsr;hf2>5<#:>l1h<5Y29395~J50:0:w[?ma;0x 4b52m;0Z<<::3y'1`5=>2.>i?49;|~y>oc:3:1(?9i:e08R7>62;qG>5=51zT2fd<5s-;o>7j=;W311?4|,{zuE83>7:tV353>6}Ql?09w);j1;f1?!5e;3?n?6sYd681!3b93;9o6*6h5rV353>6}Ql?09w);j1;f1?!5e;3?n?6sYd681!3b93;9o6*6h5rV353>6}Ql?09w);j1;f1?!5e;3?n?6sYd681!3b93n:7)=m3;7f7>{#>>?1;6sY1e396~Pc>38p(8k>:e08 6d42{zu2c9:k4?:%04b?41n2\94<4={M0;7?7|^8hj6?u+1e0963`<^88>6?u+5d1957=#=l81=?5r}|N1<7<6s_8<47i>4>2:&6a7<6:2wvqA<72;3xR71?2;q]h;4={%7f5?4182.8n>4:e29~ 3122880qps4o0aa>5<#:>l1=nl4;|`667<72:0;6=u+26d962e<@;=87d?=d;29 71a288h7E<8e:T1<4<6sE83?7?tVe:96~"6l;0:>i5Y13796~"2m:0=7);j2;48yx{z3`;9i7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;31a>P6:<09w);j3;48 0c52?1vqps4o0aa>5<#:>l1=nl4V3:2>4}K:191=vXk8;0x 4b528ii7[?=5;0x 0c42?1/9h<56:~yx=zj<886=4>:183!40n383:6F=729l5fd=83.9;k4>cc98yg35<3:1?7>50z&13c<0>2B9;>5f13f94?"5?o0:>n5G26g8R7>628qG>5=51zTg47h5Y13796~"2m:0:=6*:e3825>{zut1d=nl50;&13c<6kk1]>5?51zN1<6<6s_n36?u+1e095fd<^88>6?u+5d192>"2m;0=7psr}:a170=83>1<7>t$35e>20<@;=87d?=d;29 71a288h7E<8e:T1<4<6sE83?7?tVe:96~"6l;0:>i5Y13796~"2m:0:>6*:e3826>{zut1b=?k50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0957c<^88>6?u+5d1957=#=l81=?5r}|8m45>290/>:h513a8R7>628qG>5=51zTg44428q]h54={%3g6?7dj2\:>84={%7f7?0<,50z&13c<0>2B9;>5f13f94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9;n0Z<<::3y'1`5=9;1/9h<5139~yx{428q]h54={%3g6?75m2\:>84={%7f7?753-?n>7?=;|~y>o6;00;6)<8f;31g>N5?l1]>5?51zN1<6<6s_n36?u+1e0956?<^88>6?u+5d1957=#=l81=?5r}|8k4ee290/>:h51b`8R7>628qG>5=51zTg{zut1vn8<7:185>5<7s-8o6:m0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>2e9U573=:r.>i>4>2:&6a7<6:2wvqp5f13g94?"5?o0:>n5G26g8R7>628qG>5=51zTg446*:e3826>{zut1b=9:50;&13c<6:j1C>:k4V3:2>4}K:191=vXk8;0x 4b528>?7[?=5;0x 0c42880(8k=:008yx{z3`;?;7>5$35e>44d3A81]=?;52z&6a6<6:2.>i?4>2:~yx=h9jh1<7*=7g82gg=Q:1;1=vB=8282Sb?2;q/=i<51b`8R4422;q/9h=56:&6a7<13twvq6sm53;94?2=83:p(?9i:0ab?M40;2c:>i4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3826a=Q9;?1>v*:e285?!3b:3<0qpsr;h31a?6=,;=m6<2481!3b;3<0(8k=:79~yx{2wvqp5`1b`94?"5?o0:oo5Y29395~J50:0:w[j7:3y'5a4=9jh0Z<<::3y'1`5=>2.>i?49;|~y>{e9kl1<7<50;2x 71a2kl0D?9<;h31g?6=,;=m6<5}#:>l1nk5G2618m44d290/>:h513a8?j7dj3:1(?9i:0aa?S4?93;p@?6<:0yU`=<5s-;o>7?lb:T260<5s-?n?784$4g1>3=zutw0qo?l1;296?6=8r.9;k4mf:J136=n9;i1<7*=7g826f=2wvqp5rb0a6>5<5290;w)<8f;`e?M40;2c:>n4?:%04b?75k21d=nl50;&13c<6kk1]>5?51zN1<6<6s_n36?u+1e095fd<^88>6?u+5d19e>"2m;0j7psr}:a5f4=8391<7>t$35e>71d3A82e83>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6:m1]=?;52z&6a6<13-?n>784}|~?l75m3:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?=e:T260<5s-?n?784$4g1>3=zutw0c:0yO6=5=9r\o474ee3_;9973=#=l81:6sr}|9~f4e4290:6=4?{%04b?4?>2B9;>5`1b`94?"5?o0:oo54}c3`0?6=93:190cc783>6<729q/>:h5779K625428q]h54={%3g6?75l2\:>84={%7f7??<,:h513a8L71b3_83=7?tL3:0>4}Ql109w)?k2;31a>P6:<09w);j3;;8 0c5201vqps4o0aa>5<#:>l1=nl4V3:2>4}K:191=vXk8;0x 4b528ii7[?=5;0x 0c42?1/9h<56:~yx=zj;;86=4=:183!40n3hm7E<83:k26f<72-8cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91:6*:e385?x{zu2wi><950;094?6|,;=m6oh4H350?l75k3:1(?9i:00`?>i6kk0;6)<8f;3`f>P5080:wA<73;3xRa>=:r.:h?4>cc9U573=:r.>i>49;%7f6?07<729q/>:h5bg9K6255$35e>4ee3_83=7?tL3:0>4}Ql109w)?k2;3`f>P6:<09w);j3;c8 0c52h1vqps4}c020?6=;3:190e<:0yO6=5=9r\o4744c3_;9973=#=l81:6sr}|9j57c=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=?k4V006>7}#=l91:6*:e385?x{zu2e:oo4?:%04b?7dj2\94<4>{M0;7?7|^m21>v*>d382gg=Q9;?1>v*:e285?!3b:3<0qpsr;|`150<7280;6=u+26d96=0<@;=87b?lb;29 71a28ii76sm20494?5=83:p(?9i:648L7143`;9h7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;31`>P6:<09w);j3;48 0c52?1vqps4i00f>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5288n7[?=5;0x 0c42?1/9h<56:~yx=h9jh1<7*=7g82gg=Q:1;1=vB=8282Sb?2;q/=i<51b`8R4422;q/9h=56:&6a7<13twvq6sm20:94?0=83:p(?9i:648L7143`;9h7>5$35e>44d3A87m4}|~?l75m3:1(?9i:00`?M40m2\94<4>{M0;7?7|^m21>v*>d3826`=Q9;?1>v*:e28`?!3b:3i0qpsr;h30=?6=,;=m6<P5080:wA<73;3xRa>=:r.:h?4>389U573=:r.>i>4l;%7f6?e6*:e3826>{zut1b=9950;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e09511<^88>6?u+5d1957=#=l81=?5r}|8k4ee290/>:h51b`8R7>628qG>5=51zTg{zut1vn??6:185>5<7s-8o6:m0;6)<8f;31g>N5?l1]>5?51zN1<6<6s_n36?u+1e0957b<^88>6?u+5d19g>"2m;0h7psr}:k26`<72-83883>!40n3;9o6F=7d9U6=7=9rF94>4>{Wf;>7}#9m81=>74V006>7}#=l91o6*:e38`?x{zu2c:894?:%04b?75k2B9;h5Y29395~J50:0:w[j7:3y'5a4=9=>0Z<<::3y'1`5=9;1/9h<5139~yx{<6=4+26d957e<^;2:62481!3b;3<0(8k=:79~yx{56;294~"5?o0<:6F=729j57b=83.9;k4>2b9K62c<^;2:6n5G26g8R7>628qG>5=51zTg{zut1b=>750;&13c<6:j1C>:k4V3:2>4}K:191=vXk8;0x 4b528927[?=5;0x 0c42j1/9h<5c:~yx=n9=>1<7*=7g826f=O:>o0Z?6>:0yO6=5=9r\o474233_;99744<,7?;7:T260<5s-?n?7?=;%7f6?753twvq6a>cc83>!40n3;hn6X=8082I4?;3;pZi652z&2`7<6kk1]=?;52z&6a6<13-?n>784}|~?xd59k0;6;4?:1y'62`=??1C>:=4i00g>5<#:>l1=?m4H35f?S4?93;p@?6<:0yU`=<5s-;o>7?=d:T260<5s-?n?7m4$4g1>f=zutw0e<2481!3b;3i0(8k=:b9~yx{428q]h54={%3g6?7412\:>84={%7f7?e<,:h513a8L71b3_83=7?tL3:0>4}Ql109w)?k2;370>P6:<09w);j3;31?!3b:3;97psr}:k202<72-86*:e3826>{zut1d=nl50;&13c<6kk1]>5?51zN1<6<6s_n36?u+1e095fd<^88>6?u+5d192>"2m;0=7psr}:a64e=83=1<7>t$35e>20<@;=87d?=d;29 71a288h7E<8e:T1<4<6sE83?7?tVe:96~"6l;0:>i5Y13796~"2m:0h7);j2;a8yx{z3`;9i7>5$35e>44d3A87m4}|~?l7413:1(?9i:00`?M40m2\94<4>{M0;7?7|^m21>v*>d3827<=Q9;?1>v*:e28`?!3b:3i0qpsr;h370?6=,;=m6<P5080:wA<73;3xRa>=:r.:h?4>459U573=:r.>i>4>2:&6a7<6:2wvqp5f15594?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9==0Z<<::3y'1`5=9;1/9h<5139~yx{36=4+26d957e<^;2:62481!3b;3<0(8k=:79~yx{56;294~"5?o0:ol5G2618m44c290/>:h513a8R7>628qG>5=51zTg{zut1b=?k50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0957c<^88>6?u+5d192>"2m;0=7psr}:k27<<72-85$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;370>P6:<09w);j3;48 0c52?1vqps4i064>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b528><7[?=5;0x 0c42?1/9h<56:~yx=h9jh1<7*=7g82gg=Q:1;1=vB=8282Sb?2;q/=i<51b`8R4422;q/9h=56:&6a7<13twvq6sm4c:94?4=83:p(?9i:cd8L7143`;9o7>5$35e>44d32e:oo4?:%04b?7dj2\94<4>{M0;7?7|^m21>v*>d382gg=Q9;?1>v*:e285?!3b:3<0qpsr;|`41?6=:3:1N5?:1b=?m50;&13c<6:j10c:0yO6=5=9r\o474ee3_;9973=#=l81:6sr}|9~f1da290=6=4?{%04b?75i2B9;>5+15f950=n9;i1<7*=7g826f=Q:1;1=vB=8282S7ei38p(2wvqp5fd083>!40n3n:7[<71;3xH7>428q]=oo52z&2`784={%7f7?0<,7}#9m81h?5Y13796~"2m:037);j2;:8yx{K:1818vX=7180Sb12;q/9h?5d39'7g5==l90q[j8:3y'1`7=9;i0(>l<:4g0?x"1?<0n7pX=7180Sb12;q/9h?5d39'7g5==l90q[j8:3y'1`7=9;i0(>l<:4g1?x"1?<0n7pX=7180Sb12;q/9h?5d39'7g5==l90q[j8:3y'1`7=l81/?o=55d18y!00=3=0q[?k1;0xRa0=:r.>i<4k2:&0f6<2m:1v(;9::018yx{P6:<09w);j3;31?!3b:3;97psrL3:1>4}Q:>21>vXk6;0x 0c62;{#>>?1=?5r}|9j636=83.9;k4=619U6=7=:rF94>4>{W3ae?4|,8n96?8?;W311?4|,445<51zT13=<5s_n=6?u+5d39636<,:h868k<;|&530<6:2wvq6a>cc83>!40n3;hn65rb5`:>5<4290;w)<8f;04g>N5?:1b=?j50;&13c<6:j1C>:k4V3:2>4}K:191=vXk8;0x 4b5288o7[?=5;0x 0c42?1/9h<56:~yx=n9;o1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<513g8R4422;q/9h=56:&6a7<13twvq6a>cc83>!40n3;hn6X=8082I4?;3;pZi652z&2`7<6kk1]=?;52z&6a6<13-?n>784}|~?xd3jh0;6<4?:1y'62`=:1<0D?9<;n3`f?6=,;=m6t$35e>20<@;=87d?=d;29 71a288h7[<71;3xH7>428q]h54={%3g6?75l2\:>84={%7f7?763-?n>7?>;|~y>o6:l0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>2d9U573=:r.>i>4>1:&6a7<692wvqp5`1b`94?"5?o0:oo5Y29395~J50:0:w[j7:3y'5a4=9jh0Z<<::3y'1`5=>2.>i?49;|~y>{e<0D?9<;h31`?6=,;=m6<P5080:wA<73;3xRa>=:r.:h?4>2e9U573=:r.>i>4>1:&6a7<692wvqp5f13g94?"5?o0:>n5G26g8R7>628qG>5=51zTg47428q]h54={%3g6?7dj2\:>84={%7f7?0<,50z&13c<6kh1C>:=4i00g>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5288o7[?=5;0x 0c42?1/9h<56:~yx=n9;o1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<513g8R4422;q/9h=56:&6a7<13twvq6a>cc83>!40n3;hn6X=8082I4?;3;pZi652z&2`7<6kk1]=?;52z&6a6<13-?n>784}|~?xd3l=0;6?4?:1y'62`=jo1C>:=4i00`>5<#:>l1=?m4;n3`f?6=,;=m62481!3b;3<0(8k=:79~yx{cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91:6*:e385?x{zu2wi8i650;094?6|,;=m6oh4H350?l75k3:1(?9i:00`?>i6kk0;6)<8f;3`f>P5080:wA<73;3xRa>=:r.:h?4>cc9U573=:r.>i>49;%7f6?03<729q/>:h513c8L7143-;?h7?:;h31g?6=,;=m6<n5Y13796~"2m:0=7);j2;48yx{z3`n:6=4+26d9`4=Q:1;1=vB=8282S7ei38p(:3yO6=5=9r\:nl4={%3g6?b53_;997==#=l8146sr}M0;6?2|^;=;6>uYd781!3b93n97)=m3;7f7>{Ql>09w);j1;31g>"4j:0>i>5r$756>`=z^;=;6>uYd781!3b93n97)=m3;7f7>{Ql>09w);j1;31g>"4j:0>i?5r$756>`=z^;=;6>uYd781!3b93n97)=m3;7f7>{Ql>09w);j1;f2?!5e;3?n?6s+66793>{Q9m;1>vXk6;0x 0c62m80(>l<:4g0?x"1?<0:?6sr}:k12c<72-87}#9m81>;h4V006>7}#=l91=?5+5d0957=zutF94?4>{W04v*:e0812c=#;k919h=4}%441?753twv7d<90;29 71a2;<;7[<71;0xH7>428q]=oo52z&2`7<5>91]=?;52z&6a6<6:2.>i?4>2:~yI4?:3;pZ?97:3yU`3<5s-?n=7<90:&0f6<2m:1v(;9::008yx{5}#:>l1>:m4H350?l75l3:1(?9i:00`?M40m2\94<4>{M0;7?7|^m21>v*>d3826a=Q9;?1>v*:e285?!3b:3<0qpsr;h31a?6=,;=m6<2481!3b;3<0(8k=:79~yx{2wvqp5rb5f5>5<6290;w)<8f;0;2>N5?:1d=nl50;&13c<6kk10qo:k7;297?6=8r.9;k486:J136=n9;n1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<513f8R4422;q/9h=56:&6a7<13twvq6g>2d83>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6:l1]=?;52z&6a6<13-?n>784}|~?j7dj3:1(?9i:0aa?S4?93;p@?6<:0yU`=<5s-;o>7?lb:T260<5s-?n?784$4g1>3=zutw0qo:k9;290?6=8r.9;k486:J136=n9;n1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<513f8R4422;q/9h=5c:&6a72d83>!40n3;9o6F=7d9U6=7=9rF94>4>{Wf;>7}#9m81=?k4V006>7}#=l91o6*:e38`?x{zu2c:?44?:%04b?75k2B9;h5Y29395~J50:0:w[j7:3y'5a4=9:30Z<<::3y'1`5=9;1/9h<5139~yx{2wvqp5rb5fb>5<3290;w)<8f;55?M40;2c:>i4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3826a=Q9;?1>v*:e28`?!3b:3i0qpsr;h31a?6=,;=m6<P5080:wA<73;3xRa>=:r.:h?4>2d9U573=:r.>i>4l;%7f6?e6*:e3826>{zut1d=nl50;&13c<6kk1]>5?51zN1<6<6s_n36?u+1e095fd<^88>6?u+5d192>"2m;0=7psr}:a0ad=83>1<7>t$35e>20<@;=87d?=d;29 71a288h7[<71;3xH7>428q]h54={%3g6?75l2\:>84={%7f7?e<,:h513a8L71b3_83=7?tL3:0>4}Ql109w)?k2;31a>P6:<09w);j3;a8 0c52j1vqps4i01:>5<#:>l1=?m4H35f?S4?93;p@?6<:0yU`=<5s-;o>7?<9:T260<5s-?n?7?=;%7f6?753twvq6a>cc83>!40n3;hn6X=8082I4?;3;pZi652z&2`7<6kk1]=?;52z&6a6<13-?n>784}|~?xd3lj0;694?:1y'62`=??1C>:=4i00g>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5288o7[?=5;0x 0c42j1/9h<5c:~yx=n9;o1<7*=7g826f=O:>o0Z?6>:0yO6=5=9r\o4744b3_;997f=#=l81o6sr}|9j56?=83.9;k4>2b9K62c<^;2:62481!3b;3<0(8k=:79~yx{oh7>54;294~"5?o0<:6F=729j57b=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=?j4V006>7}#=l91o6*:e38`?x{zu2c:>h4?:%04b?75k2B9;h5Y29395~J50:0:w[j7:3y'5a4=9;o0Z<<::3y'1`5=k2.>i?4l;|~y>o6;00;6)<8f;31g>N5?l1]>5?51zN1<6<6s_n36?u+1e0956?<^88>6?u+5d1957=#=l81=?5r}|8k4ee290/>:h51b`8R7>628qG>5=51zTg{zut1vn9jj:185>5<7s-82d83>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6:l1]=?;52z&6a6<13-?n>784}|~?l7413:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?<9:T260<5s-?n?784$4g1>3=zutw0e<:;:18'62`=9;i0Z?6>:0yO6=5=9r\o474233_;9973=#=l81:6sr}|9j511=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=994V006>7}#=l91:6*:e385?x{zu2e:oo4?:%04b?7dj2\94<4>{M0;7?7|^m21>v*>d382gg=Q9;?1>v*:e285?!3b:3<0qpsr;|`66`<72;0;6=u+26d9fc=O:>90e<428q]h54={%3g6?7dj2\:>84={%7f7?0<,7>50z&13c5f13a94?"5?o0:>n54o0aa>5<#:>l1=nl4V3:2>4}K:191=vXk8;0x 4b528ii7[?=5;0x 0c42h1/9h<5a:~yx=zj<8m6=4<:183!40n382b9K62c<^;2:62wvqp5f13g94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9;o0Z<<::3y'1`5=>2.>i?49;|~y>i6kk0;6)<8f;3`f>P5080:wA<73;3xRa>=:r.:h?4>cc9U573=:r.>i>49;%7f6?04<729q/>:h52948L7143f;hn7>5$35e>4ee32wi9><50;694?6|,;=m6:84H350?l75l3:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?=d:T260<5s-?n?7l4$4g1>g=zutw0e<:0yO6=5=9r\o4744b3_;997g=#=l81n6sr}|9j56?=83.9;k4>2b9K62c<^;2:62.>i?49;|~y>{e=:91<7;50;2x 71a2><0D?9<;h31`?6=,;=m6<2481!3b;3h0(8k=:c9~yx{n5Y29395~J50:0:w[j7:3y'5a4=9:30Z<<::3y'1`5=j2.>i?4m;|~y>o6<=0;6)<8f;31g>N5?l1]>5?51zN1<6<6s_n36?u+1e09512<^88>6?u+5d19f>"2m;0i7psr}:m2gg<72-8?94?:483>5}#:>l1;;5G2618m44c290/>:h513a8R7>628qG>5=51zTg{zut1b=?k50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0957c<^88>6?u+5d19f>"2m;0i7psr}:k27<<72-85$35e>44d3A87l4}|~?j7dj3:1(?9i:0aa?S4?93;p@?6<:0yU`=<5s-;o>7?lb:T260<5s-?n?784$4g1>3=zutw0qo;<1;290?6=8r.9;k4>c`9K6252wvqp5f13g94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9;o0Z<<::3y'1`5=>2.>i?49;|~y>o6;00;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>389U573=:r.>i>49;%7f6?0428q]h54={%3g6?7dj2\:>84={%7f7?0<,7>50z&13c5f13a94?"5?o0:>n54o0aa>5<#:>l1=nl4V3:2>4}K:191=vXk8;0x 4b528ii7[?=5;0x 0c42?1/9h<56:~yx=zj<9n6=4=:183!40n3hm7E<83:k26f<72-8cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91m6*:e38b?x{zu2wi9>750;194?6|,;=m6?9l;I047>o6:m0;6)<8f;31g>N5?l1]>5?51zN1<6<6s_n36?u+1e0957b<^88>6?u+5d192>"2m;0=7psr}:k26`<72-8h5Y13796~"2m:0=7);j2;48yx{z3f;hn7>5$35e>4ee3_83=7?tL3:0>4}Ql109w)?k2;3`f>P6:<09w);j3;48 0c52?1vqps4}c70e?6=93:190c6<729q/>:h5779K625428q]h54={%3g6?75l2\:>84={%7f7?763-?n>7?>;|~y>o6:l0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>2d9U573=:r.>i>4>1:&6a7<692wvqp5`1b`94?"5?o0:oo5Y29395~J50:0:w[j7:3y'5a4=9jh0Z<<::3y'1`5=>2.>i?49;|~y>{e=:n1<7;50;2x 71a2><0D?9<;h31`?6=,;=m6<P5080:wA<73;3xRa>=:r.:h?4>2e9U573=:r.>i>4>1:&6a7<692wvqp5f13g94?"5?o0:>n5G26g8R7>628qG>5=51zTg47{zut1b=9:50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e09512<^88>6?u+5d1954=#=l81=<5r}|8k4ee290/>:h51b`8R7>628qG>5=51zTg{zut1vn8=m:180>5<7s-82d83>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6:l1]=?;52z&6a6<13-?n>784}|~?j7dj3:1(?9i:0aa?S4?93;p@?6<:0yU`=<5s-;o>7?lb:T260<5s-?n?784$4g1>3=zutw0qo;:f;296?6=8r.9;k4mf:J136=n9;i1<7*=7g826f=2wvqp5rb440>5<5290;w)<8f;`e?M40;2c:>n4?:%04b?75k21d=nl50;&13c<6kk1]>5?51zN1<6<6s_n36?u+1e095fd<^88>6?u+5d192>"2m;0=7psr}:a13>=8381<7>t$35e>g`<@;=87d?=c;29 71a288h76a>cc83>!40n3;hn6X=8082I4?;3;pZi652z&2`7<6kk1]=?;52z&6a67o4}|~?xd2>90;6>4?:1y'62`=:>i0D?9<;h31`?6=,;=m6<P5080:wA<73;3xRa>=:r.:h?4>2e9U573=:r.>i>49;%7f6?0428q]h54={%3g6?75m2\:>84={%7f7?0<,:h51b`8R7>628qG>5=51zTg{zut1vn88>:182>5<7s-87>55;294~"5?o0<:6F=729j57b=83.9;k4>2b9K62c<^;2:6n5G26g8R7>628qG>5=51zTg{zut1b=>750;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0956?<^88>6?u+5d1954=#=l81=<5r}|8m423290/>:h513a8L71b3_83=7?tL3:0>4}Ql109w)?k2;370>P6:<09w);j3;`8 0c52k1vqps4o0aa>5<#:>l1=nl4V3:2>4}K:191=vXk8;0x 4b528ii7[?=5;0x 0c42?1/9h<56:~yx=zj<i5Y13796~"2m:0:>6*:e3826>{zut1b=?k50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0957c<^88>6?u+5d1957=#=l81=?5r}|8k4ee290/>:h51b`8R7>628qG>5=51zTg{zut1vn88::180>5<7s-8o6:m0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>2e9U573=:r.>i>4>2:&6a7<6:2wvqp5f13g94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9;o0Z<<::3y'1`5=9;1/9h<5139~yx{2wvqp5rb445>5<1290;w)<8f;55?M40;2c:>i4?:%04b?75k2B9;h5Y29395~J50:0:w[j7:3y'5a4=9;n0Z<<::3y'1`5=k2.>i?4l;|~y>o6:l0;6)<8f;31g>N5?l1]>5?51zN1<6<6s_n36?u+1e0957c<^88>6?u+5d19g>"2m;0h7psr}:k27<<72-84583>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6<=1]=?;52z&6a6<6:2.>i?4>2:~yx=n9==1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<51558R4422;q/9h=5139'1`4=9;1vqps4o0aa>5<#:>l1=nl4V3:2>4}K:191=vXk8;0x 4b528ii7[?=5;0x 0c42?1/9h<56:~yx=zj<<<6=4;:183!40n3;hm6F=729j57b=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=?j4V006>7}#=l91:6*:e385?x{zu2c:>h4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3826`=Q9;?1>v*:e285?!3b:3<0qpsr;h30=?6=,;=m6<2481!3b;3<0(8k=:79~yx{2wvqp5rb44a>5<5290;w)<8f;`e?M40;2c:>n4?:%04b?75k21d=nl50;&13c<6kk1]>5?51zN1<6<6s_n36?u+1e095fd<^88>6?u+5d192>"2m;0=7psr}:a13`=8381<7>t$35e>g`<@;=87d?=c;29 71a288h76a>cc83>!40n3;hn6X=8082I4?;3;pZi652z&2`7<6kk1]=?;52z&6a6<13-?n>784}|~?xd2??0;6?4?:1y'62`=jo1C>:=4i00`>5<#:>l1=?m4;n3`f?6=,;=m62481!3b;3k0(8k=:`9~yx{53;294~"5?o09;n5G2618m44c290/>:h513a8L71b3_83=7?tL3:0>4}Ql109w)?k2;31`>P6:<09w);j3;48 0c52?1vqps4i00f>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5288n7[?=5;0x 0c42?1/9h<56:~yx=h9jh1<7*=7g82gg=Q:1;1=vB=8282Sb?2;q/=i<51b`8R4422;q/9h=56:&6a7<13twvq6sm57f94?7=83:p(?9i:3:5?M40;2e:oo4?:%04b?7dj21vn88j:180>5<7s-8o6:m0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>2e9U573=:r.>i>49;%7f6?0428q]h54={%3g6?75m2\:>84={%7f7?0<,:h51b`8R7>628qG>5=51zTg{zut1vn89?:18:>5<7s-8o6:m0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>2e9U573=:r.>i>4l;%7f6?e428q]h54={%3g6?75m2\:>84={%7f7?e<,290/>:h513a8L71b3_83=7?tL3:0>4}Ql109w)?k2;30=>P6:<09w);j3;a8 0c52j1vqps4i067>5<#:>l1=?m4H35f?S4?93;p@?6<:0yU`=<5s-;o>7?;4:T260<5s-?n?7m4$4g1>f=zutw0e<:8:18'62`=9;i0D?9j;W0;5?7|D;2862481!3b;3;97);j2;31?x{zu2c:854?:%04b?75k2B9;h5Y29395~J50:0:w[j7:3y'5a4=9=20Z<<::3y'1`5=9;1/9h<5139~yx{26=4+26d957e<@;=n7[<71;3xH7>428q]h54={%3g6?7312\:>84={%7f7?753-?n>7?=;|~y>o6P5080:wA<73;3xRa>=:r.:h?4>4`9U573=:r.>i>4>2:&6a7<6:2wvqp5`1b`94?"5?o0:oo5Y29395~J50:0:w[j7:3y'5a4=9jh0Z<<::3y'1`5=>2.>i?49;|~y>{e=>;1<7750;2x 71a2><0D?9<;h31`?6=,;=m6<2481!3b;3i0(8k=:b9~yx{n5G26g8R7>628qG>5=51zTg{zut1b=9:50;&13c<6:j1C>:k4V3:2>4}K:191=vXk8;0x 4b528>?7[?=5;0x 0c42880(8k=:008yx{z3`;?;7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;373>P6:<09w);j3;31?!3b:3;97psr}:k20=<72-85<#:>l1=?m4H35f?S4?93;p@?6<:0yU`=<5s-;o>7?;9:T260<5s-?n?7?=;%7f6?753twvq6g>4`83>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6i?4>2:~yx=h9jh1<7*=7g82gg=Q:1;1=vB=8282Sb?2;q/=i<51b`8R4422;q/9h=56:&6a7<13twvq6sm56094??=83:p(?9i:648L7143`;9h7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;31`>P6:<09w);j3;a8 0c52j1vqps4i00f>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5288n7[?=5;0x 0c42j1/9h<5c:~yx=n9:31<7*=7g826f=O:>o0Z?6>:0yO6=5=9r\o4745>3_;997f=#=l81o6sr}|9j512=83.9;k4>2b9K62c<^;2:6n5G26g8R7>628qG>5=51zTg446*:e3826>{zut1b=9750;&13c<6:j1C>:k4V3:2>4}K:191=vXk8;0x 4b528>27[?=5;0x 0c42880(8k=:008yx{z3`;?m7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;37e>P6:<09w);j3;31?!3b:3;97psr}:m2gg<72-8;>4?:883>5}#:>l1;;5G2618m44c290/>:h513a8R7>628qG>5=51zTg{zut1b=?k50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0957c<^88>6?u+5d19g>"2m;0h7psr}:k27<<72-84583>!40n3;9o6F=7d9U6=7=9rF94>4>{Wf;>7}#9m81=9:4V006>7}#=l91=?5+5d0957=zutw0e<:8:18'62`=9;i0Z?6>:0yO6=5=9r\o474203_;99744<,{M0;7?7|^m21>v*>d3820==Q9;?1>v*:e2826>"2m;0:>6sr}|9j51?=83.9;k4>2b9K62c<^;2:62481!3b;3;97);j2;31?x{zu2e:oo4?:%04b?7dj2\94<4>{M0;7?7|^m21>v*>d382gg=Q9;?1>v*:e285?!3b:3<0qpsr;|`631<7200;6=u+26d933=O:>90e<:0yO6=5=9r\o4744c3_;997f=#=l81o6sr}|9j57c=83.9;k4>2b9K62c<^;2:6n5G26g8R7>628qG>5=51zTg{zut1b=9:50;&13c<6:j1C>:k4V3:2>4}K:191=vXk8;0x 4b528>?7[?=5;0x 0c42880(8k=:008yx{z3`;?;7>5$35e>44d3A81]=?;52z&6a6<6:2.>i?4>2:~yx=n9=21<7*=7g826f=O:>o0Z?6>:0yO6=5=9r\o4742?3_;99744<,7?;9:T260<5s-?n?7?=;%7f6?753twvq6g>4`83>!40n3;9o6F=7d9U6=7=9rF94>4>{Wf;>7}#9m81=9o4V006>7}#=l91=?5+5d0957=zutw0c:0yO6=5=9r\o474ee3_;9973=#=l81:6sr}|9~f012290=6=4?{%04b?7di2B9;>5f13f94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9;n0Z<<::3y'1`5=>2.>i?49;|~y>o6:l0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>2d9U573=:r.>i>49;%7f6?0428q]h54={%3g6?7412\:>84={%7f7?0<,:h513a8R7>628qG>5=51zTg{zut1b=9950;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e09511<^88>6?u+5d192>"2m;0=7psr}:m2gg<72-8594?:383>5}#:>l1nk5G2618m44d290/>:h513a8?j7dj3:1(?9i:0aa?S4?93;p@?6<:0yU`=<5s-;o>7?lb:T260<5s-?n?784$4g1>3=zutw0qo;6a;296?6=8r.9;k4mf:J136=n9;i1<7*=7g826f=2wvqp5rb4;e>5<5290;w)<8f;`e?M40;2c:>n4?:%04b?75k21d=nl50;&13c<6kk1]>5?51zN1<6<6s_n36?u+1e095fd<^88>6?u+5d19e>"2m;0j7psr}:a1<3=8391<7>t$35e>71d3A82e83>!40n3;9o6F=7d9U6=7=9rF94>4>{Wf;>7}#9m81=?j4V006>7}#=l91:6*:e385?x{zu2c:>h4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3826`=Q9;?1>v*:e285?!3b:3<0qpsr;n3`f?6=,;=m62481!3b;3<0(8k=:79~yx{51;294~"5?o094;5G2618k4ee290/>:h51b`8?xd2110;684?:1y'62`=??1C>:=4i00g>5<#:>l1=?m4H35f?S4?93;p@?6<:0yU`=<5s-;o>7?=d:T260<5s-?n?7l4$4g1>g=zutw0e<:0yO6=5=9r\o4744b3_;997g=#=l81n6sr}|9j56?=83.9;k4>2b9K62c<^;2:6n5G26g8R7>628qG>5=51zTg{zut1d=nl50;&13c<6kk1]>5?51zN1<6<6s_n36?u+1e095fd<^88>6?u+5d192>"2m;0=7psr}:a1t$35e>20<@;=87d?=d;29 71a288h7E<8e:T1<4<6sE83?7?tVe:96~"6l;0:>i5Y13796~"2m:0i7);j2;`8yx{z3`;9i7>5$35e>44d3A87l4}|~?l7413:1(?9i:00`?M40m2\94<4>{M0;7?7|^m21>v*>d3827<=Q9;?1>v*:e28a?!3b:3h0qpsr;h370?6=,;=m6<P5080:wA<73;3xRa>=:r.:h?4>459U573=:r.>i>4>1:&6a7<692wvqp5`1b`94?"5?o0:oo5Y29395~J50:0:w[j7:3y'5a4=9jh0Z<<::3y'1`5=>2.>i?49;|~y>{e=0=1<7=50;2x 71a28ij7E<83:k26a<72-8i5Y13796~"2m:0=7);j2;48yx{z3`;9i7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;31a>P6:<09w);j3;48 0c52?1vqps4o0aa>5<#:>l1=nl4V3:2>4}K:191=vXk8;0x 4b528ii7[?=5;0x 0c42?1/9h<56:~yx=zj<3i6=4=1;294~"5?o0<:6F=729j57b=83.9;k4>2b9K62c<^;2:6n5G26g8R7>628qG>5=51zTg{zut1b=>750;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0956?<^88>6?u+5d19g>"2m;0h7psr}:k201<72-85$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;373>P6:<09w);j3;a8 0c52j1vqps4i06;>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b528>37[?=5;0x 0c42j1/9h<5c:~yx=n9=31<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<515;8R4422;q/9h=5c:&6a74`83>!40n3;9o6X=8082I4?;3;pZi652z&2`7<67m4}|~?l73j3:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?;b:T260<5s-?n?7m4$4g1>f=zutw0e<:l:18'62`=9;i0Z?6>:0yO6=5=9r\o4742d3_;997f=#=l81o6sr}|9j57`=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=?h4V006>7}#=l91o6*:e38`?x{zu2c:?=4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d38275=Q9;?1>v*:e28`?!3b:3i0qpsr;h305?6=,;=m6<2481!3b;3i0(8k=:b9~yx{n5G26g8R7>628qG>5=51zTg{zut1b=>:50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e09562<^88>6?u+5d19g>"2m;0h7psr}:k270<72-86*:e3826>{zut1b=>850;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e09560<^88>6?u+5d1957=#=l81=?5r}|8m450290/>:h513a8L71b3_83=7?tL3:0>4}Ql109w)?k2;303>P6:<09w);j3;31?!3b:3;97psr}:k27=<72-86*:e3826>{zut1b=>o50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0956g<^88>6?u+5d192>"2m;0=7psr}:k27g<72-85$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;30g>P6:<09w);j3;48 0c52?1vqps4i01g>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5289o7[?=5;0x 0c42?1/9h<56:~yx=n9:o1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<512g8R4422;q/9h=56:&6a7<13twvq6g>3g83>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6;o1]=?;52z&6a6<13-?n>784}|~?l7383:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?;0:T260<5s-?n?784$4g1>3=zutw0e<:>:18'62`=9;i0Z?6>:0yO6=5=9r\o474263_;9973=#=l81:6sr}|9j514=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=9<4V006>7}#=l91:6*:e385?x{zu2c:8>4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d38206=Q9;?1>v*:e285?!3b:3<0qpsr;h371?6=,;=m6<2481!3b;3<0(8k=:79~yx{=6=4+26d957e<^;2:62wvqp5`1b`94?"5?o0:oo5Y29395~J50:0:w[j7:3y'5a4=9jh0Z<<::3y'1`5=>2.>i?49;|~y>{e=0i1<7<>:183!40n3==7E<83:k26a<72-82d83>!40n3;9o6F=7d9U6=7=9rF94>4>{Wf;>7}#9m81=?k4V006>7}#=l91o6*:e38`?x{zu2c:?44?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3827<=Q9;?1>v*:e28`?!3b:3i0qpsr;h370?6=,;=m6<2481!3b;3i0(8k=:b9~yx{<6=4+26d957e<^;2:6n5Y29395~J50:0:w[j7:3y'5a4=9=20Z<<::3y'1`5=k2.>i?4l;|~y>o6<00;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>489U573=:r.>i>4l;%7f6?e428q]h54={%3g6?73i2\:>84={%7f7?e<,:h513a8R7>628qG>5=51zTg{zut1b=9m50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0951e<^88>6?u+5d19g>"2m;0h7psr}:k26c<72-83183>!40n3;9o6F=7d9U6=7=9rF94>4>{Wf;>7}#9m81=>>4V006>7}#=l91o6*:e38`?x{zu2c:?<4?:%04b?75k2B9;h5Y29395~J50:0:w[j7:3y'5a4=9:;0Z<<::3y'1`5=k2.>i?4l;|~y>o6;;0;6)<8f;31g>N5?l1]>5?51zN1<6<6s_n36?u+1e09564<^88>6?u+5d19g>"2m;0h7psr}:k276<72-83583>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6;=1]=?;52z&6a67m4}|~?l74=3:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?<5:T260<5s-?n?7?=;%7f6?753twvq6g>3783>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6;?1]=?;52z&6a6<6:2.>i?4>2:~yx=n9:=1<7*=7g826f=O:>o0Z?6>:0yO6=5=9r\o474503_;99744<,7?<8:T260<5s-?n?7?=;%7f6?753twvq6g>3`83>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6;h1]=?;52z&6a6<13-?n>784}|~?l74j3:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?3=zutw0e<=l:18'62`=9;i0Z?6>:0yO6=5=9r\o4745d3_;9973=#=l81:6sr}|9j56b=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=>j4V006>7}#=l91:6*:e385?x{zu2c:?h4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3827`=Q9;?1>v*:e285?!3b:3<0qpsr;h30b?6=,;=m6<2481!3b;3<0(8k=:79~yx{;6=4+26d957e<^;2:62wvqp5f15394?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9=;0Z<<::3y'1`5=>2.>i?49;|~y>o6<;0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>439U573=:r.>i>49;%7f6?0428q]h54={%3g6?73;2\:>84={%7f7?0<,:h513a8R7>628qG>5=51zTg{zut1b=9850;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e09510<^88>6?u+5d192>"2m;0=7psr}:m2gg<72-85i4?:3394?6|,;=m6:84H350?l75l3:1(?9i:00`?M40m2\94<4>{M0;7?7|^m21>v*>d3826a=Q9;?1>v*:e28`?!3b:3i0qpsr;h31a?6=,;=m6<P5080:wA<73;3xRa>=:r.:h?4>2d9U573=:r.>i>4l;%7f6?e428q]h54={%3g6?7412\:>84={%7f7?e<,:h513a8R7>628qG>5=51zTg{zut1b=9950;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e09511<^88>6?u+5d19g>"2m;0h7psr}:k20=<72-85$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;37=>P6:<09w);j3;a8 0c52j1vqps4i06b>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b528>j7[?=5;0x 0c42j1/9h<5c:~yx=n9=h1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<515`8R4422;q/9h=5c:&6a74b83>!40n3;9o6X=8082I4?;3;pZi652z&2`7<67m4}|~?l75n3:1(?9i:00`?M40m2\94<4>{M0;7?7|^m21>v*>d3826c=Q9;?1>v*:e28`?!3b:3i0qpsr;h304?6=,;=m6<P5080:wA<73;3xRa>=:r.:h?4>319U573=:r.>i>4l;%7f6?e7>5$35e>44d3A87m4}|~?l74;3:1(?9i:00`?M40m2\94<4>{M0;7?7|^m21>v*>d38276=Q9;?1>v*:e28`?!3b:3i0qpsr;h300?6=,;=m6<2481!3b;3i0(8k=:b9~yx{6=4+26d957e<^;2:62481!3b;3;97);j2;31?x{zu2c:?:4?:%04b?75k2B9;h5Y29395~J50:0:w[j7:3y'5a4=9:=0Z<<::3y'1`5=9;1/9h<5139~yx{2481!3b;3<0(8k=:79~yx{2wvqp5f12a94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9:i0Z<<::3y'1`5=>2.>i?49;|~y>o6;m0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>3e9U573=:r.>i>49;%7f6?0428q]h54={%3g6?74m2\:>84={%7f7?0<,:h513a8R7>628qG>5=51zTg{zut1b=9>50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e09516<^88>6?u+5d192>"2m;0=7psr}:k204<72-87>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;376>P6:<09w);j3;48 0c52?1vqps4i060>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b528>87[?=5;0x 0c42?1/9h<56:~yx=n9=?1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<51578R4422;q/9h=56:&6a7<13twvq6g>4783>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6784}|~?j7dj3:1(?9i:0aa?S4?93;p@?6<:0yU`=<5s-;o>7?lb:T260<5s-?n?784$4g1>3=zutw0qo;6e;290?6=8r.9;k4>c`9K6252wvqp5f13g94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9;o0Z<<::3y'1`5=>2.>i?49;|~y>o6;00;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>389U573=:r.>i>49;%7f6?0428q]h54={%3g6?7dj2\:>84={%7f7?0<,2b83>!40n3;9o65`1b`94?"5?o0:oo5Y29395~J50:0:w[j7:3y'5a4=9jh0Z<<::3y'1`5=>2.>i?49;|~y>{ekk0;6?4?:1y'62`=jo1C>:=4i00`>5<#:>l1=?m4;n3`f?6=,;=m62481!3b;3k0(8k=:`9~yx{2b9U6=7=9rF94>4>{Wf;>7}#9m81=?j4V006>7}#=l91:6*:e385?x{zu2c:>h4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3826`=Q9;?1>v*:e285?!3b:3<0qpsr;n3`f?6=,;=m62481!3b;3<0(8k=:79~yx{:183!40n383:6F=729l5fd=83.9;k4>cc98ygef290:6=4?{%04b?7d12B9;>5`1b`94?"5?o0:oo54}c175?6=:3:1N5?:1b=?m50;&13c<6:j10c:0yO6=5=9r\o474ee3_;9973=#=l81:6sr}|9~f62729096=4?{%04b?da3A82b83>!40n3;9o65`1b`94?"5?o0:oo5Y29395~J50:0:w[j7:3y'5a4=9jh0Z<<::3y'1`5=i2.>i?4n;|~y>{e;:<1<7=50;2x 71a2;=h7E<83:k26a<72-8i5Y13796~"2m:0=7);j2;48yx{z3`;9i7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;31a>P6:<09w);j3;48 0c52?1vqps4o0aa>5<#:>l1=nl4V3:2>4}K:191=vXk8;0x 4b528ii7[?=5;0x 0c42?1/9h<56:~yx=zj:9<6=4>:183!40n383:6F=729l5fd=83.9;k4>cc98yg5413:1?7>50z&13c<0>2B9;>5f13f94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9;n0Z<<::3y'1`5=>2.>i?49;|~y>o6:l0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>2d9U573=:r.>i>49;%7f6?0428q]h54={%3g6?7dj2\:>84={%7f7?0<,50z&13c<0>2B9;>5f13f94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9;n0Z<<::3y'1`5=>2.>i?49;|~y>o6:l0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>2d9U573=:r.>i>49;%7f6?0428q]h54={%3g6?7dj2\:>84={%7f7?0<,50z&13c<0>2B9;>5f13f94?"5?o0:>n5G26g8R7>628qG>5=51zTg47428q]h54={%3g6?75m2\:>84={%7f7?763-?n>7?>;|~y>o6;00;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>389U573=:r.>i>4>1:&6a7<692wvqp5`1b`94?"5?o0:oo5Y29395~J50:0:w[j7:3y'5a4=9jh0Z<<::3y'1`5=>2.>i?49;|~y>{e;:i1<7?>:183!40n3==7E<83:k26a<72-85<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5288n7[?=5;0x 0c428;0(8k=:038yx{z3`;857>5$35e>44d3A8i?4>1:~yx=n9=>1<7*=7g826f=O:>o0Z?6>:0yO6=5=9r\o474233_;99747<,{M0;7?7|^m21>v*>d38202=Q9;?1>v*:e2825>"2m;0:=6sr}|9j51>=83.9;k4>2b9K62c<^;2:6P5080:wA<73;3xRa>=:r.:h?4>489U573=:r.>i>4>1:&6a7<692wvqp5f15c94?"5?o0:>n5G26g8R7>628qG>5=51zTg47{zut1b=9m50;&13c<6:j1C>:k4V3:2>4}K:191=vXk8;0x 4b528>h7[?=5;0x 0c428;0(8k=:038yx{z3`;9j7>5$35e>44d3A8i?4>1:~yx=n9::1<7*=7g826f=O:>o0Z?6>:0yO6=5=9r\o474573_;99747<,{M0;7?7|^m21>v*>d38274=Q9;?1>v*:e2825>"2m;0:=6sr}|9j564=83.9;k4>2b9K62c<^;2:62481!3b;3<0(8k=:79~yx{2wvqp5`1b`94?"5?o0:oo5Y29395~J50:0:w[j7:3y'5a4=9jh0Z<<::3y'1`5=>2.>i?49;|~y>{e;:n1<7<>:183!40n3==7E<83:k26a<72-82d83>!40n3;9o6F=7d9U6=7=9rF94>4>{Wf;>7}#9m81=?k4V006>7}#=l91n6*:e38a?x{zu2c:?44?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3827<=Q9;?1>v*:e28a?!3b:3h0qpsr;h370?6=,;=m6<2481!3b;3h0(8k=:c9~yx{<6=4+26d957e<^;2:6n5Y29395~J50:0:w[j7:3y'5a4=9=20Z<<::3y'1`5=j2.>i?4m;|~y>o6<00;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>489U573=:r.>i>4m;%7f6?d428q]h54={%3g6?73i2\:>84={%7f7?d<,:h513a8R7>628qG>5=51zTg{zut1b=9m50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0951e<^88>6?u+5d19f>"2m;0i7psr}:k26c<72-8k5Y13796~"2m:0i7);j2;`8yx{z3`;8<7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;304>P6:<09w);j3;`8 0c52k1vqps4i012>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5289:7[?=5;0x 0c42k1/9h<5b:~yx=n9:81<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<51208R4422;q/9h=5b:&6a73283>!40n3;9o6F=7d9U6=7=9rF94>4>{Wf;>7}#9m81=>=4V006>7}#=l91n6*:e38a?x{zu2c:?94?:%04b?75k2B9;h5Y29395~J50:0:w[j7:3y'5a4=9:>0Z<<::3y'1`5=j2.>i?4m;|~y>o6;<0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>349U573=:r.>i>4m;%7f6?d428q]h54={%3g6?74>2\:>84={%7f7?763-?n>7?>;|~y>o6;>0;6)<8f;31g>N5?l1]>5?51zN1<6<6s_n36?u+1e09561<^88>6?u+5d1954=#=l81=<5r}|8m45?290/>:h513a8R7>628qG>5=51zTg{zut1b=>o50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0956g<^88>6?u+5d192>"2m;0=7psr}:k27g<72-85$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;30g>P6:<09w);j3;48 0c52?1vqps4i01g>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5289o7[?=5;0x 0c42?1/9h<56:~yx=n9:o1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<512g8R4422;q/9h=56:&6a7<13twvq6g>3g83>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6;o1]=?;52z&6a6<13-?n>784}|~?l7383:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?;0:T260<5s-?n?784$4g1>3=zutw0e<:>:18'62`=9;i0Z?6>:0yO6=5=9r\o474263_;9973=#=l81:6sr}|9j514=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=9<4V006>7}#=l91:6*:e385?x{zu2c:8>4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d38206=Q9;?1>v*:e285?!3b:3<0qpsr;h371?6=,;=m6<2481!3b;3<0(8k=:79~yx{=6=4+26d957e<^;2:62wvqp5`1b`94?"5?o0:oo5Y29395~J50:0:w[j7:3y'5a4=9jh0Z<<::3y'1`5=>2.>i?49;|~y>{e;:o1<7<>:183!40n3==7E<83:k26a<72-82d83>!40n3;9o6F=7d9U6=7=9rF94>4>{Wf;>7}#9m81=?k4V006>7}#=l91n6*:e38a?x{zu2c:?44?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3827<=Q9;?1>v*:e28a?!3b:3h0qpsr;h370?6=,;=m6<2481!3b;3h0(8k=:c9~yx{<6=4+26d957e<^;2:6n5Y29395~J50:0:w[j7:3y'5a4=9=20Z<<::3y'1`5=j2.>i?4m;|~y>o6<00;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>489U573=:r.>i>4m;%7f6?d428q]h54={%3g6?73i2\:>84={%7f7?d<,:h513a8R7>628qG>5=51zTg{zut1b=9m50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0951e<^88>6?u+5d19f>"2m;0i7psr}:k26c<72-8k5Y13796~"2m:0i7);j2;`8yx{z3`;8<7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;304>P6:<09w);j3;`8 0c52k1vqps4i012>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5289:7[?=5;0x 0c42k1/9h<5b:~yx=n9:81<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<51208R4422;q/9h=5b:&6a73283>!40n3;9o6F=7d9U6=7=9rF94>4>{Wf;>7}#9m81=>=4V006>7}#=l91n6*:e38a?x{zu2c:?94?:%04b?75k2B9;h5Y29395~J50:0:w[j7:3y'5a4=9:>0Z<<::3y'1`5=j2.>i?4m;|~y>o6;<0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>349U573=:r.>i>4m;%7f6?d428q]h54={%3g6?74>2\:>84={%7f7?763-?n>7?>;|~y>o6;>0;6)<8f;31g>N5?l1]>5?51zN1<6<6s_n36?u+1e09561<^88>6?u+5d1954=#=l81=<5r}|8m45?290/>:h513a8R7>628qG>5=51zTg{zut1b=>o50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0956g<^88>6?u+5d192>"2m;0=7psr}:k27g<72-85$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;30g>P6:<09w);j3;48 0c52?1vqps4i01g>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5289o7[?=5;0x 0c42?1/9h<56:~yx=n9:o1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<512g8R4422;q/9h=56:&6a7<13twvq6g>3g83>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6;o1]=?;52z&6a6<13-?n>784}|~?l7383:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?;0:T260<5s-?n?784$4g1>3=zutw0e<:>:18'62`=9;i0Z?6>:0yO6=5=9r\o474263_;9973=#=l81:6sr}|9j514=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=9<4V006>7}#=l91:6*:e385?x{zu2c:8>4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d38206=Q9;?1>v*:e285?!3b:3<0qpsr;h371?6=,;=m6<2481!3b;3<0(8k=:79~yx{=6=4+26d957e<^;2:62wvqp5`1b`94?"5?o0:oo5Y29395~J50:0:w[j7:3y'5a4=9jh0Z<<::3y'1`5=>2.>i?49;|~y>{e;:l1<7<>:183!40n3==7E<83:k26a<72-82d83>!40n3;9o6F=7d9U6=7=9rF94>4>{Wf;>7}#9m81=?k4V006>7}#=l91n6*:e38a?x{zu2c:?44?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3827<=Q9;?1>v*:e28a?!3b:3h0qpsr;h370?6=,;=m6<2481!3b;3h0(8k=:c9~yx{<6=4+26d957e<^;2:6n5Y29395~J50:0:w[j7:3y'5a4=9=20Z<<::3y'1`5=j2.>i?4m;|~y>o6<00;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>489U573=:r.>i>4m;%7f6?d428q]h54={%3g6?73i2\:>84={%7f7?d<,:h513a8R7>628qG>5=51zTg{zut1b=9m50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0951e<^88>6?u+5d19f>"2m;0i7psr}:k26c<72-83183>!40n3;9o6F=7d9U6=7=9rF94>4>{Wf;>7}#9m81=>>4V006>7}#=l91n6*:e38a?x{zu2c:?<4?:%04b?75k2B9;h5Y29395~J50:0:w[j7:3y'5a4=9:;0Z<<::3y'1`5=j2.>i?4m;|~y>o6;;0;6)<8f;31g>N5?l1]>5?51zN1<6<6s_n36?u+1e09564<^88>6?u+5d19f>"2m;0i7psr}:k276<72-83583>!40n3;9o6F=7d9U6=7=9rF94>4>{Wf;>7}#9m81=>:4V006>7}#=l91n6*:e38a?x{zu2c:?84?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d38270=Q9;?1>v*:e28a?!3b:3h0qpsr;h302?6=,;=m6<2481!3b;3;:7);j2;32?x{zu2c:?:4?:%04b?75k2B9;h5Y29395~J50:0:w[j7:3y'5a4=9:=0Z<<::3y'1`5=981/9h<5109~yx{2wvqp5f12c94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9:k0Z<<::3y'1`5=>2.>i?49;|~y>o6;k0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>3c9U573=:r.>i>49;%7f6?0428q]h54={%3g6?74k2\:>84={%7f7?0<,:h513a8R7>628qG>5=51zTg{zut1b=>k50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0956c<^88>6?u+5d192>"2m;0=7psr}:k27c<72-85$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;374>P6:<09w);j3;48 0c52?1vqps4i062>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b528>:7[?=5;0x 0c42?1/9h<56:~yx=n9=81<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<51508R4422;q/9h=56:&6a7<13twvq6g>4283>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6<:1]=?;52z&6a6<13-?n>784}|~?l73=3:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?;5:T260<5s-?n?784$4g1>3=zutw0e<:9:18'62`=9;i0Z?6>:0yO6=5=9r\o474213_;9973=#=l81:6sr}|9l5fd=83.9;k4>cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91:6*:e385?x{zu2wi?>650;:94?6|,;=m6o6:m0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>2e9U573=:r.>i>49;%7f6?0428q]h54={%3g6?75m2\:>84={%7f7?0<,290/>:h513a8R7>628qG>5=51zTg{zut1b=9:50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e09512<^88>6?u+5d192>"2m;0=7psr}:k202<72-85$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;37<>P6:<09w);j3;48 0c52?1vqps4i06:>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b528>27[?=5;0x 0c42?1/9h<56:~yx=h9jh1<7*=7g82gg=Q:1;1=vB=8282Sb?2;q/=i<51b`8R4422;q/9h=56:&6a7<13twvq6sm4;296?6=8r.9;k4mf:J136=n9;i1<7*=7g826f=2wvqp5rb283>7<729q/>:h5bg9K6255$35e>4ee3_83=7?tL3:0>4}Ql109w)?k2;3`f>P6:<09w);j3;c8 0c52h1vqps4}c294?5=83:p(?9i:35`?M40;2c:>i4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3826a=Q9;?1>v*:e285?!3b:3<0qpsr;h31a?6=,;=m6<2481!3b;3<0(8k=:79~yx{2wvqp5rb083>4<729q/>:h52948L7143f;hn7>5$35e>4ee32wi>7>53;294~"5?o0<:6F=729j57b=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=?j4V006>7}#=l91n6*:e38a?x{zu2c:>h4?:%04b?75k2B9;h5Y29395~J50:0:w[j7:3y'5a4=9;o0Z<<::3y'1`5=981/9h<5109~yx{2wvqp5rbe`94?4=83:p(?9i:cd8L7143`;9o7>5$35e>44d32e:oo4?:%04b?7dj2\94<4>{M0;7?7|^m21>v*>d382gg=Q9;?1>v*:e285?!3b:3<0qpsr;|`gg?6=<3:1N5?:1b=?j50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0957b<^88>6?u+5d1957=#=l81=?5r}|8m44b290/>:h513a8L71b3_83=7?tL3:0>4}Ql109w)?k2;31a>P6:<09w);j3;31?!3b:3;97psr}:k27<<72-86*:e3826>{zut1d=nl50;&13c<6kk1]>5?51zN1<6<6s_n36?u+1e095fd<^88>6?u+5d192>"2m;0=7psr}:a`a<72?0;6=u+26d933=O:>90e<:0yO6=5=9r\o4744c3_;99744<,7?=e:T260<5s-?n?7m4$4g1>f=zutw0e<=6:18'62`=9;i0D?9j;W0;5?7|D;2862481!3b;3;97);j2;31?x{zu2c:894?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d38201=Q9;?1>v*:e2826>"2m;0:>6sr}|9j511=83.9;k4>2b9K62c<^;2:62481!3b;3<0(8k=:79~yx{i5Y13796~"2m:0h7);j2;a8yx{z3`;9i7>5$35e>44d3A8i?4>2:~yx=n9:31<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<512;8R4422;q/9h=5139'1`4=9;1vqps4i067>5<#:>l1=?m4H35f?S4?93;p@?6<:0yU`=<5s-;o>7?;4:T260<5s-?n?7?=;%7f6?753twvq6g>4683>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6<>1]=?;52z&6a6<6:2.>i?4>2:~yx=h9jh1<7*=7g82gg=Q:1;1=vB=8282Sb?2;q/=i<51b`8R4422;q/9h=56:&6a7<13twvq6smdg83>2<729q/>:h5779K625428q]h54={%3g6?75l2\:>84={%7f7?e<,:h513a8L71b3_83=7?tL3:0>4}Ql109w)?k2;31a>P6:<09w);j3;a8 0c52j1vqps4i01:>5<#:>l1=?m4H35f?S4?93;p@?6<:0yU`=<5s-;o>7?<9:T260<5s-?n?7m4$4g1>f=zutw0e<:;:18'62`=9;i0Z?6>:0yO6=5=9r\o474233_;99744<,7?;7:T260<5s-?n?7?=;%7f6?753twvq6g>4983>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6<11]=?;52z&6a6<6:2.>i?4>2:~yx=h9jh1<7*=7g82gg=Q:1;1=vB=8282Sb?2;q/=i<51b`8R4422;q/9h=56:&6a7<13twvq6sme183>2<729q/>:h5779K625428q]h54={%3g6?75l2\:>84={%7f7?e<,:h513a8L71b3_83=7?tL3:0>4}Ql109w)?k2;31a>P6:<09w);j3;a8 0c52j1vqps4i01:>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b528927[?=5;0x 0c42880(8k=:008yx{z3`;?87>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;370>P6:<09w);j3;31?!3b:3;97psr}:k202<72-86*:e3826>{zut1b=9650;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0951><^88>6?u+5d1957=#=l81=?5r}|8k4ee290/>:h51b`8R7>628qG>5=51zTg{zut1vnh?50;494?6|,;=m6o6:m0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>2e9U573=:r.>i>49;%7f6?0428q]h54={%3g6?75m2\:>84={%7f7?0<,290/>:h513a8R7>628qG>5=51zTg{zut1b=9:50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e09512<^88>6?u+5d192>"2m;0=7psr}:k202<72-85$35e>4ee3_83=7?tL3:0>4}Ql109w)?k2;3`f>P6:<09w);j3;48 0c52?1vqps4}cg1>5<5290;w)<8f;`e?M40;2c:>n4?:%04b?75k21d=nl50;&13c<6kk1]>5?51zN1<6<6s_n36?u+1e095fd<^88>6?u+5d192>"2m;0=7psr}:aa6<7210;6=u+26d933=O:>90e<:0yO6=5=9r\o4744c3_;997f=#=l81o6sr}|9j57c=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=?k4V006>7}#=l91o6*:e38`?x{zu2c:?44?:%04b?75k2B9;h5Y29395~J50:0:w[j7:3y'5a4=9:30Z<<::3y'1`5=9;1/9h<5139~yx{?6=4+26d957e<^;2:6P5080:wA<73;3xRa>=:r.:h?4>469U573=:r.>i>4>2:&6a7<6:2wvqp5f15:94?"5?o0:>n5G26g8R7>628qG>5=51zTg44428q]h54={%3g6?7312\:>84={%7f7?753-?n>7?=;|~y>i6kk0;6)<8f;3`f>P5080:wA<73;3xRa>=:r.:h?4>cc9U573=:r.>i>49;%7f6?02d83>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6:l1]=?;52z&6a67m4}|~?l7413:1(?9i:00`?M40m2\94<4>{M0;7?7|^m21>v*>d3827<=Q9;?1>v*:e2826>"2m;0:>6sr}|9j512=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=9:4V006>7}#=l91=?5+5d0957=zutw0e<:8:18'62`=9;i0D?9j;W0;5?7|D;2862481!3b;3;97);j2;31?x{zu2c:854?:%04b?75k2B9;h5Y29395~J50:0:w[j7:3y'5a4=9=20Z<<::3y'1`5=9;1/9h<5139~yx{26=4+26d957e<^;2:62481!3b;3<0(8k=:79~yx{6=47:183!40n3==7E<83:k26a<72-8i5Y13796~"2m:0h7);j2;a8yx{z3`;9i7>5$35e>44d3A87m4}|~?l7413:1(?9i:00`?M40m2\94<4>{M0;7?7|^m21>v*>d3827<=Q9;?1>v*:e2826>"2m;0:>6sr}|9j512=83.9;k4>2b9K62c<^;2:6P5080:wA<73;3xRa>=:r.:h?4>469U573=:r.>i>4>2:&6a7<6:2wvqp5f15:94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9=20Z<<::3y'1`5=9;1/9h<5139~yx{26=4+26d957e<@;=n7[<71;3xH7>428q]h54={%3g6?7312\:>84={%7f7?753-?n>7?=;|~y>i6kk0;6)<8f;3`f>P5080:wA<73;3xRa>=:r.:h?4>cc9U573=:r.>i>49;%7f6?02d83>!40n3;9o6F=7d9U6=7=9rF94>4>{Wf;>7}#9m81=?k4V006>7}#=l91=?5+5d0957=zutw0e<=6:18'62`=9;i0Z?6>:0yO6=5=9r\o4745>3_;99744<,{M0;7?7|^m21>v*>d38201=Q9;?1>v*:e2826>"2m;0:>6sr}|9j511=83.9;k4>2b9K62c<^;2:62481!3b;3;97);j2;31?x{zu2c:844?:%04b?75k2B9;h5Y29395~J50:0:w[j7:3y'5a4=9=30Z<<::3y'1`5=9;1/9h<5139~yx{2wvqp5rbd594?76290;w)<8f;55?M40;2c:>i4?:%04b?75k2B9;h5Y29395~J50:0:w[j7:3y'5a4=9;n0Z<<::3y'1`5=k2.>i?4l;|~y>o6:l0;6)<8f;31g>N5?l1]>5?51zN1<6<6s_n36?u+1e0957c<^88>6?u+5d19g>"2m;0h7psr}:k27<<72-85$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;370>P6:<09w);j3;a8 0c52j1vqps4i064>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b528><7[?=5;0x 0c42j1/9h<5c:~yx=n9=21<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<515:8R4422;q/9h=5c:&6a74883>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6<01]=?;52z&6a67m4}|~?l73i3:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?;a:T260<5s-?n?7m4$4g1>f=zutw0e<:m:18'62`=9;i0Z?6>:0yO6=5=9r\o4742e3_;997f=#=l81o6sr}|9j51e=83.9;k4>2b9K62c<^;2:6n5G26g8R7>628qG>5=51zTg{zut1b=>>50;&13c<6:j1C>:k4V3:2>4}K:191=vXk8;0x 4b5289;7[?=5;0x 0c42880(8k=:008yx{z3`;8=7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;305>P6:<09w);j3;31?!3b:3;97psr}:k277<72-85$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;307>P6:<09w);j3;48 0c52?1vqps4i017>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5289?7[?=5;0x 0c42?1/9h<56:~yx=h9jh1<7*=7g82gg=Q:1;1=vB=8282Sb?2;q/=i<51b`8R4422;q/9h=56:&6a7<13twvq6sme983>3<729q/>:h51bc8L7143`;9h7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;31`>P6:<09w);j3;48 0c52?1vqps4i00f>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5288n7[?=5;0x 0c42?1/9h<56:~yx=n9:31<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<512;8R4422;q/9h=56:&6a7<13twvq6g>4583>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6<=1]=?;52z&6a6<13-?n>784}|~?l73?3:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?;7:T260<5s-?n?784$4g1>3=zutw0c:0yO6=5=9r\o474ee3_;9973=#=l81:6sr}|9~f`?=8381<7>t$35e>g`<@;=87d?=c;29 71a288h76a>cc83>!40n3;hn6X=8082I4?;3;pZi652z&2`7<6kk1]=?;52z&6a6<13-?n>784}|~?xdbi3:1?7>50z&13c<0>2B9;>5f13f94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9;n0Z<<::3y'1`5=991/9h<5119~yx{2481!3b;3<0(8k=:79~yx{i5Y13796~"2m:0h7);j2;a8yx{z3`;9i7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;31a>P6:<09w);j3;a8 0c52j1vqps4i01:>5<#:>l1=?m4H35f?S4?93;p@?6<:0yU`=<5s-;o>7?<9:T260<5s-?n?7?=;%7f6?753twvq6a>cc83>!40n3;hn6X=8082I4?;3;pZi652z&2`7<6kk1]=?;52z&6a6<13-?n>784}|~?xdbk3:187>50z&13c<0>2B9;>5f13f94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9;n0Z<<::3y'1`5=k2.>i?4l;|~y>o6:l0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>2d9U573=:r.>i>4l;%7f6?e6*:e3826>{zut1d=nl50;&13c<6kk1]>5?51zN1<6<6s_n36?u+1e095fd<^88>6?u+5d192>"2m;0=7psr}:aaa<72=0;6=u+26d933=O:>90e<:0yO6=5=9r\o4744c3_;997f=#=l81o6sr}|9j57c=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=?k4V006>7}#=l91o6*:e38`?x{zu2c:?44?:%04b?75k2B9;h5Y29395~J50:0:w[j7:3y'5a4=9:30Z<<::3y'1`5=9;1/9h<5139~yx{2wvqp5rbdg94?2=83:p(?9i:648L7143`;9h7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;31`>P6:<09w);j3;a8 0c52j1vqps4i00f>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5288n7[?=5;0x 0c42j1/9h<5c:~yx=n9:31<7*=7g826f=O:>o0Z?6>:0yO6=5=9r\o4745>3_;99744<,7?lb:T260<5s-?n?784$4g1>3=zutw0qoki:187>5<7s-8o6:m0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>2e9U573=:r.>i>4l;%7f6?e428q]h54={%3g6?75m2\:>84={%7f7?e<,290/>:h513a8L71b3_83=7?tL3:0>4}Ql109w)?k2;30=>P6:<09w);j3;31?!3b:3;97psr}:m2gg<72-857;294~"5?o0:ol5G2618m44c290/>:h513a8R7>628qG>5=51zTg{zut1b=?k50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0957c<^88>6?u+5d192>"2m;0=7psr}:k27<<72-85$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;370>P6:<09w);j3;48 0c52?1vqps4i064>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b528><7[?=5;0x 0c42?1/9h<56:~yx=n9=21<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<515:8R4422;q/9h=56:&6a7<13twvq6a>cc83>!40n3;hn6X=8082I4?;3;pZi652z&2`7<6kk1]=?;52z&6a6<13-?n>784}|~?xda93:1>7>50z&13c5f13a94?"5?o0:>n54o0aa>5<#:>l1=nl4V3:2>4}K:191=vXk8;0x 4b528ii7[?=5;0x 0c42?1/9h<56:~yx=zjo81<7=50;2x 71a2><0D?9<;h31`?6=,;=m6<2481!3b;3;;7);j2;33?x{zu2c:>h4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3826`=Q9;?1>v*:e2824>"2m;0:<6sr}|9l5fd=83.9;k4>cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91:6*:e385?x{zu2wij>4?:583>5}#:>l1;;5G2618m44c290/>:h513a8L71b3_83=7?tL3:0>4}Ql109w)?k2;31`>P6:<09w);j3;31?!3b:3;97psr}:k26`<72-8h5Y13796~"2m:0:>6*:e3826>{zut1b=>750;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0956?<^88>6?u+5d1957=#=l81=?5r}|8k4ee290/>:h51b`8R7>628qG>5=51zTg{zut1vnk:50;694?6|,;=m6:84H350?l75l3:1(?9i:00`?M40m2\94<4>{M0;7?7|^m21>v*>d3826a=Q9;?1>v*:e2826>"2m;0:>6sr}|9j57c=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=?k4V006>7}#=l91=?5+5d0957=zutw0e<=6:18'62`=9;i0Z?6>:0yO6=5=9r\o4745>3_;99744<,7?lb:T260<5s-?n?784$4g1>3=zutw0qoh::187>5<7s-8o6:m0;6)<8f;31g>N5?l1]>5?51zN1<6<6s_n36?u+1e0957b<^88>6?u+5d1957=#=l81=?5r}|8m44b290/>:h513a8R7>628qG>5=51zTg44428q]h54={%3g6?7412\:>84={%7f7?753-?n>7?=;|~y>i6kk0;6)<8f;3`f>P5080:wA<73;3xRa>=:r.:h?4>cc9U573=:r.>i>49;%7f6?0o0Z?6>:0yO6=5=9r\o4744c3_;99744<,7?=e:T260<5s-?n?7?=;%7f6?753twvq6g>3883>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6;01]=?;52z&6a6<6:2.>i?4>2:~yx=h9jh1<7*=7g82gg=Q:1;1=vB=8282Sb?2;q/=i<51b`8R4422;q/9h=56:&6a7<13twvq6smf683>77=83:p(?9i:648L7143`;9h7>5$35e>44d3A87m4}|~?l75m3:1(?9i:00`?M40m2\94<4>{M0;7?7|^m21>v*>d3826`=Q9;?1>v*:e28`?!3b:3i0qpsr;h30=?6=,;=m6<2481!3b;3i0(8k=:b9~yx{?6=4+26d957e<^;2:6n5Y29395~J50:0:w[j7:3y'5a4=9==0Z<<::3y'1`5=k2.>i?4l;|~y>o6<10;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>499U573=:r.>i>4l;%7f6?e428q]h54={%3g6?7312\:>84={%7f7?e<,:h513a8R7>628qG>5=51zTg{zut1b=9l50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0951d<^88>6?u+5d19g>"2m;0h7psr}:k20f<72-85$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;31b>P6:<09w);j3;a8 0c52j1vqps4i013>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5289;7[?=5;0x 0c42j1/9h<5c:~yx=n9:;1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<51238R4422;q/9h=5c:&6a73383>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6;;1]=?;52z&6a67m4}|~?l74;3:1(?9i:00`?M40m2\94<4>{M0;7?7|^m21>v*>d38276=Q9;?1>v*:e28`?!3b:3i0qpsr;h300?6=,;=m6<P5080:wA<73;3xRa>=:r.:h?4>359U573=:r.>i>4l;%7f6?e428q]h54={%3g6?74=2\:>84={%7f7?e<,:h513a8R7>628qG>5=51zTg44428q]h54={%3g6?74?2\:>84={%7f7?753-?n>7?=;|~y>o6;10;6)<8f;31g>N5?l1]>5?51zN1<6<6s_n36?u+1e0956><^88>6?u+5d1957=#=l81=?5r}|8m45f290/>:h513a8R7>628qG>5=51zTg{zut1b=>l50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0956d<^88>6?u+5d192>"2m;0=7psr}:k27f<72-85$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;30`>P6:<09w);j3;48 0c52?1vqps4i01f>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5289n7[?=5;0x 0c42?1/9h<56:~yx=n9:l1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<512d8R4422;q/9h=56:&6a7<13twvq6g>4183>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6<91]=?;52z&6a6<13-?n>784}|~?l7393:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?;1:T260<5s-?n?784$4g1>3=zutw0e<:=:18'62`=9;i0Z?6>:0yO6=5=9r\o474253_;9973=#=l81:6sr}|9j515=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=9=4V006>7}#=l91:6*:e385?x{zu2c:884?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d38200=Q9;?1>v*:e285?!3b:3<0qpsr;h372?6=,;=m6<2481!3b;3<0(8k=:79~yx{2wvqp5rbg:94?1=83:p(?9i:0ab?M40;2c:>i4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3826a=Q9;?1>v*:e285?!3b:3<0qpsr;h31a?6=,;=m6<2481!3b;3<0(8k=:79~yx{2wvqp5f15694?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9=>0Z<<::3y'1`5=>2.>i?49;|~y>o6<>0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>469U573=:r.>i>49;%7f6?0428q]h54={%3g6?7302\:>84={%7f7?0<,:h51b`8R7>628qG>5=51zTg{zut1vnk750;094?6|,;=m6oh4H350?l75k3:1(?9i:00`?>i6kk0;6)<8f;3`f>P5080:wA<73;3xRa>=:r.:h?4>cc9U573=:r.>i>49;%7f6?05<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5288n7[?=5;0x 0c428:0(8k=:028yx{z3f;hn7>5$35e>4ee3_83=7?tL3:0>4}Ql109w)?k2;3`f>P6:<09w);j3;48 0c52?1vqps4}cda>5<3290;w)<8f;55?M40;2c:>i4?:%04b?75k2B9;h5Y29395~J50:0:w[j7:3y'5a4=9;n0Z<<::3y'1`5=9;1/9h<5139~yx{2481!3b;3;97);j2;31?x{zu2e:oo4?:%04b?7dj2\94<4>{M0;7?7|^m21>v*>d382gg=Q9;?1>v*:e285?!3b:3<0qpsr;|`eg?6=<3:1N5?:1b=?j50;&13c<6:j1C>:k4V3:2>4}K:191=vXk8;0x 4b5288o7[?=5;0x 0c42880(8k=:008yx{z3`;9i7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;31a>P6:<09w);j3;31?!3b:3;97psr}:k27<<72-86*:e3826>{zut1d=nl50;&13c<6kk1]>5?51zN1<6<6s_n36?u+1e095fd<^88>6?u+5d192>"2m;0=7psr}:aba<72=0;6=u+26d933=O:>90e<2481!3b;3;97);j2;31?x{zu2c:>h4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3826`=Q9;?1>v*:e2826>"2m;0:>6sr}|9j56?=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=>74V006>7}#=l91=?5+5d0957=zutw0c:0yO6=5=9r\o474ee3_;9973=#=l81:6sr}|9~fcc=83>1<7>t$35e>20<@;=87d?=d;29 71a288h7E<8e:T1<4<6sE83?7?tVe:96~"6l;0:>i5Y13796~"2m:0:>6*:e3826>{zut1b=?k50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0957c<^88>6?u+5d1957=#=l81=?5r}|8m45>290/>:h513a8R7>628qG>5=51zTg44428q]h54={%3g6?7dj2\:>84={%7f7?0<,2e83>!40n3;9o6F=7d9U6=7=9rF94>4>{Wf;>7}#9m81=?j4V006>7}#=l91=?5+5d0957=zutw0e<:0yO6=5=9r\o4744b3_;99744<,7?<9:T260<5s-?n?7?=;%7f6?753twvq6a>cc83>!40n3;hn6X=8082I4?;3;pZi652z&2`7<6kk1]=?;52z&6a6<13-?n>784}|~?xd6890;6:4?:1y'62`=9jk0D?9<;h31`?6=,;=m6<2481!3b;3<0(8k=:79~yx{2wvqp5f12;94?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9:30Z<<::3y'1`5=>2.>i?49;|~y>o6<=0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>459U573=:r.>i>49;%7f6?0428q]h54={%3g6?73?2\:>84={%7f7?0<,:h513a8R7>628qG>5=51zTg{zut1d=nl50;&13c<6kk1]>5?51zN1<6<6s_n36?u+1e095fd<^88>6?u+5d192>"2m;0=7psr}:a557=8381<7>t$35e>g`<@;=87d?=c;29 71a288h76a>cc83>!40n3;hn6X=8082I4?;3;pZi652z&2`7<6kk1]=?;52z&6a6<13-?n>784}|~?xd68;0;6>4?:1y'62`=??1C>:=4i00g>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b5288o7[?=5;0x 0c428:0(8k=:028yx{z3`;9i7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;31a>P6:<09w);j3;33?!3b:3;;7psr}:m2gg<72-84?:483>5}#:>l1;;5G2618m44c290/>:h513a8R7>628qG>5=51zTg{zut1b=?k50;&13c<6:j1C>:k4V3:2>4}K:191=vXk8;0x 4b5288n7[?=5;0x 0c42880(8k=:008yx{z3`;857>5$35e>44d3A8i?4>2:~yx=n9=>1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<51568R4422;q/9h=5139'1`4=9;1vqps4o0aa>5<#:>l1=nl4V3:2>4}K:191=vXk8;0x 4b528ii7[?=5;0x 0c42?1/9h<56:~yx=zj8:?6=49:183!40n3==7E<83:k26a<72-82d83>!40n3;9o6F=7d9U6=7=9rF94>4>{Wf;>7}#9m81=?k4V006>7}#=l91o6*:e38`?x{zu2c:?44?:%04b?75k2B9;h5Y29395~J50:0:w[j7:3y'5a4=9:30Z<<::3y'1`5=k2.>i?4l;|~y>o6<=0;6)<8f;31g>N5?l1]>5?51zN1<6<6s_n36?u+1e09512<^88>6?u+5d1957=#=l81=?5r}|8m420290/>:h513a8R7>628qG>5=51zTg44428q]h54={%3g6?7dj2\:>84={%7f7?0<,50z&13c<0>2B9;>5f13f94?"5?o0:>n5G26g8R7>628qG>5=51zTg{zut1b=?k50;&13c<6:j1C>:k4V3:2>4}K:191=vXk8;0x 4b5288n7[?=5;0x 0c42j1/9h<5c:~yx=n9:31<7*=7g826f=O:>o0Z?6>:0yO6=5=9r\o4745>3_;997f=#=l81o6sr}|9j512=83.9;k4>2b9K62c<^;2:62481!3b;3;97);j2;31?x{zu2e:oo4?:%04b?7dj2\94<4>{M0;7?7|^m21>v*>d382gg=Q9;?1>v*:e285?!3b:3<0qpsr;|`243<72?0;6=u+26d933=O:>90e<2481!3b;3i0(8k=:b9~yx{428q]h54={%3g6?75m2\:>84={%7f7?e<,290/>:h513a8L71b3_83=7?tL3:0>4}Ql109w)?k2;30=>P6:<09w);j3;a8 0c52j1vqps4i067>5<#:>l1=?m4H35f?S4?93;p@?6<:0yU`=<5s-;o>7?;4:T260<5s-?n?7?=;%7f6?753twvq6g>4683>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6<>1]=?;52z&6a6<6:2.>i?4>2:~yx=h9jh1<7*=7g82gg=Q:1;1=vB=8282Sb?2;q/=i<51b`8R4422;q/9h=56:&6a7<13twvq6sm11594?0=83:p(?9i:648L7143`;9h7>5$35e>44d3A87m4}|~?l75m3:1(?9i:00`?M40m2\94<4>{M0;7?7|^m21>v*>d3826`=Q9;?1>v*:e28`?!3b:3i0qpsr;h30=?6=,;=m6<2481!3b;3i0(8k=:b9~yx{?6=4+26d957e<@;=n7[<71;3xH7>428q]h54={%3g6?73<2\:>84={%7f7?753-?n>7?=;|~y>o6<>0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>469U573=:r.>i>4>2:&6a7<6:2wvqp5`1b`94?"5?o0:oo5Y29395~J50:0:w[j7:3y'5a4=9jh0Z<<::3y'1`5=>2.>i?49;|~y>{e9921<7950;2x 71a28ij7E<83:k26a<72-8i5Y13796~"2m:0=7);j2;48yx{z3`;9i7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;31a>P6:<09w);j3;48 0c52?1vqps4i01:>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b528927[?=5;0x 0c42?1/9h<56:~yx=n9=>1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<51568R4422;q/9h=56:&6a7<13twvq6g>4683>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6<>1]=?;52z&6a6<13-?n>784}|~?l7303:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?;8:T260<5s-?n?784$4g1>3=zutw0c:0yO6=5=9r\o474ee3_;9973=#=l81:6sr}|9~f46>29096=4?{%04b?da3A82b83>!40n3;9o65`1b`94?"5?o0:oo5Y29395~J50:0:w[j7:3y'5a4=9jh0Z<<::3y'1`5=>2.>i?49;|~y>{e99k1<7;50;2x 71a2><0D?9<;h31`?6=,;=m6<P5080:wA<73;3xRa>=:r.:h?4>2e9U573=:r.>i>4l;%7f6?eh5Y13796~"2m:0h7);j2;a8yx{z3`;857>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;30=>P6:<09w);j3;31?!3b:3;97psr}:k201<72-8cc83>!40n3;hn6X=8082I4?;3;pZi652z&2`7<6kk1]=?;52z&6a6<13-?n>784}|~?xd68k0;6?4?:1y'62`=jo1C>:=4i00`>5<#:>l1=?m4;n3`f?6=,;=m62481!3b;3<0(8k=:79~yx{59;294~"5?o0<:6F=729j57b=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=?j4V006>7}#=l91o6*:e38`?x{zu2c:>h4?:%04b?75k2B9;h5Y29395~J50:0:w[j7:3y'5a4=9;o0Z<<::3y'1`5=k2.>i?4l;|~y>o6;00;6)<8f;31g>N5?l1]>5?51zN1<6<6s_n36?u+1e0956?<^88>6?u+5d1957=#=l81=?5r}|8m423290/>:h513a8R7>628qG>5=51zTg446*:e3826>{zut1b=9650;&13c<6:j1C>:k4V3:2>4}K:191=vXk8;0x 4b528>37[?=5;0x 0c42880(8k=:008yx{z3`;?57>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;37=>P6:<09w);j3;31?!3b:3;97psr}:k20d<72-85<#:>l1=nl4V3:2>4}K:191=vXk8;0x 4b528ii7[?=5;0x 0c42?1/9h<56:~yx=zj8:o6=4>1;294~"5?o0<:6F=729j57b=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=?j4V006>7}#=l91o6*:e38`?x{zu2c:>h4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3826`=Q9;?1>v*:e28`?!3b:3i0qpsr;h30=?6=,;=m6<2481!3b;3i0(8k=:b9~yx{?6=4+26d957e<^;2:6n5Y29395~J50:0:w[j7:3y'5a4=9==0Z<<::3y'1`5=k2.>i?4l;|~y>o6<10;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>499U573=:r.>i>4l;%7f6?e428q]h54={%3g6?7312\:>84={%7f7?e<,:h513a8L71b3_83=7?tL3:0>4}Ql109w)?k2;37e>P6:<09w);j3;a8 0c52j1vqps4i06a>5<#:>l1=?m4H35f?S4?93;p@?6<:0yU`=<5s-;o>7?;b:T260<5s-?n?7m4$4g1>f=zutw0e<:l:18'62`=9;i0D?9j;W0;5?7|D;2862481!3b;3;97);j2;31?x{zu2c:>k4?:%04b?75k2B9;h5Y29395~J50:0:w[j7:3y'5a4=9;l0Z<<::3y'1`5=9;1/9h<5139~yx{428q]h54={%3g6?7482\:>84={%7f7?753-?n>7?=;|~y>o6;80;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>309U573=:r.>i>4>2:&6a7<6:2wvqp5f12094?"5?o0:>n5Y29395~J50:0:w[j7:3y'5a4=9:80Z<<::3y'1`5=>2.>i?49;|~y>o6;:0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>329U573=:r.>i>49;%7f6?0428q]h54={%3g6?74<2\:>84={%7f7?0<,:h51b`8R7>628qG>5=51zTg{zut1vn<>j:1825?6=8r.9;k486:J136=n9;n1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<513f8R4422;q/9h=5c:&6a72d83>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6:l1]=?;52z&6a67m4}|~?l7413:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?<9:T260<5s-?n?7m4$4g1>f=zutw0e<:;:18'62`=9;i0Z?6>:0yO6=5=9r\o474233_;997f=#=l81o6sr}|9j511=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=994V006>7}#=l91o6*:e38`?x{zu2c:854?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3820==Q9;?1>v*:e28`?!3b:3i0qpsr;h37=?6=,;=m6<2481!3b;3i0(8k=:b9~yx{j6=4+26d957e<@;=n7[<71;3xH7>428q]h54={%3g6?73i2\:>84={%7f7?e<,:h513a8L71b3_83=7?tL3:0>4}Ql109w)?k2;37f>P6:<09w);j3;31?!3b:3;97psr}:k20f<72-86*:e3826>{zut1b=?h50;&13c<6:j1C>:k4V3:2>4}K:191=vXk8;0x 4b5288m7[?=5;0x 0c42880(8k=:008yx{z3`;8<7>5$35e>44d3A8i?4>2:~yx=n9:;1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<51238R4422;q/9h=5139'1`4=9;1vqps4i011>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b528997[?=5;0x 0c42?1/9h<56:~yx=n9:91<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<51218R4422;q/9h=56:&6a7<13twvq6g>3583>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6;=1]=?;52z&6a6<13-?n>784}|~?j7dj3:1(?9i:0aa?S4?93;p@?6<:0yU`=<5s-;o>7?lb:T260<5s-?n?784$4g1>3=zutw0qo??f;2954<729q/>:h5779K625n5Y29395~J50:0:w[j7:3y'5a4=9;o0Z<<::3y'1`5=k2.>i?4l;|~y>o6;00;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>389U573=:r.>i>4l;%7f6?e428q]h54={%3g6?73<2\:>84={%7f7?e<,:h513a8R7>628qG>5=51zTg{zut1b=9650;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0951><^88>6?u+5d19g>"2m;0h7psr}:k20<<72-85$35e>44d3A87m4}|~?l73j3:1(?9i:00`?M40m2\94<4>{M0;7?7|^m21>v*>d3820g=Q9;?1>v*:e28`?!3b:3i0qpsr;h37g?6=,;=m6<P5080:wA<73;3xRa>=:r.:h?4>4b9U573=:r.>i>4>2:&6a7<6:2wvqp5f13d94?"5?o0:>n5G26g8R7>628qG>5=51zTg446*:e3826>{zut1b=>?50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e09567<^88>6?u+5d1957=#=l81=?5r}|8m455290/>:h513a8R7>628qG>5=51zTg{zut1b=>=50;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e09565<^88>6?u+5d192>"2m;0=7psr}:k271<72-85$35e>4ee3_83=7?tL3:0>4}Ql109w)?k2;3`f>P6:<09w);j3;48 0c52?1vqps4}c324?6=980;6=u+26d933=O:>90e<:0yO6=5=9r\o4744c3_;997f=#=l81o6sr}|9j57c=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=?k4V006>7}#=l91o6*:e38`?x{zu2c:?44?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3827<=Q9;?1>v*:e28`?!3b:3i0qpsr;h370?6=,;=m6<2481!3b;3i0(8k=:b9~yx{<6=4+26d957e<^;2:6n5Y29395~J50:0:w[j7:3y'5a4=9=20Z<<::3y'1`5=k2.>i?4l;|~y>o6<00;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>489U573=:r.>i>4l;%7f6?e5$35e>44d3A8i?4>2:~yx=n9=i1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<515a8R4422;q/9h=5139'1`4=9;1vqps4i00e>5<#:>l1=?m4H35f?S4?93;p@?6<:0yU`=<5s-;o>7?=f:T260<5s-?n?7?=;%7f6?753twvq6g>3183>!40n3;9o6F=7d9U6=7=9rF94>4>{Wf;>7}#9m81=>>4V006>7}#=l91=?5+5d0957=zutw0e<=>:18'62`=9;i0Z?6>:0yO6=5=9r\o474563_;99744<,7?<2:T260<5s-?n?784$4g1>3=zutw0e<=<:18'62`=9;i0Z?6>:0yO6=5=9r\o474543_;9973=#=l81:6sr}|9j562=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=>:4V006>7}#=l91:6*:e385?x{zu2e:oo4?:%04b?7dj2\94<4>{M0;7?7|^m21>v*>d382gg=Q9;?1>v*:e285?!3b:3<0qpsr;|`254<72?0;6=u+26d95fg<@;=87d?=d;29 71a288h7[<71;3xH7>428q]h54={%3g6?75l2\:>84={%7f7?0<,:h513a8R7>628qG>5=51zTg{zut1b=>750;&13c<6:j1]>5?51zN1<6<6s_n36?u+1e0956?<^88>6?u+5d192>"2m;0=7psr}:k201<72-85$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;373>P6:<09w);j3;48 0c52?1vqps4o0aa>5<#:>l1=nl4V3:2>4}K:191=vXk8;0x 4b528ii7[?=5;0x 0c42?1/9h<56:~yx=zj8;96=4=:183!40n3hm7E<83:k26f<72-8cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91:6*:e385?x{zu2wi=<=50;194?6|,;=m6:84H350?l75l3:1(?9i:00`?M40m2\94<4>{M0;7?7|^m21>v*>d3826a=Q9;?1>v*:e2826>"2m;0:>6sr}|9j57c=83.9;k4>2b9U6=7=9rF94>4>{Wf;>7}#9m81=?k4V006>7}#=l91=?5+5d0957=zutw0c:0yO6=5=9r\o474ee3_;9973=#=l81:6sr}|9~f47329096=4?{%04b?da3A82b83>!40n3;9o65`1b`94?"5?o0:oo5Y29395~J50:0:w[j7:3y'5a4=9jh0Z<<::3y'1`5=>2.>i?49;|~y>{e98?1<7=50;2x 71a2><0D?9<;h31`?6=,;=m6<2481!3b;3;;7);j2;33?x{zu2c:>h4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3826`=Q9;?1>v*:e2824>"2m;0:<6sr}|9l5fd=83.9;k4>cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91:6*:e385?x{zu2wi=<850;694?6|,;=m6:84H350?l75l3:1(?9i:00`?M40m2\94<4>{M0;7?7|^m21>v*>d3826a=Q9;?1>v*:e2826>"2m;0:>6sr}|9j57c=83.9;k4>2b9K62c<^;2:6P5080:wA<73;3xRa>=:r.:h?4>389U573=:r.>i>4>2:&6a7<6:2wvqp5`1b`94?"5?o0:oo5Y29395~J50:0:w[j7:3y'5a4=9jh0Z<<::3y'1`5=>2.>i?49;|~y>{e98=1<7;50;2x 71a2><0D?9<;h31`?6=,;=m6<2481!3b;3i0(8k=:b9~yx{428q]h54={%3g6?75m2\:>84={%7f7?753-?n>7?=;|~y>o6;00;6)<8f;31g>N5?l1]>5?51zN1<6<6s_n36?u+1e0956?<^88>6?u+5d1957=#=l81=?5r}|8m423290/>:h513a8R7>628qG>5=51zTg44428q]h54={%3g6?7dj2\:>84={%7f7?0<,50z&13c<0>2B9;>5f13f94?"5?o0:>n5G26g8R7>628qG>5=51zTg{zut1b=?k50;&13c<6:j1C>:k4V3:2>4}K:191=vXk8;0x 4b5288n7[?=5;0x 0c42j1/9h<5c:~yx=n9:31<7*=7g826f=O:>o0Z?6>:0yO6=5=9r\o4745>3_;997f=#=l81o6sr}|9j512=83.9;k4>2b9K62c<^;2:62481!3b;3;97);j2;31?x{zu2e:oo4?:%04b?7dj2\94<4>{M0;7?7|^m21>v*>d382gg=Q9;?1>v*:e285?!3b:3<0qpsr;|`25<<72?0;6=u+26d933=O:>90e<2481!3b;3i0(8k=:b9~yx{428q]h54={%3g6?75m2\:>84={%7f7?e<,290/>:h513a8L71b3_83=7?tL3:0>4}Ql109w)?k2;30=>P6:<09w);j3;a8 0c52j1vqps4i067>5<#:>l1=?m4H35f?S4?93;p@?6<:0yU`=<5s-;o>7?;4:T260<5s-?n?7?=;%7f6?753twvq6g>4683>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6<>1]=?;52z&6a6<6:2.>i?4>2:~yx=h9jh1<7*=7g82gg=Q:1;1=vB=8282Sb?2;q/=i<51b`8R4422;q/9h=56:&6a7<13twvq6sm10c94?0=83:p(?9i:648L7143`;9h7>5$35e>44d3A87m4}|~?l75m3:1(?9i:00`?M40m2\94<4>{M0;7?7|^m21>v*>d3826`=Q9;?1>v*:e28`?!3b:3i0qpsr;h30=?6=,;=m6<2481!3b;3i0(8k=:b9~yx{?6=4+26d957e<@;=n7[<71;3xH7>428q]h54={%3g6?73<2\:>84={%7f7?753-?n>7?=;|~y>o6<>0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>469U573=:r.>i>4>2:&6a7<6:2wvqp5`1b`94?"5?o0:oo5Y29395~J50:0:w[j7:3y'5a4=9jh0Z<<::3y'1`5=>2.>i?49;|~y>{e98h1<7950;2x 71a28ij7E<83:k26a<72-8i5Y13796~"2m:0=7);j2;48yx{z3`;9i7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;31a>P6:<09w);j3;48 0c52?1vqps4i01:>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b528927[?=5;0x 0c42?1/9h<56:~yx=n9=>1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<51568R4422;q/9h=56:&6a7<13twvq6g>4683>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6<>1]=?;52z&6a6<13-?n>784}|~?l7303:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?;8:T260<5s-?n?784$4g1>3=zutw0c:0yO6=5=9r\o474ee3_;9973=#=l81:6sr}|9~f47d29096=4?{%04b?da3A82b83>!40n3;9o65`1b`94?"5?o0:oo5Y29395~J50:0:w[j7:3y'5a4=9jh0Z<<::3y'1`5=>2.>i?49;|~y>{e98n1<7=50;2x 71a2><0D?9<;h31`?6=,;=m6<2481!3b;3;;7);j2;33?x{zu2c:>h4?:%04b?75k2\94<4>{M0;7?7|^m21>v*>d3826`=Q9;?1>v*:e2824>"2m;0:<6sr}|9l5fd=83.9;k4>cc9U6=7=9rF94>4>{Wf;>7}#9m81=nl4V006>7}#=l91:6*:e385?x{zu2wi={M0;7?7|^m21>v*>d3826a=Q9;?1>v*:e2826>"2m;0:>6sr}|9j57c=83.9;k4>2b9K62c<^;2:6P5080:wA<73;3xRa>=:r.:h?4>389U573=:r.>i>4>2:&6a7<6:2wvqp5`1b`94?"5?o0:oo5Y29395~J50:0:w[j7:3y'5a4=9jh0Z<<::3y'1`5=>2.>i?49;|~y>{e98l1<7;50;2x 71a2><0D?9<;h31`?6=,;=m6<2481!3b;3i0(8k=:b9~yx{428q]h54={%3g6?75m2\:>84={%7f7?753-?n>7?=;|~y>o6;00;6)<8f;31g>N5?l1]>5?51zN1<6<6s_n36?u+1e0956?<^88>6?u+5d1957=#=l81=?5r}|8m423290/>:h513a8R7>628qG>5=51zTg44428q]h54={%3g6?7dj2\:>84={%7f7?0<,50z&13c<0>2B9;>5f13f94?"5?o0:>n5G26g8R7>628qG>5=51zTg{zut1b=?k50;&13c<6:j1C>:k4V3:2>4}K:191=vXk8;0x 4b5288n7[?=5;0x 0c42j1/9h<5c:~yx=n9:31<7*=7g826f=O:>o0Z?6>:0yO6=5=9r\o4745>3_;997f=#=l81o6sr}|9j512=83.9;k4>2b9K62c<^;2:62481!3b;3;97);j2;31?x{zu2e:oo4?:%04b?7dj2\94<4>{M0;7?7|^m21>v*>d382gg=Q9;?1>v*:e285?!3b:3<0qpsr;|`264<72?0;6=u+26d933=O:>90e<2481!3b;3i0(8k=:b9~yx{428q]h54={%3g6?75m2\:>84={%7f7?e<,290/>:h513a8L71b3_83=7?tL3:0>4}Ql109w)?k2;30=>P6:<09w);j3;a8 0c52j1vqps4i067>5<#:>l1=?m4H35f?S4?93;p@?6<:0yU`=<5s-;o>7?;4:T260<5s-?n?7?=;%7f6?753twvq6g>4683>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6<>1]=?;52z&6a6<6:2.>i?4>2:~yx=h9jh1<7*=7g82gg=Q:1;1=vB=8282Sb?2;q/=i<51b`8R4422;q/9h=56:&6a7<13twvq6sm13094?0=83:p(?9i:648L7143`;9h7>5$35e>44d3A87m4}|~?l75m3:1(?9i:00`?M40m2\94<4>{M0;7?7|^m21>v*>d3826`=Q9;?1>v*:e28`?!3b:3i0qpsr;h30=?6=,;=m6<2481!3b;3i0(8k=:b9~yx{?6=4+26d957e<@;=n7[<71;3xH7>428q]h54={%3g6?73<2\:>84={%7f7?753-?n>7?=;|~y>o6<>0;6)<8f;31g>P5080:wA<73;3xRa>=:r.:h?4>469U573=:r.>i>4>2:&6a7<6:2wvqp5`1b`94?"5?o0:oo5Y29395~J50:0:w[j7:3y'5a4=9jh0Z<<::3y'1`5=>2.>i?49;|~y>{e9;91<7950;2x 71a28ij7E<83:k26a<72-8i5Y13796~"2m:0=7);j2;48yx{z3`;9i7>5$35e>44d3_83=7?tL3:0>4}Ql109w)?k2;31a>P6:<09w);j3;48 0c52?1vqps4i01:>5<#:>l1=?m4V3:2>4}K:191=vXk8;0x 4b528927[?=5;0x 0c42?1/9h<56:~yx=n9=>1<7*=7g826f=Q:1;1=vB=8282Sb?2;q/=i<51568R4422;q/9h=56:&6a7<13twvq6g>4683>!40n3;9o6X=8082I4?;3;pZi652z&2`7<6<>1]=?;52z&6a6<13-?n>784}|~?l7303:1(?9i:00`?S4?93;p@?6<:0yU`=<5s-;o>7?;8:T260<5s-?n?784$4g1>3=zutw0c:0yO6=5=9r\o474ee3_;9973=#=l81:6sr}|9~w<3=839p14851ba8Z<3<5k?1=?m4}r`6>5<1?r7i97?lb:?1`2<6:m16>i6513f891?5288o70:63;31`>;5jl0:>i522cd957b<5:826<o4>2e9>23`=9;n01;9?:00g?80093;9h63;6g826a=:<>:1=?j4=552>44c34><>7?=d:?731<6:m16=5<513f894>3288o70?75;31`>;60?0:>i52195957b<52e9>0`b=9;n018j9:00g?83c?3;9h63:7d826a=:=>l1=?j4=4:3>44c34?3=7?=d:?6<7<6:m168kh513f89067288o70;?1;31`>;19;0:>i52601957b<5?;>6<4>2e9>6<>=9;n01?76:00g?84>i3;9h63=9c826a=:><=1=?j4=77;>44c34>387?=d:?7<0<6:m16858513f891>0288o70:78;31`>;4?j0:>i521c4957b<58h<6<2e9>7<0=9;n01>78:00g?80b288o708i:00g?817288o709>:00g?85f>3;9h6344c34?ni7?=d:?563<6:m16:?9513f890g?288o70;na;31`>;29?0:>i5220:957b<5;;26<2e9>64e=9;n018==:00g?831>3;9h63:99826a=:=031=?j4=4;a>44c34?2o7?=d:?6=a<6:m16?>j513f8965b288o70=;cn3;9h63j0;31`>;b?3;9h63i7;31`>;68=0:>i52117957b<58:=6<2e9>54?=9;n0120826a=:9;81=?j4}r;1>5<4s43862e9>6gc=9;o01?li:00f?85513;9i63<2`826`=:;;h1=?k4=74e>44b34<<<7?=e:?534<6:l168;h513g89117288n70:81;31a>;3?;0:>h52466957c<58296<2d9>5=0=9;o01<68:00f?83a13;9h63:f`826`=:44b34?o:7?=e:?6`2<6:l169:k513g8901a288n70;70;31a>;2080:>h524gd957c<5<:;6<2d9>245=9;o01;?::00f?806>3;9i63962826`=::021=?k4=3;:>44b3482m7?=e:?1=g<6:l16:89513g8933?288n70:74;31a>;30<0:>h52494957c<5=2<6<2d9>5ab=9;n01?>=:00f?85>>3;9i63<96826`=:>l0:>h526g826`=:?90:>h5270826`=:;h<1=?k4=2c4>44b34?3o7?=d:?6;1:?0:>h52635957c<5=44>2e9>64>=9;o01??6:00f?846i3;9i63=1c826`=:44c34>on7?=d:?7`f<6:m168ij513f89054288o70;<4;31`>;2>?0:>h52562957b<5<=:6<;>4>2e9>122=9;n01877:00f?83>j3;9i63:9b826`=:=0n1=?k4=21g>44b3498i7?=e:?07c<6:l16hk4>2d9>a5<6:l16i>4>2e9>a1<6:m16i84>2e9>a3<6:m16i:4>2d9>ag<6:m16in4>2e9>aa<6:m16ih4>2e9>ac<6:m16j:4>2d9>552=9;o01<>::00f?877>3;9i63>06826`=:99i1=?j4=03;>44b34;:57?=e:?25d<6:l16=?>513g89446288n70?=2;31a>{t190;6>u29082gf=Y1916n>4>2b9~wg5=83>iw0l<:0aa?84c?3;8563=d9827<=:<0>1=?k4=3`f>45>348ij7?<9:?06<<6;016??o512;8964e28927089f;30=>;1?90:?452663956?<5=389>024=9:301<6=:01:?87?<3;8563>84827<=:91<1=>74=0:4>45>34?mm7?<9:?6bg<6:m169km513f891cc288n70;k6;30=>;2l>0:?452590957c<5;336<=6;<0:=?7412795l4>389>674=5:;>45>349;2mj0:?4525df956?<5ml4>2d9>14>=9;o01??l:00f?834;3;9i63:71826`=:=>;1=?k4=4;;>45>34?257?=e:?6=g<6;01694m512;890?c289270=;4;l0:?45232d956?<5l91=?k4=d5956?<5lh1=?k4=g5956?<58:?6<=6;<33`?75l27:

2e9>55`=9;n0121827<=z{1o1<7=t=9d95fe;5l>0:89522e:9512<5=3>6<459>77?=9=>01>>:1=9:4=752>42334>=j7?;4:?735<6<=168:?51568911528>?70?72;370>;60=0:89521979512<582=6<:;;<3;3?73<27>jl4>459>1cd=9;o018hl:00f?82bl3;8563:d78201=:=m=1=9:4=4:1>45>3489?7?=d:?7ed<6:m16>465156897?>28>?70<6a;370>;51k0:89524969512<5=2>6<:;;<6;2?73<27?4:4>459>0=>=9=>01>9l:067?87cl3;9i63<978201=:;0=1=9:4=7g9512<5?l1=9:4=629512<5>;1=9:4=2c5>423349j;7?;4:?6af<6<=169hj5156890cb28>?70;na;30=>;2900:>h5220a956?<5<9?6<;>4>2d9>1<>=9=>01876:01:?83>j3;?863:9b8201=:=0n1=9:4=21g>4233498i7?;4:?07c<6<=16i94>2d9>a2<6<=16j:4>459>553=9:301<>k:00f?877m3;9i63>0g826`=:98:1=?k4=03:>45>34;9=7?<9:pcb9]n5rsc294?5bs4h;6469>6gc=9==01?li:064?85513;?;63<2`8202=:;;h1=994=74e>42034<<<7?;7:?534<6<>168;h51558911728><70:81;373>;3?;0:8:521909511<582?6<:8;<3;1?73?27:4;4>469>5=1=9==018hn:064?83aj3;8563:fb827<=:74=4f5>42034?o;7?;7:?63`<6;016>?:513f891ge288o70<68;373>;5100:8:5228c9511<5;3i6<:8;<6;0?73?27?484>469>0=0=9==01968:064?82?03;?;63<7b8202=:;0<1=994=2;4>4203442034=;6<:8;<52>42034?no7?;7:?6aa<6<>169hk51558977?289270;6b;373>;21j0:8:5258f9511<5:9o6<:8;<10a?73?278?k4>469>a2<6<>16in4>2d9>b2<6<>16==j512;8946b289270??f;30=>;6990:?45rs9:94?5|5131=nm4^9:89d`=9;i0q~oi:180`~;fn3;hn63=d6820==::m21=964=3`f>42?348ij7?;8:?06<<6<116??o515:8964e28>37089f;37<>;1?90:8552663951><5=499>024=9=201<6=:06;?87?<3;?463>84820==:91<1=964=0:4>42?34?mm7?;8:?6bg<6<=169km5156890b128>370;k7;37<>;2?o0:?4524gd956?<5;336<:7;<0:=?7302795l4>499>642?349370;jd;37<>;2ml0:8552245957b<5;;26<=6;<7:f?73027>5n4>499>1=k:06;?854m3;?463<3g820==:m>0:8552ee826`=:n>0:855211f9512<58:n6<:;;<33b?73<27:==4>459~w=0=839p15951ba8Z=0<5ho1=?m4}rcf>5<4lr7ji7?lb:?1`2<6<016>i6515;897db28>270;4:00:845233c951?<5:8i6<:6;<45b?73127=;=4>489>227=9=30198i:06:?82083;?563;70820<=:<>81=974=0:1>42>34;387?;9:?2<0<6<016=58515;894>028>270;ia;37=>;2nk0:8:525ga9511<54=4>389>156=9:301?77:06:?84>13;?563=9`820<=::0h1=974=5:7>42>34>397?;9:?7<3<6<016859515;891>?28>270=8c;37=>;41?0:8452385951?<5?o1=974=7d951?<5>:1=974=63951?<5ih4>489>646=9;n01??n:01:?82c13;9i63:9c820<=:=0i1=974=4;g>42>3498h7?;9:?07`<6<016?>h515;89`1=9=301k9515;8946c28><70??e;373>;68o0:8:5210295114ed3W2?70ok:00`?xufl3:1?iu2ae82gg=::m=1=9o4=3f;>42f348ii7?;a:?1fc<6j70==b;37e>;1>o0:8l52662951g<5?=:6<:n;<65b?73i27?;=4>4`9>027=9=k0199=:06b?87?:3;?m63>85820d=:91?1=9o4=0:5>42f34;3;7?;a:?6bd<6370;k6;37e>;2l>0:8l52513956?<5;336<:n;<0:=?73i2795l4>4`9>642f349j70;jd;37e>;2ml0:8l52221957b<5;;i6<=6;<6ge?75m27>5o4>4`9>145>34;;h7?;8:?24`<6<116==h515:8947728>37p}72;297~;?;3;ho6P72:?bg?75k2wxmn4?:0`x9de=9jh01?j8:06a?84c03;?n63=bd820g=::kl1=9l4=20:>42e3499m7?;b:?06g<6228>i70?76;37f>;60>0:8o52384951d<5:3<6<:m;<4f>42e3442e34=:6<:m;<06`?75l27>5o4>4c9>15<4s4=m64b9>77?=9=i01>>:1=9l4=54e>42e34><<7?;b:?731<6;016=5:515a894>228>h70?76;37g>;60>0:8n525g`951?<5=oh6<=6;<7;5?741279554>4c9>642d3442d34=;6<:l;<52>42d34?no7?;b:?6aa<696513f891be288n70;6b;37g>;21j0:8n5258f951e<5:9o6<:l;<10a?73k278?k4>4b9>b2<627p}8c;297~;0l3;ho6P8c:?b=?75k2wxm44?:0`x9d?=9jh01?j8:00e?84c03;9j63=bd826c=::kl1=?h4=20:>44a3499m7?=f:?06g<6:o16=5:513d894>2288m70?76;31b>;60>0:>k52384957`<5:3<6<44a3444a34=:6<5o4>2g9>15<2s4io65<5s4im659z?g4?7dj27:9k4k2:?22fg7<6kj1Uo<52c2826f=:k=0:>n5rsb194?`|5j91=nl4=567>a4<5=8<6i<4=2a0>a4<5=8o6i<4=510>a4<5=9i6i<4=07:>a4<5=;=6i<4=53f>a4<5=:>6i<4=7:9`7=:;jl1h?524029`7=:<=k1h?5rsb694?3|5j>1=nl4=5f3>a4<5a4<5<8i6i<4}r:3>5<4s42:6319>77?=9::01>;1=9l4=551>42e34><87?;4:?2<7<62289;70?76;304>;60>0:?=525ga951?<5=oh6<:;;<7;5?73<2795l4>4c9>6>4=2;4>4573445734=;6<=?;<52>45734?ni7?;b:?10c<6:m168im513g890?e289;70;6c;304>;21m0:?=5232f9566<5:9n6<=?;<10b?74827n;7?;b:?e3?74827:489>546=9=30q~9n:18081e28ih7S9n;44d3tyj47>51cy>e=<6kk16>i95123897b?289:70;5jo0:?<5233;9567<5:8j6<=>;<11f?74927:494>309>5=3=9:;01<69:012?87??3;8=63<978274=:;0=1=>?4=7g9567<5?l1=>?4=629567<5>;1=>?4=4:`>44b3488m7?=d:?6=g<6;81694m5123890?c289:70=;4;l0:?<5232d9567<5o=1=>?4}r5;>5<4s4=260:oo522e59564<5;n36<==;<0aa?74:279nk4>339>77?=9:801>63>858277=:91?1=><4=0:5>45534;3;7?<2:?0=3<6;;16?495120893c=9:801;h51208926=9:801:?51208974f288o70:kd;31a>;21k0:??5258a9564<5<3o6<==;<10`?74:278?h4>339>76`=9:801k951208yv75>3:18v3>2682gf=Y9;<01<<7:00`?87513;9o6s|13:94?20s4;947?lb:?12`h4k2:?016<94k2:?55<4k2:?50<7j=;<101?b5349j57j=;<656?b534?2=7j=;<7e5?b534<957j=;<41b?b534?:n7j=;<6ab?b534>n<7j=;|q26<<72=q6=?751b`896dc2m801;?i:e08906c2m80q~;ke;297~;2lo0:on5Q5eg890c7288h7p}:e183>1?|5329>6gc=9:901?li:010?85513;8?63<2`8276=:;;h1=>=4=74e>42d34<<<7?;c:?534<6h70:81;37g>;3?;0:8n52190951e<582?6<=<;<3;1?74;27:4;4>329>5=1=9:9018hm:06b?83ak3;?m63=99820f=::031=9m4=3;b>42d3482n7?;c:?7<1<6128>h70:77;37g>;3010:8n5236a951e<583i6<2d9>5ag=9;n01>79:010?85>?3;8?639e;307>;1n3;8?6380;307>;093;8?63:eb820f=:=ln1=9m4=4gf>42d34?>n7?=d:?6e0<6:m169><513g89054289270;<4;30=>;2>;0:>i52562956?<5<=:6<=6;<746?74127>;>4>389>122=9;o0187m:010?83>k3;8?63:9e8276=:;:n1=>=4=21f>4543498j7?<3:?f3?73k27m;7?<3:?242<6;016==o513f8946d288n70??d;37e>;68l0:8l5211d951g<58;;6<:n;<32e?74127:>?4>389~w1e72908w0:l1;3`g>X3k9168n<513a8yv2d:3:19>u24b095fd<5;n<6<=;;<0g2e9>0<4=9;o0197;:01:?82>=3;8563=bg8271=:>9=1=?j4=72b>44c34<=j7?=f:?0e`<6:m16?lh513f8910a288m70:80;31b>;3?80:>k52460957`<582?6<=;;<3;2?74<27>j:4>2e9>0`>=9;n018j9:06a?83ci3;9h63:d`826`=:>881=>74=736>45>34<==7?=e:?527<6:m16:;=512;89302288n708:7;30=>;30=0:>k52497957`<5=2=6<2e9>72?=9;n01>6;:00g?85?=3;9h63<89826a=:>=l1=?k4=773>44c34;i:7?<9:?2b`<6:m16=kh513g89767288o70=62;31`>;41:0:>i526d8271=:?90:?952708271=:;h<1=994=2`4>44c349i;7?=e:?563<6;01698l513g890g2288n70;n9;31`>;29>0:>i52520956?<5<986<:;;<700?73<27>:?4>2d9>130=9:30189?:067?830:3;?863:75827<=:;:n1=>:4=21f>4533498j7?<4:?1>44c34no6<44c34nm6<=6;44b34o<6<45334;;?7?=d:?24d<6:l16==j515`8946a28>i70?>7;31`>;69o0:>i5rs`194?5|5h>1=nm4^`189ge=9;i0q~ll:18e8dd28ii70;5l10:?8522cg9562<5:8j6<=;;<3;1?74<27:4:4>359>2c<6;=16;=4>349>1=b=9;o01?;j:00g?83>k3;8863<3e8270=:;:l1=>;4=g595636=4<{4ed3Wk>70lk:00`?xuel3:1jv3md;3`f>;5jo0:?85233;9562<5:8i6<=;;<3;0?74=27:4;4>349>7<0=9:>01>78:017?80b289>709>:016?83?l3;8563=08826a=:=0h1=>:4=4;g>4533498i7?<5:p0c4=839p19h<:0a`?[2a:27?j94>2b9~w1`32908w0:i4;3`f>;6=m0:>i5214f957c54z?2g2<6:j1695851ba8Z0>234?397?lb:p5f1=83?p13;9h63>67826`=:;ho1=>74=2ce>45>3ty>=n4?:2y>14b=9ji0R8?l;<72a?75k2wx9;6>>0:>h5rs461>5<4s4???7?lc:\607=:==>1=?m4}r770?6=>r7>894>cc9>031=9;n01988:00f?870>3;8563>79827<=:=?81=9:4}r7b`?6=;r7>mh4>cb9]1db<5;6i?0:?4521`:956?<58:j6<:;;|qg=?6=;r7om7?lc:\g=>;6:=0:>n5rs007>5289270=jb;31a>;4m80:>i523g1957c<5:l?6<:;;<0;e?75l2794l4>2d9>7cb=9;n01>hk:00f?xu2;o0;6>u255295fe{t==;1<7=t=462>4ee3492j7?=d:?0=c<6:l1v8jm:18083ck3;ho6P:dc9>1ab=9;i0q~;kd;297~;2lm0:oo5245g957b<5=>n6<;e93;9o6s|b083>7}:j80:oo5221c957c4ed3Wk:70lm:00`?xuej3:1?v3mb;3`f>;5980:>h52226957c4ed3W3<70l9:00`?xue>3:1>v3m6;3`f>;5:k0:>h5rs8;94?5|50k1=nm4^8;89g1=9;i0q~l8:1818d028ii70<{t1k0;6>u29b82gf=Y1k16n54>2b9~wg>=838p1o651b`89725288n7p}6d;297~;>m3;ho6P6d:?a=?75k2wxn44?:3y>f<<6kk16>97513g8yv?a2908w0o?:0a`?[?a34hj6<:18083d:3;ho6P:c09>1f7=9jh0q~;l5;296~;2k80:>n525b795fd52z?6g4o94>cc9~w1e42908w0:l4;3`g>X3k:168n=51b`8yv2d?3:1>v3;c2826f=:4k5:?7g3<6kk1v8:::180833>3;ho6P:449>113=9jh0q~;;9;296~;2<<0:>n5255;95fd52z?600854>cc9~w0d72908w0;m1;3`g>X2j9169o>51b`8yv3e<3:1>v3:b1826f=:=k>1=nl4}r7a7?6=:r7>n=4k5:?6f6<6kk1v9k>:18082b:3;ho6P;e09>0`7=9jh0q~:j3;296~;3m80:>n524d195fd53z?6`4<6kj1U9i>4=4f3>4ee3ty>h?4?:3y>1a6=9;i018j=:0aa?xu2?>0;6>u256:95fe{t=>31<744d34?<57?lb:p0c3=839p19h9:0a`?[2a=27?j84>cc9~w1`?2909w0:i5;31g>;3n10:oo5rs0ga>5<4s4;no7?lc:\2ag=:9lh1=nl4}r02b?6=:r7:io4>2b9>64`=9jh0q~?j0;297~;6m80:on5Q1d2894c728ii7p}=0483>7}:9l:1=?m4=326>4ee3ty:i?4?:2y>5`5=9ji0R=m50;0x94c5288h70{t9l=1<7=t=0g;>4ed3W;n;63>e682gg=z{;8=6=4={<3f3?75k279>;4>cc9~w4c>2908w0?ja;3`g>X6m016=h751b`8yv45n3:1>v3>e8826f=::;l1=nl4}r3f`?6=;r7:ih4>cb9]5`b<58oo6eg83>6}:9o:1=nm4^0ge?87bn3;hn6s|22f94?4|58om6<521g195fd52z?2b6<6:j16>9l51b`8yv7a=3:1?v3>f782gf=Y9o?01;2=?0:oo5rs47;>5<5s4?>:7?=c:?61=<6kk1v8o?:18083f93;ho6P:a19>1d6=9jh0q~;n2;296~;2i90:>n525`095fdjj7>53z?7f5<6kj1U8lh4=5ce>4ee3ty?n<4?:3y>0d`=9;i019l>:0aa?xu28?0;6>u251595fe{t=921<744d34?;47?lb:p15c=839p18>i:0a`?[37m27>

cc9~w0772909w0;?e;31g>;2990:oo5rs43e>5<4s4?9<7?lc:\65c=:=8l1=nl4}r715?6=:r7>=k4>2b9>177=9jh0q~?mf;296~;20<0:>n521cd95fd52z?6<0cc9~w4c22908w0?j6;3`g>X6m<16=h;51b`8yv46;3:1>v3>e4826f=::891=nl4}r6a2?6=;r7?n:4>cb9]0g0<5=h=66}:?;5252495fd52z?673<6:j169>651b`8yv32l3:1?v3:5d82gf=Y=34?=57?lb:p13d=838p1886:00`?831j3;hn6s|58094?5|5<386;21;0:oo5rs4;7>5<5s4?2>7?=c:?6=1<6kk1vn;50;1x9f0=9ji0Rn;4=b795fd44d34i<6;2km0:oo5rs4a0>5<3s4?h?7?lb:?6gd<6:m169nl513f8940?288o7p}:c783>7}:=j>1=?m4=4a5>4ee3ty>oh4?:3y>1f0=9;i018mj:0aa?xu2k>0;6?u25bd957b<52c83>f>|588i6oi4=619>0a6=:?l019j?:343?832<38=j63:558125=:=kl1>;h4=4`e>707348=i7<9f:?12`<5>916>o;527d897d22;<;70;5lh09:=5235:963`<5:>36?8?;<6:3?41n27?5:4=619>537=9;o01<;i:34e?871m3;9i63>6b812c=::j81>;h4=3a1>707348n?7<9f:?1a6<5>916>hj527d897cc2;<;70;5n>09:=52313963`<5:::6?8?;<13f?41n278743=:?l01>?::343?856n38=j63<1g8125=:;;o1>;h4=20f>707349>?7<9f:?016<5>916?8k527d8963b2;<;708?c;05b>;18j09:=52666963`<5?=?6?8?;<053?41n279::4=619>6fe=:?l01?ml:343?851138=j63<688125=:;k;1>;h4=2`2>70734><:7<9f:?733<5>916=5o527d894>f2;<;70:99;05b>;3>009:=5246g963`<5==n6?8?;<7eb?41n27>jk4=619>0c6=:?l019h?:343?83c138=<63:05812c=:=9>1>;>4=73:>70a34<:57<90:?7e5<5>o168l>5272891gb2;;3<=09:k524569636<5=8<6?8i;<613?418278o>4=6g9>7f5=:?:01>j6:34e?85c138=<63;2e812c=:<;n1>;>4=744>70a34<=;7<90:?0aa<5>o16?hj5272891542;;3;k09:k5242`9636<5;3n6?8i;<0:a?418279m:4=6g9>6d1=:?:01>k;:34e?85b<38=<63;>4=77b>70a34<>m7<90:?7o1685o52728943>2;;4?909:k523629636<5=;=6?8i;<622?41827?=h4=6g9>04c=:?:019;l:34e?822k38=<63>7c812c=:9>h1>;>4=0ca>70a34;jn7<90:?03c<5>o16?:h5272896>d2;;38<09:k524179636<5?9i6?8i;<40f?41827=8>4=6g9>215=:?:01;:6:34e?803138=<63953812c=:><81>;>4=7:963`<5?21>;>4=0;f>70a34;2i7<90:?2f<<5>o16=o75272894ba2;;58=09:k522169636<5;2h6?8i;<0;g?4182785l4=6g9>7o>:34e?85f938=<63;>4=2de>70a349mj7<90:?755<5>o168<>52728912f2;;3=909:k524429636<5=?=6?8i;<662?41827=?84=6g9>263=:?:01::527d8922=:?:01<7=:34e?87>:38=<63=93812c=::081>;>4=216>70a349897<90:?0e<<5>o16?l75272896dc2;;3>;09:k524709636<5<3:6?8i;<7:5?41827>j<4=6g9>1c7=:?:01;?i:34e?806n38=<63928812c=:>;31>;>4=70e>70a34<9j7<90:?64a<5>o169=j52728907e2;b;054>;2:k09:k5253`9636<5=hm6?8i;<6ab?41827?i=4=6g9>0`6=:?:0q~<85;29645|5;=>6o<7j>;<760?b634?ij7j>;<0a1?b6348om7j>;<0g3?74i279h:4>3c9>6a1=9:i01?j8:01g?84c?3;8i63=d6827c=::m=1=9>4=3f4>426348o;7?;2:?1`2<6<:16>i95157897b028>=70;5l10:?o522e:956e<5;n36<=k;<0g3g9>6a>=9=:01?j7:062?84c03;?>63=d98206=::m21=9;4=3f;>42134>2;7j>;<36b?b634;=o7j>;<0`6?b6348in7?<3:?1fg<6;=16>om5121897dd289?70;5jl0:?l522cg956d<5;hn6<=l;<0aa?74l279nh4>3d9>6gc=9:l01?lj:063?84em3;?=63=bd8207=::ko1=9=4=3`f>422348ii7?;6:?1fc<6;116>oh512c897da289i70;5jo0:?i522cd956c<5;hm6<=i;<0ab?738279nk4>409>6g`=9=801?li:060?84en3;?963=bg8203=::l91h<522df9`4=::o=1h<523139`4=::oo1=9m4=3df>44a348mi7?<0:?1b`<6;816>kk5120897`b289870;5no0:8n522gd957`<5;lm6<=?;<0eb?749279jk4>339>6c`=9:901?hi:017?857j3n:70=?8;31b>;4810:?=5231:9567<5::36<==;<13359>75?=9;l01>>6:013?85713;8=63<088277=:;931=>=4=22:>453349:97j>;<126?748278=?4>309>744=9:801>?=:010?856:3;8863<128275=:;891=>?4=230>455349:?7?<3:?056<6;=16?74e=9:;01>?l:011?856k3;8?63<1b8271=:;8n1=>?4=23g>455349:h7?<3:?05a<6;=16??k5d09>771=9:801><8:010?855?3;8863<28827==:;;31=>o4=20:>45e349957?289m70==9;374>;4:00:8<5233;9514<5:826<:<;<11=?73=278>44>479>77g=9:201>j4=20b>45b3499m7?970==a;377>;4:h0:885233c9510<5:8i6<=7;<11f?74i278>o4>3c9>77d=9:i01>4=20a>4263499n7?;2:?06g<6<:16??l51578964e28>=708?c;f2?800<3n:7089f;306>;1>o0:?>5267d9562<5?=;6<==;<444?74;27=;=4>359>227=9:801;9>:010?80093;8863=cb8g5>;5k00:?9522bc9562<5:h:6i?4=555>a7<5=359>024=9:>01<6n:e3894>5289;70?72;305>;60;0:??521909565<58296<=;;<3;0?74i27:494>3c9>5=2=9:i01<6;:01g?87?<3;8i63>85827c=:91>1=9>4=0:7>42634;387?;2:?2<1<6<:16=5:5157894>328>=70?75;30e>;60<0:?o52197956e<582>6<=k;<3;1?74m27:484>3g9>5=3=9=:01<6::062?87?=3;?>63>848206=:91?1=9;4=0:6>42134;3:7?1289o70?76;30a>;60?0:?k521949516<582=6<:>;<3;2?73:27:4;4>429>5=0=9=?01<69:065?87??3;8m63>86827g=:91=1=>m4=0:4>45c34;3;7?028>:70?77;376>;60>0:8>521959513<582<6<:9;<7eb?b634?mn7?=f:?6bg<6;9169kl5123890`e289970;ib;307>;2nk0:?9525ga957`<5jn4>339>1ce=9:9018hl:017?82a83n:70;k9;f2?83c>3;8<63:d78274=:=m<1=><4=4f5>45434?o:7?<4:?6`2<6;9169i95123890b0289970;k7;307>;2l>0:?9525169`4=:>831h<524`29`4=:?=1h<523df9`4=:<:91h<5242`9`4=::0o1h<5228:9562<5;326<=;;<0:e?74<2795o4>359>6d1=l816?h:5d09>7c>=l816:8o5d09>0=g=l81685:5121891>3289?70:75;307>;30<0:?9524949565<5=2=6<=;;<6;3?74;27?4:4>359>0=>=9:901967:017?87213n:70=80;f2?826>3n:70:>e;f2?822k3n:70?8b;f2?87fj3n:70=8f;f2?850k3;8<63<7b8274=:;>i1=><4=25`>4543494k1:?50<;<3a=?b634;oj7j>;<030?b63483o7j>;<1:e?b63492:7?;41?0:?k523849516<5:3=6<:>;<1:2?73:2785;4>429>7<0=9=?01>79:065?85>?3;8m63<96827g=:;0=1=>m4=2;4>45c3492;7?:70=67;376>;41>0:8>523859513<5:3<6<:9;<1b5?b6349hj7j>;<1eb?b634>:<7j>;<67e?b634>><7j>;<662?b634<897j>;<57>a7<5?o1=>o4=7g956d<5?o1=>m4=7g956b<5?o1=>k4=7g956`<5?o1=9>4=7g9517<5?o1=9<4=7g9515<5?o1=9;4=7g9510<5?l1=>o4=7d956d<5?l1=>m4=7d956b<5?l1=>k4=7d956`<5?l1=9>4=7d9517<5?l1=9<4=7d9515<5?l1=9;4=7d9510<5>:1=>o4=62956d<5>:1=>m4=62956b<5>:1=>k4=62956`<5>:1=9>4=629517<5>:1=9<4=629515<5>:1=9;4=629510<5>;1=>o4=63956d<5>;1=>m4=63956b<5>;1=>k4=63956`<5>;1=9>4=639517<5>;1=9<4=639515<5>;1=9;4=639510<58396i?4=3;1>a7<5:9>6i?4=210>4563498?7?<2:?076<6;:16?>=5126896g>2m;01>lk:e3891052m;0187>:e3890`62m;018kl:010?83bk3;8863:ee8276=:=ln1=>:4=4gf>45434?ni7?<4:?55c44k1:?56ci4>339>67b=9:901?b;f2?835j3n:70:mf;f2?82b83n:70;6b;30e>;21k0:?o5258`956e<5<3i6<=k;<7:f?74m27>5o4>3g9>1j3;?>63:9c8206=:=0h1=9;4=4;a>42134?2o7?;21j0:?k5258a9516<5<3h6<:>;<7:g?73:27>5n4>429>1l3;8m63:9e827g=:=0n1=>m4=4;g>45c34?2h7?:70;6d;376>;21m0:8>5258f9513<5<3o6<:9;<10g?74;278?n4>359>76b=9:201>=k:01b?854l3;8n63<3e827f=:;:n1=>j4=21g>45b3498h7?j51538965c28>970=;4;m0:885232f9510<5:9n6<=7;<10a?74i278?h4>3c9>76c=9:i01>=j:01g?854m3;8i63<3d827c=:;:o1=9>4=21f>4263498i7?;2:?07`<6<:16?>k51578965b28>=70=;4;o0:?l5232d956d<5:9m6<=l;<10b?74l278?k4>3d9>76`=9:l01>=i:063?854n3;?=63<3g8207=:;:l1=9=4=21e>4223498j7?;6:?f3?74:27n;7?<3:?f3?74<27m;7?27:339>55b=9:901<>k:017?877m3;8>63>0d8276=:99o1=>:4=02e>45534;;j7?<3:?24c<6;=16=<>512089477289870?>0;300>{t=j21<744c34?h47?lb:p1f?=838p18m8:00f?83d13;hn6s|3e394?`|52d9>7a7=9jh01>j::00g?85c>3;9h6344c349oj7?=d:?0a5<6:m16?h<513f896`3288o70=i5;31`>;4n?0:>i5rs2g6>5;4m<0:oo523d`957b<5:o96<2d9>7c0=9;o0q~=kb;29`~;2kh0:89525b`9512<58<36<:;;<1g2?741278h:4>389>7`?=9;o01>kn:00f?85cj3;hn6345>349m97?<9:?0b3<6;01v>ki:18:83di3;?;63:cc8202=:9?21=994=2f5>423349o;7?;4:?0a7<6;016?hh51b`896`4288o70=i6;370>{t=jk1<74ee34?h57?=d:p51c=83?p18mm:06;?873m3;hn63;5`826a=:<44c3ty?8o4?:3y>1fd=9=3019:m:0aa?xu2kk0;6?u25b`95fd<5;3l80:>h52547957b<56<o=4>2d9>017=9;n019:=:00g?82403;9h63;38826a=:9<=1=?j4=70957b<5=:i6<>;4>2e9>f`<6kk169>m513f8905c288o7p};cg83>6}:44d34>o<7?lb:p0f3=83?p19m::0aa?87183;9h63>61826`=:9?n1=?j4=04g>44b3ty?o54?:3y>0f0=9;i019m7:0aa?xu3l80;6?u24b:957e<5=n:6513a891e>28ii7p};c`83>7}:4ee3ty?oo4?:3y>0f?=9;o019mm:0aa?xu39o0;6<9t=5a`>44c34>hh7?=d:?7g`<6:m1699h513f890df288o70:>f;3`f>;3:=0:>i52437957b<58?=6<2e9>27<6:l16:>4>2e9>21<6:m16:84>2e9>23<6:m16?n7513f896ef288o70=lb;31`>;38l0:>i52536957b<5<8<6<;3<80:>h52450957c<5=8?6<2d9>06?=9;o01<;9:00f?826j3;9i63;1b826`=:;j21=?j4=2a:>44b349hh7?=d:?74f<6:m1v9<7:1820~;3kj0:?4524bf957c<5=in6<no4>2e9>075=9;n019<<:00f?82503;hn63>53826a=:9<81=?k4=70956?<5?91=?k4=76957c<5??1=?k4=74957c<5:i26<=6;<1`e?75m278oo4>2d9>05c=9;o018<;:00f?xu3:l0;6<=t=5a`>42334>hh7?<9:?7g`<6;01698?513f890dd288o70:=b;31`>;3:k0:>h5243g95fd<58?86<7?;4:?57?74127=87?<9:?51?74127=:7?<9:?0g<<6<=16?no512;896ee289270:?e;30=>{t<:>1<7?<{<6`g?73?27?oi4>459>0fc=9=>018;=:00g?83el3;9h63;30826a=:<:;1=?k4=517>4ee34;>87?=d:?211<6:l16:?4>469>26<6<=16:94>459>20<6<=16:;4>459>7f?=9==01>mn:067?85dj3;?863;0d8201=z{=ih6=4={<6`g?7dj27?oo4>2e9~w15d290:9v3;ce8202=:9?k1=?k4=51`>4ee34>?<7?=d:?77=<6;0168>7512;89430288n708=:06;?80428><708;:064?80228><7089:064?85d03;9i6344b34>;o7?=e:?74a<6:m168=k515589041288n70;=8;30=>{t4ee34>hn7?=e:p056=83kp19mj:064?871i3;8563;40827<=:<:21=9:4=074>45>34>;<7?lb:?52?730278oi4>389>05b=9;o018=k:00f?xu3<<0;6lu24bg951><5=>96<=6;<60=?73<27=:7?;9:?0g=<6;016?nl51558916c289270:;5;3`f>;2:?0:?45252f956?hi7>52z?7g`<6kk168nl512;8yv32;3:1?v3:48826f=:===1=?m4=477>4ee3ty>8:4?:3y>111=9jh018;=:01:?xu2j67}:=<>1=?m4=46a>4ee3ty>8n4?:3y>11d=9;n018:l:0aa?xu2o6{t==o1<74ee34??h7?=d:p53`=83kp18:i:00f?83283;9i63:50826`=:=<81=?k4=04e>4ee34;<:7?=d:?232<6:m16=:6513f896ec28>?70?>e;31`>{t==l1<74ee34??h7?=e:p106=838p18;?:0aa?833l3;856s|54394?4|5{t=ko1<7=t=4`7>44d34?i>7?=c:?6fc<6kk1v8l=:18183e:3;hn63:be827<=z{6=4={<7a7?75k27>n84>cc9~w0e72909w0;m5;31g>;2k90:oo5rs4`5>5<5s4?ij7?=c:?6f3<6kk1v8l8:18183e>3;9h63:b682gg=z{n54>cc9~w40>2908w0;m9;31`>;2j00:>h5217;95fd52z?6f<<6kk169o6513f8yv7>n3:1mv3:b`826`=:=kh1=?k4=4``>44b34?ih7?=e:?22d<6<=16=4h51b`894g1288o70?n7;31`>;6i10:>i52104957b52z?6fd<6kk169o6513g8yv3ej3:1>v3:bc82gg=:=k21=>74}r7ag?6=:r7>nn4>cc9>1g>=9=>0q~;md;296~;2jm0:oo525c:951152z?12=<6:j16>;k51b`8yv4103:1>:u227:95fd<5:>=6<:;;<0af?741279nn4>389>6`6=9:301?k>:01:?84bi3;8563=ec827<=::o>1=>74=3d6>45>348mi7?<9:?1bc<6;016?=6512;8966>289270=>2;30=>;49:0:?45230a956?<5:;o6<=6;<113?7412789<4>389>70e=9:301;8l:00g?84d13;8563=c`827<=:;?<1=9:4=244>42334<==7?=d:?520<6:m16>48512;897g3289270;1<80:>i5265g957b<5?>m6<i4>389>76d=9:301im513f89ab=9;n0q~<9a;296~;5>l0:>n5227c95fd52z?12`cc9~w70e2909w0<9a;31`>;5>k0:oo5rs34`>5<5s48=m7?=e:?12f<6kk1v>:=:18a841k3;9h63<4382gg=:;=<1=?j4=26e>44c349><7?=d:?01<<6:m16?8l513f89702288o70=94;31`>;4><0:>i52374957b5az?12f<6:l16?9o51b`8962a288n70=:0;31a>;4=h0:>i5234`957c<5;<>6<2d9>730=9;o0q~=:4;29=~;5>j0:?45234695fd<5:?26<389>633=9:301>8;:01:?851=3;8563<67827<=z{:?m6=4;{<05g?73<279:84>459>70`=9jh01>88:00g?xu50>0;6?8t=34:>44c349?:7?=e:?006<6:m16>ol513f897dd288o70;5m80:>i522dc957b<5;oi6<2e9>6cc=9;n01?hi:00g?85703;9h63<08826a=:;881=?j4=230>44c349:o7?=d:?05a<6:m16??9513f89636288o70=;b;31`>;4=j0:>i52347957b<5;<96<2e9>732=9=>01>88:00f?85183;9h63=97826a=::h>1=?j4=3c6>44c3483;7?lb:?1=5<6:m16>4>513g8974c288o70={t:1n1<7<;{<05=?75m2788;4>389>715=9;o01?lm:00f?84ek3;9i63=e1826`=::l;1=?k4=3gb>44b348nn7?=e:?1b1<6:l16>k;513g897`b288n70;4810:>h5231;957c<5:;96<2d9>74b=9;o01><8:00f?85293;9i63<4c826`=:;44b348=>7?=e:?1g<<6:l16>no513g8960228>?70=97;30=>;4>90:>h52284957c<5;k?6<cc9>67b=9;o01>=m:00f?xu5j=0;6?u22`;957e<5;h>644c348i?7?=d:?1`1<6:m16>i8513f897de28>?70;5m90:89522d39512<5;oj6<:;;<0ff?73<279j94>459>6c3=9=>01?hj:067?84an3;?863<098201=:;931=9:4=231>423349:?7?;4:?05f<6<=16??70;5kh0:89521e`957b<5:986<2e9>b6<6:m16j94>2e9>b0<6:m16j;4>2e9>bg<6:m16jn4>2e9>ba<6:m16jh4>2e9>bc<6:m1v?on:18184e=3;9o63=a`82gg=z{;ki6=4={<0be?75l279mo4>cc9~w7gd2909w0;5ij0:oo5rsg394?5|5;ko6<6}::ho1=?j4=3cf>44b34l26lk51b`897gd288n7p}<4083>77|5;km6<2d9>6g4=9;o01?l<:00f?84c=3;9h63=d7826`=::m=1=>84=3f;>45134;387?<6:?2<0<6;<16=585124894>0289>70=66;301>;41>0:?8526d8273=:>o0:?852718273=:?80:?;5258`9563<5<3h6<=:;<7:`?74=2788<4>cc9>b6<6:l16j94>2d9>b0<6:l16j;4>2d9>b2<6;?16jo4>2d9>bf<6:l16ji4>2d9>b`<6:l16jk4>2d9~w7ga2909w0;5ij0:?45rs3;0>5<4?r79n=4>389>6a1=9:=01?j7:014?84ei3;9h63=bc8202=::ko1=>;4=3`e>451348oj7?=d:?1a5<6<>16>h7513f897cf28><70;5n=0:8:522gf957b<5;ln6<:8;<133?75l278<54>469>747=9;n01>?=:064?856j3;9h63<1b8202=:;;<1=?j4=204>420349957?<5:?06d<6;<16??l5127897e?288o70;60=0:?:521979560<582=6<=8;<3;3?74>27?jn4>2e9>0cb=9;n01?7<:0aa?84>>3;?863=a58201=::h?1=9:4=2;5>4513492;7?<6:?5a?74?27=j7?<6:?44?74?27<=7?<7:?0f<<6:l16?oo513f890>f288o70;6b;302>;21j0:?;5258f9560<5:9h6<278?h4>379>76`=9:<01k951258yv4e83:1>v3=b182gg=::hi1=9:4}r0b4?6=;>q6>o?512;897b0289370;5jh0:>h522ca9511<5;hn6<=9;<0ab?74?279hk4>2d9>6`7=9==01?k6:00f?84bj3;?;63=f2826`=::o?1=994=3dg>44b348mj7?;7:?042<6:l16?=7515589676288n70=>3;373>;49k0:>h5230f9511<5:8=6<278>l4>379>77d=9:<01?m7:00f?84di3;?;63>85827==:91?1=>94=0:5>45?34;3;7?<7:?7bf<6:l168kj513g897?128><70;5i=0:8:522`79511<5:3=6<=8;<1:3?74?27=i7?<8:?5b?74?27<<7?<8:?45?740278n44>389>7gg=9;o0186n:00f?845l3;?;63:9c8272=:=0i1=>94=4;g>4503498o7?<9:?07a<6;>16?>k51258965a289<70h8:01;?xu5j80;6?u22c395fd<5;kh6<:8;|q1g`<728ip1?l=:01:?84dm3;hn63=d5826`=::m?1=?k4=3f5>45>348in7?;8:?1ff<6<116>h>515:897c628>370;5mk0:85522g6951><5;l>6<:7;<0ea?730279jk4>499>75>=9=201>>6:06;?856:3;?463<12820==:;8i1=964=23g>42?3499;7?;8:?1g<<6<116>no515:894be288n70=<3;31a>;5:m0:855232a95127>52z?1f7<6kk16>lm515:8yv4cj3:1=:u22c1956?<5;hi6<:6;<0ag?731279ho4>cc9>6a`=9:301?kn:06:?84bj3;?563=f5820<=::o?1=974=3df>42>348mj7?;9:?04=<6<016?=7515;8967528>270=>3;37=>;49j0:845230f951?<5:8<6<:6;<0`=?731279ol4>489>765=9:301?i750;0x97eb288h70{t:jl1<744d348hj7?lb:p6a6=838p1?mi:00g?84c83;hn6s|2e394?4|5;im6<;60:0:oo5rs3f1>5<5s48o>7?lb:?1`4<6:m1v>7::18084c;3;9h63=d2826`=:;0?1=nl4}r0g7?6=:r79h>4>cc9>6a7=9;o0q~52z?1`0<6kk16>i?51568yv4c>3:1>v3=d782gg=::m;1=994}r0g3?6=:r79h:4>cc9>6a7=9=20q~53z?007<6:j16?97513g8962?28ii7p}<4583>7}:;=31=?j4=267>4ee3ty8844?:3y>71?=9jh01>:7:00`?xu4<:0;6?u235:9`4=:;=91=nl4}r171?6=:r78894>2e9>713=9jh0q~=;6;296~;4<=0:>h5235495fd2:7>53z?766|5=2i6389>0<5=9;o0197;:067?82>=3;?86396g8275=:>>:1=?h4=752>44a34>=j7?<0:?735<6;9168:?512289115289;70:j9;31`>;3i10:>i5228:957`<5;326<2g9>0=2=9::0196::013?82?>3;8<63;868275=:<121=?h4=05:>44c34;j57?=d:?03d<6:m16=4m513f894d128>?70?m7;30=>;2mj0:>k525df957`<5;<4>459>124=9==0189<:067?830<3;?863j3;30=>;b<3;8563j5;30=>;b>3;9i63j7;304>;68j0:?45211f951e<58:n6<:m;<33b?73k27:==4>4c9~w1>d2909w0:68;31`>;30j0:oo5rs5;;>5<5s4>247?lb:?7=2<6:j1v96k:18182?k3;9h63;8e82gg=z{=2n6=4={<6;g?75m27?4h4>cc9~w30b2908w0:7f;31`>;30o0:>h5267g95fd3j7>52z?7;3190:>h52e382gg=z{=3;6=4={<6:4?7dj27?4h4>2d9~w6d3290?;v3;90827<=:<091=>74=3`f>450349957?<7:?06d<6;>16??l51258936?288o708?a;31a>;1?90:?=526639566<5:kn6<399>5=1=9:2018h8:00f?82b03;9i63:d6820g=:>891=>74=735>45>34<==7?<9:?527<6:l16:;;512;897??289;70<69;304>;51h0:?=5228`9566<5??36<=6;<6;2d9>72?=9;o01>6;:00f?85?>3;9h63<89826`=:>=l1=>74=773>44b34;i;7?;4:?2b`<6:l16=kh512;89767288n70;41;0:>h52386957b<5:3=6<=7;<1:3?74027=j7?<8:?0e2<6<>16?o:51b`890cd289;70;jd;304>;2ml0:?=52635956?<5;<4>469>125=9==0187m:01;?83>k3;8463:9e827==::3;9i63kd;30=>;cm3;9i63j0;30=>;b;3;?863j4;370>;b>3;8563>02826`=:99i1=9:4=02f>42d34;:<7?;c:?252<6:l16=93:1>v3;9082gg=:<1o1=>74}r6:=?6=:0q684<5156891?428>?70:64;373>;31<0:8:5247d9567<5==:6<=>;<6:=?7dj27?5h4>2e9>0d0=9;n019o8:00g?84>03;8=63=9`8274=:<1>1=>?4=5:5>45634;;6100:>i521c1957b<58h>6<469>002=9;n018kl:012?83bm3;8=63:71820==:=>;1=964=451>42?34?16i>4>469>a1<6<>16i84>459>a3<6<=16==m51558946c288m70??e;31b>;68o0:>k52102957`j=7>54gy>0<4=9==0197<:064?82><3;?463;94820==:<>:1=>?4=551>45634><87?;7:?7ag<6<=168hm5155891cc28>?70;8e;370>;2?o0:89525929512<5<2:6<:8;<7;6?73<27?jk4>459>156=9=>018>>:067?82>l3;9h63;9e826`=:44b34>j47?=e:?1=<<6;816>4l5123891>2289:70:77;305>;3010:?<5216;957c<58k26<2e9>5b5826a=:9k?1=?k4=0`5>42?34;i;7?;8:?711<6:l16:n4>2e9>2f<6:l169hj5123890g0288o70;n8;30=>;2i00:?4525`c9512<5;;36<:;;<02=?73<279=l4>459>64d=9=>01??l:067?83083;?563:70820<=:=>81=974=450>42>34?<87?;8:?f7?73027n87?;8:?f1?73?27n:7?;7:?246<6;016==:51568946228>?70??6;370>;68>0:895211a951><58:o6<=?;<33a?74827:319>546=9::01188201=:98k1=9:4=03e>45>34;9<7?;4:?264<6<=16=?<51568yv12290>nv3;93820==:<091=964=5;7>42>34>297?;9:?52c<6;816::>512389316289:70:9f;306>;3?90:??524639564<5==96<==;<640?73027?io4>469>0`e=9=2019kk:064?830m3;?;63:7g8202=:=1:1=994=4:2>42?34?3>7?;7:?7bc<6<>169=>51558906628><70:n8;30=>;5110:??5228;9564<5;3j6<==;<0:f?74:27?494>339>0=3=9:801969:011?82??3;8>63;898277=:9><1=?k4=054>44b34;<47?=e:?23<<6;016=l8513g894g0288n70?n8;31a>;6i00:?45218`956?<583h6<=6;<3a1?74127:n;4>489>5g1=9=301<7?:00g?83bk3;8>63:ee8277=:=lo1=><4=4c4>44b34?j47?;4:?6e<<6<=169lo51558977?28><70<>9;373>;59h0:8:5220`9511<5;;h6<:8;<56>4ee34>ih7?=d:?635<6j70;83;37e>;2?=0:8452e2820<=:m=0:8452e4820==:m?0:8552e68274=:9991=9:4=027>42034;;97?;7:?243<6<>16==951558946d28>270??d;305>;68l0:?<5211d9567<58;;6<=>;<322?75m27:=:4>459>54>=9==011d826`=:98l1=9:4=003>42034;9=7?;7:?267<6<>1v97=:18182>:3;hn63;8d8201=z{=386=4={<6:7?7dj27?4h4>469~w1?32909w0:64;3`f>;30l0:855rs5;6>5<5s4>297?lb:?7<`<6<01v<;j:181872i3;9o63>5g82gg=z{8?j6=4:{<36e?7dj27?>84>2d9>04d=9:3018<8:00f?876;3;9h6s|17294?4|58<:6<{t944d34;>n7?lb:p50e=838p1<;m:00g?872k3;hn6s|14f94?4|58?i6<{t9?81<78t=041>4ee34>997?<9:?75f<6;0168;>513f89107288n70;=7;30=>{t9?k1<744d34;=m7?lb:p53b=838p1<8j:00g?871l3;hn6s|17g94?4|58{t9?>1<744c34;=87?lb:p533=838p1<8<:00f?871=3;hn6s|17494?4|58<=6{t9?21<74ee34;=97?<9:p6f7=839p1?l9:00`?84d;3;9i63=c382gg=z{;h=6=48{<0a2?7dj279nl4>389>6f?=9=k01?mn:06b?854;3;?863<3b820==:n:0:?45rs3a3>5<5s48ih7?=c:?1g5<6kk1v?lk:18084el3;hn63<38826a=:;:31=?k4}r0a3?6=:r79o>4>2e9>6g1=9jh0q~52z?1f2<6:m16>o651b`8yv4e13:1>v3=b6826`=::k31=nl4}r0ae?6=:r79nl4>cc9>6g?=9;n0q~42f348n97?lb:?1a<<6;016>k:515c897`228>j70;5no0:8l5231:951g<5::26<:n;<126?73i278=>4>4`9>74e=9=k01>?k:06b?855?3;?m63=c8820g=::jk1=9l4=210>4203489h7?;a:?07f<6<016jo4>389~w7ca290:?v3=bc820g=::ki1=9l4=3ge>4ee348m?7?<9:?1b`<6kh515`8966?28>i70=?9;37f>;49;0:8o52301951d<5:;h6<:m;<12`?73j278>:4>4c9>6f?=9=i01?mn:06`?854;3;?463=2e820g=:;:i1=9o4=ga956?510y>6gd=9=i01?ll:06`?84a13;hn63=fe827<=:;921=9m4=22:>42d349:>7?;c:?056<6h70==7;37g>;5k00:>k522bc957`<5:986<:6;<01`?73k278?n4>4c9>ba<6;01v>><:18e84ej3;9j63=bb826c=:;991=nl4=224>45>349:>7?=f:?056<6:o16?;5k00:?=522bc9566<5:986<:n;<01`?75n278?n4>4b9>b`<6;01v>>k:18g84ej3;8<63=bb8275=:;9n1=nl4=232>45>349:o7?<0:?05a<6;916??95122897e>289:70;4;:0:8o5223f9566<5:9h6<45>3ty8=:4?:cy>6gd=9:;01?ll:012?856?3;hn63<1c827<=:;;=1=>?4=3a:>455348hm7?<2:?076<6?j51238965d289;70h;:01:?xu4:80;64u22c`9564<5;hh6<==;<115?7dj278>;4>389>6f?=9:901?mn:010?854;3;9j63<3b8274=:n<0:?45rs3`a>5<5s48in7?lb:?1f<<6:l1v?ll:18184ek3;hn63=b8827<=z{;hn6=4={<0aa?7dj279o=4>2e9~w7da2909w0;5k90:>h5rs3g1>5<4s48on7?=c:?1a1<6:l16>h=51b`8yv4ck3:1>v3=e5826a=::mi1=nl4}r0f0?6=:r79i94>cc9>6`5=9;i0q~i522ef95fd52z?1`f<6:l16>ik51b`8yv4cn3:1>v3=dg82gg=::mo1=?j4}r0f4?6=:r79i=4>cc9>6ac=9;o0q~53z?1a0<6:j16>hk513g897cc28ii7p}=e783>7}::lo1=?j4=3g5>4ee3ty9ih4?:3y>6`c=9jh01?kk:00`?xu5m>0;6?u22d4957b<5;o<6h8513g897c?28ii7p}=e883>7}::l31=nl4=3g;>44c3ty9il4?:3y>6`g=9jh01?k7:00f?xu5mk0;6?u22d`95fd<5;o36<=6;|q1b3<72:q6>hh513a897`?288n70{t:o:1<744c348m<7?lb:p6c>=838p1?h7:0aa?84a?3;9o6s|2g394?4|5;l;6<k<50;0x97`7288n70{t:o91<74ee348m>7?=d:p6c2=838p1?h;:0aa?84a:3;9i6s|2g794?4|5;l>650;1x97`>288h70=?2;31a>;4880:oo5rs3db>5<5s49;>7?=d:?1bd<6kk1v>>=:181857:3;hn63<00826f=z{;li6=4={<0ee?75l279jo4>cc9~w7`d2909w0;5nj0:oo5rs3dg>5<5s48mh7?lb:?1bf<6:m1v?hj:18184am3;hn63=fb826`=z{;lm6=4={<0eb?7dj279jn4>389~w66f2908w0=?3;31g>;48j0:>h5231`95fd52z?04f<6:m16?=:51b`8yv57k3:1>v3<0b82gg=:;9h1=?m4}r131?6=:r78<94>2e9>753=9jh0q~=?6;296~;48=0:>h5231495fd52z?042<6kk16?=8513f8yv5703:1>v3<0982gg=:;9<1=?k4}r13=?6=:r78<44>cc9>750=9:30q~=>4;297~;48m0:>n52304957c<5:;>67}:;8<1=nl4=236>44d3ty875c=9;n01>>i:0aa?xu4990;6?u231g957c<5:;;67}:;881=nl4=233>44b3ty8=>4?:3y>745=9jh01>??:01:?xu49l0;6>u2305957e<5:8;6<8;3`f>{t;;:1<74ee349:j7?=c:p74?=838p1>?7:00g?85613;hn6s|30c94?4|5:;36<a;31`>{t;8i1<74ee349:m7?=e:p74b=838p1>?k:0aa?856i3;856s|33f94?5|5:8:6<h4>cc9~w64d2909w0==8;31g>;4:j0:oo5rs20;>5<4s49947?lb:?07d<6:m16?>o513g8yv55:3:1>v3<2g826a=:;;81=nl4}r11b?6=:r78>k4>cc9>77c=9;i0q~==3;296~;4:;0:>i5233195fd52z?067<6:l16??:51b`8yv45k3:1?v3<24826a=:;;?1=?k4=30`>4ee3ty8>84?:3y>773=9jh01><;:00g?xu4:?0;6?u233495fd<5:8?6<7}:;;31=nl4=20`>44c3ty8>l4?:3y>77g=9jh01>7}:;<91=?m4=26`>4ee3ty88o4?:3y>705=l816?9l51b`8yv53l3:1>v3<4b826a=:;=n1=nl4}r17a?6=:r788n4>2d9>71c=9jh0q~=;f;296~;4<7>52z?015<6kk16?9k513g8yv5293:1>v3<5082gg=:;=o1=>74}r16`?6=:r78994>2b9>70c=9jh0q~=:6;296~;4=l0:>n5234495fd97>52z?01`cc9~w6302909w0=:6;31`>;4=>0:oo5rs27;>5<5s49>:7?=e:?01=<6kk1v>;6:18185213;hn63<59826a=z{:?j6=4={<16e?7dj278954>2d9~w63e2909w0=:b;3`f>;4=10:?45rs27`>5<5s49>o7?lb:?01=<6<=1v;>m:18180793;9o6390b82gg=z{?::6=4>3z?544<6kk16:=9513g8936?288n708?9;31`>;19;0:89526019512<5?;>6<:;;<422?73<27=:>4>459>201=9=>01;;7:067?847:3;?8639278201=:>;=1=9:4=441>45>34?=:7?;4:?gb?73<27n<7?;4:?24d<6;01v;>=:181807k3;9o6390382gg=z{?:86=4={<436?75l27=<>4>cc9~w3632909w08?2;31a>;18=0:oo5rs770>5;1<>0:>i52655957c<52e9>24b=9;o0q~8?5;296~;18<0:oo52616957b53z?543<6:m16:=8513g8930328ii7p}90783>7}:>9<1=nl4=727>44b3ty>j?4?:7y>251=9:301;>7:01:?83a:3;hn63:f6827<=:>8?1=994=735>4203ty=<:4?:3y>251=9jh01;>;:01:?xu1810;6?u261:95fd<5?:?6<:;;|q51g<728?p1;>6:00f?807i3;85639138202=:>891=994=736>42?34<::7?;8:?51g<6kk16:;>513f8930228>?708:7;373>;1=10:8:5265d9512<5??;6<=6;<3e`?75l27:jh4>389>270=9==01;<8:064?8bc28>?70jj:01:?8ba28><70k?:064?xu1;j0;6ou261;956?<5?<;6<389>235=9==01;=l:0aa?87al3;9i63>fd8201=:9ol1=9:4=323>45>348;>7?;7:p25?=838p1;>6:0aa?807<3;?;6s|67:94?`|5?:j6<:;;<452e9>237=9==01;8=:067?801=3;?;63940826`=:>=o1=?k4=76e>42034<><7?;4:?2bc<6<>16>=>515689ae=9;o01ij515589ac=9=>0q~<91;293~;18h0:8:5267a957c<5;<:6469>656=9==01ik51558yv07i3:1>v390`82gg=:>9>1=964}r447?6=:r7=:54>2b9>222=9jh0q~882;296~;1>l0:>n5266095fd52z?531<6:j16:;751b`8yv01i3:1>v3968826a=:>?k1=nl4}r45f?6=:r7=:44>2d9>23d=9jh0q~89c;296~;1>j0:oo5267`957b56z?52a<6:l16:>851b`89354288o708<3;31a>;1:=0:>i52636957c54z?52a<6;016:>>51b`8934c288o708=d;31a>{t>?n1<74ee34<=n7?=e:p23`=838p1;8i:0aa?800:3;9h6s|66294?4|5?=;6{t:?<1<744d348=;7?lb:p635=838p1?88:00`?841;3;hn6s|27094?4|5;<<6i?4=341>4ee3ty9:94?:3y>635=9;n01?8;:0aa?xu5><0;6?u2271957c<5;<>6n:513a897ec288n70{t:j>1<7;t=3a7>4ee348h47?<9:?076<6;916?>m512089c0=9:30q~i522b795fd52z?1ga<6kk16>nm513a8yv4d>3:1>v3=c4826a=::j<1=nl4}r0`3?6=:r79o84>2d9>6f1=9jh0q~52z?1g<<6kk16>n9513g8yv4di3:1>v3=c`82gg=::j=1=>74}r152b9>73g=9;o01>86:0aa?xu4>80;6?u237c957b<5:<:6288h7p}<6183>7}:;?31h<5237295fd7>52z?024<6:m16?;<51b`8yv51;3:1>v3<60826`=:;?91=nl4}r150?6=:r78:94>cc9>735=9;n0q~=95;296~;4><0:oo52371957c52z?023<6kk16?;=512;8yv51?3:1>v3<6682gg=:;?91=9:4}r1a4?6=;r78ml4>2b9>7g4=9;o01>l>:0aa?xu4ih0;6<7t=2cb>4ee349ji7?;4:?0ec<6<=168hj515:890>528>3708>2;37<>;19:0:8552607951?<5?;=6<:6;<457?73027=9:4>499>20>=9=201?>=:06;?805>3;?463926820==:=hk1=964=33`>42?34?=:7?;7:?631<6515:89`3=9=301h8515;8946d28>j7p}7}:;k81=?j4=2ca>4ee3ty8n?4?:3y>7g4=9jh01>l>:00`?xu4ij0;6?u23``957b<5:kh67}:;ho1=nl4=2cg>44c3ty8m?4?:3y>7d`=9==01>o=:0aa?xu4io0;6?u23`d95fd<5:ko6<{t4ee34>=j7?<3:?735<6;:168:?512189115289870<68;307>;5100:?>5228c9565<5;3i6<=<;<3a1?73<27>m:4>389~w1132909w0:83;31g>;3?=0:oo5rs550>5<4s4>v3;76826a=:cc9>020=9;i0q~:9c;296~;3>k0:>i5247a95fd=h7>52z?72g<6:l168;j51b`8yv4>?3:1?v3;6d826a=:4ee3ty?:h4?:3y>03c=9jh0198k:00g?xu3>o0;6?u247d95fd<5=51b`8910c28927p};7083>7}:<>;1=nl4=54g>4233ty?;?4?:3y>024=9jh0198k:064?xu6000;6?u216f957e<582j65288m70;i9;31a>;2nk0:8o525ga951d<52d9>72d=9;n01>9l:00e?836>3;9i63:19827<=:=831=>74}r3;4>2b9>5=>=9jh0q~?8e;296~;60h0:>n5216g95fd52z?23`<6:m16=:h51b`8yv7?83:1>v3>7d826`=:91:1=nl4}r142e9>5=7=9;o01>97:0aa?xu6080;6?u219395fd<582;6<7288n7p}>8583>7}:91>1=nl4=0:;>44c3ty:484?:3y>5=3=9jh01<67:00f?xu60?0;6?u219495fd<58236<=6;|q2<2<72;q6=5951b`894>?28>?7p};6983>7}:4ee3ty?:>4?:2y>035=9jh01<98:01:?876m3;856s|47794?4|5=<26<2m;0198;:0aa?xu3>?0;6?u2477957b<5=<=6=}:1=?j4=547>44b34><57?=d:?73<<6:l168:h51b`894?7288n70:mc;31`>;3jj0:>h5rs55g>5<5s4><47?=c:?73`<6kk1v997:18082003;hn63>a6827<=:98<1=>74}r64e?6=:r7?;h4>2b9>02g=9jh0q~:89;296~;3?l0o=63;7882gg=z{==i6=4={<64e?75l27?;o4>cc9~w11d2909w0:8a;31a>;3?j0:oo5rs4df>5<4s4?m>7?=c:?545<6:l169kh51b`8yv3al3:1>v3:f9826f=:=on1=nl4}r7ej54>cc9>142=9;n018?;:00f?xu2n:0;6?u2612957b<551b`890`a288h7p}:f583>7}:=o91=?j4=4d7>4ee3ty>j84?:3y>1c5=9;o018h::0aa?xu19=0;6>u25g4957b<5{t=o=1<74ee34?m97?=e:p5c?=839p18h6:01:?87a13;hn63>fe827<=z{ji4>2e9~w0`f2909w0;ia;3`f>;2nm0:>h5rs0:a>5<4s4?mn7?;c:?6bf<6v3:fc82gg=:=on1=>74}r7eg?6=:r7>jn4>cc9>1cb=9=>0q~:jf;297~;3m:0:>n524g3957e<5=l;6288n70?>3;31a>{t44d34>ni7?lb:p0`g=839p19kn:0aa?876=3;9h63>14826`=z{=o?6=4={<6e4?75k27?i94>cc9~w1c22909w0:j4;31`>;3m<0:oo5rs5g5>5<5s4>n87?=e:?7a3<6kk1vcc9>0`0=9;n0q~:j8;296~;3m10:oo524d4957cn57>52z?7a<<6kk168h8512;8yv2bj3:1>v3;ec82gg=:cc9>0`c=9;o0q~:jd;296~;3mm0:oo524dg956?52z?6`7<6:j169i751b`8yv3c;3:1>v3:d8826f=:=m91=nl4}r7ge?6=:r7>h44=6g9>1ag=9jh0q~;k4;296~;2l:0:>i525e695fd52z?6`6<6:l169i;51b`8yv7c;3:1:v3:d7826c=:=m=1=?h4=0f0>4ee34;oo7?=d:?650<6:m169<;513g8yv3c>3:1>v3:d782gg=:=m?1=?j4}r7g3?6=:r7>h:4>cc9>1a3=9;o0q~;74;296~;2?00:>n5259695fd52z?63a<6:j1695=51b`8yv30l3:1?v3:7e82gg=:9981=?j4=021>44b3ty>;l4?:3y>1=2=9;i0189n:0aa?xu2?k0;6?u256c957b<5<=i66}:=>i1=?j4=45`>44b34?=?7?lb:p12c=838p189j:0aa?83?;3;9h6s|56d94?4|5<=m650;0x90>728ii70;73;30=>{t=1;1<74ee34?3?7?;4:p1=4=838p186=:0aa?83?;3;?;6s|51194?2|5=l36<<84>2d9>152=9jh0q~:i7;290~;3n>0:oo524ga956?<5=lo6<=6;<1ae?7412wx9=<50;0x91`b288h70;?2;3`f>{t4ee34;j87?=d:?2e1<6:l1v9h6:181837=3;9h63;f882gg=z{<:>6=4={<731?7dj27><94>2b9~w1`f2909w0:i9;31`>;3nh0:oo5rs5da>5<5s4>m57?=e:?7bg<6kk1v9hl:18182ak3;hn63;fc826a=z{:3h6=4<{<6e`?73<2795;4>499>7mj7>52z?7bc<6kk169=<513f8yv3783:1>v3:0182gg=:=981=?k4}r735?6=:r7><<4>cc9>154=9:30q~<=5;296~;59o0:>n5223795fd52z?160<6:j16>?>51b`8yv4593:1>v3=21826a=::;;1=nl4}r016?6=:r79>=4>2d9>674=9jh0q~8?d;295<}::;91=?k4=307>44b34<;h7?lb:?7ed<6:l168ll513g8976>288n70;5990:>h52203957b<5;8j6<4>2d9>662=9;n01?=n:00f?844j3;9h63=40826`=::=81=?j4=36;>44b348?57?=d:?10c<6:l16>8>513f89731288n70<:7;31a>;5=m0:>h5224g957c52z?166<6kk16>?<513f8yv45<3:1>v3=2582gg=::;81=?k4}r422b9>24?=9jh0q~8>7;296~;19=0:>n5260595fd52z?55<<6:j16:=k51b`8yv07n3:1>v390d826a=:>9l1=nl4}r424?6=:r7=

2d9>246=9jh0q~8>1;296~;1980:oo52602957b7>52z?557<6kk16:<>513g8yv06;3:1>v391282gg=:>8:1=>74}r421?6=:r7==84>cc9>241=9;n0q~8>6;296~;19?0:oo52605957c2j7>52z?7=<<6:j168l>51b`8yv2>i3:1>v3;a1826f=:<0k1=nl4}r6:f?6=:r7?5l4>2e9>0h5248a95fd2h7>52z?7=a<6kk1684m513f8yv22?3:19v3;9d826`=:4ee34;257?=e:?2f1<6:l1v97j:18182>m3;hn63;9b826`=z{=ko6=4={<6b5?75k27?mh4>cc9~w1gd2909w0:n9;31g>;3ij0:oo5rs5c:>5<4s4>j57?lb:?153<6:m16><8513g8yv2f:3:1>v3;ad826f=:2e9>0d5=9jh0q~:n4;296~;3i;0:>h524`695fd53z?7e0<6:m168l;513g8946628ii7p};a483>7}:44c3ty?m;4?:3y>0d0=9jh019o;:00f?xu3i>0;6?u24`595fd<5=k?6<=6;|q7e=<72;q68l651b`891g328>?7p};a`83>7}:44c3ty?mo4?:3y>0dd=9jh019ol:00f?xu3<:0;6?u242a957e<5=>?67}:<:n1=?j4=51f>4ee3ty??k4?:3y>06b=9;o019=i:0aa?xu3980;65u2452957c<5=>:6<:;;<676?73<27?=<4>cc9>26<6<1168=o513f8916f288n70;=8;370>{t<=:1<74ee34>8j7?=d:p017=838p19:>:0aa?824n3;9i6s|45094?4|5=>96{t<;:1<744d34>9<7?lb:p077=838p19{t<;>1<74ee34>9>7?=e:p073=838p19<::0aa?825:3;856s|3b094?4|5:hn6<{t;j:1<744c349h<7?lb:p7f7=838p1>li:00f?85d93;hn6s|3e:94?5|5:n:6<cc9~w6b52909w0=ka;31`>;4l;0:oo5rs2fb>5<5s49om7?lb:?0`<<6:j1v>j<:18185c:3;9h63cc9~w6`f2903w0=k5;30=>;4l>0:8:523dc956?<5:oi6<=6;<1f5?75m278j>4>389>7c3=9=>01>hn:0aa?xu4l<0;6?u23e795fd<5:n?6<7}:;m=1=nl4=2f7>45>3ty?>n4?:3y>07>=9;i0197}:<;31=?k4=50a>4ee3ty=:;4?:3y>20d=9;i01;88:0aa?xu1><0;6?u2676957e<5?<>67}:>4ee3ty=9h4?:3y>20e=9;o01;;j:0aa?xu5880;6>u264d957b<5??m6<{t>?:1<74ee34<>i7?=e:p237=838p1;8>:0aa?802m3;856s|67094?4|5?<96{t;li1<7=t=2g6>44d349ni7?=e:?0aa<6kk1v>k9:18185bm3;9h632b9~w6c02909w0=j6;31`>;4m>0:oo5rs2g;>5<5s49n:7?=e:?0a=<6kk1v>k6:18185b13;hn632d9~w6ce2909w0=jb;3`f>;4m10:?45rs511>5<5s4>9i7?=c:?776<6kk1v9cc9~w1562909w0:=f;31a>;3;80:oo5rs51b>5<5s4>887?=c:?77g<6kk1v9=::181824j3;9o63;3482gg=z{=9=6=4={<601?75l27??;4>cc9~w1502909w0:<5;31a>;3;>0:oo5rs51;>5<5s4>847?lb:?772<6:m1v9=6:18182413;hn63;36826`=z{;3o6=4<{<0:7?75k2795k4>2d9>60:>n5228a95fd52z?1=c<6:m16>4:51b`8yv4>n3:1>v3=9g82gg=::0o1=?m4}r0:1?6=:r79594>2e9>6<3=9jh0q~<66;296~;51=0:>h5228495fd54z?1=3<6<016>l:515:897g228>370=<0;3`f>{t:021<74ee3482o7?=d:p6k3;9i6s|28c94?4|5;3j64l50;0x97?e28ii70<6c;370>{t:h<1<7=t=3c3>44d348j47?=e:?1e2<6kk1v?o>:18184f03;9h63=a082gg=z{;k36=4={<0b2b9~w7g52909w0;5i;0:oo5rs3c0>5<5s48j=7?=e:?1e6<6kk1v?o;:18184f<3;hn63=a2826a=z{;k>6=4={<0b1?7dj279m>4>2d9~w6c42909w0=kb;31g>;4m=0:oo5rs2f`>5<5s49n87?=c:?0`f<6kk1v>jk:18185ck3;9h63cc9~w6ba2909w0=kf;3`f>;4ll0:>i5rs2g3>5<5s49n<7?lb:?0``<6:l1v>k>:18185b93;hn63459~w6`02908w0=jf;31g>;4n00:>h523g:95fd52z?0b<<6:m16?k>51b`8yv5a13:1>v32e9>7c7=9jh0q~=i2;296~;4n90:>h523g095fd52z?0b6<6kk16?k<513f8yv5a<3:1>v3cc9>7c4=9:30q~=i6;296~;4n?0:oo523g0951257>52z?516<6:j16:8o51b`8yv02<3:1>v395`826f=:><>1=nl4}r461?6=:r7=994>2e9>203=9jh0q~8:6;296~;1==0:>h5264495fd;7>52z?512<6kk16:88513f8yv0203:1>v395982gg=:><<1=?k4}r6;=?6=:r7?;k4>2b9>0=g=9jh0q~:70;296~;30h0:>n5249295fd3=7>52z?7<5<6:m1685?51b`8yv2?:3:1>v3;81826`=:<181=nl4}r7ff?6=;r7?4>4>2e9>0=5=9;o018km:0aa?xu30:0;6?u249195fd<5=296<5288n7p};8483>7}:<1?1=nl4=5:1>45>3ty?4;4?:3y>0=0=9jh0196=:067?xu30>0;6?u249595fd<5=296<:8;|q7<=<72;q685651b`891>528>37p}>5983>7}:9=o1=?m4=07:>4ee3ty:8k4?:3y>50?=9;i01<:i:0aa?xu6=90;6?u215d957b<58?;65383>7}:9<81=nl4=072>44c3ty:9>4?:3y>505=9jh01<;>:00f?xu6==0;6?u214695fd<58?:6<=6;|q660<72:q6=8;513f89432288n70;=5;3`f>{t94ee34;>=7?;4:p500=838p1<;9:0aa?87293;?;6s|14594?4|58?<6;4?90:oo5rs24a>5<>s49=n7?lb:?02`<6;016?:9513f896>2288n70=76;31a>;4000:>i52381957c<5:3?6<{t;>;1<74ee349<<7?=c:p73b=838p1>8l:00g?851l3;hn6s|37g94?4|5:6;3`f>{t<881<744d34>:>7?lb:p045=838p19?=:00g?826;3;hn6s|40694?4|5=;96<4;31a>;39>0:oo5265820==:<9o1=964=40;>4203ty?=i4?:3y>041=9;i019?j:0aa?xu3910;6?u240g957e<5=;3628ii7p};1`83>7}:<821=?k4=53b>4ee3ty?=o4?:3y>04d=9jh019?n:00g?xu39j0;6?u240a95fd<5=;j6<7}:<4ee3ty?944?:3y>00>=9;n019;6:0aa?xu3=h0;6?u244:957c<5=?j6{t9>:1<744c34;<<7?lb:p52e=838p1<9l:0aa?870j3;9o6s|16394?4|58=;6<{t9>91<74ee34;<>7?=d:p641=839p1<9;:00g?870<3;9i63=1682gg=z{8=?6=4={<340?7dj27:;?4>2d9~w47d2908w0?85;31`>;6?<0:>h5210a95fd52z?230<6kk16=:<512;8yv70>3:1>v3>7782gg=:9>81=9:4}r343?6=:r7:;:4>cc9>524=9==0q~?88;296~;6?10:oo52160951>52z?23<<6kk16=:<515;8yv7fi3:1?v3>9g826f=:9hi1=?k4=0ca>4ee3ty:m=4?:3y>5de=9;n01513f894g628ii7p}>a383>7}:9h:1=?k4=0c1>4ee3ty:m>4?:3y>5d5=9jh014;3`f>{t9h?1<74ee34;j>7?<9:p5d0=838p1{t9h31<74ee34;j>7?;9:p72c=838p1>9=:00`?850n3;hn6s|36094?>|5:=96389>7=0=9:301>66:00f?85>;3;8563<95827<=:=1o1=?k4}r14`?6=:r78;54>2b9>72b=9jh0q~=83;296~;4?o0:>n5236195fd52z?036<6:m16?::51b`8yv50=3:1>v3<72826`=:;>?1=nl4}r1;3?6=;r78;;4>2e9>720=9;o01>68:0aa?xu4??0;6?u236495fd<5:=>6<7}:;>31=nl4=25g>44c3ty8;l4?:3y>72g=9jh01>9k:00f?xu3=m0;6?u236`957c<5=?o67}:;>i1=nl4=25g>4233ty84o4?:2y>7=6=9;i01>6k:00f?85?k3;hn6s|39294?3|5:2;64>459>7<2=9=>0186j:01:?xu40h0;6?u2395957e<5:2j6628ii7p}<8e83>7}:;1n1=nl4=2:`>44d3ty84?4?:3y>7=7=9;n01>6=:0aa?xu40:0;6?u2393957c<5:2864288o7p}<8483>7}:;1?1=nl4=2:0>44b3ty84;4?:3y>7=0=9jh01>6<:01:?xu4010;6?u239:95fd<5:2j6<f288n7p};0583>7}:<9:1=?m4=526>4ee3ty?<<4?:3y>053=9;i019>>:0aa?xu38;0;6?u2413957b<5=:969283>1}:<991=?j4=520>44b34;2?7?lb:?2=d<6:l1v;=n:181804>3;9o6393c82gg=z{?9<6=4={<40f?75k27=?:4>cc9~w35?2909w08<7;31`>;1;10:oo5rs71:>5<5s4<8;7?=e:?57<<6kk1v;:n:18480413;9h63938826`=:>=k1=nl4=4g:>44c34?n57?=e:?560<6:m16:?;513g8yv03:3:1>v393b826f=:>=91=nl4}r40`?6=:r7=8>4>2b9>26b=9jh0q~8i5262g95fd52z?57a<6:l16:>h51b`8yv03<3:18v3941826a=:>=>1=nl4=76f>45>34nh6<=6;|q56d<72:q6:9>513g893262892708=a;3`f>{t>=:1<74ee34<8j7?=d:p217=838p1;:>:0aa?804n3;9i6s|65:94?4|5?>?6<288h708;5;3`f>{t>=<1<744c34j6<{t>=i1<744c34n6{t><:1<74ee342b9>2=<6kk1v8k50;1x90c=9jh01;;515:891d3288o7p}:f;296~;103;9o63:f;3`f>{t>90;6?u25g826a=:>90:oo5rs7394?4|54ee34<:6<21<6kk16:<4>389~w33=838p1;;51b`8937=9=>0q~89:18180128ii708>:064?xu61m0;6?u2181957e<583n69483>7}:90>1=?j4=0;6>4ee3ty:5;4?:3y>5<2=9;o01<79:0aa?xu2i?0;6>u2185957b<583<6<{t9021<74ee34;2:7?=e:p5>3;856s|18c94?4|583j6{t90i1<74ee34;2:7?;8:p5g>=838p1389>01>=9;n019:7:00f?xu6il0;6?u21c;957e<58kn6b183>7}:9ho1=?k4=0`3>4ee3ty>:k4?:2y>5g7=9;n01:00f?831n3;hn6s|1c394?4|58h:6;68k0:oo5rs0`1>5<5s4;i>7?lb:?2f5<6:l1vb1827<=z{8h?6=4={<3a0?7dj27:n=4>459~w4d22909w0?m5;3`f>;6j90:8:5rs0`5>5<5s4;i:7?lb:?2f5<6<11vb1820<=z{8nn6=4={<3g7?75k27:hk4>cc9~w4b32909w0?kf;31g>;6l=0:oo5rs0f6>5<5s4;o87?=d:?2`0<6kk1vd782gg=z{=n36=4<{<3g3?75l27:h:4>2d9>0a>=9jh0q~?k7;296~;6l>0:oo521e4957b2d9>a<<6kk1vd7826`=z{=0;6<44b34;om7?=e:?2`f<6:l16=ij512;890>d289270;7d;370>;20l0:89524e;956?<5=nj6<=6;<6gf?74127?hn4>389>0ab=9:30194>cc9>ag<6;016in4>389>aa<6;016ih4>389>ac<6;01vd7827<=z{8nj6=4={<3ge?7dj27:h;4>459~w4be2909w0?kb;3`f>;6l?0:8:5rs0f`>5<5s4;oo7?lb:?2`3<6<11vd7820<=z{;:86=4={<3e=?75k279<94>cc9~w7652909w0;58;0:oo5rs0db>5<5s48;87?=c:?2bd<6kk1vfc82gg=z{8lh6=4={<3ee?75m27:jn4>cc9~w4`c2909w0?id;3`f>;6nj0:>i5rs0df>5<5s4;mi7?lb:?2bf<6:l1vfb827<=z{;:;6=4={<034?7dj27:jn4>459~w7>e2909w0<77;31g>;50j0:oo5rs3:;>5<5s483o7?=c:?1<=<6kk1v?66:18184?03;9h63=8882gg=z{;2j6=4={<0;cc9~w6?>2908w0=7e;31g>;41k0:>h5238c95fd53z?0<`<6kk16?4<512;890>b28><7p}<9983>7}:;0?1=?m4=2;;>4ee3ty84k4?:3y>76i:0aa?xu41k0;6?u238`95fd<5:3j6<7}:;1l1=?k4=2;2>4ee3ty85?4?:3y>7<4=9jh01>7>:00g?xu41:0;6?u238195fd<5:3:6<7}:;0<1=nl4=2;;>44c3ty85:4?:3y>7<1=9jh01>77:00f?xu4i90;6?u238a957e<5:k:67}:;0n1=?j4=2;f>4ee3ty85k4?:3y>77i:0aa?xu4kl0;6>u23b6957e<5:n;6<;4k00:85523bc951><5:ii6<:7;<70g?75m2wx?nj50;0x96ed288h70=ld;3`f>{t;j?1<744c349h97?lb:p7a6=838p1>j?:0aa?85dn3;9o6s|3b494?4|5:i>6<{t;j21<74ee349h;7?=d:p7f?=838p1>m6:0aa?85d?3;9i6s|3bc94?4|5:ij6{t;oo1<744d349mj7?lb:p7cd=838p1>hi:00`?85aj3;hn6s|3ga94?4|5:li6<{t<9l1<744d34>:<7?lb:p050=839p19>9:0aa?827m3;?563:3e8201=z{=:<6=4={<624?75k27?<:4>cc9~w16?2909w0:?7;31`>;3810:oo5rs52:>5<5s4>;;7?=e:?74<<6kk1v9>n:181827i3;hn63;08826a=z{=:i6=4={<63f?7dj27?<44>2d9~w16d2909w0:?c;3`f>;3800:?45rs52g>5<5s4>;h7?lb:?74<<6<=1v9>j:181827m3;hn63;088202=z{=>26=4={<671?75k27?8l4>cc9~w1212909w0:;a;31g>;35<5s4>?:7?=d:?702<6kk1v9:7:181823>3;9i63;4982gg=z{=>m6=4={<67f?75k27?9=4>cc9~w12d2909w0::0;31g>;35<5s4>?o7?=d:?70a<6kk1v9:j:181823k3;9i63;4d82gg=z{=?>6=4={<665?75k27?9;4>cc9~w136290?w0::1;3`f>;60o0:>i5219d957c<5=ho6<7}:<<81=?j4=570>4ee3ty?994?:3y>004=9;o019;;:0aa?xu1;=0;6?u2622957e<5?9>6;513a8935628ii7p}93383>7}:>:;1=?j4=711>4ee3ty=?>4?:3y>267=9;o01;=<:0aa?xu0;3:1>v399;31g>;0<3;hn6s|6883>7}:>00:oo524c6957c44d34=962b9>2d<6kk1v;l50;0x93g=9;n01;l51b`8yv0d2909w08n:00f?80d28ii7p}9e;296~;1m3;hn6382;31`>{t>o0;6?u26g82gg=:?;0:>h5rs6294?4|5>:1=nl4=60956?4ee34=96<:;;|q2=4<72;q6=5l513a894?528ii7p}>8b83>7}:9081=?m4=0:`>4ee3ty:4i4?:3y>5=e=9;n01<6k:0aa?xu60l0;6?u219a957c<582n6b288o7p}>9183>7}:90:1=nl4=0:f>44b3ty95<4?:3y>6=b=9;i01?7=:0aa?xu50l0;6?u2280957e<5;2n65k513f897>a28ii7p}=9183>7}::1o1=?k4=3;3>4ee3ty8?94?:3y>766=9;i01>=::0aa?xu4;80;6?u2327957e<5:9:6?513f8965528ii7p}<3283>7}:;:;1=?k4=210>4ee3ty8m54?:3y>7d4=9;i01>o6:0aa?xu4i:0;6?u23`;957e<5:k867}:;h91=?k4=2c6>4ee3ty8m;4?:3y>7d0=9jh01>o::00g?xu4i>0;6?u23`595fd<5:k>6<7}:;k21=?m4=2`a>4ee3ty8n54?:2y>7g>=9jh019j8:00g?82c?3;9i6s|3c794?4|5:ho6<{t;k=1<744b349i;7?lb:p7g?=838p1>l6:0aa?85ej3;9h6s|3cc94?4|5:hj6{t<44d34>>i7?lb:p00`=838p19;j:00g?822n3;hn6s|47294?4|5=?n6<50;0x90>0288h70;61;3`f>{t=1=1<7=t=4:4>4ee34;h:7?=d:?2g3<6:l1v86i:18183?j3;9o63:8g82gg=z{<2i6=4<{<7;f?7dj27nm7?=d:?fe?75m2wx95650;0x90?6288h70;78;3`f>{t=131<744c34?357?lb:p1=g=838p1867:00f?83?i3;hn6s|59a94?4|5<2h6c28ii70;7f;31a>{t=1o1<74ee34?3j7?<9:p1c6=838p18k;:00`?83a93;hn6s|5d694?5|52d9~w0ca2909w0;jb;31g>;2mo0:oo5rs4g6>5<5s4?m=7?=c:?6a0<6kk1v8k9:18183b=3;9h63:e782gg=z{i:4>cc9~w0c?2909w0;j8;3`f>;2m>0:>i5rs4g:>5<5s4?n57?lb:?6a2<6:l1vil50;1x90cf288o70;ja;31a>;cj3;hn6s|5dc94?4|5{t=ln1<74ee34?nj7?=e:p1`c=838p18kj:0aa?83bn3;856s|60g94?4|5?;j6<;2>=0:>h5rs73a>5<5s4<:j7?=c:?55g<6kk1v;?l:181806j3;9h6391b82gg=z{?;o6=4={<42f?75m27==i4>cc9~w34?2909w08=0;31g>;1:00:oo5rs703>5<4s4<9<7?lb:?620<6:m169;;513g8yv0593:1>v3928826f=:>;;1=nl4}r416?6=:r7=><4>2e9>274=9jh0q~8=3;296~;1:80:>h5263195fd52z?561<6kk16:?=513f8yv05=3:1>v392482gg=:>;91=?k4}r412?6=:r7=>;4>cc9>275=9:30q~8=7;296~;1:>0:oo52631951252z?56d<6:j16:?h51b`8yv05j3:1>v392g826f=:>;h1=nl4}r41g?6=:r7=>o4>2e9>27e=9jh0q~8=d;296~;1:k0:>h5263f95fd52z?140<6:j16>=l51b`8yv47>3:1>v3=0c826f=::9<1=nl4}r033?6=:r79<;4>2e9>651=9jh0q~h5221:95fd52z?14<<6kk16>=6513f8yv47i3:1>v3=0`82gg=::921=?k4}r026?6=:r792b9>644=9jh0q~n5221f95fd52z?14a<6:m16>=k51b`8yv47n3:1>v3=0e826`=::9l1=nl4}r024?6=:r79==4>cc9>65`=9;n0q~<>1;296~;5980:oo5221d957c52z?163<6:j16>?k51b`8yv45l3:1>v3=2b826f=::;n1=nl4}r013?6=:r79>h4>2b9>671=9jh0q~<=8;296~;5:>0:>i5223:95fd52z?162<6:l16>?751b`8yv45i3:1>v3=2`82gg=::;31=?j4}r01f?6=:r79>o4>cc9>67?=9;o0q~<<5;296~;5:o0:>n5222795fd52z?170<6:j16>>>51b`8yv4493:1>v3=31826a=:::;1=nl4}r006?6=:r79?=4>2d9>664=9jh0q~<<3;296~;5;:0:oo52220957b52z?171<6kk16>><513g8yv44k3:1>v3=37826f=:::i1=nl4}r003?6=:r79?n4>2b9>661=9jh0q~<<8;296~;5;>0:>i5222:95fd52z?172<6:l16>>751b`8yv44i3:1>v3=3`82gg=:::31=?j4}r00f?6=:r79?o4>cc9>66?=9;o0q~<;3;296~;5;m0:>n5225195fd52z?106<6:j16>>k51b`8yv44n3:1>v3=3d826a=:::l1=nl4}r074?6=:r79?h4>2d9>616=9jh0q~<;1;296~;5<80:oo52252957b7>52z?107<6kk16>9>513g8yv43i3:1>v3=45826f=::=k1=nl4}r071?6=:r798l4>2b9>613=9jh0q~<;6;296~;5<<0:>i5225495fd52z?100<6:l16>9951b`8yv4303:1>v3=4982gg=::==1=?j4}r07=?6=:r79844>cc9>611=9;o0q~<:1;296~;5n5224395fd52z?114<6:j16>9m51b`8yv43l3:1>v3=4b826a=::=n1=nl4}r07a?6=:r798n4>2d9>61c=9jh0q~<;f;296~;5<7>52z?115<6kk16>9k513g8yv4203:1>v3=53826f=::<21=nl4}r067?6=:r79954>2b9>605=9jh0q~<:4;296~;5=:0:>i5224695fd97>52z?116<6:l16>8;51b`8yv42>3:1>v3=5782gg=::cc9>603=9;o0q~<:f;296~;5=00:>n5224d95fdm7>52z?11c<6:j16>8o51b`8yv42j3:1>v3=5`826a=::2d9>60e=9jh0q~<:d;296~;5=m0:oo5224a957bi7>52z?11`<6kk16>8m513g8yv32k3:1>v3:59826f=:=9n4>2b9>10?=9jh0q~;:a;296~;2=00:>i5254c95fdn7>52z?61<<6:l1698l51b`8yv3fk3:1>v3:a3826f=:=hi1=nl4}r7bf?6=:r7>m;4>2b9>1dd=9jh0q~;n3;296~;2ij0:>n525`195fd52z?6e6<6:m169l:51b`8yv3f=3:1>v3:a2826`=:=h?1=nl4}r7b3?6=:r7>m:4>cc9>1dd=9;n0q~;n8;296~;2i10:oo525``957c52z?6e<<6kk169ll512;8yv3fi3:1>v3:a`82gg=:=hh1=9:4}r6a1?6=:r7?n<4>2b9>0g3=9jh0q~:m2;296~;3j<0:>n524c095fdi?7>52z?7f7<6:m168o=51b`8yv2e<3:1>v3;b3826`=:1=nl4}r73g?6=:r7><54>2b9>15b=9jh0q~;?9;296~;28m0:>n5251;95fd52z?64<<6:m169=o51b`8yv37j3:1>v3:08826`=:=9h1=nl4}r72e?6=:r7>==4>2b9>14d=9jh0q~;>1;296~;29k0:>n5250395fd7>52z?654<6:m169<<51b`8yv36;3:1>v3:10826`=:=891=nl4}r720?6=:r7>=94>cc9>145=9;n0q~;>5;296~;29<0:oo52501957c52z?653<6kk169<=512;8yv36?3:1>v3:1682gg=:=891=9:4}r72=54>cc9>145=9==0q~;>9;296~;2900:oo52501951>52z?664<6:j169?l51b`8yv3513:1>v3:24826f=:=;31=nl4}r716?6=:r7>>o4>2b9>174=9jh0q~;=3;296~;2:;0:>i5253195fd52z?667<6:l169?:51b`8yv35>3:1>v3:2782gg=:=;31=?j4}r713?6=:r7>>:4>cc9>17?=9;o0q~;=8;296~;2:10:oo5253;956?52z?2fc<6:j16=n;51b`8yv7d93:1>v3>c1826f=:9j;1=nl4}r3`2?6=:r7:o<4>2b9>5f0=9jh0q~?l2;296~;6k<0:>n521b095fd52z?2g7<6:m16=n=51b`8yv7d<3:1>v3>c3826`=:9j>1=nl4}r02a?6=:r79=>4>2b9>64c=9jh0q~<>d;296~;59>0:>n5220f95fd52z?15`<6:j16><:51b`8yv46=3:1>v3=15826a=::8?1=nl4}r022?6=:r79=94>2d9>640=9jh0q~<>8;296~;5910:oo5220f957b52z?15<<6kk16>v3=1`82gg=::8n1=>74}r02f?6=:r79=o4>cc9>64b=9=>0q~<>c;296~;59j0:oo5220f9511ii7>53z?7f=<6:j16;84>2b9>0g`=9jh0q~:m9;296~;3jo0:>n524c;95fdim7>52z?7f<<6:m168oo51b`8yv2ej3:1>v3;b8826`=:cc9>0gd=9;n0q~:md;296~;3jm0:oo524c`957coj7>53z?7`1<6:j16nh4>2b9>0`6=9jh0q~:ke;296~;3l10:>n524eg95fdo97>52z?7a5<6:j168i;51b`8yv2c>3:1>v3;d4826a=:2d9>0a1=9jh0q~:k9;296~;3l00:oo524eg957bom7>52z?7`d<6kk168ik513g8yv2cj3:1>v3;dc82gg=:74}r6gg?6=:r7?hn4>cc9>0ac=9=>0q~:kd;296~;3lm0:oo524eg951152z?66`<6:j169>;51b`8yv35n3:1>v3:34826f=:=;l1=nl4}r704?6=:r7>>k4>2e9>166=9jh0q~;<1;296~;2:o0:>h5252395fd7>52z?677<6kk169>?513f8yv34;3:1>v3:3282gg=:=:;1=?k4}r700?6=:r7>?94>cc9>167=9:30q~;n5252g95fd52z?67`<6:j169>751b`8yv34i3:1>v3:38826a=:=:k1=nl4}r70f?6=:r7>?44>2d9>16d=9jh0q~;52z?67a<6kk169>l513g8yv3103:1>v3:5g826f=:=?21=nl4}r753?6=:r7>:>4>2b9>131=9jh0q~;90;296~;2>10:>n5257295fd52z?625<6:m169;?51b`8yv31:3:1>v3:61826`=:=?81=nl4}r750?6=:r7>:94>cc9>131=9;n0q~;95;296~;2><0:oo52575957c52z?623<6kk169;9512;8yv30>3:1>v3:6c826f=:=><1=nl4}r741?6=:r7>:k4>2b9>123=9jh0q~;9c;296~;2??0:>n5257a95fd52z?62f<6:m169;j51b`8yv31m3:1>v3:6b826`=:=?o1=nl4}r33=?6=;r7>:h4>2e9>13c=9;o01<>6:0aa?xu2?90;6?u256295fd<5<=>6<7}:=>81=nl4=456>45>3ty>;>4?:3y>125=9jh0189::067?xu2?=0;6?u256695fd<5<=>6<:8;|q6=c<72;q694:513a890?a28ii7p}:9d83>7}:=0k1=?m4=4;f>4ee3ty>5l4?:2y>1n5258795fd52z?6=0<6:m1694851b`8yv3>?3:1>v3:94826`=:=0=1=nl4}r7:554>cc9>1<1=9;n0q~;69;296~;2100:oo52585957c52z?6=g<6kk1694k513f8yv3>k3:1>v3:9b82gg=:=0o1=?k4}r7:`?6=:r7>5i4>cc9>1v3lb;31g>;d03;hn6s|c883>7}:k10:>i52c882gg=z{jk1<72b9>716=9jh0q~=<6;296~;4<90:>n5232495fd52z?073<6:m16?>951b`8yv5403:1>v3<37826`=:;:21=nl4}r10=?6=:r78?44>cc9>76>=9;n0q~=52z?07g<6kk16?>6512;8yv54k3:1>v3<3b82gg=:;:21=9:4}r10`?6=:r78?i4>cc9>76>=9==0q~=52z?07c<6kk16?>6515;8yv5=838p194>2b9>7?7dj2wx<7>52z?0>44d34:1=nl4}r394?4|590:>i521;3`f>{t:3:1>v3?:00f?84=9jh0q~k>:1818be288h70k>:0aa?xuck3:1>v3kc;3`f>;b93;9h6s|de83>7}:lm0:oo52e0826`=z{mo1<774}rfe>5<5s4nm64233tyn<7>52z?f4?7dj27n=7?;7:pa=<72;q6i?4>2b9>a=<6kk1vh=50;0x9`5=9jh01h6513f8yvc32909w0k;:0aa?8c?288n7p}j5;296~;b=3;hn63j8;30=>{tm?0;6?u2e782gg=:m10:895rsd594?4|5l=1=nl4=d:951144d34l;6ag<6kk16j=4>2d9~w`e=838p1hm51b`89c6=9:30q~kk:1818cc28ii70h?:067?xubm3:1>v3je;3`f>;a83;?;6s|eg83>7}:mo0:oo52f1820==z{o21<75<5s4l9644c3tym?7>52z?e7?7dj27m47?=e:pb1<72;q6j94>cc9>b=<6;01vk;50;0x9c3=9jh01k651568yv`12909w0h9:0aa?8`?28><7p}i7;296~;a?3;hn63i8;37<>{t99:1<7?:00f?xuak3:1>v3ic;3`f>;6890:?45rsgf94?4|5on1=nl4=023>4233tymi7>52z?ea?7dj27:<=4>469~wc`=838p1kh51b`8946728>37p}>0983>7}:99;1=?m4=02;>4ee3ty:554=9jh01<>7:00g?xu68:0;6?u211195fd<58:36<0483>7}:99?1=nl4=02;>4233ty:<;4?:3y>550=9jh01<>7:064?xu68>0;6?u211595fd<58:36<:7;|q24d<72;q6==7513a8946f28ii7p}>1083>7}:99h1=?m4=032>4ee3ty:55e=9jh01:00g?xu68m0;6?u211f95fd<58;:6<0g83>7}:99l1=nl4=032>4233ty:==4?:3y>546=9jh01:064?xu69:0;6?u2100957e<58;861483>7}:98?1=nl4=03a>44c3ty:=;4?:3y>540=9jh010;6?u210595fd<58;i6<=6;|q25=<72;q6=<651b`8947e28>?7p}>1883>7}:9831=nl4=03a>4203ty:=l4?:3y>54g=9jh011d83>7}:98o1=nl4=000>44b3ty:=k4?:3y>54`=9jh01<<<:01:?xu6:90;6?u213295fd<58886<:;;|q264<72;q6=??51b`8944428><7p}>2383>7}:9;81=nl4=000>42?3twe;>;k:182xh0;{|l4734=83;pqc9<6283>4}zf>9=87>51zm3602290:wp`837494?7|ug=8::4?:0y~j25103:1=vsa724:>5<6stdk0;68k:182xh0;?o1<7?t}o502c<728qvb:=80;295~{i?:=:6=4>{|l4724=83;pqc9<7283>4}zf>9<87>51zm3612290:wp`836494?7|ug=8;:4?:0y~j25003:1=vsa725:>5<6std9k:182xh0;>o1<7?t}o503c<728qvb:=70;295~{i?:2:6=4>{|l47=4=83;pqc9<8283>4}zf>9387>51zm36>2290:wp`839494?7|ug=84:4?:0y~j25?03:1=vsa72::>5<6std6k:182xh0;1o1<7?t}o50{|l47<4=83;pqc9<9283>4}zf>9287>51zm36?2290:wp`838494?7|ug=85:4?:0y~j25>03:1=vsa72;:>5<6std7k:182xh0;0o1<7?t}o50=c<728qvb:=n0;295~{i?:k:6=4>{|l47d4=83;pqc94}zf>9j87>51zm36g2290:wp`83`494?7|ug=8m:4?:0y~j25f03:1=vsa72c:>5<6stdok:182xh0;ho1<7?t}o50ec<728qvb:=m0;295~{i?:h:6=4>{|l47g4=83;pqc94}zf>9i87>51zm36d2290:wp`83c494?7|ug=8n:4?:0y~j25e03:1=vsa72`:>5<6stdlk:182xh0;ko1<7?t}o50fc<728qvb:=l0;295~{i?:i:6=4>{|l47f4=83;pqc94}zf>9h87>51zm36e2290:wp`83b494?7|ug=8o:4?:0y~j25d03:1=vsa72a:>5<6stdmk:182xh0;jo1<7?t}o50gc<728qvb:=k0;295~{i?:n:6=4>{|l47a4=83;pqc94}zf>9o87>51zm36b2290:wp`83e494?7|ug=8h:4?:0y~j25c03:1=vsa72f:>5<6stdjk:182xh0;mo1<7?t}o50`c<728qvb:=j0;295~{i?:o:6=4>{|l47`4=83;pqc94}zf>9n87>51zm36c2290:wp`83d494?7|ug=8i:4?:0y~j25b03:1=vsa72g:>5<6stdkk:182xh0;lo1<7?t}o50ac<728qvb:=i0;295~{i?:l:6=4>{|l47c4=83;pqc94}zf>9m87>51zm36`2290:wp`83g494?7|ug=8j:4?:0y~j25a03:1=vsa72d:>5<6stdhk:182xh0;oo1<7?t}o50bc<728qvb::?0;295~{i?=::6=4>{|l4054=83;pqc9;0283>4}zf>>;87>51zm3162290:wp`841494?7|ug=?<:4?:0y~j22703:1=vsa752:>5<6std<8=o50;3xyk138k0;6k:182xh0<9o1<7?t}o574c<728qvb::>0;295~{i?=;:6=4>{|l4044=83;pqc9;1283>4}zf>>:87>51zm3172290:wp`840494?7|ug=?=:4?:0y~j22603:1=vsa753:>5<6std<8{|l4074=83;pqc9;2283>4}zf>>987>51zm3142290:wp`843494?7|ug=?>:4?:0y~j22503:1=vsa750:>5<6std<8?o50;3xyk13:k0;6{|l4064=83;pqc9;3283>4}zf>>887>51zm3152290:wp`842494?7|ug=??:4?:0y~j22403:1=vsa751:>5<6std<8>o50;3xyk13;k0;6:6=4>{|l4014=83;pqc9;4283>4}zf>>?87>51zm3122290:wp`845494?7|ug=?8:4?:0y~j22303:1=vsa756:>5<6std<89o50;3xyk13{|l4004=83;pqc9;5283>4}zf>>>87>51zm3132290:wp`844494?7|ug=?9:4?:0y~j22203:1=vsa757:>5<6std<88o50;3xyk13=k0;6{|l4034=83;pqc9;6283>4}zf>>=87>51zm3102290:wp`847494?7|ug=?::4?:0y~j22103:1=vsa754:>5<6std<8;o50;3xyk13>k0;6{|l4024=83;pqc9;7283>4}zf>><87>51zm3112290:wp`846494?7|ug=?;:4?:0y~j22003:1=vsa755:>5<6std<8:o50;3xyk13?k0;6o1<7?t}o573c<728qvb::70;295~{i?=2:6=4>{|l40=4=83;pqc9;8283>4}zf>>387>51zm31>2290:wp`849494?7|ug=?4:4?:0y~j22?03:1=vsa75::>5<6std<85o50;3xyk130k0;6{|l40<4=83;pqc9;9283>4}zf>>287>51zm31?2290:wp`848494?7|ug=?5:4?:0y~j22>03:1=vsa75;:>5<6std<84o50;3xyk131k0;6{|l40d4=83;pqc9;a283>4}zf>>j87>51zm31g2290:wp`84`494?7|ug=?m:4?:0y~j22f03:1=vsa75c:>5<6std<8lo50;3xyk13ik0;6{|l40g4=83;pqc9;b283>4}zf>>i87>51zm31d2290:wp`84c494?7|ug=?n:4?:0y~j22e03:1=vsa75`:>5<6std<8oo50;3xyk13jk0;6{|l40f4=83;pqc9;c283>4}zf>>h87>51zm31e2290:wp`84b494?7|ug=?o:4?:0y~j22d03:1=vsa75a:>5<6std<8no50;3xyk13kk0;6{|l40a4=83;pqc9;d283>4}zf>>o87>51zm31b2290:wp`84e494?7|ug=?h:4?:0y~j22c03:1=vsa75f:>5<6std<8io50;3xyk13lk0;6{|l40`4=83;pqc9;e283>4}zf>>n87>51zm31c2290:wp`84d494?7|ug=?i:4?:0y~j22b03:1=vsa75g:>5<6std<8ho50;3xyk13mk0;6{|l40c4=83;pqc9;f283>4}zf>>m87>51zm31`2290:wp`84g494?7|ug=?j:4?:0y~j22a03:1=vsa75d:>5<6std<8ko50;3xyk13nk0;6{|l4154=83;pqc9:0283>4}zf>?;87>51zm3062290:wp`851494?7|ug=><:4?:0y~j23703:1=vsa742:>5<6std<9=o50;3xyk128k0;6k:182xh0=9o1<7?t}o564c<728qvb:;>0;295~{i?<;:6=4>{|l4144=83;pqc9:1283>4}zf>?:87>51zm3072290:wp`850494?7|ug=>=:4?:0y~j23603:1=vsa743:>5<6std<9{|l4174=83;pqc9:2283>4}zf>?987>51zm3042290:wp`853494?7|ug=>>:4?:0y~j23503:1=vsa740:>5<6std<9?o50;3xyk12:k0;6{|l4164=83;pqc9:3283>4}zf>?887>51zm3052290:wp`852494?7|ug=>?:4?:0y~j23403:1=vsa741:>5<6std<9>o50;3xyk12;k0;6:6=4>{|l4114=83;pqc9:4283>4}zf>??87>51zm3022290:wp`855494?7|ug=>8:4?:0y~j23303:1=vsa746:>5<6std<99o50;3xyk12{|l4104=83;pqc9:5283>4}zf>?>87>51zm3032290:wp`854494?7|ug=>9:4?:0y~j23203:1=vsa747:>5<6std<98o50;3xyk12=k0;6{|l4134=83;pqc9:6283>4}zf>?=87>51zm3002290:wp`857494?7|ug=>::4?:0y~j23103:1=vsa744:>5<6std<9;o50;3xyk12>k0;6{|l4124=83;pqc9:7283>4}zf>?<87>51zm3012290:wp`856494?7|ug=>;:4?:0y~j23003:1=vsa745:>5<6std<9:o50;3xyk12?k0;6o1<7?t}o563c<728qvb:;70;295~{i?<2:6=4>{|l41=4=83;pqc9:8283>4}zf>?387>51zm30>2290:wp`859494?7|ug=>4:4?:0y~j23?03:1=vsa74::>5<6std<95o50;3xyk120k0;6{|l41<4=83;pqc9:9283>4}zf>?287>51zm30?2290:wp`858494?7|ug=>5:4?:0y~j23>03:1=vsa74;:>5<6std<94o50;3xyk121k0;6{|l41d4=83;pqc9:a283>4}zf>?j87>51zm30g2290:wp`85`494?7|ug=>m:4?:0y~j23f03:1=vsa74c:>5<6std<9lo50;3xyk12ik0;6{|l41g4=83;pqc9:b283>4}zf>?i87>51zm30d2290:wp`85c494?7|ug=>n:4?:0y~j23e03:1=vsa74`:>5<6std<9oo50;3xyk12jk0;6{|l41f4=83;pqc9:c283>4}zf>?h87>51zm30e2290:wp`85b494?7|ug=>o:4?:0y~j23d03:1=vsa74a:>5<6std<9no50;3xyk12kk0;6{|l41a4=83;pqc9:d283>4}zf>?o87>51zm30b2290:wp`85e494?7|ug=>h:4?:0y~j23c03:1=vsa74f:>5<6std<9io50;3xyk12lk0;6{|l41`4=83;pqc9:e283>4}zf>?n87>51zm30c2290:wp`85d494?7|ug=>i:4?:0y~j23b03:1=vsa74g:>5<6std<9ho50;3xyk12mk0;6{|l41c4=83;pqc9:f283>4}zf>?m87>51zm30`2290:wp`85g494?7|ug=>j:4?:0y~j23a03:1=vsa74d:>5<6std<9ko50;3xyk12nk0;6{|l4254=83;pqc990283>4}zf><;87>51zm3362290:wp`861494?7|ug==<:4?:0y~j20703:1=vsa772:>5<6std<:=o50;3xyk118k0;6k:182xh0>9o1<7?t}o554c<728qvb:8>0;295~{i??;:6=4>{|l4244=83;pqc991283>4}zf><:87>51zm3372290:wp`860494?7|ug===:4?:0y~j20603:1=vsa773:>5<6std<:8o1<7?t}o555c<728qvb:8=0;295~{i??8:6=4>{|l4274=83;pqc992283>4}zf><987>51zm3342290:wp`863494?7|ug==>:4?:0y~j20503:1=vsa770:>5<6std<:?o50;3xyk11:k0;6;o1<7?t}o556c<728qvb:8<0;295~{i??9:6=4>{|l4264=83;pqc993283>4}zf><887>51zm3352290:wp`862494?7|ug==?:4?:0y~j20403:1=vsa771:>5<6std<:>o50;3xyk11;k0;6:o1<7?t}o557c<728qvb:8;0;295~{i??>:6=4>{|l4214=83;pqc994283>4}zf>51zm3322290:wp`865494?7|ug==8:4?:0y~j20303:1=vsa776:>5<6std<:9o50;3xyk11=o1<7?t}o550c<728qvb:8:0;295~{i???:6=4>{|l4204=83;pqc995283>4}zf><>87>51zm3332290:wp`864494?7|ug==9:4?:0y~j20203:1=vsa777:>5<6std<:8o50;3xyk11=k0;6{|l4234=83;pqc996283>4}zf><=87>51zm3302290:wp`867494?7|ug==::4?:0y~j20103:1=vsa774:>5<6std<:;o50;3xyk11>k0;6?o1<7?t}o552c<728qvb:880;295~{i??=:6=4>{|l4224=83;pqc997283>4}zf><<87>51zm3312290:wp`866494?7|ug==;:4?:0y~j20003:1=vsa775:>5<6std<::o50;3xyk11?k0;6>o1<7?t}o553c<728qvb:870;295~{i??2:6=4>{|l42=4=83;pqc998283>4}zf><387>51zm33>2290:wp`869494?7|ug==4:4?:0y~j20?03:1=vsa77::>5<6std<:5o50;3xyk110k0;61o1<7?t}o55{|l42<4=83;pqc999283>4}zf><287>51zm33?2290:wp`868494?7|ug==5:4?:0y~j20>03:1=vsa77;:>5<6std<:4o50;3xyk111k0;60o1<7?t}o55=c<728qvb:8n0;295~{i??k:6=4>{|l42d4=83;pqc99a283>4}zf>51zm33g2290:wp`86`494?7|ug==m:4?:0y~j20f03:1=vsa77c:>5<6std<:lo50;3xyk11ik0;6ho1<7?t}o55ec<728qvb:8m0;295~{i??h:6=4>{|l42g4=83;pqc99b283>4}zf>51zm33d2290:wp`86c494?7|ug==n:4?:0y~j20e03:1=vsa77`:>5<6std<:oo50;3xyk11jk0;6ko1<7?t}o55fc<728qvb:8l0;295~{i??i:6=4>{|l42f4=83;pqc99c283>4}zf>51zm33e2290:wp`86b494?7|ug==o:4?:0y~j20d03:1=vsa77a:>5<6std<:no50;3xyk11kk0;6jo1<7?t}o55gc<728qvb:8k0;295~{i??n:6=4>{|l42a4=83;pqc99d283>4}zf>51zm33b2290:wp`86e494?7|ug==h:4?:0y~j20c03:1=vsa77f:>5<6std<:io50;3xyk11lk0;6mo1<7?t}o55`c<728qvb:8j0;295~{i??o:6=4>{|l42`4=83;pqc99e283>4}zf>51zm33c2290:wp`86d494?7|ug==i:4?:0y~j20b03:1=vsa77g:>5<6std<:ho50;3xyk11mk0;6lo1<7?t}o55ac<728qvb:8i0;295~{i??l:6=4>{|l42c4=83;pqc99f283>4}zf>51zm33`2290:wp`86g494?7|ug==j:4?:0y~j20a03:1=vsa77d:>5<6std<:ko50;3xyk11nk0;6oo1<7?t}o55bc<728qvb:9?0;295~{i?>::6=4>{|l4354=83;pqc980283>4}zf>=;87>51zm3262290:wp`871494?7|ug=<<:4?:0y~j21703:1=vsa762:>5<6std<;=o50;3xyk108k0;6k:182xh0?9o1<7?t}o544c<728qvb:9>0;295~{i?>;:6=4>{|l4344=83;pqc981283>4}zf>=:87>51zm3272290:wp`870494?7|ug=<=:4?:0y~j21603:1=vsa763:>5<6std<;8:6=4>{|l4374=83;pqc982283>4}zf>=987>51zm3242290:wp`873494?7|ug=<>:4?:0y~j21503:1=vsa760:>5<6std<;?o50;3xyk10:k0;69:6=4>{|l4364=83;pqc983283>4}zf>=887>51zm3252290:wp`872494?7|ug=5<6std<;>o50;3xyk10;k0;6>:6=4>{|l4314=83;pqc984283>4}zf>=?87>51zm3222290:wp`875494?7|ug=<8:4?:0y~j21303:1=vsa766:>5<6std<;9o50;3xyk10?:6=4>{|l4304=83;pqc985283>4}zf>=>87>51zm3232290:wp`874494?7|ug=<9:4?:0y~j21203:1=vsa767:>5<6std<;8o50;3xyk10=k0;6<:6=4>{|l4334=83;pqc986283>4}zf>==87>51zm3202290:wp`877494?7|ug=<::4?:0y~j21103:1=vsa764:>5<6std<;;o50;3xyk10>k0;6=:6=4>{|l4324=83;pqc987283>4}zf>=<87>51zm3212290:wp`876494?7|ug=<;:4?:0y~j21003:1=vsa765:>5<6std<;:o50;3xyk10?k0;6o1<7?t}o543c<728qvb:970;295~{i?>2:6=4>{|l43=4=83;pqc988283>4}zf>=387>51zm32>2290:wp`879494?7|ug=<4:4?:0y~j21?03:1=vsa76::>5<6std<;5o50;3xyk100k0;63:6=4>{|l43<4=83;pqc989283>4}zf>=287>51zm32?2290:wp`878494?7|ug=<5:4?:0y~j21>03:1=vsa76;:>5<6std<;4o50;3xyk101k0;6k:6=4>{|l43d4=83;pqc98a283>4}zf>=j87>51zm32g2290:wp`87`494?7|ug=5<6std<;lo50;3xyk10ik0;6h:6=4>{|l43g4=83;pqc98b283>4}zf>=i87>51zm32d2290:wp`87c494?7|ug=5<6std<;oo50;3xyk10jk0;6i:6=4>{|l43f4=83;pqc98c283>4}zf>=h87>51zm32e2290:wp`87b494?7|ug=5<6std<;no50;3xyk10kk0;6n:6=4>{|l43a4=83;pqc98d283>4}zf>=o87>51zm32b2290:wp`87e494?7|ug=5<6std<;io50;3xyk10lk0;6o:6=4>{|l43`4=83;pqc98e283>4}zf>=n87>51zm32c2290:wp`87d494?7|ug=5<6std<;ho50;3xyk10mk0;6l:6=4>{|l43c4=83;pqc98f283>4}zf>=m87>51zm32`2290:wp`87g494?7|ug=5<6std<;ko50;3xyk10nk0;6{|l4<54=83;pqc970283>4}zf>2;87>51zm3=62290:wp`881494?7|ug=3<:4?:0y~j2>703:1=vsa792:>5<6std<4=o50;3xyk1?8k0;6k:182xh009o1<7?t}o5;4c<728qvb:6>0;295~{i?1;:6=4>{|l4<44=83;pqc971283>4}zf>2:87>51zm3=72290:wp`880494?7|ug=3=:4?:0y~j2>603:1=vsa793:>5<6std<4{|l4<74=83;pqc972283>4}zf>2987>51zm3=42290:wp`883494?7|ug=3>:4?:0y~j2>503:1=vsa790:>5<6std<4?o50;3xyk1?:k0;6{|l4<64=83;pqc973283>4}zf>2887>51zm3=52290:wp`882494?7|ug=3?:4?:0y~j2>403:1=vsa791:>5<6std<4>o50;3xyk1?;k0;6:6=4>{|l4<14=83;pqc974283>4}zf>2?87>51zm3=22290:wp`885494?7|ug=38:4?:0y~j2>303:1=vsa796:>5<6std<49o50;3xyk1?{|l4<04=83;pqc975283>4}zf>2>87>51zm3=32290:wp`884494?7|ug=39:4?:0y~j2>203:1=vsa797:>5<6std<48o50;3xyk1?=k0;6{|l4<34=83;pqc976283>4}zf>2=87>51zm3=02290:wp`887494?7|ug=3::4?:0y~j2>103:1=vsa794:>5<6std<4;o50;3xyk1?>k0;68RGAV%775+B582.D|Yv+$NrW|!@_WMI/?8:PIOT'117)L88;7)ATy&'KuR,ORTHN*<55]JJS"2<8$O>50;2*55=/99:;6<>?0;3345/6;2":=:>?:03445<69>:;%<>4(0534?70890:;=>&119+5=67282;<7?701+24>.509:1>5>?:3:34,773!9;<=4<01297567!;;0$>>?0;1345<489:",%=:0180156=;<:;%<>4(2734?5289089=>&d:*745<3890?<='>0:*5456=>9:;6;>?0(33?-17890<<=>57123-46< 0:;<77?018:456.92::7<<41108544<9;;97<<5OTVSQQ5383:7?<42108674<::;?7?=5IORVP?GXNZH7>>4>>39107=5=;19;?5=8391=4=4:29;>6==2:106>53:29>>6=92:145>253=:979?=;501?1553=<978>=;401?0553<>978;=;441?0>63?80:><46508204<>?;0;?58039467=0<;1<:?587394<7=01813>66?2::26>>5:22>>6692::46>>>923974>=;831?<45309974:=;871?<0530=>7LBJ0L58EIC7E'N37LBJ0L,G5==FDL:F"I0?,SEA?>e9B[CUE48;5"]OK9:C\BVD;9;4o7LQISC>26;(WIM30MRH\B=30:a=FWOYI0<=1.QCG=>GXNZH7=90k;@]EWG:6<7$[MI74A^DPF9726m1JSK]M<07=*UGC12KTJ^L3178?,SEA?e9B[CUE4835"]OK8:C\BVD;97i0MRH\B=3=*UGC12KTJ^L321/RB@==FWOYI0>0l;@]EWG:46'ZJH55N_GQA818d3HUM_O2;>/RB@==FWOYI080l;@]EWG:26'ZJH55N_GQA838d3HUM_O29>/RB@==FWOYI0:0l;@]EWG:06'ZJH55N_GQA8=8d3HUM_O27>/RB@==FWOYI040l;@]EWG:>6'ZJHi5N_GQA[46XAK_Mh6OPFR@\54YNJ\Lo7LQISC]26ZOE]On0MRH\B^30[LDRNm1JSK]M_06\MGSAl2KTJ^LP14]JFP@c3HUM_OQ>6^KAQCbGXNZHT=4QFBTD`?DYA[KU:SDLZFe9B[CUEW;:TEO[Id:C\BVDX:8UBNXHk;@]EWGY5:VCIYKj4A^DPFZ44W@H^Jn5N_GQA[7YNJ\Lh7LQISC]0[LDRNj1JSK]M_5]JFP@d3HUM_OQ:_H@VBf=FWOYIS;QFBTD`?DYA[KUW@H^J;5NotvLA6=E]O20NX]PIODL0>E6>D20O<8B.QCGa>E6>DUBNXH FBNHb>E6>DUBNXH FBNH)3=D:8CJN^MAQCbE?E>1H4@ _AE:8G=KXAK_Mh6M7M^KAQC)AKEAn7N6B_H@VB*@DDB'97NK<;BNH=>EHEDC_XHJ8;BPFEQCC;2I_@45LlnahWucd02Njxl]eb78@`jfq81N96K!P@Fb?@^W99U%IU^i;DZS55Y)MQZT\YQ?f:G[T46X&LR[S]ZP1g9F\U77W'OS\R^[_3d8A]V68V$NT]Q_T^1e?@^W99U%IU^PPU]75g=BPY;;S#KWP^vpmhtbfhgnhR`jt^c8A]V69V$NT]h4EYR25Z(BPYU[XR>i;DZS54Y)MQZT\YQ>f:G[T47X&LR[S]ZP2g9F\U76W'OS\R^[_2d8A]V69V$NT]Q_T^62f>C_X8;T"HV__uqjiwciidooSck{_`9F\U75W'OS\k5JXQ31[+C_XVZ_S=h4EYR26Z(BPYU[XR?i;DZS57Y)MQZT\YQ=f:G[T44X&LR[S]ZP3g9F\U75W'OS\R^[_5d8A]V6:V$NT]Q_T^72f>C_X88T"HV__uqjiwciidooSck{_`9F\U74W'OS\k5JXQ30[+C_XVZ_S=h4EYR27Z(BPYU[XR?i;DZS56Y)MQZT\YQ=f:G[T45X&LR[S]ZP3g9F\U74W'OS\R^[_5d8A]V6;V$NT]Q_T^72f>C_X89T"HV__uqjiwciidooSck{_`9F\U73W'OS\k5JXQ37[+C_XVZ_S=h4EYR20Z(BPYU[XR?i;DZS51Y)MQZT\YQ=f:G[T42X&LR[S]ZP3g9F\U73W'OS\R^[_5d8A]V6C_X8>T"HV__uqjiwciidooSck{_`9F\U72W'OS\k5JXQ36[+C_XVZ_S=h4EYR21Z(BPYU[XR?i;DZS50Y)MQZT\YQ=f:G[T43X&LR[S]ZP3g9F\U72W'OS\R^[_5d8A]V6=V$NT]Q_T^72f>C_X8?T"HV__uqjiwciidooSck{_`9F\U71W'OS\k5JXQ35[+C_XVZ_S=h4EYR22Z(BPYU[XR?i;DZS53Y)MQZT\YQ=f:G[T40X&LR[S]ZP3g9F\U71W'OS\R^[_5d8A]V6>V$NT]Q_T^72f>C_X88^,F\UYW\V9m7HV_19]-A]VXX]U?=o5JXQ3;[+C_XV~xe`|jn`of`Zhb|Vk0IU^>9^,F\U7e3LR[=4Q!EYR\pvojzldjahjPndv\e>C_X;:T"HV_f:G[T76X&LR[S]ZP0g9F\U47W'OS\R^[_0d8A]V58V$NT]Q_T^0e?@^W:9U%IU^PPU]0b>C_X;:T"HV__QV\0c=BPY8;S#KWP^RW[07e3LR[>=Q!EYR\pvojzldjahjPndv\e>C_X;;T"HV_f:G[T77X&LR[S]ZP0g9F\U46W'OS\R^[_0d8A]V59V$NT]Q_T^0e?@^W:8U%IU^PPU]0b>C_X;;T"HV__QV\0c=BPY8:S#KWP^RW[07e3LR[>CXAK_M;6KkgdTbh1=AKEA37KMCK/RB@`=AKEATEO[I/GAOOc=AKEATEO[I/GAOO(4?7D@>559JJ4033@D:;95FN0:7?LH61:1BB?:4IO030>OI:8>0EC<=4:KM662::4IO0;0>OI:090EC=;;HL041=NF:;87D@;3:KM16=NF?90EC9<;HL;7>OI101BBDZ\T@VF1>OHIJ^<7DANCU,G<>OHIJ^%H<64INC@P+B5l2CDMNZ!D3]SPZ6c3@EJOY K2^RW[4bOHIJ^%H?Q_T^6g?LIFK]$O>R^[_458MJGD\'[27DANCU,QAB0OHJLXY"_][F0:8MJDBZ[UdclrdcwaaYwzenT:6G@DNLF<>OHLFDN"I74INFLJ@(C901BCIAAE/F1a>OHLFDN"IOHLFDN"IOHLFDN"IOHLFDN"HV_1c9JKAIIM'OS\Rz|ilpfjdkblVdnxR64INFLJ@(Vi2CDHB@J.SGDf>OHLFDN"_][F0:8MJBHFLUdclrdcwaaYwzenT86G@L148MJJ7&M=0EBB?.E34?LIK8'N9o6G@L1,G6ZVSW9i0EBB?.E0\TQY6k2CD@= K2^RW[7eOHD9$O>R^[_5a8MJJ7&M8T\YQ:c:KLH5(C:VZ_S;84INN3*T>OHFYXnmiw!EYR26>OHFYXnmiw!EYR\TQY79;1BCC^]e`fz*@^WWY^T=<<4INLSV`gcq'OS\R^[_331?LIIX[ojht JXQ]SPZ56m2CDB]\jae{-A]VX|zcf~h`nmdf\j`rXj2CDB]\jae{-Ua=NGGZYiljv.SGD3>OHZMXN]45FOSFQAT(Ci2CD^I\JQ/F2e>OHZMXN]#J=f:KLVATBY'N9S]ZP0g9JKWBUMX$O>R^[_0d8MJTCZL[%H?Q_T^0e?LIUL[OZ"IOHZMXN]#J=_QV\0c=NG[NYI\ K2^RW[0?OH]9$O;6G@U1,G52=NG\:%H?m4INW3*A4XX]U;o6G@U1,G6ZVSW8i0EB[?.E0\TQY5k2CDY= K2^RW[6eOH]9$O>R^[_4a8MJS7&M8T\YQ96:KLQ5(V02CDY= ]EF36?LIR8V~xe`m}e`vf`Z~hzV=0EBY]RDS:?LIPZ[OZ"Io4INUQV@W)L8k0EBY]RDS-@7`m7DAXRSGR*A4XX]U>j6G@WSPFU+B5WY^T:45FOVPQAT(Vj2CD[_\JQ/PFC==Nf}Nn`lw<;MM@3>JHO@IJ@n5BakmqR`ttafdh7@gaosTfvvohf;1E<85A0%MFg>H7&K_MCH @UU68J5(C=2D;"I?:;O2-@72Wh[[ojht K2^RW[4773XeX^hoky/F1[URX:8:0]b]]e`fz*A4XX]U8==5^oRPfea)L;U[XR:>0:SlWWcflp$O>R^[_433?TiTZlkou#J=_QV\2d=VgZXnmiw!Qb9RkVTbims%^HI:;SC?4;?/RB@==UI5;:6=09;SC?548f3[K7=<0!P@F6?WG;9730^L2>>/RB@0=UI58556\N<3<-TDB23[K7?374R@>0:+VFL<1YM1:19:PB818)XHN>7_O35?;8VD:26'ZJH85]A=4==>TF4?4%\LJ:;SC?3;?912XJ050!P@F6?WG;1730^L26>/RB@==UIDXnmiwn;SCNV`gcq'Ni7_OBRdcg}+B6j2XJA_kndx,G646d:PBIWcflp$NT]Q{shoqakgjmmUeiyQn;SCNV`gcq'[h7_OBRdcg}+TBO01YMR>POCWEf>TFW9UDNXH!Db9QEZ6XGK_M"I?l;SC\4ZIE]O$O>>2:PB[46XGK_M"ITFW8:TCO[I.Pd8VDY69VEIYK*MUG225>TFW8;TCO[I$CWE4+B6:2XJS!EYR\TQY5911YMR?>_N@VB!DRN9$NT]Q_T^12<>TFW8;TCO[I$CWE4+C_XVZ_S9<;;SC\54YHJ\L/NXH?.DZS[qune{oem`kk_ogw[47_N@VB!Wd3[KT=TFW8;TCO[I.E026>TFW8;TCO[I.E0\TQY79;1YMR?>_N@VB+B5WY^T=n5]A^32[JDRN'[27_OP1^MAQCd1:PB[4YHJ\L%H?Q_T^225>TFW8UDNXH!D3]SPZ7c3[KT=RAMUG,F\U7b3[KT=RAMUG,F\UYs{`gyicobee]maqYe3[KT=RAMUG,R=>TFW;UDNXHm;SC\6ZIE]O$Oo6\N_3]LFP@)L8i0^LQ=_N@VB+B5981YMRb:PB[7YHJ\L%]45]A^6\KGSAj2XJS9Q@BTD-@f=UIV>TCO[I.E3`?WGXSBLZFc9QEZ3XGK_M"Im4R@]6[JDRN'N:o6\N_4]LFP@)L;;:7_OP5^MAQC(C:VZ_S=?>;SC\1ZIE]O$O>R^[_0`8VDY2WFH^J#_6;SC\2ZIE]Oh0^LQ9_N@VB+Bd3[KT:RAMUG,G5f=UIVTFW?UDNXH!D3]SPZ6692XJS;Q@BTD-@7YW\V;i7_OP6^MAQC(V12XJS:Q@BTDa?WGX?VEIYK Kc:PB[2YHJ\L%HTFW>UDNXH!Q89QEZ>XGK_Mn6\N_9]LFP@)Lj1YMR6POCWE*A7d3[KT4RAMUG,G647WFH^J#J>c:PB[;SC\=ZIE]O$O>R^[_132?WGX1VEIYK K2^RW[4d2Xnj_k~9:PfbWcv&JO37_kiRds-@<=UmoXn}#J>9:PfbWcv&M837_kiRds-Ud=UmoXn}#\JG79QacSuo01Yik[}g/AF<>Tbn\xl"I74RddVvb(C901Yik[}g/F1<>Tbn\xl"\o4RddVvb(UMN90_HZ6;RgwquNhdm90X_G;;U[SAf=R[LXTZD]FBMG:?SOB_V^R\H=4Vba5?RguxZOj7Zo}pRG-jbg23^coxe^ND@DS!UJM 1,2$VRRJ):%=-O\CHK0?]IU>2RonRGkb:ZglZVuadCezn5Wdi]SvlkSqyom7Ujg_QpjiScu{`ee46Vkh^Vji`b0VH\@9:amp*Cu494i7n`{/Dp?4;(Ck2iex"K}<1<-@4e/F1f>ei|&Oy0=0!Qe9`jq)Bz5:5"_KH9:amp*Cu484i7n`{/Dp?5;(Ck2iex"K}<0<-@4e>/F1f>ei|&Oy0<0!Qe9`jq)Bz5;5"_KHf:amp*OTWOYFSKHk1038gkr(AZUM_@QIFe3-@443:amp*OTWOYFSKHk1/G[T4>5lnu-JWZ@TEVLMh< ]EF03?fhs'@YTJ^CPFGf2[qunejxnmykk_ymq[c=df}%B_RH\M^DE`7763jd#D]PFRO\BCb5&M;97n`{/HQ\BVKXNOn9"I?>2:amp*OTWOYFSKHk2/F152=df}%B_RH\M^DE`7(C:VZ_S=?8;blw+LUXNZGTJKj=.E0\TQY6981hby!FS^DPIZ@Al;$Z=>5lnu-JWZ@TEVLMh? ]EF03?fhs'@YTJ^CPFGf1[qunejxnmykk_ymq[a=df}%GXdcjr=2=b>ei|&F_e`k}<1<-@463:+B6991hby!CThofv969&M8:96mat.NWmhcu494%H?Q_T^221>ei|&F_e`k}<1<-@7YW\V;:96mat.NWmhcu494%H?Q_T^021>ei|&F_e`k}<1<-@7YW\V9:96mat.NWmhcu494%H?Q_T^621>ei|&F_e`k}<1<-@7YW\V?:96mat.NWmhcu494%H?Q_T^4e?fhs'E^bah|30?,R54=df}%GXdcjr=2=*WC@m2iex"B[ilgq8469991hby!CThofv9776'N:=6mat.NWmhcu48:5"I?>1:amp*JSadoy0<>1.E022>ei|&F_e`k}<02=*A4XX]U;=;5lnu-OPlkbz5;;2#J=_QV\54024;(C:VZ_S??=;blw+IRnelx7==0!EYR23>ei|&F_e`k}<02=*@^WWY^T<<94cov,HQojm{6:<3 JXQ]SPZ75;2iex"B[ilgq8469&LR[Sy}fmsgmehccWgoS<>4cov,HQojm{6:<3 ^139`jq)K\`gn~1??>/PFC4`24;Ys{`gh~ho{ee]{kwYb3jd#AZfmdp?548682iex"B[ilgq8479&M;:7n`{/MVji`t;984%H;blw+IRnelx7=<0!D335?fhs'E^bah|310<-@7YW\V:::6mat.NWmhcu48;5"I<>4cov,HQojm{6:=3 ^139`jq)K\`gn~1?>>/PFC4`25;Ys{`gh~ho{ee]{kwYc3jd#AZfmdp?5;`2:+B682iex"B[ilgq848)L8;;7n`{/MVji`t;97$O><;4cov,HQojm{6:2#J=_QV\4432:+B5WY^T=<;4cov,HQojm{6:2#J=_QV\6472:+C_X8<0ocz LUknaw:66'OS\R^[_135?fhs'E^bah|31?,F\UYW\V;::6mat.NWmhcu484%IU^PPU]167=df}%GXdcjr=3=*@^WW}ybakaalgg[kcsWo1hby!CThofv979&X;:7n`{/MVji`t;97$YIJj4cov,HQojm{692k5lnu-OPlkbz585"I??;blw+IRnelx7>3 K1028gkr(D]cfi2=>/F150=df}%GXdcjr=0=*A4XX]U;=85lnu-OPlkbz585"I^vpmheumh~nhRv`r^f8gkr(D]cfi2<>g9`jq)K\`gn~1=1.E33?fhs'E^bah|33?,G5460:+B59<1hby!CThofv959&M8T\YQ?149`jq)K\`gn~1=1.E0\TQY69<1hby!CThofv959&M8T\YQ=f:amp*JSadoy0>0!Q038gkr(D]cfi2<>/PFC4c0:Zrtadiyilzjd^zlvZb7:c=df}%GXdcjr=6=*A773jd#AZfmdp?0;(C98:0ocz LUknaw:36'N9=85lnu-OPlkbz5>5"I5"Iei|&F_e`k}<5<-U477:+TBO8o0ocz LUknaw:36V~xe`m}e`vf`Z~hzVn0ocz LUknaw:26o1hby!CThofv939&M;;7n`{/MVji`t;=7$O=<>4cov,HQojm{6>2#J=149`jq)K\`gn~1;1.E0\TQY79<1hby!CThofv939&M8T\YQ>149`jq)K\`gn~1;1.E0\TQY5n2iex"B[ilgq808)Y8;0ocz LUknaw:26'XNK2Rz|ilaqadrblVrd~Rj4cov,HQojm{6=2k5lnu-OPlkbz5<5"I??;blw+IRnelx7:3 K1028gkr(D]cfi29>/F150=df}%GXdcjr=4=*A4XX]U;=85lnu-OPlkbz5<5"I^vpmheumh~nhRv`r^f8gkr(D]cfi28>g9`jq)K\`gn~191.E33?fhs'E^bah|37?,G5464:+B59<1hby!CThofv919&M8T\YQ?149`jq)K\`gn~191.E0\TQY69<1hby!CThofv919&M8T\YQ=f:amp*JSadoy0:0!Q038gkr(D]cfi28>/PFC4c4:Zrtadiyilzjd^zlvZb;:c=df}%GXdcjr=:=*A773jd#AZfmdp?<;(C98:0ocz LUknaw:?6'N9=85lnu-OPlkbz525"Iei|&F_e`k}<9<-U47;:+TBO8o0ocz LUknaw:?6V~xe`m}e`vf`Z~hzVn0ocz LUknaw:>6o1hby!CThofv9?9&M;;7n`{/MVji`t;17$O=<>4cov,HQojm{622#J=149`jq)K\`gn~171.E0\TQY79<1hby!CThofv9?9&M8T\YQ>149`jq)K\`gn~171.E0\TQY5981hby!CThofv9?9&LR[=;5lnu-OPlkbz535"HV__QV\440::+C_XVZ_S^vpmheumh~nhRv`r^a8gkr(D]cfiZLe:amp*JSadoyXN Kf:amp*JSadoyXN K1g9`jq)K\`gn~YM!D333?fhs'E^bah|[C/G[T43<;4cov,HQojm{^H"HV__QV\777h5lnu-OPlkbzV;Tbbz?013,gkr(D]cfiQ>_omw4566WM8%H?h4cov,HQojm{U:Sca{0122+fhs'E^bah|P1^llp5679VN9"I?=f:amp*JSadoyS_omw4566'jd#AZfmdp\5Zhh|9:;=RJ=.E0\TQY7;=1hby!CThofvZ7Xff~;<=? cov,HQojm{U:Sca{0122[A4)L;U[XR?<4:amp*JSadoyS>_E0-@7YW\V?886mat.NWmhcuW8Uecy>?00-`jq)K\`gn~R?Pnnv3457XL;$O>R^[_70f?fhs'E^bah|P1^llp5679&iex"B[ilgq[4Yig}:;<ei|&F_e`k}_0]mkq6788%hby!CThofvZ7Xff~;<=?PD3,VMHeei|&^bah|30?,@A`=df}%_e`k}<1<-@c=df}%_e`k}<1<-@4`3:+W682iex"Zfmdp?4;(UMN;o7n`{/Uknaw:76V~xe`m}e`vf`Z~hzVi0ocz Thofv979n2iex"Zfmdp?5;(DMl1hby![ilgq848)Lo1hby![ilgq848)L8l0ocz Thofv979&M8:86mat.Vji`t;97$O>R^[_137?fhs']cfi2>>/F1[URX98>0ocz Thofv979&M8T\YQ=e:amp*Rnelx7=3 ^119`jq)Sadoy0<0!RDE`?fhs']cfi2=>g9`jq)Sadoy0?0!CDg8gkr(\`gn~1<1.Ed8gkr(\`gn~1<1.E3e?fhs']cfi2=>/F151=df}%_e`k}<3<-@7YW\V::86mat.Vji`t;:7$O>R^[_037?fhs']cfi2=>/F1[URX:8>0ocz Thofv949&M8T\YQ3 ^119`jq)Sadoy0?0!RDE`?fhs']cfi2<>g9`jq)Sadoy0>0!CDg8gkr(\`gn~1=1.Ed8gkr(\`gn~1=1.E3e?fhs']cfi2<>/F151=df}%_e`k}<2<-@7YW\V::86mat.Vji`t;;7$O>R^[_037?fhs']cfi2<>/F1[URX:8>0ocz Thofv959&M8T\YQ0!RDE2`>ei|&^bah|33?]wwlkdzlkiiQwos]:?fhs'^Y7<3l4cov,SV:76'Nh7n`{/VQ?4;(C9j1hby!XS=2=*A4e3jd#Z]30?,R`>ei|&]X0=0!RDE2e>ei|&]X0=0Ptrkngwcf|lnTtb|P9:amp*QT484i7n`{/VQ?5;(Ck2iex"Y\<0<-@4e>/F154=df}%\_1?1.E0\TQY7981hby!XS=3=*A4XX]U:h6mat.UP848)MQZ:>6mat.UP848)MQZT\YQ?139`jq)P[5;5"HV__QV\544>/G[TZVSW;;97n`{/VQ?5;(BPYU[XR=>e:amp*QT484%IU^Ptrknv`hfelnTbhzPb:amp*QT484%]i5lnu-TW979&[OL56mat.UP878e3jd#Z]32?,Gg>ei|&]X0?0!D0a8gkr(_Z692#J=109`jq)P[585"I6mat.UP878)MQZT\YQ?139`jq)P[585"HV__QV\54c/G[TZrtadxnblcjd^lfpZd/Sg?fhs'^Y7>3 ]EF3b?fhs'^Y7>3Q{sho`v`gsmmUscQ6;blw+RU;;7h0ocz WR>0:+Bd3jd#Z]33?,G5f=df}%\_1=1.E025>ei|&]X0>0!D3]SPZ6692iex"Y\<2<-@7YW\V;:=6mat.UP868)L;U[XRei|&dB\A<}.Ef8gkr(f@ZG> K1e9`jq)iAYF9~#J=c:amp*hNXE8y"\k4cov,jLVK:{$YIJl4cr-mKscudhso7n} nNtfvig~&Mo0o~!aOwgqhd)L8o0o~!aOwgqhd)L;;87n} nNtfvig~&M8T\YQ?129`w*hH~lxgmt K2^RW[4`et'gE}ibny/G[TZrtadxnblcjd^lfpZbohjd%Ocxz@E/F1[URX;o1bcoc DnwwK@(BPY8;7damm.FlqqIB&LR[Sy}fmsgmehccWgoSi5foco,@jssGL$Zj6g`bl-GkprHM'XNK/F157=ngkg$I^2?>/F1[URX8880eblb/DQ?4;(C:VZ_S2:+B6l2cdn`!JS=3=*A46:2cdn`!JS=3=*A4XX]U;=?5foco,AV:66'N9S]ZP1008mjdj'LY7=3 K2^RW[7753`eia"K\<0<-@7YW\V9h7damm.GP848)Yl1bcoc ER>2:+TBOh1bcoc ER>1:f=ngkg$I^2=>/Fg?liee&OX0?0!D0f8mjdj'LY7>3 K2008mjdj'LY7>3 K2^RW[5753`eia"K\<3<-@7YW\V;:>6g`bl-FW949&M8T\YQ=c:klfh)B[585"\k4in`n+@U;:7$YIJ?m;hmai*CT4;4Tx~gbcsgbp`bXpfxTm6g`bl-FW959k2cdn`!JS=1=*Ab/F157=ngkg$I^2<>/F1[URX8880eblb/DQ?7;(C:VZ_Sohjd%N_1=1.E0\TQY4k2cdn`!JS=1=*Tcohjd%N~45foco,Aw(Ci2cdn`!Jr/F2e>ohjd%N~#J=9:klfh)Bz'[i7damm.Gq*WC@l2cdn`!FOSFQATta3`eia"G@REPFUw(C991bcoc INPGV@Wu&M;:<6g`bl-JKWBUMXx%H?h4in`n+LIUL[OZ~#_>1:klfh)NG[NYI\|!RDE:?liee&CD_=l4in`n+LIT8'Nh7damm.KLW5(C9j1bcoc INQ3*A4692cdn`!FOR2-@7YW\V::=6g`bl-JKV6)L;U[XR?>1:klfh)NGZ:%H?Q_T^025>ohjd%BC^>!D3]SPZ5692cdn`!FOR2-@7YW\V>i7damm.KLW5(Vl2cdn`!FOR2-V@A692cdn`!FOR]EWHYANm;:?6g`bl-JKVYA[DUMJi?!D068mjdj'@EXSK]B_GDg5+B69=1bcoc INQ\BVKXNOn:"I<>3:klfh)NGZUM_@QIFe3-U43ohjd%BC^QISL]EBa4)ZLM:=6g`bl-JKVYA[DUMJi=>3:klfh)NGZUM_@QIFe1-@42ohjd%BC^QISL]EBa5)L;U[XR>>9:klfh)NGZUM_@QIFe1-@7YW\V;:56g`bl-JKVYA[DUMJi=!D3]SPZ46;2cdn`!FOR]EWHYANm9%]<;4in`n+LITWOYFSKHk3/PFC474:klfh)NGZUM_@QIFe6-@4733`eia"G@S^DPIZ@Al=$O><=4in`n+LITWOYFSKHk4/S21>ohjd%BC^QISL]EBa2)ZLM:=6g`bl-JKVYA[DUMJi;>3:klfh)NGZUM_@QIFe7-@42ohjd%BC^QISL]EBa3)Y8?0eblb/HMP[CUJWOLo9#\JG038mjdj'@EXSK]B_GDg245'N:86g`bl-JKVYA[DUMJi8!D037?liee&CD_RH\M^DE`3(C:830eblb/HMP[CUJWOLo:#J=_QV\44?'N9S]ZP1018mjdj'@EXSK]B_GDg2+W6=2cdn`!FOR]EWHYANm<%^HI>1:klfh)NGZUM_@QIFe527>ohjd%BC^QISL]EBa1)L8>0eblb/HMP[CUJWOLo;#J>159jkgk(AFYTJ^CPFGf4*A4612cdn`!FOR]EWHYANm=%H?Q_T^22=>ohjd%BC^QISL]EBa1)L;U[XR?>9:klfh)NGZUM_@QIFe5-@7YW\V8:?6g`bl-JKVYA[DUMJi9!Q078mjdj'@EXSK]B_GDg3+TBOm1bcoc INUQV@Wun2cdn`!FOVPQATt)L8:0eblb/HMTVWCVz'N:==5foco,MJQUZL[y"Iohjd%]\L|m;hmai*PWI{$Oo6g`bl-UTDt)L8i0eblb/WRBv+B5j2cdn`!YP@p-Ua=ngkg$Z]O}.SGDf>ohjz%H`ho}1e9jkgu(Keoj~< Ke:klfv)Ddlky=#J>e:klfv)Ddlky=#J=f:klfv)Ddlky=#KWP068mjdt'Jfnm?!EYR\TQY79=1bco} Cmgbv4(BPYU[XR?>4:klfv)Ddlky=#KWP^RW[7473`ei"Mce`p2*@^WW}ybakaalgg[kcsWm1bco} Cmgbv4(Vn2cdn~!Lldcq5+TBOk1bco} INC@Pwbohjz%BCLM[r/PFCf=ngky$EBJ@NDpf?lie{&CDHB@Jr/Fe?lie{&CDHB@Jr/F2b>ohjz%BCIAAEs,G6`=ngky$EBJ@NDp-U46ohjz%BC_Y>.E0\TQY69;1bco} INPT5+B5WY^T><<4in`p+LIU_8$O>R^[_231?lie{&CD^Z?!D3]SPZ2b3`ei"G@RV3-A]V6n2cdn~!FOSU2*@^WW}ybakaalgg[kcsWj1bco} INPT5+Wb3`ei"G@RV3-V@A6j2cdn~!FOSU2[qunejxnmykk_ymq[<=ngky$EB[>c:klfv)NG\;%OHl4in`p+LIR9'Nh7dams.KLQ4(C9j1bco} INW2*A4e3`ei"G@U0,R`>ohjz%BCX?!RDEb?lie{&Fdmi?l;hmaw*Jhim;%Hi5focq,Hjgc9'N:h6g`br-Okdb6&M8:>6g`br-Okdb6&M8T\YQ?139jkgu(Dfko=#J=_QV\5442:klfv)Kghn:"I3`ei"]jnu`8mjdt'Zoex#Jl;hmaw*Ubf}$O=n5focq,W`hs&M8:=6g`br-Pakr)L;U[XR>>1:klfv)Tmg~%H?Q_T^325>ohjz%Xicz!D3]SPZ4692cdn~!\eov-@7YW\V9:=6g`br-Pakr)L;U[XR:>1:klfv)Tmg~%H?Q_T^725>ohjz%Xicz!D3]SPZ0e3`ei"]jnu,R`>ohjz%Xicz!RDE2e>ohjz%XiczPtrkngwcf|lnTtb|P119jkgu(\ZUM_@QIFe326>ohjz%__RH\M^DE`4(C9:1bco} TR]EWHYANm;%H>8:klfv)S[VLXARHId0,G6ZVSW8;97dams.VP[CUJWOLo=#_>4:klfv)S[VLXARHId0,QAB773`ei"Z\_GQN[C@c:880ebl|/UQ\BVKXNOn9"I?<;hmaw*RTWOYFSKHk2/F256=ngky$X^QISL]EBa4)L;;37dams.VP[CUJWOLo>#J=_QV\44>199jkgu(\ZUM_@QIFe0-@7YW\V8:46g`br-WWZ@TEVLMh? K2^RW[6733`ei"Z\_GQN[C@c:'OS\<74in`p+QUXNZGTJKj=.DZS[URX8830ebl|/UQ\BVKXNOn9"HV__QV\573hFLf@H>!P@Ff?kGCg|~GI=Q@BTD24>hFLf@H>POCWE*A763gKOcxzCE1]LFP@)L8;:7cOKotvOA5YHJ\L%H???;oCGkprKM9UDNXH!Q89mEAir|EO:h6`NDnwwH@7)XHNn7cOKotvOA4YHJ\L:<6`NDnwwH@7XGK_M"I?>;oCGkprKM8UDNXH!D032?kGCg|~GI>6:lB@jssDL;TCO[I.E0\TQY6991eMIaztMG2[JDRN'[:>6`NDnwwH@7XGK_M"_KH7:lBWZ@TJk1eM^QISC,SEAehF[VCDNo5aAR]JKG(WIMk0bL]PIN@lqqbhF[VCDNb{{.CWEK@(H]]i0bL]PIN@lqq(Cl2dJ_RG@Bnww*A7c3gKXSDAMotv-@7753gKXSDAMotv-@7YW\V::>6`NS^KLFjss&M8T\YQ>139mEVYNGKe~x#J=_QV\6f=iIZUBCOazt/Sf?kGTW@EIcxz!RDEe?kGTW@EIcxz!TSQW3>hFg|~DIo5aAnwwK@(WIMi0bLaztNG\KGSAm2dJcxz@E^MAQC(Cn2dJcxz@E^MAQC(C9o1eMb{{OD]LFP@)L;;;7cO`uuMF[JDRN'OS\<;4n@mvpJCXGK_M"HV__QV\443hEM[XTJ^LPOCWE*A4XX]U9=?5aBDPQ[CUEWFH^J#KWP058jGCUZVLXNRAMUG,F\UYW\V::;6`MESP\BVDXGK_M"HV__QV\5410bO\PIN@\KGSA&M8T\YQ>119mFWYNGKUDNXH!EYR21>hEZVCDNRAMUG,F\UYW\V::96`MR^KLFZIE]O$NT]Q_T^321>hEZVCDNRAMUG,F\UYW\V89=6`MR^KLFZIE]O$NT]Q{shoqakgjmmUeiyQj;o@Q[LIEWFH^J#_>0:lAVZOHJVEIYK ]EF3g?kDUW@EISBLZF^vpmheumh~nhRv`r^68jFGT02dHM^ _AE;8jFGTWFH^Jo5aC@Q\KGSA&Mi0bNO\_N@VB+B6k2dHM^Q@BTD-@7d1:lGPDELWOYISBLZF/F26>hC\HI@SK]M_N@VB+B69;1eHYOLK^DPFZIE]O$O><94nEVBGNYA[KUDNXH!D3]SPZ66?2dOXLMD_GQA[JDRN'N9S]ZP1058jARFKBUM_OQ@BTD-@7YW\V8:;6`KT@AH[CUEWFH^J#J=_QV\7417:lGPDELWOYISBLZF/F1[URX=8;0bIZNCJ]EWGYHJ\L%]<=4nEVBGNYA[KUDNXH!RDEb?kBSIJATEBLj;oFWEFMXAFH%\LJi;oFWEFMXAFHTEO[I6:lGmkJBi2dOecBJ.QCGf>hCagFNSBLZFe9m@lhKMVEIYK Ke:lGmkJBWFH^J#J>e:lGmkJBWFH^J#J=f:lGmkJBWFH^J#KWP068jAoiDLUDNXH!EYR\TQY79=1eHd`CE^MAQC(BPYU[XR?>4:lGmkJBWFH^J#KWP^RW[7473gNbbAKPOCWE*@^WW}ybakaalgg[kcsWm1eHd`CE^MAQC(Vn2dOecBJ_N@VB+TBO?1eHd`@E`9m@lhHM'ZJHo5aDhlLAZIE]On0bIgaOD]LFP@)Ll1eHd`@E^MAQC(C9l1eHd`@E^MAQC(C:890bIgaOD]LFP@)L;U[XR>>3:lGmkIBWFH^J#J=_QV\545hKLZUM_OQFBTD;?kJC[VCDNn5aLEQ\MJD)XHNi7cBKS^KLFjssm2dGH^QFOCmvp!IBl2dGH^QFOCmvp!W6=2dGH^QFOCmvp+DRNFO%CXZk;oNGWZOHJf"Ik4nMFP[LIEg|~%HhHM'ZJH55aOD]LFP@f3gENSBLZF/Fa?kIBWFH^J#J>b:lLAZIE]O$O>l5aOD]LFP@)Y>1e^LCCWD`8jWGJD^O%\LJl;oPBIIQBWFH^Jh5aR@OOS@YHJ\L%Hk5aR@OOS@YHJ\L%H0:lQEHJPMVEIYK JXQ36?kTFEE]NSBLZF/G[TZVSW9;>7c\NMMUF[JDRN'OS\R^[_036?kTFEE]NSBLZF/G[TZVSW;8:7c\NMMUF[JDRN'OS\Rz|ilpfjdkblVdnxRk4nSCNHRCXGK_M"\94nSCNQRCe3gXJAXYJ.QCGg>hUID_\IRAMUGg8jWGJ]^OTCO[I.Ed8jWGJ]^OTCO[I.E3e?kTFE\]NSBLZF/F155=iZHG^[HQ@BTD-A]V6=2dYM@[XE^MAQC(BPYU[XR>>5:lQEHSPMVEIYK JXQ]SPZ76=2dYM@[XE^MAQC(BPYU[XR<>5:lQEHSPMVEIYK JXQ]SPZ56=2dYM@[XE^MAQC(BPYU[XR:=1:lQEHSPMVEIYK JXQ]wwlkumgkfiiQaeu]f?kTFE\]NSBLZF/S7?kTF[11e^L]!P@F:?kTF[VEIYKl4nSCP[JDRN'Nh7c\NS^MAQC(C9j1e^L]POCWE*A4c3gXJ_RAMUG,F\U753gXJ_RAMUG,F\UYW\V::>6`]AR]LFP@)MQZT\YQ>139mVDUXGK_M"HV__QV\644e:lQEVYHJ\L%IU^Ptrknv`hfelnTbhzPb:lQEVYHJ\L%]95aRDQ;?kTB['ZJH:5aRDQlqq?hUGDIXSBLZF/Ff?kTHEJYTCO[I.E3f?kTHEJYTCO[I.E027>hUGDIXSBLZF/F1[URX8890b_ABCR]LFP@)L;U[XR?i;oPLIFUXGK_M"HV_159mVJKD[VEIYK JXQ]SPZ66<2dYC@M\_N@VB+C_XVZ_S>4:lQKHQBWFH^J#KWP^RW[4733gXDAZKPOCWE*@^WWY^T><:4nSMNS@YHJ\L%IU^PPU]065=iZFG\IRAMUG,F\UYs{`gyicobee]maqYc3gXDAZKPOCWE*T>hQEHUBCOazt/F2a>hQEHUBCOazt/F156=i^DKTEBL`uu,G6ZVSW9;87cXBA^KLFjss&M8T\YQ>d:lUIDYNGKe~x#_i;oTNEZOHJf"_KH119mRHGXAFHdyy [RRV2g>hQEHUBCOazt^vpmheumh~nhRv`r^:8jSVFWOYIo6`YP@]EWG(WIMn0b[^N_GQA[JDRNo1eZ]OPFR@\KGSA&M;;7cX_A^DPFZIE]O$O=<>4nWRB[CUEWFH^J#J=149mRUGXNZHTCO[I.E0\TQY79<1eZ]OPFR@\KGSA&M8T\YQ>f:lUTDYA[KUDNXH!Q038jSVFWOYISBLZF/PFC44hQXHUBCO _AEf8jSVFW@EISDLZF69mS@YA[Kh0bZKPFR@-TDBd3g]NSK]M_H@VB1=iido<7ckheeef3>vh{lnli:5}al-@EV?0:pbi*EF['OS\R^[_33`?wgj'JKX"HV__uqjiwciidooSck{_89qeh)DIZ$Zn6|nm.ABW+TBO11ym`!@nbgb?wgj'Fdhi#Jm;scn+Jhdm'N:n6|nm.Mmg`(C:8:0~lc Ooaf*A4XX]U;==5}al-Ljfc)L;U[XR?>0:pbi*Iikl$O>R^[_3a8vdk(Ggin"HV_109qeh)Hfjo%IU^PPU]354=uid%Dbnk!EYR\TQY69m1ym`!@nbg-A]VX|zcf~h`nmdf\j`rXi2xja"Aacd,Rg>tfe&Eeoh ]EF3:?wgj'FdhiRz|ilaqadrblVrd~R74r`o,VDKBFk1ym`!]ALGM*Aetfe&XJAH@!D332?wgj'[KFIC K2^RW[5763{kf#_OBEO,G6ZVSW8;:7ob/SCNAK(C:VZ_S??>;scn+WGJMG$O>R^[_232?wgj'[KFIC K2^RW[1763{kf#_OBEO,G6ZVSW;scn+WGTME$O>R^[_032?wgj'[KXIA K2^RW[7d;scn+WGTz{$O>R^[_332?wgj'[KX~ K2^RW[6dtfe&XXSK]B_GDg5+B6:2xja"\\_GQN[C@c9'N:=?5}al-QWZ@TEVLMh< K2038vdk(ZZUM_@QIFe3-U45tfe&XXSK]B_GDg747tfe&XXSK]B_GDg7+W6;2xja"\\_GQN[C@c;'XNKk5}al-QWZ@TEVLMh9?>;scn+WUXNZGTJKj;.E31?wgj'[YTJ^CPFGf7*A76:2xja"\\_GQN[C@c<'N9=:5}al-QWZ@TEVLMh9 K2^RW[5703{kf#_]PFRO\BCb3&M8T\YQ>109qeh)U[VLXARHId5,R56=uid%Y_RH\M^DE`1(UMNl0~lc RR]EWHYANm?:=6|nm.PP[CUJWOLo9#J>2:pbi*TTWOYFSKHk5/F257=uid%Y_RH\M^DE`0(C:8;0~lc RR]EWHYANm?%]<=4r`o,VVYA[DUMJi;!RDEe?wgj'[YTJ^CPFGf554=uid%Y_RH\M^DE`3(C9;1ym`!]S^DPIZ@Al?$O=<<4r`o,VVYA[DUMJi8!D334?wgj'[YTJ^CPFGf5*A4XX]U;=:5}al-QWZ@TEVLMh; K2^RW[4703{kf#_]PFRO\BCb1&M8T\YQ=109qeh)U[VLXARHId7,R56=uid%Y_RH\M^DE`3(UMNl0~lc RR]EWHYANm=:=6|nm.PP[CUJWOLo;#J>2:pbi*TTWOYFSKHk7/F257=uid%Y_RH\M^DE`2(C:8=0~lc RR]EWHYANm=%H?Q_T^223>tfe&XXSK]B_GDg3+B5WY^T=?8;scn+WUXNZGTJKj7.E0\TQY39:1ym`!]S^DPIZ@Al1$NT]<;;scn+WUXNZGTJKj7.DZS[qune{oem`kk_ogw[47)Y890~lc RR]EWHYANm2%^HIm;scn+WcaLfdnh6|nm.PfbAiim'Nn7ob/Sge@jhb&M;n7ob/Sge@jhb&M8:?6|nm.PfbAiim'N9S]ZP0018vdk(ZllOcck!D3]SPZ7c3{kf#_kiDnlf*T`>197?<4c33e?>6>jqe>:k51:l13c<13-8<;7<85:Pga<5?=0>6<=;b02b>=71k2n9;<4?:082Veb2;=?684>35`24d:182>4<28>qXoh4=7586>453j8:j65?9c:T13d<5s|;i;7?4u0`;>5=z,;!7di32n7c?l9;68?l>5290/=no58d9m5f?==21b4=4?:%3`e?>b3g;h5784;h5f>5<#9jk14h5a1b;93>=n?j0;6)?la;:f?k7d13207d97:18'5fg=0l1e=n75a:9j33<72-;hm76j;o3`=?d<3`i=6=44ic`94?=n?h0;6)?la;:f?k7d13307d9;:18'5fg=0l1e=n75c:9j37<72-;hm76j;o3`=?b<3`;947>5;h7g=?6=3`>io7>5;h;g>5<#9jk14h5a1b;9b>=n1o0;6)?la;:f?k7d13o07d:je;29?l3603:17d;21b5o4?:%3`e?>b3g;h57??;:k:5?6=,8ij65k4n0a:>43<3`386=4+1bc9<`=i9j31=954i8794?"6kh03i6`>c8827>=n1>0;6)?la;:f?k7d13;976g69;29 4ef21o0b07b?jf;29 4ef28nn7c?l9;18?j7a93:1(j3:17b:nb;29?j37:3:17b;?a;29?j36j3:17&;71;29?j7cn3:1(50;394?6|,;=26o6k?0;6)<89;3`2>"6j<09:6*>c9812>=zjhl1<7<50;2x 71>2k30D?8k;h31a?6=,;=26<51;294~"5?00:o;5G27f8m4e1290/>:751b48 4d22;80(7>50z&13<h54o0a6>5<#:>31=n;4V35a>4}K:>n1=vXk2;0x 4ed28i>7[?=7;0x 0bb2o1/9ij5f:~yx=zj1h1<7?50;2x 71>28i=7E<9d:k2g3<72-8<57?l6:&2f0<582.:o54=0:9~fdb=8381<7>t$35:>g?<@;288n76a>c483>!4013;h96X=7c82I40l3;pZi<52z&2gf<6k<1]=?952z&6``50z&13<<6k?1C>;j4i0a5>5<#:>31=n84$0`6>4`<,8i36N5>m1b=?k50;&13<<6:l10c74e23_;9;7c=#=mn1j6sr}|9~f=3=83;1<7>t$35:>4e13A8=h6g>c783>!4013;h:6*>b482a>"6k10:i65rb`c94?4=83:p(?96:c;8L70c3`;9i7>5$35:>44b32e:o84?:%04=?7d=2\9;o4>{M04`?7|^m81>v*>cb82g0=Q9;=1>v*:dd8e?!3cl3l0qpsr;|`;7?6=93:16=4+26;95f3<^;=i62.:n84>c:&2g=<6k21vnl650;094?6|,;=26o74H34g?l75m3:1(?96:00f?>i6k<0;6)<89;3`1>P5?k0:wA<8d;3xRa4=:r.:on4>c49U571=:r.>hh4i;%7g`?`c79K63b64d<3thj;7>52;294~"5?00i56F=6e9j57c=83.9;44>2d98k4e2290/>:751b78R71e28qG>:j51zTg6?4|,8ih6{zut1vn:j50;394?6|,;=26o6k?0;6)<89;3`2>"6j<0:m6*>c982e>=zjh<1<7<50;2x 71>2k30D?8k;h31a?6=,;=26<51;294~"5?00:o;5G27f8m4e1290/>:751b48 4d228=0(7>50z&13<h54o0a6>5<#:>31=n;4V35a>4}K:>n1=vXk2;0x 4ed28i>7[?=7;0x 0bb2o1/9ij5f:~yx=zj>=1<7?50;2x 71>28i=7E<9d:k2g3<72-8<57?l6:&2f0<6>2.:o54>6:9~fd5=8381<7>t$35:>g?<@;288n76a>c483>!4013;h96X=7c82I40l3;pZi<52z&2gf<6k<1]=?952z&6``50z&13<<6k?1C>;j4i0a5>5<#:>31=n84$0`6>72<,8i36?:4;|``N5>m1b=?k50;&13<<6:l10c74e23_;9;7c=#=mn1j6sr}|9~ff?=8381<7>t$35:>g?<@;288n76a>c483>!4013;h96X=7c82I40l3;pZi<52z&2gf<6k<1]=?952z&6``7>50z&13<h54o0a6>5<#:>31=n;4H35;?S40j3;p@?9k:0yU`7<5s-;ho7?l5:T262<5s-?oi7l4$4fg>g=zutw0qoll:182>5<7s-8<57?l6:J12a=n9j<1<7*=7882g3=#9k?1>>5+1b:966=c49U62d=9rF9;i4>{Wf1>7}#9ji1=n;4V004>7}#=mo1n6*:de8a?x{zu2winh4?:383>5}#:>31n45G27f8m44b290/>:7513g8?j7d=3:1(?96:0a6?M4002\9;o4>{M04`?7|^m81>v*>cb82g0=Q9;=1>v*:dd8a?!3cl3h0qpsr;|`4f?6=93:16=4+26;95f3<^;=i62.:n84:5:&2g=<2=21vnl<50;094?6|,;=26o74H34g?l75m3:1(?96:00f?>i6k<0;6)<89;3`1>P5?k0:wA<8d;3xRa4=:r.:on4>c49U571=:r.>hh4i;%7g`?`c79K63b69j4$0a;>1b<3thj=7>52;294~"5?00i56F=6e9j57c=83.9;44>2d98k4e2290/>:751b78R71e28qG>:j51zTg6?4|,8ih6{zut1vn<<6:182>5<7s-8<57?l6:J12a=n9j<1<7*=7882g3=#9k?1>55+1b:96==52;294~"5?00i56F=6e9j57c=83.9;44>2d98k4e2290/>:751b78R71e28qG>:j51zTg6?4|,8ih6{zut1vn<5<7s-8<57l6;I05`>o6:l0;6)<89;31a>=h9j?1<7*=7882g0=O:>20Z?9m:0yO62b=9r\o>74e23_;9;7g=#=mn1n6sr}|9~f0bf290:6=4?{%04=?7d>2B9:i5f1b494?"5?00:o;5+1c796d=#9j21>l54}c7gf?6=:3:1N5>m1b=?k50;&13<<6:l10c74e23_;9;7c=#=mn1j6sr}|9~f1dc290:6=4?{%04=?7d>2B9:i5f1b494?"5?00:o;5+1c796a=#9j21>i54}c6aa?6=:3:1N5>m1b=?k50;&13<<6:l10c74e23_;9;7c=#=mn1j6sr}|9~ft$35:>4e13A8=h6g>c783>!4013;h:6*>b4874>"6k10?<65rbc494?4=83:p(?96:c;8L70c3`;9i7>5$35:>44b32e:o84?:%04=?7d=2\9;o4>{M04`?7|^m81>v*>cb82g0=Q9;=1>v*:dd8e?!3cl3l0qpsr;|`b4?6=93:16=4+26;95f3<^;=i65<6290;w)<89;3`2>N5>m1b=n850;&13<<6k?1/=o;5489'5f>=<010qo:i0;296?6=8r.9;44m9:J12a=n9;o1<7*=78826`=6=4+26;95f3<^;=i65<5290;w)<89;`:?M41l2c:>h4?:%04=?75m21d=n;50;&13<<6k<1]>:l51zN13a<6s_n96?u+1ba95f3<^88<6?u+5eg9b>"2lm0m7psr}:a14?=83;1<7>t$35:>4e13A8=h6g>c783>!4013;h:6*>b487f>"6k10?n65rb43b>5<5290;w)<89;`:?M41l2c:>h4?:%04=?75m21d=n;50;&13<<6k<1]>:l51zN13a<6s_n96?u+1ba95f3<^88<6?u+5eg9b>"2lm0m7psr}:a16`=83;1<7>t$35:>4e13A8=h6g>c783>!4013;h:6*>b481g>"6k109o65rb463>5<5290;w)<89;`:?M41l2c:>h4?:%04=?75m21d=n;50;&13<<6k<1]>:l51zN13a<6s_n96?u+1ba95f3<^88<6?u+5eg9b>"2lm0m7psr}:a1d?=83;1<7>t$35:>4e13A8=h6g>c783>!4013;h:6*>b481a>"6k109i65rb4cb>5<5290;w)<89;`:?M41l2c:>h4?:%04=?75m21d=n;50;&13<<6k<1]>:l51zN13a<6s_n96?u+1ba95f3<^88<6?u+5eg9b>"2lm0m7psr}:a`1<7280;6=u+26;95f0<@;28i=7)?m5;04?!7d038<76sm13494?4=83:p(?96:c;8L70c3`;9i7>5$35:>44b32e:o84?:%04=?7d=2\9;o4>{M04`?7|^m81>v*>cb82g0=Q9;=1>v*:dd8e?!3cl3l0qpsr;|`67f<7280;6=u+26;95f0<@;28i=7)?m5;7;?!7d03?376sm52f94?4=83:p(?96:c;8L70c3`;9i7>5$35:>44b32e:o84?:%04=?7d=2\9;o4>{M04`?7|^m81>v*>cb82g0=Q9;=1>v*:dd8e?!3cl3l0qpsr;|`6`2<7280;6=u+26;95f0<@;28i=7)?m5;6b?!7d03>j76sm5e:94?4=83:p(?96:c;8L70c3`;9i7>5$35:>44b32e:o84?:%04=?7d=2\9;o4>{M04`?7|^m81>v*>cb82g0=Q9;=1>v*:dd8e?!3cl3l0qpsr;|`;3?6=93:16=4+26;95f3<^;=i62.:n84<3:&2g=<4;21vno;50;094?6|,;=26o74H34g?l75m3:1(?96:00f?>i6k<0;6)<89;3`1>P5?k0:wA<8d;3xRa4=:r.:on4>c49U571=:r.>hh4i;%7g`?`c79K63b68l4$0a;>0d<3thi<7>52;294~"5?00i56F=6e9j57c=83.9;44>2d98k4e2290/>:751b78R71e28qG>:j51zTg6?4|,8ih6{zut1vn4:50;394?6|,;=26o6k?0;6)<89;3`2>"6j<0>o6*>c986g>=zjk;1<7<50;2x 71>2k30D?8k;h31a?6=,;=26<51;294~"5?00:o;5G27f8m4e1290/>:751b48 4d227>50z&13<h54o0a6>5<#:>31=n;4V35a>4}K:>n1=vXk2;0x 4ed28i>7[?=7;0x 0bb2o1/9ij5f:~yx=zj021<7?50;2x 71>28i=7E<9d:k2g3<72-8<57?l6:&2f0<592.:o54=1:9~fg5=8381<7>t$35:>g?<@;288n76a>c483>!4013;h96X=7c82I40l3;pZi<52z&2gf<6k<1]=?952z&6``i3:1=7>50z&13<<6k?1C>;j4i0a5>5<#:>31=n84$0`6>7d<,8i36?l4;|`a0?6=:3:1N5>m1b=?k50;&13<<6:l10c74e23_;9;7c=#=mn1j6sr}|9~f0dc290:6=4?{%04=?7d>2B9:i5`1b494?"5?00:o;5+1c790==#9j218554}c7ag?6=;3:1744b3_;9;7a=#=mn1h6sr}|9jgc<72-8<57mi;W04f?7|D;=o6h1=vB=7e82Sb52;q/=nm51b78R4402;q/9ik56:&6`a<13twvq6sm4b294?7=83:p(?96:0a5?M41l2e:o;4?:%04=?7d>2.:n84;f:&2g=<3n21vn9li:180>5<7s-8<57<9b:J12a=n9;o1<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm513g8R4402;q/9ik5d:&6`a2jl0Z?9m:0yO62b=9r\o>7f`<^88<6?u+5eg92>"2lm0=7psr}:m2g0<72-8<57?l5:T13g<6sE88?4?:083>5}#:>31=n84H34g?j7d>3:1(?96:0a5?!7e=3>h7)?l8;6`?>{e==;1<7=50;2x 71>2;h5Y13596~"2ll0o7);kd;f8yx{z3`im6=4+26;9gc=Q:>h1=vB=7e82Sb52;q/=nm5cg9U571=:r.>hh49;%7g`?028i>7[<8b;3xH71c28q]h?4={%3`g?7d=2\:>:4={%7ga?0<,50z&13<<6k?1C>;j4o0a5>5<#:>31=n84$0`6>1c<,8i369k4;|`6eg<72:0;6=u+26;963d<@;288n7[<8b;3xH71c28q]h?4={%3`g?75m2\:>:4={%7ga?b<,2681!3cm3<0(8jk:79~yx{6=4+26;95f3<^;=i62wvqp5rb5ff>5<6290;w)<89;3`2>N5>m1d=n850;&13<<6k?1/=o;5479'5f>=6=4+26;95f3<^;=i65<6290;w)<89;3`2>N5>m1d=n850;&13<<6k?1/=o;5589'5f>==010qo;lb;296?6=8r.9;44m9:J12a=n9;o1<7*=78826`=6=4+26;95f3<^;=i65<6290;w)<89;3`2>N5>m1d=n850;&13<<6k?1/=o;5439'5f>=<;10qo;83;296?6=8r.9;44m9:J12a=n9;o1<7*=78826`=6=4+26;95f3<^;=i65<6290;w)<89;3`2>N5>m1d=n850;&13<<6k?1/=o;5469'5f>=<>10qo:i1;296?6=8r.9;44m9:J12a=n9;o1<7*=78826`=6=4+26;95f3<^;=i65<6290;w)<89;3`2>N5>m1d=n850;&13<<6k?1/=o;5349'5f>=;<10qo?j5;296?6=8r.9;44m9:J12a=n9;o1<7*=78826`=6=4+26;95f3<^;=i65<6290;w)<89;3`2>N5>m1d=n850;&13<<6k?1/=o;53c9'5f>=;k10qo?ka;296?6=8r.9;44m9:J12a=n9;o1<7*=78826`=6=4+26;95f3<^;=i65<6290;w)<89;3`2>N5>m1d=n850;&13<<6k?1/=o;53e9'5f>=;m10qo?kc;296?6=8r.9;44m9:J12a=n9;o1<7*=78826`=6=4+26;95f3<^;=i65<6290;w)<89;3`2>N5>m1d=n850;&13<<6k?1/=o;5389'5f>=;010qo?j1;296?6=8r.9;44m9:J12a=n9;o1<7*=78826`=6=4+26;95f3<^;=i65<6290;w)<89;3`2>N5>m1d=n850;&13<<6k?1/=o;5369'5f>=;>10qo?j3;296?6=8r.9;44m9:J12a=n9;o1<7*=78826`=6=4+26;95f3<^;=i65<6290;w)<89;3`2>N5>m1d=n850;&13<<6k?1/=o;5359'5f>=;=10qo?j7;296?6=8r.9;44m9:J12a=n9;o1<7*=78826`=6=4+26;95f3<^;=i65<6290;w)<89;3`2>N5>m1d=n850;&13<<6k?1/=o;5379'5f>=;?10qo?j9;296?6=8r.9;44m9:J12a=n9;o1<7*=78826`=6=4+26;95f3<^;=i65<6290;w)<89;3`2>N5>m1d=n850;&13<<6k?1/=o;5399'5f>=;110qo?jb;296?6=8r.9;44m9:J12a=n9;o1<7*=78826`=6=4+26;95f3<^;=i65<6290;w)<89;3`2>N5>m1d=n850;&13<<6k?1/=o;53`9'5f>=;h10qo?jd;296?6=8r.9;44m9:J12a=n9;o1<7*=78826`=6=4+26;95f3<^;=i65<6290;w)<89;3`2>N5>m1d=n850;&13<<6k?1/=o;53b9'5f>=;j10qo?jf;296?6=8r.9;44m9:J12a=n9;o1<7*=78826`=6=4+26;95f3<^;=i65<6290;w)<89;3`2>N5>m1d=n850;&13<<6k?1/=o;53d9'5f>=;l10qo?i1;296?6=8r.9;44m9:J12a=n9;o1<7*=78826`=6=4+26;95f3<^;=i65<6290;w)<89;3`2>N5>m1d=n850;&13<<6k?1/=o;5339'5f>=;;10qo;:2;296?6=8r.9;44m9:J12a=n9;o1<7*=78826`=6=4+26;95f3<^;=i65<6290;w)<89;3`2>N5>m1d=n850;&13<<6k?1/=o;52g9'5f>=:o10qo;6b;296?6=8r.9;44m9:J12a=n9;o1<7*=78826`=6=4+26;95f3<^;=i65<6290;w)<89;3`2>N5>m1d=n850;&13<<6k?1/=o;5509'5f>==810qo:nb;296?6=8r.9;44m9:J12a=n9;o1<7*=78826`=6=4+26;95f3<^;=i65<6290;w)<89;3`2>N5>m1d=n850;&13<<6k?1/=o;5309'5f>=;810qo;?2;296?6=8r.9;44m9:J12a=n9;o1<7*=78826`=6=4+26;95f3<^;=i65<6290;w)<89;3`2>N5>m1d=n850;&13<<6k?1/=o;5289'5f>=:010qo;?a;296?6=8r.9;44m9:J12a=n9;o1<7*=78826`=6=4+26;95f3<^;=i65<6290;w)<89;3`2>N5>m1d=n850;&13<<6k?1/=o;5539'5f>==;10qo;>b;296?6=8r.9;44m9:J12a=n9;o1<7*=78826`=6=4+26;95f3<^;=i65<6290;w)<89;3`2>N5>m1 =n850;&13<<6k?1/=o;5569'5f>==>10qo;71;297?6=8r.9;44=6c9K63b!4013im7[<8b;3xH71c28q]h?4={%3`g?ea3_;9;73=#=mn1:6sr}|9l5f3=83.9;44>c49U62d=9rF9;i4>{Wf1>7}#9ji1=n;4V004>7}#=mo1:6*:de85?x{zu2wi=h>50;394?6|,;=26i6k?0;6)<89;3`2>"6j<0?=6*>c9875>=zj8nm6=4=:183!4013h27E<9d:k26`<72-8<57?=e:9l5f3=83.9;44>c49U62d=9rF9;i4>{Wf1>7}#9ji1=n;4V004>7}#=mo1h6*:de8g?x{zu2wi8o=50;394?6|,;=26i6k?0;6)<89;3`2>"6j<0><6*>c9864>=zj=h96=4=:183!4013h27E<9d:k26`<72-8<57?=e:9l5f3=83.9;44>c49U62d=9rF9;i4>{Wf1>7}#9ji1=n;4V004>7}#=mo1h6*:de8g?x{zu2wi8nh50;394?6|,;=26i6k?0;6)<89;3`2>"6j<0>?6*>c9867>=zj=in6=4=:183!4013h27E<9d:k26`<72-8<57?=e:9l5f3=83.9;44>c49U62d=9rF9;i4>{Wf1>7}#9ji1=n;4V004>7}#=mo1h6*:de8g?x{zu2wi9?750;394?6|,;=26i6k?0;6)<89;3`2>"6j<0>:6*>c9862>=zj<836=4=:183!4013h27E<9d:k26`<72-8<57?=e:9l5f3=83.9;44>c49U62d=9rF9;i4>{Wf1>7}#9ji1=n;4V004>7}#=mo1h6*:de8g?x{zu2wi9>=50;394?6|,;=26i6k?0;6)<89;3`2>"6j<0>86*>c9860>=zj<996=4=:183!4013h27E<9d:k26`<72-8<57?=e:9l5f3=83.9;44>c49U62d=9rF9;i4>{Wf1>7}#9ji1=n;4V004>7}#=mo1h6*:de8g?x{zu2wi98o50;394?6|,;=26i6k?0;6)<89;3`2>"6j<0??6*>c9877>=zjc49U62d=9rF9;i4>{Wf1>7}#9ji1=n;4V004>7}#=mo1h6*:de8g?x{zu2wi9;850;394?6|,;=26i6k?0;6)<89;3`2>"6j<0?86*>c9870>=zj<<>6=4=:183!4013h27E<9d:k26`<72-8<57?=e:9l5f3=83.9;44>c49U62d=9rF9;i4>{Wf1>7}#9ji1=n;4V004>7}#=mo1h6*:de8g?x{zu2wi95k50;394?6|,;=26i6k?0;6)<89;3`2>"6j<08<6*>c9804>=zj<2o6=4=:183!4013h27E<9d:k26`<72-8<57?=e:9l5f3=83.9;44>c49U62d=9rF9;i4>{Wf1>7}#9ji1=n;4V004>7}#=mo1h6*:de8g?x{zu2wio=4?:083>5}#:>31=n84H34g?j7d>3:1(?96:0a5?!7e=39m7)?l8;1e?>{ejo0;6?4?:1y'62?=j01C>;j4i00f>5<#:>31=?k4;n3`1?6=,;=262681!3cm3n0(8jk:e9~yx{52;294~"5?00i56F=6e9j57c=83.9;44>2d98k4e2290/>:751b78R71e28qG>:j51zTg6?4|,8ih6{zut1vn8lj:181>5<7s-8<57l6;I05`>o6:l0;6)<89;31a>=h9j?1<7*=7882g0=Q:>h1=vB=7e82Sb52;q/=nm51b78R4402;q/9ik56:&6`a<13twvq6sm5cd94?4=83:p(?96:c;8L70c3`;9i7>5$35:>44b32e:o84?:%04=?7d=2\9;o4>{M04`?7|^m81>v*>cb82g0=Q9;=1>v*:dd85?!3cl3<0qpsr;|`6g4<72;0;6=u+26;9f<=O:?n0e<28i>7[<8b;3xH71c28q]h?4={%3`g?7d=2\:>:4={%7ga?0<,50z&13<<5??1C>;j4i00e>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed288m7[?=7;0x 0bb2?1/9ij56:~yx=n9::1<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm51228R4402;q/9ik5f:&6`ac483>!4013;h96X=7c82I40l3;pZi<52z&2gf<6k<1]=?952z&6``<13-?oh784}|~?xd2k10;6;4?:1y'62?=9;i0D?8k;%37b?733`;9i7>5$35:>44b3_84}Q9k>1>v*>cb826`=Q9;=1>v*:dd85?!3cl3<0qpsr;haa>5<#:>31oo5Y26`95~J5?m0:w[?m4;0x 4ed2jh0Z<<8:3y'1ac=>2.>hi49;|~y>odk3:1(?96:ba8R71e2;qG>:j51zT2f1<5s-;ho7ml;W313?4|,{zuE86}Ql909w);kc;a`?!5fm3?oi6sYd081!3ck3;9i6*6}Ql909w);kc;a`?!5fm3?oi6sYd081!3ck3;9i6*6}Ql909w);kc;a`?!5fm3?oi6sYd081!3ck3ii7)=ne;7ga>{#>?<1;6sY1b`96~Pc838p(8jl:ba8 6gb2{zu2c9:44?:%04=?4112\9;o4={M04`?7|^8h?6?u+1ba963?<^88<6?u+5eg957=#=mn1=?5r}|N13f<6s_8<>7hh4>2:&6`a<6:2wvqA<8c;3xR7152;q]h=4={%7gg?42i2.8mh4:dd9~ 3012880qps4o0a6>5<#:>31=n;4;|`26a<7280;6=u+26;96=6<@;28i>76sm27d94?7=83:p(?96:0a0?M41l2e:o84?:%04=?7d=21vn8m=:180>5<7s-8<57<86:J12a=n9;l1<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm513d8R4402;q/9ik56:&6`a<13twvq6g>3183>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6;91]=?952z&6``<13-?oh784}|~?j7d=3:1(?96:0a6?S40j3;p@?9k:0yU`7<5s-;ho7?l5:T262<5s-?oi784$4fg>3=zutw0qo;l3;295?6=8r.9;44=819K63b6=4+26;95f3<3th>o84?:783>5}#:>31;=5G27f8m44a290/>:7513g8L71?3_84}Ql;09w)?lc;31b>P6:>09w);ke;32?!3cl3;:7psr}:k275<72-8<57?=e:J13==Q:>h1=vB=7e82Sb52;q/=nm51228R4402;q/9ik5109'1ab=981vqps4i01a>5<#:>31=?k4H35;?S40j3;p@?9k:0yU`7<5s-;ho7?;%7g`?763twvq6g>4783>!4013;9i6F=799U62d=9rF9;i4>{Wf1>7}#9ji1=984V004>7}#=mo1=<5+5ef954=zutw0e<:6:18'62?=9;o0D?97;W04f?7|D;=o62681!3cm3;:7);kd;32?x{zu2e:o84?:%04=?7d=2\9;o4>{M04`?7|^m81>v*>cb82g0=Q9;=1>v*:dd85?!3cl3<0qpsr;|`6g3<7210;6=u+26;935=O:?n0e<744a3_;9;747<,;%7g`?763twvq6g>3c83>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6;k1]=?952z&6``<692.>hi4>1:~yx=n9=<1<7*=78826`=O:>20Z?9m:0yO62b=9r\o>74213_;9;747<,{M04`?7|^m81>v*>cb820<=Q9;=1>v*:dd825>"2lm0:=6sr}|9j51g=83.9;44>2d9U62d=9rF9;i4>{Wf1>7}#9ji1=9o4V004>7}#=mo1=<5+5ef954=zutw0e<:m:18'62?=9;o0Z?9m:0yO62b=9r\o>742e3_;9;747<,3=zutw0qo;l4;297?6=8r.9;44>c59K63b2wvqp5f12294?"5?00:>h5Y26`95~J5?m0:w[j=:3y'5fe=9::0Z<<8:3y'1ac=>2.>hi49;|~y>i6k<0;6)<89;3`1>P5?k0:wA<8d;3xRa4=:r.:on4>c49U571=:r.>hh49;%7g`?06<729q/>:75719K63b:4={%7ga??<,:7513g8L71?3_84}Ql;09w)?lc;304>P6:>09w);ke;;8 0bc201vqps4o0a6>5<#:>31=n;4V35a>4}K:>n1=vXk2;0x 4ed28i>7[?=7;0x 0bb2?1/9ij56:~yx=zj=i86=4=:183!4013h27E<9d:k26`<72-8<57?=e:9l5f3=83.9;44>c49U62d=9rF9;i4>{Wf1>7}#9ji1=n;4V004>7}#=mo1:6*:de85?x{zu2wi8n?50;094?6|,;=26o74H34g?l75m3:1(?96:00f?>i6k<0;6)<89;3`1>P5?k0:wA<8d;3xRa4=:r.:on4>c49U571=:r.>hh49;%7g`?07<729q/>:75b89K63b5$35:>4e23_84}Ql;09w)?lc;3`1>P6:>09w);ke;48 0bc2?1vqps4}c6`0?6=:3:1N5>m1b=?k50;&13<<6:l10c74e23_;9;73=#=mn1:6sr}|9~f1ed290=6=4?{%04=?75k2B9:i5+15d951=n9;o1<7*=78826`=Q:>h1=vB=7e82S7e<38p(2wvqp5fcc83>!4013ii7[<8b;3xH71c28q]=o:52z&2gf:4={%7ga?0<,7}#9ji1on5Y13596~"2ll037);kd;:8yx{K:>i18vX=6`80Sb72;q/9im5cb9'7dc==mo0q[j>:3y'1ae=9;o0(>oj:4ff?x"1>?0n7pX=6`80Sb72;q/9im5cb9'7dc==mo0q[j>:3y'1ae=9;o0(>oj:4fg?x"1>?0n7pX=6`80Sb72;q/9im5cb9'7dc==mo0q[j>:3y'1ae=kk1/?lk55eg8y!01>3=0q[?lb;0xRa6=:r.>hn4lc:&0e`<2ll1v(;89:018yx{P6:>09w);ke;31?!3cl3;97psrL35`>4}Q:>81>vXk0;0x 0bd2;<27)=ne;7ga>{#>?<1=?5r}|9j60g=83.9;44=5`9U62d=:rF9;i4>{W3a0?4|,8ih6?;n;W313?4|,44:m51zT137<5s_n;6?u+5ea960g<,:kn68jj;|&523<6:2wvq6a>c483>!4013;h965rb5a6>5<4290;w)<89;042>N5>m1b=?h50;&13<<6:l1]>:l51zN13a<6s_n96?u+1ba957`<^88<6?u+5eg92>"2lm0=7psr}:k275<72-8<57?=e:T13g<6sE85$35:>4e23_84}Ql;09w)?lc;3`1>P6:>09w);ke;48 0bc2?1vqps4}c6`2?6=93:13<729q/>:75719K63b:4={%7ga?763-?oh7?>;|~y>o6;90;6)<89;31a>P5?k0:wA<8d;3xRa4=:r.:on4>319U571=:r.>hh4>1:&6`a<692wvqp5f12`94?"5?00:>h5G26:8R71e28qG>:j51zTg6?4|,8ih6<=m;W313?4|,47288n7E<88:T13g<6sE8{zut1b=9750;&13<<6:l1C>:64V35a>4}K:>n1=vXk2;0x 4ed28>27[?=7;0x 0bb28;0(8jk:038yx{z3f;h97>5$35:>4e23_84}Ql;09w)?lc;3`1>P6:>09w);ke;48 0bc2?1vqps4}c6`=?6=>3:1N5>m1b=?h50;&13<<6:l1C>:64V35a>4}K:>n1=vXk2;0x 4ed288m7[?=7;0x 0bb28;0(8jk:038yx{z3`;8<7>5$35:>44b3A8<46X=7c82I40l3;pZi<52z&2gf<6;91]=?952z&6``<692.>hi4>1:~yx=n9:h1<7*=78826`=O:>20Z?9m:0yO62b=9r\o>745e3_;9;747<,3:1(?96:00f?M4002\9;o4>{M04`?7|^m81>v*>cb8203=Q9;=1>v*:dd825>"2lm0:=6sr}|9j51?=83.9;44>2d9K62><^;=i62681!3cm3<0(8jk:79~yx{hm7>57;294~"5?00<<6F=6e9j57`=83.9;44>2d9K62><^;=i6P5?k0:wA<8d;3xRa4=:r.:on4>319U571=:r.>hh4>1:&6`a<692wvqp5f12`94?"5?00:>h5G26:8R71e28qG>:j51zTg6?4|,8ih6<=m;W313?4|,47288n7E<88:T13g<6sE8{zut1b=9750;&13<<6:l1C>:64V35a>4}K:>n1=vXk2;0x 4ed28>27[?=7;0x 0bb28;0(8jk:038yx{z3`;?m7>5$35:>44b3A8<46X=7c82I40l3;pZi<52z&2gf<6hi4>1:~yx=h9j?1<7*=7882g0=Q:>h1=vB=7e82Sb52;q/=nm51b78R4402;q/9ik56:&6`a<13twvq6sm4b594?2=83:p(?96:0a7?M41l2c:>k4?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb826c=Q9;=1>v*:dd85?!3cl3<0qpsr;h304?6=,;=26<2681!3cm3<0(8jk:79~yx{2wvqp5`1b794?"5?00:o85Y26`95~J5?m0:w[j=:3y'5fe=9j?0Z<<8:3y'1ac=>2.>hi49;|~y>{e2>:0D?8k;h31b?6=,;=26<P5?k0:wA<8d;3xRa4=:r.:on4>2g9U571=:r.>hh46;%7g`??288n7E<88:T13g<6sE85$35:>4e23_84}Ql;09w)?lc;3`1>P6:>09w);ke;48 0bc2?1vqps4}c771?6=:3:1N5>m1b=?k50;&13<<6:l10c74e23_;9;73=#=mn1:6sr}|9~f02429096=4?{%04=?d>3A8=h6g>2d83>!4013;9i65`1b794?"5?00:o85Y26`95~J5?m0:w[j=:3y'5fe=9j?0Z<<8:3y'1ac=>2.>hi49;|~y>{e==>1<7<50;2x 71>2k30D?8k;h31a?6=,;=26<8;4?:383>5}#:>31n45G27f8m44b290/>:7513g8?j7d=3:1(?96:0a6?S40j3;p@?9k:0yU`7<5s-;ho7?l5:T262<5s-?oi784$4fg>3=zutw0qo;:0;292?6=8r.9;44>2b9K63b<,8>m6<:4i00f>5<#:>31=?k4V35a>4}K:>n1=vX>b581!7dk3;9i6X>2681!3cm3<0(8jk:79~yx{P5?k0:wA<8d;3xR4d32;q/=nm5cc9U571=:r.>hh49;%7g`?0:l52zN13a<6s_;i87fe<^88<6?u+5eg9<>"2lm037psrL35`>1}Q:?k1?vXk0;0x 0bd2ji0(>oj:4ff?xPc938p(8jl:00f?!5fm3?oi6s+6749a>{Q:?k1?vXk0;0x 0bd2ji0(>oj:4ff?xPc938p(8jl:00f?!5fm3?oh6s+6749a>{Q:?k1?vXk0;0x 0bd2ji0(>oj:4ff?xPc938p(8jl:b`8 6gb23;87psr;h05=?6=,;=26?86;W04f?4|D;=o66*:de826>{zuE87}Ql909w);kc;05=>"4il0>hh5r$745>44:4={%7ga?753-?oh7?=;|~H71d28q]>:<52zTg4?4|,53;294~"5?009;;5G27f8m44a290/>:7513g8L71?3_84}Ql;09w)?lc;31b>P6:>09w);ke;48 0bc2?1vqps4i013>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed289;7[?=7;0x 0bb2?1/9ij56:~yx=h9j?1<7*=7882g0=Q:>h1=vB=7e82Sb52;q/=nm51b78R4402;q/9ik56:&6`a<13twvq6sm55:94?7=83:p(?96:3:3?M41l2e:o84?:%04=?7d=21vn8:n:180>5<7s-8<579?;I05`>o6:o0;6)<89;31a>P5?k0:wA<8d;3xRa4=:r.:on4>2g9U571=:r.>hh49;%7g`?0288n7[<8b;3xH71c28q]h?4={%3`g?7482\:>:4={%7ga?0<,:751b78R71e28qG>:j51zTg6?4|,8ih6{zut1vn8:m:180>5<7s-8<579?;I05`>o6:o0;6)<89;31a>P5?k0:wA<8d;3xRa4=:r.:on4>2g9U571=:r.>hh4>1:&6`a<692wvqp5f12294?"5?00:>h5Y26`95~J5?m0:w[j=:3y'5fe=9::0Z<<8:3y'1ac=981/9ij5109~yx{6=4+26;95f3<^;=i62wvqp5rb46`>5<4290;w)<89;53?M41l2c:>k4?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb826c=Q9;=1>v*:dd825>"2lm0:=6sr}|9j566=83.9;44>2d9U62d=9rF9;i4>{Wf1>7}#9ji1=>>4V004>7}#=mo1=<5+5ef954=zutw0c74e23_;9;73=#=mn1:6sr}|9~f02c29086=4?{%04=?173A8=h6g>2g83>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6:o1]=?952z&6``<692.>hi4>1:~yx=n9::1<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm51228R4402;q/9ik5109'1ab=981vqps4o0a6>5<#:>31=n;4V35a>4}K:>n1=vXk2;0x 4ed28i>7[?=7;0x 0bb2?1/9ij56:~yx=zj<>n6=4;:183!4013=;7E<9d:k26c<72-8<57?=e:T13g<6sE8k5Y13596~"2ll0:=6*:de825>{zut1b=>>50;&13<<6:l1]>:l51zN13a<6s_n96?u+1ba9566<^88<6?u+5eg954=#=mn1=<5r}|8m45e290/>:7513g8L71?3_84}Ql;09w)?lc;30f>P6:>09w);ke;32?!3cl3;:7psr}:m2g0<72-8<57?l5:T13g<6sE8844?:783>5}#:>31=n:4H34g?l75n3:1(?96:00f?S40j3;p@?9k:0yU`7<5s-;ho7?=f:T262<5s-?oi784$4fg>3=zutw0e<=?:18'62?=9;o0Z?9m:0yO62b=9r\o>74573_;9;73=#=mn1:6sr}|9j56d=83.9;44>2d9U62d=9rF9;i4>{Wf1>7}#9ji1=>l4V004>7}#=mo1:6*:de85?x{zu2c:8;4?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb8203=Q9;=1>v*:dd85?!3cl3<0qpsr;h37=?6=,;=26<2681!3cm3<0(8jk:79~yx{6=4+26;95f3<^;=i62wvqp5rb472>5<4290;w)<89;53?M41l2c:>k4?:%04=?75m2B9;55Y26`95~J5?m0:w[j=:3y'5fe=9;l0Z<<8:3y'1ac=12.>hi46;|~y>o6;90;6)<89;31a>N5?11]>:l51zN13a<6s_n96?u+1ba9566<^88<6?u+5eg9=>"2lm027psr}:m2g0<72-8<57?l5:T13g<6sE8mk4?:383>5}#:>31n45G27f8m44b290/>:7513g8?j7d=3:1(?96:0a6?S40j3;p@?9k:0yU`7<5s-;ho7?l5:T262<5s-?oi784$4fg>3=zutw0qo;nd;296?6=8r.9;44m9:J12a=n9;o1<7*=78826`=6=4+26;95f3<^;=i62wvqp5rb4cf>5<5290;w)<89;`:?M41l2c:>h4?:%04=?75m21d=n;50;&13<<6k<1]>:l51zN13a<6s_n96?u+1ba95f3<^88<6?u+5eg92>"2lm0=7psr}:a1g6=8381<7>t$35:>g?<@;288n76a>c483>!4013;h96X=7c82I40l3;pZi<52z&2gf<6k<1]=?952z&6``<13-?oh784}|~?xd2jh0;6;4?:1y'62?=9;i0D?8k;%37b?733`;9i7>5$35:>44b3_84}Q9k>1>v*>cb826`=Q9;=1>v*:dd85?!3cl3<0qpsr;haa>5<#:>31oo5Y26`95~J5?m0:w[?m4;0x 4ed2jh0Z<<8:3y'1ac=>2.>hi49;|~y>odk3:1(?96:ba8R71e2;qG>:j51zT2f1<5s-;ho7ml;W313?4|,{zuE86}Ql909w);kc;a`?!5fm3?oi6sYd081!3ck3;9i6*6}Ql909w);kc;a`?!5fm3?oi6sYd081!3ck3;9i6*6}Ql909w);kc;a`?!5fm3?oi6sYd081!3ck3ii7)=ne;7ga>{#>?<1;6sY1b`96~Pc838p(8jl:ba8 6gb2{zu2c9:44?:%04=?4112\9;o4={M04`?7|^8h?6?u+1ba963?<^88<6?u+5eg957=#=mn1=?5r}|N13f<6s_8<>7hh4>2:&6`a<6:2wvqA<8c;3xR7152;q]h=4={%7gg?42i2.8mh4:dd9~ 3012880qps4o0a6>5<#:>31=n;4;|`6f4<72:0;6=u+26;9620<@;288n7E<88:T13g<6sE8k5Y13596~"2ll0=7);kd;48yx{z3`;8<7>5$35:>44b3_84}Ql;09w)?lc;304>P6:>09w);ke;48 0bc2?1vqps4o0a6>5<#:>31=n;4V35a>4}K:>n1=vXk2;0x 4ed28i>7[?=7;0x 0bb2?1/9ij56:~yx=zj:183!401383<6F=6e9l5f3=83.9;44>c498yg3e<3:1?7>50z&13<<082B9:i5f13d94?"5?00:>h5Y26`95~J5?m0:w[j=:3y'5fe=9;l0Z<<8:3y'1ac=>2.>hi49;|~y>o6;90;6)<89;31a>P5?k0:wA<8d;3xRa4=:r.:on4>319U571=:r.>hh49;%7g`?028i>7[<8b;3xH71c28q]h?4={%3`g?7d=2\:>:4={%7ga?0<,50z&13<<082B9:i5f13d94?"5?00:>h5Y26`95~J5?m0:w[j=:3y'5fe=9;l0Z<<8:3y'1ac=981/9ij5109~yx{2681!3cm3<0(8jk:79~yx{53;294~"5?00<<6F=6e9j57`=83.9;44>2d9U62d=9rF9;i4>{Wf1>7}#9ji1=?h4V004>7}#=mo1=<5+5ef954=zutw0e<=?:18'62?=9;o0Z?9m:0yO62b=9r\o>74573_;9;747<,3=zutw0qo;m7;297?6=8r.9;4480:J12a=n9;l1<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm513d8R4402;q/9ik5109'1ab=981vqps4i013>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed289;7[?=7;0x 0bb28;0(8jk:038yx{z3f;h97>5$35:>4e23_84}Ql;09w)?lc;3`1>P6:>09w);ke;48 0bc2?1vqps4}c7aN5>m1b=?h50;&13<<6:l1]>:l51zN13a<6s_n96?u+1ba957`<^88<6?u+5eg954=#=mn1=<5r}|8m457290/>:7513g8R71e28qG>:j51zTg6?4|,8ih6<=?;W313?4|,47288n7E<88:T13g<6sE8{zut1d=n;50;&13<<6k<1]>:l51zN13a<6s_n96?u+1ba95f3<^88<6?u+5eg92>"2lm0=7psr}:a1g5=83<1<7>t$35:>4e33A8=h6g>2g83>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6:o1]=?952z&6``<13-?oh784}|~?l7483:1(?96:00f?S40j3;p@?9k:0yU`7<5s-;ho7?<0:T262<5s-?oi784$4fg>3=zutw0e<=m:18'62?=9;o0Z?9m:0yO62b=9r\o>745e3_;9;73=#=mn1:6sr}|9j510=83.9;44>2d9U62d=9rF9;i4>{Wf1>7}#9ji1=984V004>7}#=mo1:6*:de85?x{zu2c:844?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb820<=Q9;=1>v*:dd85?!3cl3<0qpsr;n3`1?6=,;=262681!3cm3<0(8jk:79~yx{53;294~"5?00<<6F=6e9j57`=83.9;44>2d9K62><^;=i6h5G26:8R71e28qG>:j51zTg6?4|,8ih6<=?;W313?4|,{zut1d=n;50;&13<<6k<1]>:l51zN13a<6s_n96?u+1ba95f3<^88<6?u+5eg92>"2lm0=7psr}:a634=8381<7>t$35:>g?<@;288n76a>c483>!4013;h96X=7c82I40l3;pZi<52z&2gf<6k<1]=?952z&6``<13-?oh784}|~?xd5>10;6;4?:1y'62?=9;i0D?8k;%37b?733`;9i7>5$35:>44b3_84}Q9k>1>v*>cb826`=Q9;=1>v*:dd85?!3cl3<0qpsr;haa>5<#:>31oo5Y26`95~J5?m0:w[?m4;0x 4ed2jh0Z<<8:3y'1ac=>2.>hi49;|~y>odk3:1(?96:ba8R71e2;qG>:j51zT2f1<5s-;ho7ml;W313?4|,{zuE86}Ql909w);kc;a`?!5fm3?oi6sYd081!3ck3;9i6*6}Ql909w);kc;a`?!5fm3?oi6sYd081!3ck3;9i6*6}Ql909w);kc;a`?!5fm3?oi6sYd081!3ck3ii7)=ne;7ga>{#>?<1i6sY1b`96~Pc838p(8jl:ba8 6gb2{zu2c9:44?:%04=?4112\9;o4={M04`?7|^8h?6?u+1ba963?<^88<6?u+5eg957=#=mn1=?5r}|N13f<6s_8<>7hh4>2:&6`a<6:2wvqA<8c;3xR7152;q]h=4={%7gg?42i2.8mh4:dd9~ 3012880qps4o0a6>5<#:>31=n;4;|`121<72:0;6=u+26;9620<@;288n7[<8b;3xH71c28q]h?4={%3`g?75n2\:>:4={%7ga?0<,:7513g8R71e28qG>:j51zTg6?4|,8ih6<=?;W313?4|,{zut1d=n;50;&13<<6k<1]>:l51zN13a<6s_n96?u+1ba95f3<^88<6?u+5eg92>"2lm0=7psr}:a633=83;1<7>t$35:>7>73A8=h6a>c483>!4013;h965rb345>5<2290;w)<89;53?M41l2c:>k4?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb826c=Q9;=1>v*:dd825>"2lm0:=6sr}|9j566=83.9;44>2d9K62><^;=i6P5?k0:wA<8d;3xRa4=:r.:on4>3c9U571=:r.>hh4>1:&6`a<692wvqp5f15494?"5?00:>h5Y26`95~J5?m0:w[j=:3y'5fe=9=<0Z<<8:3y'1ac=981/9ij5109~yx{6=4+26;95f3<^;=i62wvqp5rb340>5<4290;w)<89;53?M41l2c:>k4?:%04=?75m2B9;55Y26`95~J5?m0:w[j=:3y'5fe=9;l0Z<<8:3y'1ac=981/9ij5109~yx{2681!3cm3<0(8jk:79~yx{52;294~"5?00i56F=6e9j57c=83.9;44>2d98k4e2290/>:751b78R71e28qG>:j51zTg6?4|,8ih6{zut1vn?oi:185>5<7s-8<57?=c:J12a=#9=l1=95f13g94?"5?00:>h5Y26`95~J5?m0:w[?m4;0x 4ed288n7[?=7;0x 0bb2?1/9ij56:~yx=nkk0;6)<89;aa?S40j3;p@?9k:0yU5g2=:r.:on4lb:T262<5s-?oi784$4fg>3=zutw0enm50;&13<v*:dd8;?!3cl320qpsC26a90~P5>h08w[j?:3y'1ae=kj1/?lk55eg8ySb62;q/9im513g8 6gb2h08w[j?:3y'1ae=kj1/?lk55eg8ySb62;q/9im513g8 6gb2h08w[j?:3y'1ae=kj1/?lk55eg8ySb62;q/9im5cc9'7dc==mo0q)896;58yS7dj38pZi>52z&6`f5<#:>31>;74V35a>7}K:>n1=vX>b581!7dk38=56X>2681!3cm3;97);kd;31?x{zD;=h68o50;&13<<5=h1]>:l52zN13a<6s_;i8773f3_;9;744<,7}#=mi1>8o4$2cf>0bb3t.=:;4>2:~y>i6k<0;6)<89;3`1>=zj;k?6=4<:183!40138<:6F=6e9j57`=83.9;44>2d9U62d=9rF9;i4>{Wf1>7}#9ji1=?h4V004>7}#=mo1:6*:de85?x{zu2c:?=4?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb8275=Q9;=1>v*:dd85?!3cl3<0qpsr;n3`1?6=,;=262681!3cm3<0(8jk:79~yx{51;294~"5?0094=5G27f8k4e2290/>:751b78?xd5i>0;6>4?:1y'62?=?91C>;j4i00e>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed288m7[?=7;0x 0bb2?1/9ij56:~yx=n9::1<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm51228R4402;q/9ik56:&6`a<13twvq6a>c483>!4013;h96X=7c82I40l3;pZi<52z&2gf<6k<1]=?952z&6``<13-?oh784}|~?xd5i10;6>4?:1y'62?=?91C>;j4i00e>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed288m7[?=7;0x 0bb2?1/9ij56:~yx=n9::1<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm51228R4402;q/9ik56:&6`a<13twvq6a>c483>!4013;h96X=7c82I40l3;pZi<52z&2gf<6k<1]=?952z&6``<13-?oh784}|~?xd5i00;6>4?:1y'62?=?91C>;j4i00e>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed288m7[?=7;0x 0bb28;0(8jk:038yx{z3`;8<7>5$35:>44b3A8<46X=7c82I40l3;pZi<52z&2gf<6;91]=?952z&6``<692.>hi4>1:~yx=h9j?1<7*=7882g0=Q:>h1=vB=7e82Sb52;q/=nm51b78R4402;q/9ik56:&6`a<13twvq6sm2`c94?2=83:p(?96:628L70c3`;9j7>5$35:>44b3A8<46X=7c82I40l3;pZi<52z&2gf<6:o1]=?952z&6``<692.>hi4>1:~yx=n9::1<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm51228R4402;q/9ik5109'1ab=981vqps4i01a>5<#:>31=?k4H35;?S40j3;p@?9k:0yU`7<5s-;ho7?;%7g`?763twvq6a>c483>!4013;h96X=7c82I40l3;pZi<52z&2gf<6k<1]=?952z&6``<13-?oh784}|~?xd5ik0;694?:1y'62?=?91C>;j4i00e>5<#:>31=?k4H35;?S40j3;p@?9k:0yU`7<5s-;ho7?=f:T262<5s-?oi7?>;%7g`?763twvq6g>3183>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6;91]=?952z&6``<692.>hi4>1:~yx=n9:h1<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm512`8R4402;q/9ik5109'1ab=981vqps4o0a6>5<#:>31=n;4V35a>4}K:>n1=vXk2;0x 4ed28i>7[?=7;0x 0bb2?1/9ij56:~yx=zj;kh6=4;:183!4013=;7E<9d:k26c<72-8<57?=e:J13==Q:>h1=vB=7e82Sb52;q/=nm513d8R4402;q/9ik5109'1ab=981vqps4i013>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed289;7[?=7;0x 0bb28;0(8jk:038yx{z3`;8n7>5$35:>44b3_84}Ql;09w)?lc;30f>P6:>09w);ke;32?!3cl3;:7psr}:m2g0<72-8<57?l5:T13g<6sE85}#:>31;=5G27f8m44a290/>:7513g8L71?3_84}Ql;09w)?lc;31b>P6:>09w);ke;32?!3cl3;:7psr}:k275<72-8<57?=e:T13g<6sE8{zut1b=>l50;&13<<6:l1]>:l51zN13a<6s_n96?u+1ba956d<^88<6?u+5eg954=#=mn1=<5r}|8k4e2290/>:751b78R71e28qG>:j51zTg6?4|,8ih6{zut1vn?o9:18;>5<7s-8<57?l4:J12a=n9;l1<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm513d8R4402;q/9ik56:&6`a<13twvq6g>3183>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6;91]=?952z&6``<13-?oh784}|~?l74j3:1(?96:00f?S40j3;p@?9k:0yU`7<5s-;ho7?3=zutw0e<:9:18'62?=9;o0Z?9m:0yO62b=9r\o>74213_;9;73=#=mn1:6sr}|9j51?=83.9;44>2d9U62d=9rF9;i4>{Wf1>7}#9ji1=974V004>7}#=mo1:6*:de85?x{zu2c:8l4?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb820d=Q9;=1>v*:dd85?!3cl3<0qpsr;h37f?6=,;=26<2681!3cm3<0(8jk:79~yx{6=4+26;95f3<^;=i62wvqp5rb3a;>5<5290;w)<89;`:?M41l2c:>h4?:%04=?75m21d=n;50;&13<<6k<1]>:l51zN13a<6s_n96?u+1ba95f3<^88<6?u+5eg92>"2lm0=7psr}:a6f`=8381<7>t$35:>g?<@;288n76a>c483>!4013;h96X=7c82I40l3;pZi<52z&2gf<6k<1]=?952z&6``<13-?oh784}|~?xd5l<0;6;4?:1y'62?=9;i0D?8k;%37b?733`;9i7>5$35:>44b3_84}Q9k>1>v*>cb826`=Q9;=1>v*:dd85?!3cl3<0qpsr;haa>5<#:>31oo5Y26`95~J5?m0:w[?m4;0x 4ed2jh0Z<<8:3y'1ac=>2.>hi49;|~y>odk3:1(?96:ba8R71e2;qG>:j51zT2f1<5s-;ho7ml;W313?4|,{zuE86}Ql909w);kc;a`?!5fm3?oi6sYd081!3ck3;9i6*6}Ql909w);kc;a`?!5fm3?oi6sYd081!3ck3;9i6*6}Ql909w);kc;a`?!5fm3?oi6sYd081!3ck3ii7)=ne;7ga>{#>?<1;6sY1b`96~Pc838p(8jl:ba8 6gb2{zu2c9:44?:%04=?4112\9;o4={M04`?7|^8h?6?u+1ba963?<^88<6?u+5eg957=#=mn1=?5r}|N13f<6s_8<>7hh4>2:&6`a<6:2wvqA<8c;3xR7152;q]h=4={%7gg?42i2.8mh4:dd9~ 3012880qps4o0a6>5<#:>31=n;4;|`1g<<72:0;6=u+26;9620<@;288n7E<88:T13g<6sE8k5Y13596~"2ll0=7);kd;48yx{z3`;8<7>5$35:>44b3_84}Ql;09w)?lc;304>P6:>09w);ke;48 0bc2?1vqps4o0a6>5<#:>31=n;4V35a>4}K:>n1=vXk2;0x 4ed28i>7[?=7;0x 0bb2?1/9ij56:~yx=zj;ij6=4>:183!401383<6F=6e9l5f3=83.9;44>c498yg4dk3:1?7>50z&13<<082B9:i5f13d94?"5?00:>h5Y26`95~J5?m0:w[j=:3y'5fe=9;l0Z<<8:3y'1ac=>2.>hi49;|~y>o6;90;6)<89;31a>P5?k0:wA<8d;3xRa4=:r.:on4>319U571=:r.>hh49;%7g`?028i>7[<8b;3xH71c28q]h?4={%3`g?7d=2\:>:4={%7ga?0<,50z&13<<082B9:i5f13d94?"5?00:>h5G26:8R71e28qG>:j51zTg6?4|,8ih6<47288n7E<88:T13g<6sE8{zut1d=n;50;&13<<6k<1]>:l51zN13a<6s_n96?u+1ba95f3<^88<6?u+5eg92>"2lm0=7psr}:a6fc=8391<7>t$35:>26<@;288n7E<88:T13g<6sE8k5Y13596~"2ll0:=6*:de825>{zut1b=>>50;&13<<6:l1C>:64V35a>4}K:>n1=vXk2;0x 4ed289;7[?=7;0x 0bb28;0(8jk:038yx{z3f;h97>5$35:>4e23_84}Ql;09w)?lc;3`1>P6:>09w);ke;48 0bc2?1vqps4}c0`f?6=<3:1744a3_;9;73=#=mn1:6sr}|9j566=83.9;44>2d9U62d=9rF9;i4>{Wf1>7}#9ji1=>>4V004>7}#=mo1:6*:de85?x{zu2c:?o4?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb827g=Q9;=1>v*:dd85?!3cl3<0qpsr;n3`1?6=,;=262681!3cm3<0(8jk:79~yx{54;294~"5?00<<6F=6e9j57`=83.9;44>2d9U62d=9rF9;i4>{Wf1>7}#9ji1=?h4V004>7}#=mo1o6*:de8`?x{zu2c:?=4?:%04=?75m2B9;55Y26`95~J5?m0:w[j=:3y'5fe=9::0Z<<8:3y'1ac=k2.>hi4l;|~y>o6;k0;6)<89;31a>N5?11]>:l51zN13a<6s_n96?u+1ba956d<^88<6?u+5eg957=#=mn1=?5r}|8k4e2290/>:751b78R71e28qG>:j51zTg6?4|,8ih6{zut1vn?j>:186>5<7s-8<579?;I05`>o6:o0;6)<89;31a>P5?k0:wA<8d;3xRa4=:r.:on4>2g9U571=:r.>hh4l;%7g`?e288n7E<88:T13g<6sE85$35:>44b3_84}Ql;09w)?lc;30f>P6:>09w);ke;a8 0bc2j1vqps4i065>5<#:>31=?k4H35;?S40j3;p@?9k:0yU`7<5s-;ho7?;6:T262<5s-?oi7?=;%7g`?753twvq6a>c483>!4013;h96X=7c82I40l3;pZi<52z&2gf<6k<1]=?952z&6``<13-?oh784}|~?xd5l;0;6;4?:1y'62?=?91C>;j4i00e>5<#:>31=?k4H35;?S40j3;p@?9k:0yU`7<5s-;ho7?=f:T262<5s-?oi7?=;%7g`?753twvq6g>3183>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6;91]=?952z&6``<6:2.>hi4>2:~yx=n9:h1<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm512`8R4402;q/9ik5139'1ab=9;1vqps4i065>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed28>=7[?=7;0x 0bb2880(8jk:008yx{z3`;?57>5$35:>44b3_84}Ql;09w)?lc;37=>P6:>09w);ke;31?!3cl3;97psr}:m2g0<72-8<57?l5:T13g<6sE84?:583>5}#:>31=n:4H34g?l75n3:1(?96:00f?S40j3;p@?9k:0yU`7<5s-;ho7?=f:T262<5s-?oi784$4fg>3=zutw0e<=?:18'62?=9;o0Z?9m:0yO62b=9r\o>74573_;9;73=#=mn1:6sr}|9j56d=83.9;44>2d9U62d=9rF9;i4>{Wf1>7}#9ji1=>l4V004>7}#=mo1:6*:de85?x{zu2e:o84?:%04=?7d=2\9;o4>{M04`?7|^m81>v*>cb82g0=Q9;=1>v*:dd85?!3cl3<0qpsr;|`006<72;0;6=u+26;9f<=O:?n0e<28i>7[<8b;3xH71c28q]h?4={%3`g?7d=2\:>:4={%7ga?0<,50z&13<<5??1C>;j4i00e>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed288m7[?=7;0x 0bb2?1/9ij56:~yx=n9::1<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm51228R4402;q/9ik5f:&6`ac483>!4013;h96X=7c82I40l3;pZi<52z&2gf<6k<1]=?952z&6``<13-?oh784}|~?xd4<00;6;4?:1y'62?=9;i0D?8k;%37b?733`;9i7>5$35:>44b3_84}Q9k>1>v*>cb826`=Q9;=1>v*:dd85?!3cl3<0qpsr;haa>5<#:>31oo5Y26`95~J5?m0:w[?m4;0x 4ed2jh0Z<<8:3y'1ac=>2.>hi49;|~y>odk3:1(?96:ba8R71e2;qG>:j51zT2f1<5s-;ho7ml;W313?4|,{zuE86}Ql909w);kc;a`?!5fm3?oi6sYd081!3ck3;9i6*6}Ql909w);kc;a`?!5fm3?oi6sYd081!3ck3;9i6*6}Ql909w);kc;a`?!5fm3?oi6sYd081!3ck3ii7)=ne;7ga>{#>?<1i6sY1b`96~Pc838p(8jl:ba8 6gb2{zu2c9:44?:%04=?4112\9;o4={M04`?7|^8h?6?u+1ba963?<^88<6?u+5eg957=#=mn1=?5r}|N13f<6s_8<>7hh4>2:&6`a<6:2wvqA<8c;3xR7152;q]h=4={%7gg?42i2.8mh4:dd9~ 3012880qps4o0a6>5<#:>31=n;4;|`000<72:0;6=u+26;9620<@;288n7E<88:T13g<6sE8k5Y13596~"2ll0=7);kd;48yx{z3`;8<7>5$35:>44b3_84}Ql;09w)?lc;304>P6:>09w);ke;48 0bc2?1vqps4o0a6>5<#:>31=n;4V35a>4}K:>n1=vXk2;0x 4ed28i>7[?=7;0x 0bb2?1/9ij56:~yx=zj:>=6=4>:183!401383<6F=6e9l5f3=83.9;44>c498yg53?3:197>50z&13<<082B9:i5f13d94?"5?00:>h5G26:8R71e28qG>:j51zTg6?4|,8ih6<47288n7E<88:T13g<6sE8{zut1b=>l50;&13<<6:l1]>:l51zN13a<6s_n96?u+1ba956d<^88<6?u+5eg954=#=mn1=<5r}|8m421290/>:7513g8R71e28qG>:j51zTg6?4|,8ih6<:9;W313?4|,4728i>7[<8b;3xH71c28q]h?4={%3`g?7d=2\:>:4={%7ga?0<,50z&13<<082B9:i5f13d94?"5?00:>h5G26:8R71e28qG>:j51zTg6?4|,8ih6<47288n7[<8b;3xH71c28q]h?4={%3`g?7482\:>:4={%7ga?763-?oh7?>;|~y>i6k<0;6)<89;3`1>P5?k0:wA<8d;3xRa4=:r.:on4>c49U571=:r.>hh49;%7g`?07<729q/>:75b89K63b5$35:>4e23_84}Ql;09w)?lc;3`1>P6:>09w);ke;48 0bc2?1vqps4}c6:0?6=;3:1744a3_;9;73=#=mn1:6sr}|9j566=83.9;44>2d9U62d=9rF9;i4>{Wf1>7}#9ji1=>>4V004>7}#=mo1j6*:de8e?x{zu2e:o84?:%04=?7d=2\9;o4>{M04`?7|^m81>v*>cb82g0=Q9;=1>v*:dd85?!3cl3<0qpsr;|`7=6<72?0;6=u+26;957e<@;2d9U571=:r.>hh49;%7g`?0:l51zN13a<6s_;i87fd<^88<6?u+5eg92>"2lm0=7psr}:k`g?6=,;=26nm4V35a>7}K:>n1=vX>b581!7dk3ih7[?=7;0x 0bb211/9ij58:~yI40k3>pZ?8n:2yU`5<5s-?oo7ml;%1ba?3cm2w]h<4={%7gg?75m2.8mh4:dd9~ 3012l1vZ?8n:2yU`5<5s-?oo7ml;%1ba?3cm2w]h<4={%7gg?75m2.8mh4:de9~ 3012l1vZ?8n:2yU`5<5s-?oo7ml;%1ba?3cm2w]h<4={%7gg?ee3-9ji7;ke:'230=?2w]=nl52zTg4?4|,0bb3t.=:;4>3:~y>o5>00;6)<89;05=>P5?k09wA<8d;3xR4d32;q/=nm527;8R4402;q/9ik5139'1ab=9;1vqpB=7b82S40:38pZi>52z&6`f<5>01/?lk55eg8y!01>3;97psr;h06e?6=,;=26?;n;W04f?4|D;=o66*:de826>{zuE87}Ql909w);kc;06e>"4il0>hh5r$745>446<729q/>:752648L70c3`;9j7>5$35:>44b3_84}Ql;09w)?lc;31b>P6:>09w);ke;48 0bc2?1vqps4i013>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed289;7[?=7;0x 0bb2?1/9ij56:~yx=h9j?1<7*=7882g0=Q:>h1=vB=7e82Sb52;q/=nm51b78R4402;q/9ik56:&6`a<13twvq6sm49;94?7=83:p(?96:3:3?M41l2e:o84?:%04=?7d=21vn96m:180>5<7s-8<579?;I05`>o6:o0;6)<89;31a>P5?k0:wA<8d;3xRa4=:r.:on4>2g9U571=:r.>hh49;%7g`?0288n7[<8b;3xH71c28q]h?4={%3`g?7482\:>:4={%7ga?0<,:751b78R71e28qG>:j51zTg6?4|,8ih6{zut1vn96l:180>5<7s-8<579?;I05`>o6:o0;6)<89;31a>P5?k0:wA<8d;3xRa4=:r.:on4>2g9U571=:r.>hh49;%7g`?0288n7[<8b;3xH71c28q]h?4={%3`g?7482\:>:4={%7ga?0<,:751b78R71e28qG>:j51zTg6?4|,8ih6{zut1vn96k:187>5<7s-8<579?;I05`>o6:o0;6)<89;31a>P5?k0:wA<8d;3xRa4=:r.:on4>2g9U571=:r.>hh4m;%7g`?d288n7[<8b;3xH71c28q]h?4={%3`g?7482\:>:4={%7ga?763-?oh7?>;|~y>o6;k0;6)<89;31a>N5?11]>:l51zN13a<6s_n96?u+1ba956d<^88<6?u+5eg954=#=mn1=<5r}|8k4e2290/>:751b78R71e28qG>:j51zTg6?4|,8ih6{zut1vn96j:184>5<7s-8<579?;I05`>o6:o0;6)<89;31a>P5?k0:wA<8d;3xRa4=:r.:on4>2g9U571=:r.>hh4m;%7g`?d288n7E<88:T13g<6sE85$35:>44b3A8<46X=7c82I40l3;pZi<52z&2gf<6;k1]=?952z&6``<692.>hi4>1:~yx=n9=<1<7*=78826`=O:>20Z?9m:0yO62b=9r\o>74213_;9;747<,{M04`?7|^m81>v*>cb820<=Q9;=1>v*:dd825>"2lm0:=6sr}|9j51g=83.9;44>2d9U62d=9rF9;i4>{Wf1>7}#9ji1=9o4V004>7}#=mo1=<5+5ef954=zutw0c74e23_;9;73=#=mn1:6sr}|9~f1>a290<6=4?{%04=?173A8=h6g>2g83>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6:o1]=?952z&6``{M04`?7|^m81>v*>cb8275=Q9;=1>v*:dd825>"2lm0:=6sr}|9j56d=83.9;44>2d9U62d=9rF9;i4>{Wf1>7}#9ji1=>l4V004>7}#=mo1=<5+5ef954=zutw0e<:9:18'62?=9;o0D?97;W04f?7|D;=o62681!3cm3;:7);kd;32?x{zu2c:844?:%04=?75m2B9;55Y26`95~J5?m0:w[j=:3y'5fe=9=30Z<<8:3y'1ac=981/9ij5109~yx{j6=4+26;957c<^;=i62681!3cm3<0(8jk:79~yx{2<7>58;294~"5?00<<6F=6e9j57`=83.9;44>2d9U62d=9rF9;i4>{Wf1>7}#9ji1=?h4V004>7}#=mo1n6*:de8a?x{zu2c:?=4?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb8275=Q9;=1>v*:dd8a?!3cl3h0qpsr;h30f?6=,;=26<P5?k0:wA<8d;3xRa4=:r.:on4>3c9U571=:r.>hh4m;%7g`?d288n7E<88:T13g<6sE8{zut1b=9750;&13<<6:l1C>:64V35a>4}K:>n1=vXk2;0x 4ed28>27[?=7;0x 0bb28;0(8jk:038yx{z3`;?m7>5$35:>44b3A8<46X=7c82I40l3;pZi<52z&2gf<6hi4>1:~yx=n9=h1<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm515`8R4402;q/9ik5109'1ab=981vqps4o0a6>5<#:>31=n;4V35a>4}K:>n1=vXk2;0x 4ed28i>7[?=7;0x 0bb2?1/9ij56:~yx=zj=3:6=47:183!4013=;7E<9d:k26c<72-8<57?=e:T13g<6sE8k5Y13596~"2ll0i7);kd;`8yx{z3`;8<7>5$35:>44b3_84}Ql;09w)?lc;304>P6:>09w);ke;`8 0bc2k1vqps4i01a>5<#:>31=?k4H35;?S40j3;p@?9k:0yU`7<5s-;ho7?g=zutw0e<:9:18'62?=9;o0D?97;W04f?7|D;=o62681!3cm3;:7);kd;32?x{zu2c:844?:%04=?75m2B9;55Y26`95~J5?m0:w[j=:3y'5fe=9=30Z<<8:3y'1ac=981/9ij5109~yx{j6=4+26;957c<@;=37[<8b;3xH71c28q]h?4={%3`g?73i2\:>:4={%7ga?763-?oh7?>;|~y>o6P5?k0:wA<8d;3xRa4=:r.:on4>4c9U571=:r.>hh4>1:&6`a<692wvqp5`1b794?"5?00:o85Y26`95~J5?m0:w[j=:3y'5fe=9j?0Z<<8:3y'1ac=>2.>hi49;|~y>{e<1k1<7650;2x 71>28i?7E<9d:k26c<72-8<57?=e:T13g<6sE8k5Y13596~"2ll0=7);kd;48yx{z3`;8<7>5$35:>44b3_84}Ql;09w)?lc;304>P6:>09w);ke;48 0bc2?1vqps4i01a>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed289i7[?=7;0x 0bb2?1/9ij56:~yx=n9=<1<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm51548R4402;q/9ik56:&6`a<13twvq6g>4883>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6<01]=?952z&6``<13-?oh784}|~?l73i3:1(?96:00f?S40j3;p@?9k:0yU`7<5s-;ho7?;a:T262<5s-?oi784$4fg>3=zutw0e<:m:18'62?=9;o0Z?9m:0yO62b=9r\o>742e3_;9;73=#=mn1:6sr}|9l5f3=83.9;44>c49U62d=9rF9;i4>{Wf1>7}#9ji1=n;4V004>7}#=mo1:6*:de85?x{zu2wi=8m50;094?6|,;=26o74H34g?l75m3:1(?96:00f?>i6k<0;6)<89;3`1>P5?k0:wA<8d;3xRa4=:r.:on4>c49U571=:r.>hh49;%7g`?06283>6<729q/>:751b68L70c3`;9j7>5$35:>44b3_84}Ql;09w)?lc;31b>P6:>09w);ke;48 0bc2?1vqps4i013>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed289;7[?=7;0x 0bb2?1/9ij56:~yx=h9j?1<7*=7882g0=Q:>h1=vB=7e82Sb52;q/=nm51b78R4402;q/9ik56:&6`a<13twvq6sm17394?0=83:p(?96:00`?M41l2.:8k4>4:k26`<72-8<57?=e:T13g<6sE87}#9ji1=?k4V004>7}#=mo1:6*:de85?x{zu2chn7>5$35:>fd<^;=i62wvqp5fcb83>!4013ih7[<8b;0xH71c28q]=o:52z&2gf:4={%7ga?><,v*:db826`=#;ho19ik4}%452?cv*:db826`=#;ho19ij4}%452?cv*:db8`f>"4il0>hh5r$745>2=z^8ii6?uYd181!3ck3ih7)=ne;7ga>{#>?<1=>5r}|9j63?=83.9;44=689U62d=:rF9;i4>{W3a0?4|,8ih6?86;W313?4|,44:m51zT137<5s_n;6?u+5ea963?<,:kn68jj;|&523<6:2wvq6g=5`83>!40138>m6X=7c81I40l3;pZhn4=5`9'7dc==mo0q)896;31?x{z3f;h97>5$35:>4e232wi=8j50;194?6|,;=26?99;I05`>o6:o0;6)<89;31a>P5?k0:wA<8d;3xRa4=:r.:on4>2g9U571=:r.>hh49;%7g`?0288n7[<8b;3xH71c28q]h?4={%3`g?7482\:>:4={%7ga?0<,:751b78R71e28qG>:j51zTg6?4|,8ih6{zut1vn<;j:182>5<7s-8<57<70:J12a=h9j?1<7*=7882g0=j7>53;294~"5?00<<6F=6e9j57`=83.9;44>2d9K62><^;=i6h5G26:8R71e28qG>:j51zTg6?4|,8ih6<=?;W313?4|,{zut1d=n;50;&13<<6k<1]>:l51zN13a<6s_n96?u+1ba95f3<^88<6?u+5eg92>"2lm0=7psr}:a534=8391<7>t$35:>26<@;288n7[<8b;3xH71c28q]h?4={%3`g?75n2\:>:4={%7ga?763-?oh7?>;|~y>o6;90;6)<89;31a>P5?k0:wA<8d;3xRa4=:r.:on4>319U571=:r.>hh4>1:&6`a<692wvqp5`1b794?"5?00:o85Y26`95~J5?m0:w[j=:3y'5fe=9j?0Z<<8:3y'1ac=>2.>hi49;|~y>{e9?>1<7<50;2x 71>2k30D?8k;h31a?6=,;=26<5}#:>31n45G27f8m44b290/>:7513g8?j7d=3:1(?96:0a6?S40j3;p@?9k:0yU`7<5s-;ho7?l5:T262<5s-?oi784$4fg>3=zutw0qo?80;297?6=8r.9;44>c59K63b2wvqp5f12294?"5?00:>h5Y26`95~J5?m0:w[j=:3y'5fe=9::0Z<<8:3y'1ac=>2.>hi49;|~y>i6k<0;6)<89;3`1>P5?k0:wA<8d;3xRa4=:r.:on4>c49U571=:r.>hh49;%7g`?06d83>3<729q/>:7513a8L70c3-;?j7?;;h31a?6=,;=26<h5Y13596~"2ll0=7);kd;48yx{z3`ii6=4+26;9gg=Q:>h1=vB=7e82S7e<38p(2ji0Z?9m:3yO62b=9r\:n94={%3`g?ed3_;9;7==#=mn146sr}M04g?2|^;uYd181!3ck3ih7)=ne;7ga>{Ql809w);kc;31a>"4il0>hh5r$745>`=z^;uYd181!3ck3ih7)=ne;7ga>{Ql809w);kc;31a>"4il0>hi5r$745>`=z^;uYd181!3ck3ih7)=ne;7ga>{Ql809w);kc;aa?!5fm3?oi6s+67493>{Q9jh1>vXk0;0x 0bd2ji0(>oj:4ff?x"1>?0:?6sr}:k12<<72-8<57<99:T13g<5sE87}#9ji1>;74V004>7}#=mo1=?5+5ef957=zutF9;n4>{W046?4|^m:1>v*:db812<=#;ho19ik4}%452?753twv7d<:a;29 71>2;?j7[<8b;0xH71c28q]=o:52z&2gf<5=h1]=?952z&6``<6:2.>hi4>2:~yI40k3;pZ?9=:3yU`5<5s-?oo7<:a:&0e`<2ll1v(;89:008yx{6=4+26;95f3<3th::84?:283>5}#:>31>:84H34g?l75n3:1(?96:00f?S40j3;p@?9k:0yU`7<5s-;ho7?=f:T262<5s-?oi784$4fg>3=zutw0e<=?:18'62?=9;o0Z?9m:0yO62b=9r\o>74573_;9;73=#=mn1:6sr}|9l5f3=83.9;44>c49U62d=9rF9;i4>{Wf1>7}#9ji1=n;4V004>7}#=mo1:6*:de85?x{zu2wi=;850;394?6|,;=26?6?;I05`>i6k<0;6)<89;3`1>=zj8<36=4<:183!4013=;7E<9d:k26c<72-8<57?=e:J13==Q:>h1=vB=7e82Sb52;q/=nm513d8R4402;q/9ik5b:&6`a3183>!4013;9i6F=799U62d=9rF9;i4>{Wf1>7}#9ji1=>>4V004>7}#=mo1n6*:de8a?x{zu2e:o84?:%04=?7d=2\9;o4>{M04`?7|^m81>v*>cb82g0=Q9;=1>v*:dd85?!3cl3<0qpsr;|`22<<72:0;6=u+26;935=O:?n0e<2681!3cm3h0(8jk:c9~yx{:4={%7ga?d<,:751b78R71e28qG>:j51zTg6?4|,8ih6{zut1vn<8n:185>5<7s-8<579?;I05`>o6:o0;6)<89;31a>N5?11]>:l51zN13a<6s_n96?u+1ba957`<^88<6?u+5eg954=#=mn1=<5r}|8m457290/>:7513g8L71?3_84}Ql;09w)?lc;304>P6:>09w);ke;32?!3cl3;:7psr}:k27g<72-8<57?=e:J13==Q:>h1=vB=7e82Sb52;q/=nm512`8R4402;q/9ik5109'1ab=981vqps4i065>5<#:>31=?k4H35;?S40j3;p@?9k:0yU`7<5s-;ho7?;6:T262<5s-?oi7?>;%7g`?763twvq6g>4883>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6<01]=?952z&6``<692.>hi4>1:~yx=h9j?1<7*=7882g0=Q:>h1=vB=7e82Sb52;q/=nm51b78R4402;q/9ik56:&6`a<13twvq6sm17594?2=83:p(?96:0a7?M41l2c:>k4?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb826c=Q9;=1>v*:dd85?!3cl3<0qpsr;h304?6=,;=26<2681!3cm3<0(8jk:79~yx{2wvqp5`1b794?"5?00:o85Y26`95~J5?m0:w[j=:3y'5fe=9j?0Z<<8:3y'1ac=>2.>hi49;|~y>{e9?l1<7=50;2x 71>2>:0D?8k;h31b?6=,;=26<2681!3cm3;:7);kd;32?x{zu2c:?=4?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb8275=Q9;=1>v*:dd825>"2lm0:=6sr}|9l5f3=83.9;44>c49U62d=9rF9;i4>{Wf1>7}#9ji1=n;4V004>7}#=mo1:6*:de85?x{zu2wi=;m50;794?6|,;=26:>4H34g?l75n3:1(?96:00f?M4002\9;o4>{M04`?7|^m81>v*>cb826c=Q9;=1>v*:dd826>"2lm0:>6sr}|9j566=83.9;44>2d9U62d=9rF9;i4>{Wf1>7}#9ji1=>>4V004>7}#=mo1=?5+5ef957=zutw0e<=m:18'62?=9;o0Z?9m:0yO62b=9r\o>745e3_;9;744<,3:1(?96:00f?S40j3;p@?9k:0yU`7<5s-;ho7?;6:T262<5s-?oi7?=;%7g`?753twvq6a>c483>!4013;h96X=7c82I40l3;pZi<52z&2gf<6k<1]=?952z&6``<13-?oh784}|~?xd5j90;6?4?:1y'62?=j01C>;j4i00f>5<#:>31=?k4;n3`1?6=,;=262681!3cm3<0(8jk:79~yx{52;294~"5?00i56F=6e9j57c=83.9;44>2d98k4e2290/>:751b78R71e28qG>:j51zTg6?4|,8ih6{zut1vn?lk:180>5<7s-8<57<86:J12a=n9;l1<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm513d8R4402;q/9ik56:&6`a<13twvq6g>3183>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6;91]=?952z&6``3=zutw0qo2b9K63b<,8>m6<:4i00f>5<#:>31=?k4V35a>4}K:>n1=vX>b581!7dk3;9i6X>2681!3cm3<0(8jk:79~yx{P5?k0:wA<8d;3xR4d32;q/=nm5cc9U571=:r.>hh49;%7g`?0:l52zN13a<6s_;i87fe<^88<6?u+5eg9<>"2lm037psrL35`>1}Q:?k1?vXk0;0x 0bd2ji0(>oj:4ff?xPc938p(8jl:00f?!5fm3?oi6s+6749a>{Q:?k1?vXk0;0x 0bd2ji0(>oj:4ff?xPc938p(8jl:00f?!5fm3?oh6s+6749a>{Q:?k1?vXk0;0x 0bd2ji0(>oj:4ff?xPc938p(8jl:b`8 6gb23;87psr;h05=?6=,;=26?86;W04f?4|D;=o66*:de826>{zuE87}Ql909w);kc;05=>"4il0>hh5r$745>44:4={%7ga?753-?oh7?=;|~H71d28q]>:<52zTg4?4|,53;294~"5?009;;5G27f8m44a290/>:7513g8R71e28qG>:j51zTg6?4|,8ih6<{zut1b=>>50;&13<<6:l1]>:l51zN13a<6s_n96?u+1ba9566<^88<6?u+5eg92>"2lm0=7psr}:m2g0<72-8<57?l5:T13g<6sE85}#:>31>5>4H34g?j7d=3:1(?96:0a6?>{e:k>1<7:50;2x 71>2>:0D?8k;h31b?6=,;=26<2681!3cm3;:7);kd;32?x{zu2c:?=4?:%04=?75m2B9;55Y26`95~J5?m0:w[j=:3y'5fe=9::0Z<<8:3y'1ac=981/9ij5109~yx{2681!3cm3<0(8jk:79~yx{51083>5}#:>31;=5G27f8m44a290/>:7513g8L71?3_84}Ql;09w)?lc;31b>P6:>09w);ke;32?!3cl3;:7psr}:k275<72-8<57?=e:T13g<6sE8{zut1b=>l50;&13<<6:l1]>:l51zN13a<6s_n96?u+1ba956d<^88<6?u+5eg954=#=mn1=<5r}|8m421290/>:7513g8R71e28qG>:j51zTg6?4|,8ih6<:9;W313?4|,47288n7E<88:T13g<6sE8{zut1b=9o50;&13<<6:l1]>:l51zN13a<6s_n96?u+1ba951g<^88<6?u+5eg954=#=mn1=<5r}|8m42e290/>:7513g8R71e28qG>:j51zTg6?4|,8ih6<:m;W313?4|,47288n7[<8b;3xH71c28q]h?4={%3`g?73k2\:>:4={%7ga?763-?oh7?>;|~y>o6P5?k0:wA<8d;3xRa4=:r.:on4>4e9U571=:r.>hh4>1:&6`a<692wvqp5f15g94?"5?00:>h5Y26`95~J5?m0:w[j=:3y'5fe=9=o0Z<<8:3y'1ac=981/9ij5109~yx{6X>2681!3cm3;:7);kd;32?x{zu2c:?>4?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb8276=Q9;=1>v*:dd825>"2lm0:=6sr}|9j562=83.9;44>2d9U62d=9rF9;i4>{Wf1>7}#9ji1=>:4V004>7}#=mo1=<5+5ef954=zutw0e<=::18'62?=9;o0Z?9m:0yO62b=9r\o>74523_;9;73=#=mn1:6sr}|9j560=83.9;44>2d9U62d=9rF9;i4>{Wf1>7}#9ji1=>84V004>7}#=mo1:6*:de85?x{zu2e:o84?:%04=?7d=2\9;o4>{M04`?7|^m81>v*>cb82g0=Q9;=1>v*:dd85?!3cl3<0qpsr;|`1f3<728;1<7>t$35:>26<@;288n7E<88:T13g<6sE8k5Y13596~"2ll0:=6*:de825>{zut1b=>>50;&13<<6:l1]>:l51zN13a<6s_n96?u+1ba9566<^88<6?u+5eg954=#=mn1=<5r}|8m45e290/>:7513g8R71e28qG>:j51zTg6?4|,8ih6<=m;W313?4|,47288n7[<8b;3xH71c28q]h?4={%3`g?73>2\:>:4={%7ga?763-?oh7?>;|~y>o6<00;6)<89;31a>P5?k0:wA<8d;3xRa4=:r.:on4>489U571=:r.>hh4>1:&6`a<692wvqp5f15c94?"5?00:>h5Y26`95~J5?m0:w[j=:3y'5fe=9=k0Z<<8:3y'1ac=981/9ij5109~yx{i6=4+26;957c<^;=i62681!3cm3;:7);kd;32?x{zu2c:8i4?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb820a=Q9;=1>v*:dd825>"2lm0:=6sr}|9j51c=83.9;44>2d9U62d=9rF9;i4>{Wf1>7}#9ji1=9k4V004>7}#=mo1=<5+5ef954=zutw0e<=>:18'62?=9;o0Z?9m:0yO62b=9r\o>74563_;9;747<,;%7g`?763twvq6g>3283>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6;:1]=?952z&6``<692.>hi4>1:~yx=n9:>1<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm51268R4402;q/9ik5109'1ab=981vqps4i016>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed289>7[?=7;0x 0bb2?1/9ij56:~yx=n9:<1<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm51248R4402;q/9ik56:&6`a<13twvq6a>c483>!4013;h96X=7c82I40l3;pZi<52z&2gf<6k<1]=?952z&6``<13-?oh784}|~?xd5j:0;694?:1y'62?=9j>0D?8k;h31b?6=,;=26<2681!3cm3<0(8jk:79~yx{2wvqp5f12`94?"5?00:>h5Y26`95~J5?m0:w[j=:3y'5fe=9:h0Z<<8:3y'1ac=>2.>hi49;|~y>i6k<0;6)<89;3`1>P5?k0:wA<8d;3xRa4=:r.:on4>c49U571=:r.>hh49;%7g`?077=83:p(?96:628L70c3`;9j7>5$35:>44b3A8<46X=7c82I40l3;pZi<52z&2gf<6:o1]=?952z&6``{M04`?7|^m81>v*>cb8275=Q9;=1>v*:dd8`?!3cl3i0qpsr;h30f?6=,;=26<2681!3cm3i0(8jk:b9~yx{=6=4+26;957c<^;=i6h5Y26`95~J5?m0:w[j=:3y'5fe=9=30Z<<8:3y'1ac=k2.>hi4l;|~y>o6P5?k0:wA<8d;3xRa4=:r.:on4>4`9U571=:r.>hh4l;%7g`?e288n7[<8b;3xH71c28q]h?4={%3`g?73j2\:>:4={%7ga?e<,:7513g8R71e28qG>:j51zTg6?4|,8ih6<:l;W313?4|,{zut1b=9j50;&13<<6:l1]>:l51zN13a<6s_n96?u+1ba951b<^88<6?u+5eg9g>"2lm0h7psr}:k20`<72-8<57?=e:T13g<6sE85$35:>44b3_84}Ql;09w)?lc;305>P6:>09w);ke;a8 0bc2j1vqps4i011>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed28997[?=7;0x 0bb2j1/9ij5c:~yx=n9:91<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm51218R4402;q/9ik5c:&6`a3583>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6;=1]=?952z&6``{M04`?7|^m81>v*>cb8270=Q9;=1>v*:dd8`?!3cl3i0qpsr;h302?6=,;=26<2681!3cm3i0(8jk:b9~yx{P5?k0:wA<8d;3xRa4=:r.:on4>399U571=:r.>hh4>2:&6`a<6:2wvqp5f12;94?"5?00:>h5Y26`95~J5?m0:w[j=:3y'5fe=9:30Z<<8:3y'1ac=9;1/9ij5139~yx{2wvqp5f12a94?"5?00:>h5Y26`95~J5?m0:w[j=:3y'5fe=9:i0Z<<8:3y'1ac=>2.>hi49;|~y>o6;m0;6)<89;31a>P5?k0:wA<8d;3xRa4=:r.:on4>3e9U571=:r.>hh49;%7g`?0288n7[<8b;3xH71c28q]h?4={%3`g?74m2\:>:4={%7ga?0<,:7513g8R71e28qG>:j51zTg6?4|,8ih6<=i;W313?4|,{zut1b=9>50;&13<<6:l1]>:l51zN13a<6s_n96?u+1ba9516<^88<6?u+5eg92>"2lm0=7psr}:k204<72-8<57?=e:T13g<6sE87>5$35:>44b3_84}Ql;09w)?lc;376>P6:>09w);ke;48 0bc2?1vqps4i060>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed28>87[?=7;0x 0bb2?1/9ij56:~yx=n9=>1<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm51568R4402;q/9ik56:&6`a<13twvq6g>4483>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6<<1]=?952z&6``<13-?oh784}|~?l73?3:1(?96:00f?S40j3;p@?9k:0yU`7<5s-;ho7?;7:T262<5s-?oi784$4fg>3=zutw0e<:7:18'62?=9;o0Z?9m:0yO62b=9r\o>742?3_;9;73=#=mn1:6sr}|9l5f3=83.9;44>c49U62d=9rF9;i4>{Wf1>7}#9ji1=n;4V004>7}#=mo1:6*:de85?x{zu2wi>o750;02>5<7s-8<579?;I05`>o6:o0;6)<89;31a>N5?11]>:l51zN13a<6s_n96?u+1ba957`<^88<6?u+5eg9g>"2lm0h7psr}:k275<72-8<57?=e:J13==Q:>h1=vB=7e82Sb52;q/=nm51228R4402;q/9ik5c:&6`a3c83>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6;k1]=?952z&6``3:1(?96:00f?S40j3;p@?9k:0yU`7<5s-;ho7?;6:T262<5s-?oi7m4$4fg>f=zutw0e<:6:18'62?=9;o0Z?9m:0yO62b=9r\o>742>3_;9;7f=#=mn1o6sr}|9j51g=83.9;44>2d9U62d=9rF9;i4>{Wf1>7}#9ji1=9o4V004>7}#=mo1o6*:de8`?x{zu2c:8o4?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb820g=Q9;=1>v*:dd8`?!3cl3i0qpsr;h37g?6=,;=26<2681!3cm3i0(8jk:b9~yx{o6=4+26;957c<^;=i6h5Y26`95~J5?m0:w[j=:3y'5fe=9=o0Z<<8:3y'1ac=k2.>hi4l;|~y>o6;80;6)<89;31a>N5?11]>:l51zN13a<6s_n96?u+1ba9567<^88<6?u+5eg9g>"2lm0h7psr}:k277<72-8<57?=e:J13==Q:>h1=vB=7e82Sb52;q/=nm51208R4402;q/9ik5c:&6`a3283>!4013;9i6F=799U62d=9rF9;i4>{Wf1>7}#9ji1=>=4V004>7}#=mo1o6*:de8`?x{zu2c:?94?:%04=?75m2B9;55Y26`95~J5?m0:w[j=:3y'5fe=9:>0Z<<8:3y'1ac=k2.>hi4l;|~y>o6;<0;6)<89;31a>N5?11]>:l51zN13a<6s_n96?u+1ba9563<^88<6?u+5eg9g>"2lm0h7psr}:k273<72-8<57?=e:J13==Q:>h1=vB=7e82Sb52;q/=nm51248R4402;q/9ik5c:&6`a3683>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6;>1]=?952z&6``3883>!4013;9i6F=799U62d=9rF9;i4>{Wf1>7}#9ji1=>74V004>7}#=mo1=?5+5ef957=zutw0e<=n:18'62?=9;o0Z?9m:0yO62b=9r\o>745f3_;9;73=#=mn1:6sr}|9j56e=83.9;44>2d9U62d=9rF9;i4>{Wf1>7}#9ji1=>m4V004>7}#=mo1:6*:de85?x{zu2c:?i4?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb827a=Q9;=1>v*:dd85?!3cl3<0qpsr;h30a?6=,;=26<2681!3cm3<0(8jk:79~yx{2wvqp5f15294?"5?00:>h5Y26`95~J5?m0:w[j=:3y'5fe=9=:0Z<<8:3y'1ac=>2.>hi49;|~y>o6<80;6)<89;31a>P5?k0:wA<8d;3xRa4=:r.:on4>409U571=:r.>hh49;%7g`?0288n7[<8b;3xH71c28q]h?4={%3`g?73:2\:>:4={%7ga?0<,:7513g8R71e28qG>:j51zTg6?4|,8ih6<:<;W313?4|,{zut1b=9:50;&13<<6:l1]>:l51zN13a<6s_n96?u+1ba9512<^88<6?u+5eg92>"2lm0=7psr}:k200<72-8<57?=e:T13g<6sE85$35:>44b3_84}Ql;09w)?lc;373>P6:>09w);ke;48 0bc2?1vqps4i06;>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed28>37[?=7;0x 0bb2?1/9ij56:~yx=h9j?1<7*=7882g0=Q:>h1=vB=7e82Sb52;q/=nm51b78R4402;q/9ik56:&6`a<13twvq6sm2cc94?5=83:p(?96:0a7?M41l2c:>k4?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb826c=Q9;=1>v*:dd85?!3cl3<0qpsr;h304?6=,;=26<2681!3cm3<0(8jk:79~yx{6=4+26;95f3<^;=i62wvqp5rb3f5>5<5290;w)<89;`:?M41l2c:>h4?:%04=?75m21d=n;50;&13<<6k<1]>:l51zN13a<6s_n96?u+1ba95f3<^88<6?u+5eg92>"2lm0=7psr}:a6a`=8391<7>t$35:>7113A8=h6g>2g83>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6:o1]=?952z&6``<13-?oh784}|~?l7483:1(?96:00f?S40j3;p@?9k:0yU`7<5s-;ho7?<0:T262<5s-?oi7h4$4fg>c=zutw0c74e23_;9;73=#=mn1:6sr}|9~f7bb290=6=4?{%04=?75k2B9:i5+15d951=n9;o1<7*=78826`=Q:>h1=vB=7e82S7e<38p(2wvqp5fcc83>!4013ii7[<8b;3xH71c28q]=o:52z&2gf:4={%7ga?0<,7}#9ji1on5Y13596~"2ll037);kd;:8yx{K:>i18vX=6`80Sb72;q/9im5cb9'7dc==mo0q[j>:3y'1ae=9;o0(>oj:4ff?x"1>?0n7pX=6`80Sb72;q/9im5cb9'7dc==mo0q[j>:3y'1ae=9;o0(>oj:4fg?x"1>?0n7pX=6`80Sb72;q/9im5cb9'7dc==mo0q[j>:3y'1ae=kk1/?lk55eg8y!01>3=0q[?lb;0xRa6=:r.>hn4lc:&0e`<2ll1v(;89:018yx{P6:>09w);ke;31?!3cl3;97psrL35`>4}Q:>81>vXk0;0x 0bd2;<27)=ne;7ga>{#>?<1=?5r}|9j60g=83.9;44=5`9U62d=:rF9;i4>{W3a0?4|,8ih6?;n;W313?4|,44:m51zT137<5s_n;6?u+5ea960g<,:kn68jj;|&523<6:2wvq6a>c483>!4013;h965rb3f4>5<4290;w)<89;042>N5>m1b=?h50;&13<<6:l1]>:l51zN13a<6s_n96?u+1ba957`<^88<6?u+5eg92>"2lm0=7psr}:k275<72-8<57?=e:T13g<6sE85$35:>4e23_84}Ql;09w)?lc;3`1>P6:>09w);ke;48 0bc2?1vqps4}c0g1<729q/>:75719K63bP5?k0:wA<8d;3xRa4=:r.:on4>319U571=:r.>hh4>1:&6`a<692wvqp5f12`94?"5?00:>h5Y26`95~J5?m0:w[j=:3y'5fe=9:h0Z<<8:3y'1ac=981/9ij5109~yx{6=4+26;95f3<^;=i62wvqp5rb3fa>5<0290;w)<89;53?M41l2c:>k4?:%04=?75m2B9;55Y26`95~J5?m0:w[j=:3y'5fe=9;l0Z<<8:3y'1ac=981/9ij5109~yx{2681!3cm3;:7);kd;32?x{zu2c:8;4?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb8203=Q9;=1>v*:dd825>"2lm0:=6sr}|9j51?=83.9;44>2d9K62><^;=i62681!3cm3;:7);kd;32?x{zu2e:o84?:%04=?7d=2\9;o4>{M04`?7|^m81>v*>cb82g0=Q9;=1>v*:dd85?!3cl3<0qpsr;|`1`f<72>0;6=u+26;935=O:?n0e<2681!3cm3;:7);kd;32?x{zu2c:?=4?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb8275=Q9;=1>v*:dd825>"2lm0:=6sr}|9j56d=83.9;44>2d9U62d=9rF9;i4>{Wf1>7}#9ji1=>l4V004>7}#=mo1=<5+5ef954=zutw0e<:9:18'62?=9;o0Z?9m:0yO62b=9r\o>74213_;9;747<,;%7g`?763twvq6g>4`83>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6hi4>1:~yx=h9j?1<7*=7882g0=Q:>h1=vB=7e82Sb52;q/=nm51b78R4402;q/9ik56:&6`a<13twvq6sm2e;94?2=83:p(?96:0a7?M41l2c:>k4?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb826c=Q9;=1>v*:dd85?!3cl3<0qpsr;h304?6=,;=26<2681!3cm3<0(8jk:79~yx{2wvqp5`1b794?"5?00:o85Y26`95~J5?m0:w[j=:3y'5fe=9j?0Z<<8:3y'1ac=>2.>hi49;|~y>{e:l:1<7<50;2x 71>2k30D?8k;h31a?6=,;=26<5}#:>31>:84H34g?l75n3:1(?96:00f?S40j3;p@?9k:0yU`7<5s-;ho7?=f:T262<5s-?oi784$4fg>3=zutw0e<=?:18'62?=9;o0Z?9m:0yO62b=9r\o>74573_;9;7c=#=mn1j6sr}|9l5f3=83.9;44>c49U62d=9rF9;i4>{Wf1>7}#9ji1=n;4V004>7}#=mo1:6*:de85?x{zu2wi>h650;494?6|,;=26<"62d83>!4013;9i6X=7c82I40l3;pZ2.>hi49;|~y>odj3:1(?96:b`8R71e28qG>:j51zT2f1<5s-;ho7mm;W313?4|,{zut1bon4?:%04=?ed3_84}Q9k>1>v*>cb8`g>P6:>09w);ke;:8 0bc211vqpB=7b87S41i39pZi>52z&6`fhn4>2d9'7dc==mo0q)896;g8yS41i39pZi>52z&6`fhn4>2d9'7dc==mn0q)896;g8yS41i39pZi>52z&6`fhn4lb:&0e`<2ll1v(;89:69~R4ee2;q]h=4={%7gg?ed3-9ji7;ke:'230=9:1vqp5f27;94?"5?009:45Y26`96~J5?m0:w[?m4;0x 4ed2;<27[?=7;0x 0bb2880(8jk:008yx{K:>i1=vX=7381Sb72;q/9im527;8 6gb2{zu2c99l4?:%04=?42i2\9;o4={M04`?7|^8h?6?u+1ba960g<^88<6?u+5eg957=#=mn1=?5r}|N13f<6s_8<>7{e:l;1<7=50;2x 71>2;==7E<9d:k26c<72-8<57?=e:T13g<6sE8k5Y13596~"2ll0=7);kd;48yx{z3`;8<7>5$35:>44b3_84}Ql;09w)?lc;304>P6:>09w);ke;48 0bc2?1vqps4o0a6>5<#:>31=n;4V35a>4}K:>n1=vXk2;0x 4ed28i>7[?=7;0x 0bb2?1/9ij56:~yx=zj;o96=4>:183!401383<6F=6e9l5f3=83.9;44>c498yg4b<3:187>50z&13<<082B9:i5f13d94?"5?00:>h5Y26`95~J5?m0:w[j=:3y'5fe=9;l0Z<<8:3y'1ac=981/9ij5109~yx{:4={%7ga?763-?oh7?>;|~y>o6;k0;6)<89;31a>P5?k0:wA<8d;3xRa4=:r.:on4>3c9U571=:r.>hh4>1:&6`a<692wvqp5`1b794?"5?00:o85Y26`95~J5?m0:w[j=:3y'5fe=9j?0Z<<8:3y'1ac=>2.>hi49;|~y>{e:l?1<7650;2x 71>2>:0D?8k;h31b?6=,;=26<P5?k0:wA<8d;3xRa4=:r.:on4>2g9U571=:r.>hh4>1:&6`a<692wvqp5f12294?"5?00:>h5Y26`95~J5?m0:w[j=:3y'5fe=9::0Z<<8:3y'1ac=981/9ij5109~yx{2681!3cm3;:7);kd;32?x{zu2c:844?:%04=?75m2B9;55Y26`95~J5?m0:w[j=:3y'5fe=9=30Z<<8:3y'1ac=981/9ij5109~yx{j6=4+26;957c<^;=i62681!3cm3;:7);kd;32?x{zu2e:o84?:%04=?7d=2\9;o4>{M04`?7|^m81>v*>cb82g0=Q9;=1>v*:dd85?!3cl3<0qpsr;|`1a3<7210;6=u+26;935=O:?n0e<2681!3cm3;:7);kd;32?x{zu2c:?=4?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb8275=Q9;=1>v*:dd825>"2lm0:=6sr}|9j56d=83.9;44>2d9U62d=9rF9;i4>{Wf1>7}#9ji1=>l4V004>7}#=mo1=<5+5ef954=zutw0e<:9:18'62?=9;o0Z?9m:0yO62b=9r\o>74213_;9;747<,;%7g`?763twvq6g>4`83>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6hi4>1:~yx=n9=h1<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm515`8R4402;q/9ik5109'1ab=981vqps4o0a6>5<#:>31=n;4V35a>4}K:>n1=vXk2;0x 4ed28i>7[?=7;0x 0bb2?1/9ij56:~yx=zj;o86=4;:183!4013;h86F=6e9j57`=83.9;44>2d9U62d=9rF9;i4>{Wf1>7}#9ji1=?h4V004>7}#=mo1:6*:de85?x{zu2c:?=4?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb8275=Q9;=1>v*:dd85?!3cl3<0qpsr;h30f?6=,;=26<2681!3cm3<0(8jk:79~yx{6=4+26;95f3<^;=i62wvqp5rb3gb>5<5290;w)<89;`:?M41l2c:>h4?:%04=?75m21d=n;50;&13<<6k<1]>:l51zN13a<6s_n96?u+1ba95f3<^88<6?u+5eg92>"2lm0=7psr}:a6c5=8391<7>t$35:>7113A8=h6g>2g83>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6:o1]=?952z&6``<13-?oh784}|~?l7483:1(?96:00f?S40j3;p@?9k:0yU`7<5s-;ho7?<0:T262<5s-?oi7h4$4fg>c=zutw0c74e23_;9;73=#=mn1:6sr}|9~f7`5290=6=4?{%04=?75k2B9:i5+15d951=n9;o1<7*=78826`=Q:>h1=vB=7e82S7e<38p(2wvqp5fcc83>!4013ii7[<8b;3xH71c28q]=o:52z&2gf:4={%7ga?0<,7}#9ji1on5Y13596~"2ll037);kd;:8yx{K:>i18vX=6`80Sb72;q/9im5cb9'7dc==mo0q[j>:3y'1ae=9;o0(>oj:4ff?x"1>?0n7pX=6`80Sb72;q/9im5cb9'7dc==mo0q[j>:3y'1ae=9;o0(>oj:4fg?x"1>?0n7pX=6`80Sb72;q/9im5cb9'7dc==mo0q[j>:3y'1ae=kk1/?lk55eg8y!01>3=0q[?lb;0xRa6=:r.>hn4lc:&0e`<2ll1v(;89:018yx{P6:>09w);ke;31?!3cl3;97psrL35`>4}Q:>81>vXk0;0x 0bd2;<27)=ne;7ga>{#>?<1=?5r}|9j60g=83.9;44=5`9U62d=:rF9;i4>{W3a0?4|,8ih6?;n;W313?4|,44:m51zT137<5s_n;6?u+5ea960g<,:kn68jj;|&523<6:2wvq6a>c483>!4013;h965rb3ga>5<4290;w)<89;042>N5>m1b=?h50;&13<<6:l1]>:l51zN13a<6s_n96?u+1ba957`<^88<6?u+5eg92>"2lm0=7psr}:k275<72-8<57?=e:T13g<6sE85$35:>4e23_84}Ql;09w)?lc;3`1>P6:>09w);ke;48 0bc2?1vqps4}c0fg?6=93:11<729q/>:75719K63bP5?k0:wA<8d;3xRa4=:r.:on4>319U571=:r.>hh4>1:&6`a<692wvqp5f12`94?"5?00:>h5Y26`95~J5?m0:w[j=:3y'5fe=9:h0Z<<8:3y'1ac=981/9ij5109~yx{6=4+26;95f3<^;=i62wvqp5rb3ge>5<>290;w)<89;53?M41l2c:>k4?:%04=?75m2B9;55Y26`95~J5?m0:w[j=:3y'5fe=9;l0Z<<8:3y'1ac=981/9ij5109~yx{2681!3cm3;:7);kd;32?x{zu2c:8;4?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb8203=Q9;=1>v*:dd825>"2lm0:=6sr}|9j51?=83.9;44>2d9K62><^;=i62681!3cm3;:7);kd;32?x{zu2c:8o4?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb820g=Q9;=1>v*:dd825>"2lm0:=6sr}|9j51e=83.9;44>2d9U62d=9rF9;i4>{Wf1>7}#9ji1=9m4V004>7}#=mo1=<5+5ef954=zutw0c74e23_;9;73=#=mn1:6sr}|9~f7`729026=4?{%04=?173A8=h6g>2g83>!4013;9i6F=799U62d=9rF9;i4>{Wf1>7}#9ji1=?h4V004>7}#=mo1=<5+5ef954=zutw0e<=?:18'62?=9;o0Z?9m:0yO62b=9r\o>74573_;9;747<,;%7g`?763twvq6g>4783>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6hi4>1:~yx=n9=31<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm515;8R4402;q/9ik5109'1ab=981vqps4i06b>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed28>j7[?=7;0x 0bb28;0(8jk:038yx{z3`;?n7>5$35:>44b3_84}Ql;09w)?lc;37f>P6:>09w);ke;32?!3cl3;:7psr}:k20f<72-8<57?=e:T13g<6sE8{zut1d=n;50;&13<<6k<1]>:l51zN13a<6s_n96?u+1ba95f3<^88<6?u+5eg92>"2lm0=7psr}:a6`b=83>1<7>t$35:>4e33A8=h6g>2g83>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6:o1]=?952z&6``<13-?oh784}|~?l7483:1(?96:00f?S40j3;p@?9k:0yU`7<5s-;ho7?<0:T262<5s-?oi784$4fg>3=zutw0e<=m:18'62?=9;o0Z?9m:0yO62b=9r\o>745e3_;9;73=#=mn1:6sr}|9l5f3=83.9;44>c49U62d=9rF9;i4>{Wf1>7}#9ji1=n;4V004>7}#=mo1:6*:de85?x{zu2wi>k:50;094?6|,;=26o74H34g?l75m3:1(?96:00f?>i6k<0;6)<89;3`1>P5?k0:wA<8d;3xRa4=:r.:on4>c49U571=:r.>hh49;%7g`?06<729q/>:752648L70c3`;9j7>5$35:>44b3_84}Ql;09w)?lc;31b>P6:>09w);ke;48 0bc2?1vqps4i013>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed289;7[?=7;0x 0bb2o1/9ij5f:~yx=h9j?1<7*=7882g0=Q:>h1=vB=7e82Sb52;q/=nm51b78R4402;q/9ik56:&6`a<13twvq6sm2ga94?0=83:p(?96:00`?M41l2.:8k4>4:k26`<72-8<57?=e:T13g<6sE87}#9ji1=?k4V004>7}#=mo1:6*:de85?x{zu2chn7>5$35:>fd<^;=i62wvqp5fcb83>!4013ih7[<8b;0xH71c28q]=o:52z&2gf:4={%7ga?><,v*:db826`=#;ho19ik4}%452?cv*:db826`=#;ho19ij4}%452?cv*:db8`f>"4il0>hh5r$745>2=z^8ii6?uYd181!3ck3ih7)=ne;7ga>{#>?<1=>5r}|9j63?=83.9;44=689U62d=:rF9;i4>{W3a0?4|,8ih6?86;W313?4|,44:m51zT137<5s_n;6?u+5ea963?<,:kn68jj;|&523<6:2wvq6g=5`83>!40138>m6X=7c81I40l3;pZhn4=5`9'7dc==mo0q)896;31?x{z3f;h97>5$35:>4e232wi>k;50;194?6|,;=26?99;I05`>o6:o0;6)<89;31a>P5?k0:wA<8d;3xRa4=:r.:on4>2g9U571=:r.>hh49;%7g`?0288n7[<8b;3xH71c28q]h?4={%3`g?7482\:>:4={%7ga?0<,:751b78R71e28qG>:j51zTg6?4|,8ih6{zut1vn?h9:182>5<7s-8<57<70:J12a=h9j?1<7*=7882g0=54;294~"5?00<<6F=6e9j57`=83.9;44>2d9U62d=9rF9;i4>{Wf1>7}#9ji1=?h4V004>7}#=mo1=<5+5ef954=zutw0e<=?:18'62?=9;o0D?97;W04f?7|D;=o62681!3cm3;:7);kd;32?x{zu2c:?o4?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb827g=Q9;=1>v*:dd825>"2lm0:=6sr}|9l5f3=83.9;44>c49U62d=9rF9;i4>{Wf1>7}#9ji1=n;4V004>7}#=mo1:6*:de85?x{zu2wi>k750;32>5<7s-8<579?;I05`>o6:o0;6)<89;31a>N5?11]>:l51zN13a<6s_n96?u+1ba957`<^88<6?u+5eg954=#=mn1=<5r}|8m457290/>:7513g8R71e28qG>:j51zTg6?4|,8ih6<=?;W313?4|,47288n7[<8b;3xH71c28q]h?4={%3`g?74j2\:>:4={%7ga?763-?oh7?>;|~y>o6P5?k0:wA<8d;3xRa4=:r.:on4>479U571=:r.>hh4>1:&6`a<692wvqp5f15;94?"5?00:>h5G26:8R71e28qG>:j51zTg6?4|,8ih6<:6;W313?4|,47288n7[<8b;3xH71c28q]h?4={%3`g?73i2\:>:4={%7ga?763-?oh7?>;|~y>o6P5?k0:wA<8d;3xRa4=:r.:on4>4c9U571=:r.>hh4>1:&6`a<692wvqp5f15a94?"5?00:>h5Y26`95~J5?m0:w[j=:3y'5fe=9=i0Z<<8:3y'1ac=981/9ij5109~yx{o6=4+26;957c<^;=i62681!3cm3<0(8jk:79~yx{2wvqp5f12094?"5?00:>h5Y26`95~J5?m0:w[j=:3y'5fe=9:80Z<<8:3y'1ac=>2.>hi49;|~y>o6;:0;6)<89;31a>P5?k0:wA<8d;3xRa4=:r.:on4>329U571=:r.>hh49;%7g`?0288n7[<8b;3xH71c28q]h?4={%3`g?74<2\:>:4={%7ga?0<,:7513g8R71e28qG>:j51zTg6?4|,8ih6<=:;W313?4|,{zut1b=>850;&13<<6:l1]>:l51zN13a<6s_n96?u+1ba9560<^88<6?u+5eg92>"2lm0=7psr}:m2g0<72-8<57?l5:T13g<6sE84H34g?l75n3:1(?96:00f?M4002\9;o4>{M04`?7|^m81>v*>cb826c=Q9;=1>v*:dd825>"2lm0:=6sr}|9j566=83.9;44>2d9U62d=9rF9;i4>{Wf1>7}#9ji1=>>4V004>7}#=mo1=<5+5ef954=zutw0e<=m:18'62?=9;o0Z?9m:0yO62b=9r\o>745e3_;9;747<,3:1(?96:00f?S40j3;p@?9k:0yU`7<5s-;ho7?;6:T262<5s-?oi7?>;%7g`?763twvq6g>4883>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6<01]=?952z&6``<692.>hi4>1:~yx=n9=k1<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm515c8R4402;q/9ik5109'1ab=981vqps4i06a>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed28>i7[?=7;0x 0bb28;0(8jk:038yx{z3`;?o7>5$35:>44b3_84}Ql;09w)?lc;37g>P6:>09w);ke;32?!3cl3;:7psr}:k20a<72-8<57?=e:T13g<6sE8{zut1b=9k50;&13<<6:l1]>:l51zN13a<6s_n96?u+1ba951c<^88<6?u+5eg92>"2lm0=7psr}:k274<72-8<57?=e:T13g<6sE87>5$35:>44b3_84}Ql;09w)?lc;306>P6:>09w);ke;48 0bc2?1vqps4i010>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed28987[?=7;0x 0bb2?1/9ij56:~yx=n9:>1<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm51268R4402;q/9ik56:&6`a<13twvq6g>3483>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6;<1]=?952z&6``<13-?oh784}|~?l74>3:1(?96:00f?S40j3;p@?9k:0yU`7<5s-;ho7?<6:T262<5s-?oi784$4fg>3=zutw0c74e23_;9;73=#=mn1:6sr}|9~f7`0290?6=4?{%04=?7d<2B9:i5f13d94?"5?00:>h5Y26`95~J5?m0:w[j=:3y'5fe=9;l0Z<<8:3y'1ac=>2.>hi49;|~y>o6;90;6)<89;31a>P5?k0:wA<8d;3xRa4=:r.:on4>319U571=:r.>hh49;%7g`?0288n7[<8b;3xH71c28q]h?4={%3`g?74j2\:>:4={%7ga?0<,:751b78R71e28qG>:j51zTg6?4|,8ih6{zut1vn?hj:181>5<7s-8<57l6;I05`>o6:l0;6)<89;31a>=h9j?1<7*=7882g0=Q:>h1=vB=7e82Sb52;q/=nm51b78R4402;q/9ik56:&6`a<13twvq6sm31594?5=83:p(?96:355?M41l2c:>k4?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb826c=Q9;=1>v*:dd85?!3cl3<0qpsr;h304?6=,;=26<2681!3cm3l0(8jk:g9~yx{6=4+26;95f3<^;=i62wvqp5rb225>5<1290;w)<89;31g>N5>m1/=9h5159j57c=83.9;44>2d9U62d=9rF9;i4>{W3a0?4|,8ih6<{zut1boo4?:%04=?ee3_84}Q9k>1>v*>cb8`f>P6:>09w);ke;48 0bc2?1vqps4iba94?"5?00ho6X=7c81I40l3;pZ7}#=mi1on5+3`g91ac7}#=mi1on5+3`g91ac7}#=mi1on5+3`g91ac290/>:7527;8R71e2;qG>:j51zT2f1<5s-;ho7<99:T262<5s-?oi7?=;%7g`?753twv@?9l:0yU624=:r\o<770>3-9ji7;ke:'230=9;1vqp5f24c94?"5?0099l5Y26`96~J5?m0:w[?m4;0x 4ed2;?j7[?=7;0x 0bb2880(8jk:008yx{K:>i1=vX=7381Sb72;q/9im524c8 6gb2{zu2e:o84?:%04=?7d=21vn?hi:180>5<7s-8<57<86:J12a=n9;l1<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm513d8R4402;q/9ik56:&6`a<13twvq6g>3183>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6;91]=?952z&6``<13-?oh784}|~?j7d=3:1(?96:0a6?S40j3;p@?9k:0yU`7<5s-;ho7?l5:T262<5s-?oi784$4fg>3=zutw0qo=?0;295?6=8r.9;44=819K63b6=4+26;95f3<3th85}#:>31;=5G27f8m44a290/>:7513g8R71e28qG>:j51zTg6?4|,8ih6<47288n7E<88:T13g<6sE8{zut1b=>l50;&13<<6:l1]>:l51zN13a<6s_n96?u+1ba956d<^88<6?u+5eg954=#=mn1=<5r}|8k4e2290/>:751b78R71e28qG>:j51zTg6?4|,8ih6{zut1vn>><:1825?6=8r.9;4480:J12a=n9;l1<7*=78826`=O:>20Z?9m:0yO62b=9r\o>744a3_;9;747<,;%7g`?763twvq6g>3c83>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6;k1]=?952z&6``<692.>hi4>1:~yx=n9=<1<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm51548R4402;q/9ik5109'1ab=981vqps4i06:>5<#:>31=?k4H35;?S40j3;p@?9k:0yU`7<5s-;ho7?;9:T262<5s-?oi7?>;%7g`?763twvq6g>4`83>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6hi4>1:~yx=n9=h1<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm515`8R4402;q/9ik5109'1ab=981vqps4i06`>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed28>h7[?=7;0x 0bb28;0(8jk:038yx{z3`;?h7>5$35:>44b3_84}Ql;09w)?lc;37`>P6:>09w);ke;32?!3cl3;:7psr}:k20`<72-8<57?=e:T13g<6sE8{zut1b=>?50;&13<<6:l1]>:l51zN13a<6s_n96?u+1ba9567<^88<6?u+5eg92>"2lm0=7psr}:k277<72-8<57?=e:T13g<6sE85$35:>44b3_84}Ql;09w)?lc;307>P6:>09w);ke;48 0bc2?1vqps4i017>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed289?7[?=7;0x 0bb2?1/9ij56:~yx=n9:?1<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm51278R4402;q/9ik56:&6`a<13twvq6g>3783>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6;?1]=?952z&6``<13-?oh784}|~?j7d=3:1(?96:0a6?S40j3;p@?9k:0yU`7<5s-;ho7?l5:T262<5s-?oi784$4fg>3=zutw0qo=?4;2954<729q/>:75719K63b:4={%7ga?763-?oh7?>;|~y>o6;90;6)<89;31a>P5?k0:wA<8d;3xRa4=:r.:on4>319U571=:r.>hh4>1:&6`a<692wvqp5f12`94?"5?00:>h5Y26`95~J5?m0:w[j=:3y'5fe=9:h0Z<<8:3y'1ac=981/9ij5109~yx{=6=4+26;957c<^;=i62681!3cm3;:7);kd;32?x{zu2c:8l4?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb820d=Q9;=1>v*:dd825>"2lm0:=6sr}|9j51d=83.9;44>2d9U62d=9rF9;i4>{Wf1>7}#9ji1=9l4V004>7}#=mo1=<5+5ef954=zutw0e<:l:18'62?=9;o0Z?9m:0yO62b=9r\o>742d3_;9;747<,;%7g`?763twvq6g>4d83>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6hi4>1:~yx=n9:;1<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm51238R4402;q/9ik56:&6`a<13twvq6g>3383>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6;;1]=?952z&6``<13-?oh784}|~?l74;3:1(?96:00f?S40j3;p@?9k:0yU`7<5s-;ho7?<3:T262<5s-?oi784$4fg>3=zutw0e<=;:18'62?=9;o0Z?9m:0yO62b=9r\o>74533_;9;73=#=mn1:6sr}|9j563=83.9;44>2d9U62d=9rF9;i4>{Wf1>7}#9ji1=>;4V004>7}#=mo1:6*:de85?x{zu2c:?;4?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb8273=Q9;=1>v*:dd85?!3cl3<0qpsr;n3`1?6=,;=262681!3cm3<0(8jk:79~yx{54;294~"5?00:o95G27f8m44a290/>:7513g8R71e28qG>:j51zTg6?4|,8ih6<{zut1b=>>50;&13<<6:l1]>:l51zN13a<6s_n96?u+1ba9566<^88<6?u+5eg92>"2lm0=7psr}:k27g<72-8<57?=e:T13g<6sE85$35:>4e23_84}Ql;09w)?lc;3`1>P6:>09w);ke;48 0bc2?1vqps4}c13N5>m1b=?k50;&13<<6:l10c74e23_;9;73=#=mn1:6sr}|9~f67629086=4?{%04=?40>2B9:i5f13d94?"5?00:>h5Y26`95~J5?m0:w[j=:3y'5fe=9;l0Z<<8:3y'1ac=>2.>hi49;|~y>o6;90;6)<89;31a>P5?k0:wA<8d;3xRa4=:r.:on4>319U571=:r.>hh4i;%7g`?`28i>7[<8b;3xH71c28q]h?4={%3`g?7d=2\:>:4={%7ga?0<,50z&13<<6:j1C>;j4$06e>42P6:>09w);ke;48 0bc2?1vqps4ib`94?"5?00hn6X=7c82I40l3;pZ:75cb9U62d=:rF9;i4>{W3a0?4|,8ih6nm4V004>7}#=mo146*:de8;?x{zD;=h69uY27c97~Pc838p(8jl:ba8 6gb2{#>?<1i6sY27c97~Pc838p(8jl:ba8 6gb2{#>?<1i6sY27c97~Pc838p(8jl:ba8 6gb2oj:4ff?x"1>?0<7pX>cc81Sb72;q/9im5cb9'7dc==mo0q)896;30?x{z3`8=57>5$35:>70>3_84}Q9k>1>v*>cb812<=Q9;=1>v*:dd826>"2lm0:>6sr}M04g?7|^;=96?uYd181!3ck38=56*:7524c8R71e2;qG>:j51zT2f1<5s-;ho7<:a:T262<5s-?oi7?=;%7g`?753twv@?9l:0yU624=:r\o<773f3-9ji7;ke:'230=9;1vqp5`1b794?"5?00:o854}c13=?6=;3:1744a3_;9;73=#=mn1:6sr}|9j566=83.9;44>2d9U62d=9rF9;i4>{Wf1>7}#9ji1=>>4V004>7}#=mo1:6*:de85?x{zu2e:o84?:%04=?7d=2\9;o4>{M04`?7|^m81>v*>cb82g0=Q9;=1>v*:dd85?!3cl3<0qpsr;|`04d<7280;6=u+26;96=6<@;28i>76sm31a94?2=83:p(?96:628L70c3`;9j7>5$35:>44b3_84}Ql;09w)?lc;31b>P6:>09w);ke;32?!3cl3;:7psr}:k275<72-8<57?=e:J13==Q:>h1=vB=7e82Sb52;q/=nm51228R4402;q/9ik5109'1ab=981vqps4i01a>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed289i7[?=7;0x 0bb28;0(8jk:038yx{z3f;h97>5$35:>4e23_84}Ql;09w)?lc;3`1>P6:>09w);ke;48 0bc2?1vqps4}c13`?6=980;6=u+26;935=O:?n0e<2681!3cm3;:7);kd;32?x{zu2c:?=4?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb8275=Q9;=1>v*:dd825>"2lm0:=6sr}|9j56d=83.9;44>2d9U62d=9rF9;i4>{Wf1>7}#9ji1=>l4V004>7}#=mo1=<5+5ef954=zutw0e<:9:18'62?=9;o0Z?9m:0yO62b=9r\o>74213_;9;747<,{M04`?7|^m81>v*>cb820<=Q9;=1>v*:dd825>"2lm0:=6sr}|9j51g=83.9;44>2d9U62d=9rF9;i4>{Wf1>7}#9ji1=9o4V004>7}#=mo1=<5+5ef954=zutw0e<:m:18'62?=9;o0Z?9m:0yO62b=9r\o>742e3_;9;747<,;%7g`?763twvq6g>4e83>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6hi4>1:~yx=n9=o1<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm515g8R4402;q/9ik5109'1ab=981vqps4i012>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed289:7[?=7;0x 0bb28;0(8jk:038yx{z3`;8>7>5$35:>44b3_84}Ql;09w)?lc;306>P6:>09w);ke;48 0bc2?1vqps4i010>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed28987[?=7;0x 0bb2?1/9ij56:~yx=n9:>1<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm51268R4402;q/9ik56:&6`a<13twvq6g>3483>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6;<1]=?952z&6``<13-?oh784}|~?l74>3:1(?96:00f?S40j3;p@?9k:0yU`7<5s-;ho7?<6:T262<5s-?oi784$4fg>3=zutw0c74e23_;9;73=#=mn1:6sr}|9~f66b290:=7>50z&13<<082B9:i5f13d94?"5?00:>h5G26:8R71e28qG>:j51zTg6?4|,8ih6<47288n7[<8b;3xH71c28q]h?4={%3`g?7482\:>:4={%7ga?763-?oh7?>;|~y>o6;k0;6)<89;31a>P5?k0:wA<8d;3xRa4=:r.:on4>3c9U571=:r.>hh4>1:&6`a<692wvqp5f15494?"5?00:>h5Y26`95~J5?m0:w[j=:3y'5fe=9=<0Z<<8:3y'1ac=981/9ij5109~yx{26=4+26;957c<^;=i62681!3cm3;:7);kd;32?x{zu2c:8o4?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb820g=Q9;=1>v*:dd825>"2lm0:=6sr}|9j51e=83.9;44>2d9U62d=9rF9;i4>{Wf1>7}#9ji1=9m4V004>7}#=mo1=<5+5ef954=zutw0e<:k:18'62?=9;o0Z?9m:0yO62b=9r\o>742c3_;9;747<,;%7g`?763twvq6g>3083>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6;81]=?952z&6``<692.>hi4>1:~yx=n9:81<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm51208R4402;q/9ik56:&6`a<13twvq6g>3283>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6;:1]=?952z&6``<13-?oh784}|~?l74<3:1(?96:00f?S40j3;p@?9k:0yU`7<5s-;ho7?<4:T262<5s-?oi784$4fg>3=zutw0e<=::18'62?=9;o0Z?9m:0yO62b=9r\o>74523_;9;73=#=mn1:6sr}|9j560=83.9;44>2d9U62d=9rF9;i4>{Wf1>7}#9ji1=>84V004>7}#=mo1:6*:de85?x{zu2e:o84?:%04=?7d=2\9;o4>{M04`?7|^m81>v*>cb82g0=Q9;=1>v*:dd85?!3cl3<0qpsr;|`04g<72=0;6=u+26;95f2<@;288n7[<8b;3xH71c28q]h?4={%3`g?75n2\:>:4={%7ga?0<,:7513g8R71e28qG>:j51zTg6?4|,8ih6<=?;W313?4|,{zut1b=>l50;&13<<6:l1]>:l51zN13a<6s_n96?u+1ba956d<^88<6?u+5eg92>"2lm0=7psr}:m2g0<72-8<57?l5:T13g<6sE85}#:>31n45G27f8m44b290/>:7513g8?j7d=3:1(?96:0a6?S40j3;p@?9k:0yU`7<5s-;ho7?l5:T262<5s-?oi784$4fg>3=zutw0qo=>b;297?6=8r.9;44=779K63b2wvqp5f12294?"5?00:>h5Y26`95~J5?m0:w[j=:3y'5fe=9::0Z<<8:3y'1ac=n2.>hi4i;|~y>i6k<0;6)<89;3`1>P5?k0:wA<8d;3xRa4=:r.:on4>c49U571=:r.>hh49;%7g`?03<729q/>:7513a8L70c3-;?j7?;;h31a?6=,;=26<h5Y13596~"2ll0=7);kd;48yx{z3`ii6=4+26;9gg=Q:>h1=vB=7e82S7e<38p(2ji0Z?9m:3yO62b=9r\:n94={%3`g?ed3_;9;7==#=mn146sr}M04g?2|^;uYd181!3ck3ih7)=ne;7ga>{Ql809w);kc;31a>"4il0>hh5r$745>`=z^;uYd181!3ck3ih7)=ne;7ga>{Ql809w);kc;31a>"4il0>hi5r$745>`=z^;uYd181!3ck3ih7)=ne;7ga>{Ql809w);kc;aa?!5fm3?oi6s+67493>{Q9jh1>vXk0;0x 0bd2ji0(>oj:4ff?x"1>?0:?6sr}:k12<<72-8<57<99:T13g<5sE87}#9ji1>;74V004>7}#=mo1=?5+5ef957=zutF9;n4>{W046?4|^m:1>v*:db812<=#;ho19ik4}%452?753twv7d<:a;29 71>2;?j7[<8b;0xH71c28q]=o:52z&2gf<5=h1]=?952z&6``<6:2.>hi4>2:~yI40k3;pZ?9=:3yU`5<5s-?oo7<:a:&0e`<2ll1v(;89:008yx{6=4+26;95f3<3th8=>4?:283>5}#:>31>:84H34g?l75n3:1(?96:00f?S40j3;p@?9k:0yU`7<5s-;ho7?=f:T262<5s-?oi784$4fg>3=zutw0e<=?:18'62?=9;o0Z?9m:0yO62b=9r\o>74573_;9;73=#=mn1:6sr}|9l5f3=83.9;44>c49U62d=9rF9;i4>{Wf1>7}#9ji1=n;4V004>7}#=mo1:6*:de85?x{zu2wi?<:50;394?6|,;=26?6?;I05`>i6k<0;6)<89;3`1>=zj:;=6=4;:183!4013=;7E<9d:k26c<72-8<57?=e:T13g<6sE8k5Y13596~"2ll0:=6*:de825>{zut1b=>>50;&13<<6:l1C>:64V35a>4}K:>n1=vXk2;0x 4ed289;7[?=7;0x 0bb28;0(8jk:038yx{z3`;8n7>5$35:>44b3_84}Ql;09w)?lc;30f>P6:>09w);ke;32?!3cl3;:7psr}:m2g0<72-8<57?l5:T13g<6sE84H34g?l75n3:1(?96:00f?M4002\9;o4>{M04`?7|^m81>v*>cb826c=Q9;=1>v*:dd825>"2lm0:=6sr}|9j566=83.9;44>2d9U62d=9rF9;i4>{Wf1>7}#9ji1=>>4V004>7}#=mo1=<5+5ef954=zutw0e<=m:18'62?=9;o0Z?9m:0yO62b=9r\o>745e3_;9;747<,3:1(?96:00f?S40j3;p@?9k:0yU`7<5s-;ho7?;6:T262<5s-?oi7?>;%7g`?763twvq6g>4883>!4013;9i6F=799U62d=9rF9;i4>{Wf1>7}#9ji1=974V004>7}#=mo1=<5+5ef954=zutw0e<:n:18'62?=9;o0Z?9m:0yO62b=9r\o>742f3_;9;747<,;%7g`?763twvq6g>4b83>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6hi4>1:~yx=n9=n1<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm515f8R4402;q/9ik5109'1ab=981vqps4i06f>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed28>n7[?=7;0x 0bb28;0(8jk:038yx{z3`;8=7>5$35:>44b3_84}Ql;09w)?lc;305>P6:>09w);ke;32?!3cl3;:7psr}:k277<72-8<57?=e:T13g<6sE8{zut1b=>=50;&13<<6:l1]>:l51zN13a<6s_n96?u+1ba9565<^88<6?u+5eg92>"2lm0=7psr}:k271<72-8<57?=e:T13g<6sE85$35:>44b3_84}Ql;09w)?lc;301>P6:>09w);ke;48 0bc2?1vqps4i015>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed289=7[?=7;0x 0bb2?1/9ij56:~yx=h9j?1<7*=7882g0=Q:>h1=vB=7e82Sb52;q/=nm51b78R4402;q/9ik56:&6`a<13twvq6sm30:94?76290;w)<89;53?M41l2c:>k4?:%04=?75m2B9;55Y26`95~J5?m0:w[j=:3y'5fe=9;l0Z<<8:3y'1ac=981/9ij5109~yx{2681!3cm3;:7);kd;32?x{zu2c:8;4?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb8203=Q9;=1>v*:dd825>"2lm0:=6sr}|9j51?=83.9;44>2d9U62d=9rF9;i4>{Wf1>7}#9ji1=974V004>7}#=mo1=<5+5ef954=zutw0e<:n:18'62?=9;o0Z?9m:0yO62b=9r\o>742f3_;9;747<,;%7g`?763twvq6g>4b83>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6hi4>1:~yx=n9=n1<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm515f8R4402;q/9ik5109'1ab=981vqps4i06f>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed28>n7[?=7;0x 0bb28;0(8jk:038yx{z3`;8=7>5$35:>44b3_84}Ql;09w)?lc;305>P6:>09w);ke;32?!3cl3;:7psr}:k277<72-8<57?=e:T13g<6sE8{zut1b=>=50;&13<<6:l1]>:l51zN13a<6s_n96?u+1ba9565<^88<6?u+5eg92>"2lm0=7psr}:k271<72-8<57?=e:T13g<6sE85$35:>44b3_84}Ql;09w)?lc;301>P6:>09w);ke;48 0bc2?1vqps4i015>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed289=7[?=7;0x 0bb2?1/9ij56:~yx=h9j?1<7*=7882g0=Q:>h1=vB=7e82Sb52;q/=nm51b78R4402;q/9ik56:&6`a<13twvq6sm30794?2=83:p(?96:0a7?M41l2c:>k4?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb826c=Q9;=1>v*:dd85?!3cl3<0qpsr;h304?6=,;=26<2681!3cm3<0(8jk:79~yx{2wvqp5`1b794?"5?00:o85Y26`95~J5?m0:w[j=:3y'5fe=9j?0Z<<8:3y'1ac=>2.>hi49;|~y>{e;8i1<7<50;2x 71>2k30D?8k;h31a?6=,;=26<>4?:383>5}#:>31n45G27f8m44b290/>:7513g8?j7d=3:1(?96:0a6?S40j3;p@?9k:0yU`7<5s-;ho7?l5:T262<5s-?oi784$4fg>3=zutw0qo==a;297?6=8r.9;44=779K63b2wvqp5f12294?"5?00:>h5Y26`95~J5?m0:w[j=:3y'5fe=9::0Z<<8:3y'1ac=n2.>hi4i;|~y>i6k<0;6)<89;3`1>P5?k0:wA<8d;3xRa4=:r.:on4>c49U571=:r.>hh49;%7g`?03<729q/>:7513a8L70c3-;?j7?;;h31a?6=,;=26<h5Y13596~"2ll0=7);kd;48yx{z3`ii6=4+26;9gg=Q:>h1=vB=7e82S7e<38p(2ji0Z?9m:3yO62b=9r\:n94={%3`g?ed3_;9;7==#=mn146sr}M04g?2|^;uYd181!3ck3ih7)=ne;7ga>{Ql809w);kc;31a>"4il0>hh5r$745>`=z^;uYd181!3ck3ih7)=ne;7ga>{Ql809w);kc;31a>"4il0>hi5r$745>`=z^;uYd181!3ck3ih7)=ne;7ga>{Ql809w);kc;aa?!5fm3?oi6s+67493>{Q9jh1>vXk0;0x 0bd2ji0(>oj:4ff?x"1>?0:?6sr}:k12<<72-8<57<99:T13g<5sE87}#9ji1>;74V004>7}#=mo1=?5+5ef957=zutF9;n4>{W046?4|^m:1>v*:db812<=#;ho19ik4}%452?753twv7d<:a;29 71>2;?j7[<8b;0xH71c28q]=o:52z&2gf<5=h1]=?952z&6``<6:2.>hi4>2:~yI40k3;pZ?9=:3yU`5<5s-?oo7<:a:&0e`<2ll1v(;89:008yx{6=4+26;95f3<3th8=i4?:283>5}#:>31>:84H34g?l75n3:1(?96:00f?S40j3;p@?9k:0yU`7<5s-;ho7?=f:T262<5s-?oi784$4fg>3=zutw0e<=?:18'62?=9;o0Z?9m:0yO62b=9r\o>74573_;9;73=#=mn1:6sr}|9l5f3=83.9;44>c49U62d=9rF9;i4>{Wf1>7}#9ji1=n;4V004>7}#=mo1:6*:de85?x{zu2wi?i6k<0;6)<89;3`1>=zj:8;6=4<:183!4013=;7E<9d:k26c<72-8<57?=e:T13g<6sE8k5Y13596~"2ll0=7);kd;48yx{z3`;8<7>5$35:>44b3_84}Ql;09w)?lc;304>P6:>09w);ke;48 0bc2?1vqps4o0a6>5<#:>31=n;4V35a>4}K:>n1=vXk2;0x 4ed28i>7[?=7;0x 0bb2?1/9ij56:~yx=zj:8:6=4;:183!4013=;7E<9d:k26c<72-8<57?=e:T13g<6sE8k5Y13596~"2ll0:=6*:de825>{zut1b=>>50;&13<<6:l1C>:64V35a>4}K:>n1=vXk2;0x 4ed289;7[?=7;0x 0bb28;0(8jk:038yx{z3`;8n7>5$35:>44b3_84}Ql;09w)?lc;30f>P6:>09w);ke;32?!3cl3;:7psr}:m2g0<72-8<57?l5:T13g<6sE8?4?:0394?6|,;=26:>4H34g?l75n3:1(?96:00f?M4002\9;o4>{M04`?7|^m81>v*>cb826c=Q9;=1>v*:dd825>"2lm0:=6sr}|9j566=83.9;44>2d9U62d=9rF9;i4>{Wf1>7}#9ji1=>>4V004>7}#=mo1=<5+5ef954=zutw0e<=m:18'62?=9;o0Z?9m:0yO62b=9r\o>745e3_;9;747<,3:1(?96:00f?S40j3;p@?9k:0yU`7<5s-;ho7?;6:T262<5s-?oi7?>;%7g`?763twvq6g>4883>!4013;9i6F=799U62d=9rF9;i4>{Wf1>7}#9ji1=974V004>7}#=mo1=<5+5ef954=zutw0e<:n:18'62?=9;o0Z?9m:0yO62b=9r\o>742f3_;9;747<,;%7g`?763twvq6g>4b83>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6hi4>1:~yx=n9=n1<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm515f8R4402;q/9ik5109'1ab=981vqps4i06f>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed28>n7[?=7;0x 0bb28;0(8jk:038yx{z3`;8=7>5$35:>44b3_84}Ql;09w)?lc;305>P6:>09w);ke;32?!3cl3;:7psr}:k277<72-8<57?=e:T13g<6sE8{zut1b=>=50;&13<<6:l1]>:l51zN13a<6s_n96?u+1ba9565<^88<6?u+5eg954=#=mn1=<5r}|8m453290/>:7513g8R71e28qG>:j51zTg6?4|,8ih6<=;;W313?4|,{zut1b=>;50;&13<<6:l1]>:l51zN13a<6s_n96?u+1ba9563<^88<6?u+5eg92>"2lm0=7psr}:k273<72-8<57?=e:T13g<6sE85$35:>4e23_84}Ql;09w)?lc;3`1>P6:>09w);ke;48 0bc2?1vqps4}c12b?6=<3:1744a3_;9;73=#=mn1:6sr}|9j566=83.9;44>2d9U62d=9rF9;i4>{Wf1>7}#9ji1=>>4V004>7}#=mo1:6*:de85?x{zu2c:?o4?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb827g=Q9;=1>v*:dd85?!3cl3<0qpsr;n3`1?6=,;=262681!3cm3<0(8jk:79~yx{52083>5}#:>31;=5G27f8m44a290/>:7513g8L71?3_84}Ql;09w)?lc;31b>P6:>09w);ke;a8 0bc2j1vqps4i013>5<#:>31=?k4H35;?S40j3;p@?9k:0yU`7<5s-;ho7?<0:T262<5s-?oi7m4$4fg>f=zutw0e<=m:18'62?=9;o0Z?9m:0yO62b=9r\o>745e3_;9;7f=#=mn1o6sr}|9j510=83.9;44>2d9U62d=9rF9;i4>{Wf1>7}#9ji1=984V004>7}#=mo1o6*:de8`?x{zu2c:844?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb820<=Q9;=1>v*:dd8`?!3cl3i0qpsr;h37e?6=,;=26<2681!3cm3i0(8jk:b9~yx{i6=4+26;957c<^;=i6h5Y26`95~J5?m0:w[j=:3y'5fe=9=i0Z<<8:3y'1ac=k2.>hi4l;|~y>o6P5?k0:wA<8d;3xRa4=:r.:on4>4e9U571=:r.>hh4l;%7g`?e288n7[<8b;3xH71c28q]h?4={%3`g?73m2\:>:4={%7ga?e<,:7513g8R71e28qG>:j51zTg6?4|,8ih6<=>;W313?4|,{zut1b=><50;&13<<6:l1]>:l51zN13a<6s_n96?u+1ba9564<^88<6?u+5eg9g>"2lm0h7psr}:k276<72-8<57?=e:T13g<6sE85Y13596~"2ll0h7);kd;a8yx{z3`;887>5$35:>44b3_84}Ql;09w)?lc;300>P6:>09w);ke;a8 0bc2j1vqps4i016>5<#:>31=?k4H35;?S40j3;p@?9k:0yU`7<5s-;ho7?<5:T262<5s-?oi7m4$4fg>f=zutw0e<=9:18'62?=9;o0Z?9m:0yO62b=9r\o>74513_;9;7f=#=mn1o6sr}|9j561=83.9;44>2d9U62d=9rF9;i4>{Wf1>7}#9ji1=>94V004>7}#=mo1=?5+5ef957=zutw0e<=7:18'62?=9;o0D?97;W04f?7|D;=o62681!3cm3;97);kd;31?x{zu2c:?44?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb827<=Q9;=1>v*:dd826>"2lm0:>6sr}|9j56g=83.9;44>2d9U62d=9rF9;i4>{Wf1>7}#9ji1=>o4V004>7}#=mo1:6*:de85?x{zu2c:?n4?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb827f=Q9;=1>v*:dd85?!3cl3<0qpsr;h30`?6=,;=26<2681!3cm3<0(8jk:79~yx{2wvqp5f12d94?"5?00:>h5Y26`95~J5?m0:w[j=:3y'5fe=9:l0Z<<8:3y'1ac=>2.>hi49;|~y>o6<90;6)<89;31a>P5?k0:wA<8d;3xRa4=:r.:on4>419U571=:r.>hh49;%7g`?0288n7[<8b;3xH71c28q]h?4={%3`g?7392\:>:4={%7ga?0<,:7513g8R71e28qG>:j51zTg6?4|,8ih6<:=;W313?4|,{zut1b=9=50;&13<<6:l1]>:l51zN13a<6s_n96?u+1ba9515<^88<6?u+5eg92>"2lm0=7psr}:k201<72-8<57?=e:T13g<6sE85$35:>44b3_84}Ql;09w)?lc;371>P6:>09w);ke;48 0bc2?1vqps4i064>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed28><7[?=7;0x 0bb2?1/9ij56:~yx=n9=21<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm515:8R4402;q/9ik56:&6`a<13twvq6a>c483>!4013;h96X=7c82I40l3;pZi<52z&2gf<6k<1]=?952z&6``<13-?oh784}|~?xd4:<0;6??50;2x 71>2>:0D?8k;h31b?6=,;=26<P5?k0:wA<8d;3xRa4=:r.:on4>2g9U571=:r.>hh4l;%7g`?e288n7E<88:T13g<6sE85$35:>44b3_84}Ql;09w)?lc;30f>P6:>09w);ke;a8 0bc2j1vqps4i065>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed28>=7[?=7;0x 0bb2j1/9ij5c:~yx=n9=31<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm515;8R4402;q/9ik5c:&6`a4`83>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6f=zutw0e<:l:18'62?=9;o0Z?9m:0yO62b=9r\o>742d3_;9;7f=#=mn1o6sr}|9j51b=83.9;44>2d9U62d=9rF9;i4>{Wf1>7}#9ji1=9j4V004>7}#=mo1o6*:de8`?x{zu2c:8h4?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb820`=Q9;=1>v*:dd8`?!3cl3i0qpsr;h305?6=,;=26<P5?k0:wA<8d;3xRa4=:r.:on4>309U571=:r.>hh4l;%7g`?e288n7E<88:T13g<6sE85$35:>44b3A8<46X=7c82I40l3;pZi<52z&2gf<6;:1]=?952z&6``{M04`?7|^m81>v*>cb8271=Q9;=1>v*:dd8`?!3cl3i0qpsr;h301?6=,;=26<P5?k0:wA<8d;3xRa4=:r.:on4>349U571=:r.>hh4l;%7g`?e288n7[<8b;3xH71c28q]h?4={%3`g?74>2\:>:4={%7ga?e<,:7513g8R71e28qG>:j51zTg6?4|,8ih6<=8;W313?4|,44288n7E<88:T13g<6sE86*:de826>{zut1b=>750;&13<<6:l1]>:l51zN13a<6s_n96?u+1ba956?<^88<6?u+5eg957=#=mn1=?5r}|8m45f290/>:7513g8R71e28qG>:j51zTg6?4|,8ih6<=n;W313?4|,{zut1b=>m50;&13<<6:l1]>:l51zN13a<6s_n96?u+1ba956e<^88<6?u+5eg92>"2lm0=7psr}:k27a<72-8<57?=e:T13g<6sE85$35:>44b3_84}Ql;09w)?lc;30a>P6:>09w);ke;48 0bc2?1vqps4i01e>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed289m7[?=7;0x 0bb2?1/9ij56:~yx=n9=:1<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm51528R4402;q/9ik56:&6`a<13twvq6g>4083>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6<81]=?952z&6``<13-?oh784}|~?l73:3:1(?96:00f?S40j3;p@?9k:0yU`7<5s-;ho7?;2:T262<5s-?oi784$4fg>3=zutw0e<:<:18'62?=9;o0Z?9m:0yO62b=9r\o>74243_;9;73=#=mn1:6sr}|9j512=83.9;44>2d9U62d=9rF9;i4>{Wf1>7}#9ji1=9:4V004>7}#=mo1:6*:de85?x{zu2c:884?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb8200=Q9;=1>v*:dd85?!3cl3<0qpsr;h373?6=,;=26<2681!3cm3<0(8jk:79~yx{36=4+26;957c<^;=i62wvqp5`1b794?"5?00:o85Y26`95~J5?m0:w[j=:3y'5fe=9j?0Z<<8:3y'1ac=>2.>hi49;|~y>{e;;<1<7<>:183!4013=;7E<9d:k26c<72-8<57?=e:J13==Q:>h1=vB=7e82Sb52;q/=nm513d8R4402;q/9ik5c:&6`a3183>!4013;9i6F=799U62d=9rF9;i4>{Wf1>7}#9ji1=>>4V004>7}#=mo1o6*:de8`?x{zu2c:?o4?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb827g=Q9;=1>v*:dd8`?!3cl3i0qpsr;h372?6=,;=26<2681!3cm3i0(8jk:b9~yx{26=4+26;957c<^;=i6h5Y26`95~J5?m0:w[j=:3y'5fe=9=k0Z<<8:3y'1ac=k2.>hi4l;|~y>o6P5?k0:wA<8d;3xRa4=:r.:on4>4c9U571=:r.>hh4l;%7g`?e288n7[<8b;3xH71c28q]h?4={%3`g?73k2\:>:4={%7ga?e<,:7513g8R71e28qG>:j51zTg6?4|,8ih6<:k;W313?4|,{zut1b=9k50;&13<<6:l1]>:l51zN13a<6s_n96?u+1ba951c<^88<6?u+5eg9g>"2lm0h7psr}:k274<72-8<57?=e:J13==Q:>h1=vB=7e82Sb52;q/=nm51238R4402;q/9ik5c:&6`a3383>!4013;9i6F=799U62d=9rF9;i4>{Wf1>7}#9ji1=><4V004>7}#=mo1o6*:de8`?x{zu2c:?>4?:%04=?75m2B9;55Y26`95~J5?m0:w[j=:3y'5fe=9:90Z<<8:3y'1ac=k2.>hi4l;|~y>o6;=0;6)<89;31a>N5?11]>:l51zN13a<6s_n96?u+1ba9562<^88<6?u+5eg9g>"2lm0h7psr}:k270<72-8<57?=e:J13==Q:>h1=vB=7e82Sb52;q/=nm51278R4402;q/9ik5c:&6`a3783>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6;?1]=?952z&6``3983>!4013;9i6F=799U62d=9rF9;i4>{Wf1>7}#9ji1=>64V004>7}#=mo1=?5+5ef957=zutw0e<=6:18'62?=9;o0Z?9m:0yO62b=9r\o>745>3_;9;744<,3=zutw0e<=l:18'62?=9;o0Z?9m:0yO62b=9r\o>745d3_;9;73=#=mn1:6sr}|9j56b=83.9;44>2d9U62d=9rF9;i4>{Wf1>7}#9ji1=>j4V004>7}#=mo1:6*:de85?x{zu2c:?h4?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb827`=Q9;=1>v*:dd85?!3cl3<0qpsr;h30b?6=,;=26<2681!3cm3<0(8jk:79~yx{;6=4+26;957c<^;=i62wvqp5f15394?"5?00:>h5Y26`95~J5?m0:w[j=:3y'5fe=9=;0Z<<8:3y'1ac=>2.>hi49;|~y>o6<;0;6)<89;31a>P5?k0:wA<8d;3xRa4=:r.:on4>439U571=:r.>hh49;%7g`?0288n7[<8b;3xH71c28q]h?4={%3`g?73;2\:>:4={%7ga?0<,:7513g8R71e28qG>:j51zTg6?4|,8ih6<:;;W313?4|,{zut1b=9;50;&13<<6:l1]>:l51zN13a<6s_n96?u+1ba9513<^88<6?u+5eg92>"2lm0=7psr}:k202<72-8<57?=e:T13g<6sE85$35:>44b3_84}Ql;09w)?lc;37<>P6:>09w);ke;48 0bc2?1vqps4o0a6>5<#:>31=n;4V35a>4}K:>n1=vXk2;0x 4ed28i>7[?=7;0x 0bb2?1/9ij56:~yx=zj:8<6=4;:183!4013;h86F=6e9j57`=83.9;44>2d9U62d=9rF9;i4>{Wf1>7}#9ji1=?h4V004>7}#=mo1:6*:de85?x{zu2c:?=4?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb8275=Q9;=1>v*:dd85?!3cl3<0qpsr;h30f?6=,;=26<2681!3cm3<0(8jk:79~yx{6=4+26;95f3<^;=i62wvqp5rb26a>5<5290;w)<89;`:?M41l2c:>h4?:%04=?75m21d=n;50;&13<<6k<1]>:l51zN13a<6s_n96?u+1ba95f3<^88<6?u+5eg92>"2lm0=7psr}:a702=83<1<7>t$35:>44d3A8=h6*>4g820>o6:l0;6)<89;31a>P5?k0:wA<8d;3xR4d32;q/=nm513g8R4402;q/9ik56:&6`a<13twvq6glb;29 71>2jh0Z?9m:0yO62b=9r\:n94={%3`g?ee3_;9;73=#=mn1:6sr}|9jgf<72-8<57ml;W04f?4|D;=o62681!3cm320(8jk:99~yxJ5?j0?w[<9a;1xRa6=:r.>hn4lc:&0e`<2ll1vZi?52z&6`f<6:l1/?lk55eg8y!01>3o0q[<9a;1xRa6=:r.>hn4lc:&0e`<2ll1vZi?52z&6`f<6:l1/?lk55ef8y!01>3o0q[<9a;1xRa6=:r.>hn4lc:&0e`<2ll1vZi?52z&6`fh1>vB=7e82S7e<38p(oj:4ff?x"1>?0:>6sr}:k11d<72-8<57<:a:T13g<5sE87}#9ji1>8o4V004>7}#=mo1=?5+5ef957=zutF9;n4>{W046?4|^m:1>v*:db811d=#;ho19ik4}%452?753twv7b?l5;29 71>28i>76sm35f94?5=83:p(?96:355?M41l2c:>k4?:%04=?75m2B9;55Y26`95~J5?m0:w[j=:3y'5fe=9;l0Z<<8:3y'1ac=>2.>hi49;|~y>o6;90;6)<89;31a>P5?k0:wA<8d;3xRa4=:r.:on4>319U571=:r.>hh49;%7g`?028i>7[<8b;3xH71c28q]h?4={%3`g?7d=2\:>:4={%7ga?0<,50z&13<<5091C>;j4o0a6>5<#:>31=n;4;|`015<72:0;6=u+26;935=O:?n0e<744a3_;9;747<,;%7g`?763twvq6a>c483>!4013;h96X=7c82I40l3;pZi<52z&2gf<6k<1]=?952z&6``<13-?oh784}|~?xd4=80;6>4?:1y'62?=?91C>;j4i00e>5<#:>31=?k4H35;?S40j3;p@?9k:0yU`7<5s-;ho7?=f:T262<5s-?oi7?>;%7g`?763twvq6g>3183>!4013;9i6F=799U62d=9rF9;i4>{Wf1>7}#9ji1=>>4V004>7}#=mo1=<5+5ef954=zutw0c74e23_;9;73=#=mn1:6sr}|9~f635290?6=4?{%04=?173A8=h6g>2g83>!4013;9i6F=799U62d=9rF9;i4>{Wf1>7}#9ji1=?h4V004>7}#=mo1=<5+5ef954=zutw0e<=?:18'62?=9;o0Z?9m:0yO62b=9r\o>74573_;9;747<,;%7g`?763twvq6a>c483>!4013;h96X=7c82I40l3;pZi<52z&2gf<6k<1]=?952z&6``<13-?oh784}|~?xd40D?8k;h31b?6=,;=26<2681!3cm3<0(8jk:79~yx{2wvqp5f12`94?"5?00:>h5Y26`95~J5?m0:w[j=:3y'5fe=9:h0Z<<8:3y'1ac=>2.>hi49;|~y>i6k<0;6)<89;3`1>P5?k0:wA<8d;3xRa4=:r.:on4>c49U571=:r.>hh49;%7g`?06<729q/>:75719K63b:4={%7ga?763-?oh7?>;|~y>o6;90;6)<89;31a>P5?k0:wA<8d;3xRa4=:r.:on4>319U571=:r.>hh4>1:&6`a<692wvqp5`1b794?"5?00:o85Y26`95~J5?m0:w[j=:3y'5fe=9j?0Z<<8:3y'1ac=>2.>hi49;|~y>{e;2k30D?8k;h31a?6=,;=26<5}#:>31=?m4H34g?!73n3;?7d?=e;29 71>288n7[<8b;3xH71c28q]=o:52z&2gf<6:l1]=?952z&6``<13-?oh784}|~?lee290/>:75cc9U62d=9rF9;i4>{W3a0?4|,8ih6nl4V004>7}#=mo1:6*:de85?x{zu2cho7>5$35:>fe<^;=i6?uC26f95~P6j=09w)?lc;a`?S75?38p(8jj:99'1ab=02wvqA<8c;6xR70f2:q]h=4={%7gg?ed3-9ji7;ke:U`4<5s-?oo7?=e:&0e`<2ll1v(;89:d9~R70f2:q]h=4={%7gg?ed3-9ji7;ke:U`4<5s-?oo7?=e:&0e`<2lm1v(;89:d9~R70f2:q]h=4={%7gg?ed3-9ji7;ke:U`4<5s-?oo7mm;%1ba?3cm2w/:;85e:U5fd=:r\o<7fe<,:kn68jj;|&523<6;2wvq6g=6883>!40138=56X=7c81I40l3;pZhn4=689'7dc==mo0q)896;31?x{z3`8>m7>5$35:>73f3_84}Q9k>1>v*>cb811d=Q9;=1>v*:dd826>"2lm0:>6sr}M04g?7|^;=96?uYd181!3ck38>m6*:751b78?xd4=>0;6>4?:1y'62?=:><0D?8k;h31b?6=,;=26<P5?k0:wA<8d;3xRa4=:r.:on4>2g9U571=:r.>hh49;%7g`?0288n7[<8b;3xH71c28q]h?4={%3`g?7482\:>:4={%7ga?0<,:751b78R71e28qG>:j51zTg6?4|,8ih6{zut1vn>;7:182>5<7s-8<57<70:J12a=h9j?1<7*=7882g0=m7>53;294~"5?00<<6F=6e9j57`=83.9;44>2d9K62><^;=i6P5?k0:wA<8d;3xRa4=:r.:on4>319U571=:r.>hh4>1:&6`a<692wvqp5`1b794?"5?00:o85Y26`95~J5?m0:w[j=:3y'5fe=9j?0Z<<8:3y'1ac=>2.>hi49;|~y>{e;2>:0D?8k;h31b?6=,;=26<P5?k0:wA<8d;3xRa4=:r.:on4>2g9U571=:r.>hh4>1:&6`a<692wvqp5f12294?"5?00:>h5G26:8R71e28qG>:j51zTg6?4|,8ih6<=?;W313?4|,4728i>7[<8b;3xH71c28q]h?4={%3`g?7d=2\:>:4={%7ga?0<,50z&13<<082B9:i5f13d94?"5?00:>h5Y26`95~J5?m0:w[j=:3y'5fe=9;l0Z<<8:3y'1ac=981/9ij5109~yx{2681!3cm3;:7);kd;32?x{zu2e:o84?:%04=?7d=2\9;o4>{M04`?7|^m81>v*>cb82g0=Q9;=1>v*:dd85?!3cl3<0qpsr;|`01a<72=0;6=u+26;935=O:?n0e<2681!3cm3;:7);kd;32?x{zu2c:?=4?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb8275=Q9;=1>v*:dd825>"2lm0:=6sr}|9j56d=83.9;44>2d9U62d=9rF9;i4>{Wf1>7}#9ji1=>l4V004>7}#=mo1=<5+5ef954=zutw0c74e23_;9;73=#=mn1:6sr}|9~f63>290>6=4?{%04=?7d<2B9:i5f13d94?"5?00:>h5Y26`95~J5?m0:w[j=:3y'5fe=9;l0Z<<8:3y'1ac=>2.>hi49;|~y>o6;90;6)<89;31a>P5?k0:wA<8d;3xRa4=:r.:on4>319U571=:r.>hh49;%7g`?0288n7[<8b;3xH71c28q]h?4={%3`g?74j2\:>:4={%7ga?0<,:7513g8R71e28qG>:j51zTg6?4|,8ih6<:9;W313?4|,{zut1d=n;50;&13<<6k<1]>:l51zN13a<6s_n96?u+1ba95f3<^88<6?u+5eg92>"2lm0=7psr}:a700=8391<7>t$35:>26<@;288n7E<88:T13g<6sE8k5Y13596~"2ll0:=6*:de825>{zut1b=>>50;&13<<6:l1]>:l51zN13a<6s_n96?u+1ba9566<^88<6?u+5eg954=#=mn1=<5r}|8k4e2290/>:751b78R71e28qG>:j51zTg6?4|,8ih6{zut1vn8hm:181>5<7s-8<57l6;I05`>o6:l0;6)<89;31a>=h9j?1<7*=7882g0=Q:>h1=vB=7e82Sb52;q/=nm51b78R4402;q/9ik56:&6`a<13twvq6sm61494?0=83:p(?96:00`?M41l2.:8k4>4:k26`<72-8<57?=e:T13g<6sE87}#9ji1=?k4V004>7}#=mo1:6*:de85?x{zu2chn7>5$35:>fd<^;=i62wvqp5fcb83>!4013ih7[<8b;0xH71c28q]=o:52z&2gf:4={%7ga?><,v*:db826`=#;ho19ik4}%452?cv*:db826`=#;ho19ij4}%452?cv*:db8`f>"4il0>hh5r$745>2=z^8ii6?uYd181!3ck3ih7)=ne;7ga>{#>?<1=>5r}|9j63?=83.9;44=689U62d=:rF9;i4>{W3a0?4|,8ih6?86;W313?4|,44:m51zT137<5s_n;6?u+5ea963?<,:kn68jj;|&523<6:2wvq6g=5`83>!40138>m6X=7c81I40l3;pZhn4=5`9'7dc==mo0q)896;31?x{z3f;h97>5$35:>4e232wi9km50;194?6|,;=26?99;I05`>o6:o0;6)<89;31a>N5?11]>:l51zN13a<6s_n96?u+1ba957`<^88<6?u+5eg92>"2lm0=7psr}:k275<72-8<57?=e:T13g<6sE85$35:>4e23_84}Ql;09w)?lc;3`1>P6:>09w);ke;48 0bc2?1vqps4}c7e`?6=93:16<729q/>:75719K63b2681!3cm3;:7);kd;32?x{zu2e:o84?:%04=?7d=2\9;o4>{M04`?7|^m81>v*>cb82g0=Q9;=1>v*:dd85?!3cl3<0qpsr;|`545<72:0;6=u+26;935=O:?n0e<744a3_;9;73=#=mn1:6sr}|9j566=83.9;44>2d9U62d=9rF9;i4>{Wf1>7}#9ji1=>>4V004>7}#=mo1:6*:de85?x{zu2e:o84?:%04=?7d=2\9;o4>{M04`?7|^m81>v*>cb82g0=Q9;=1>v*:dd85?!3cl3<0qpsr;|`544<72=0;6=u+26;935=O:?n0e<2681!3cm3h0(8jk:c9~yx{:4={%7ga?763-?oh7?>;|~y>o6;k0;6)<89;31a>P5?k0:wA<8d;3xRa4=:r.:on4>3c9U571=:r.>hh4>1:&6`a<692wvqp5`1b794?"5?00:o85Y26`95~J5?m0:w[j=:3y'5fe=9j?0Z<<8:3y'1ac=>2.>hi49;|~y>{e>981<7:50;2x 71>2>:0D?8k;h31b?6=,;=26<2681!3cm3;:7);kd;32?x{zu2c:?=4?:%04=?75m2B9;55Y26`95~J5?m0:w[j=:3y'5fe=9::0Z<<8:3y'1ac=981/9ij5109~yx{2681!3cm3<0(8jk:79~yx{54;294~"5?00<<6F=6e9j57`=83.9;44>2d9K62><^;=i6P5?k0:wA<8d;3xRa4=:r.:on4>319U571=:r.>hh4>1:&6`a<692wvqp5f12`94?"5?00:>h5G26:8R71e28qG>:j51zTg6?4|,8ih6<=m;W313?4|,4728i>7[<8b;3xH71c28q]h?4={%3`g?7d=2\:>:4={%7ga?0<,50z&13<<082B9:i5f13d94?"5?00:>h5Y26`95~J5?m0:w[j=:3y'5fe=9;l0Z<<8:3y'1ac=j2.>hi4m;|~y>o6;90;6)<89;31a>N5?11]>:l51zN13a<6s_n96?u+1ba9566<^88<6?u+5eg954=#=mn1=<5r}|8m45e290/>:7513g8R71e28qG>:j51zTg6?4|,8ih6<=m;W313?4|,47288n7E<88:T13g<6sE8{zut1b=9750;&13<<6:l1]>:l51zN13a<6s_n96?u+1ba951?<^88<6?u+5eg954=#=mn1=<5r}|8k4e2290/>:751b78R71e28qG>:j51zTg6?4|,8ih6{zut1vn8hj:184>5<7s-8<57?l4:J12a=n9;l1<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm513d8R4402;q/9ik56:&6`a<13twvq6g>3183>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6;91]=?952z&6``<13-?oh784}|~?l74j3:1(?96:00f?S40j3;p@?9k:0yU`7<5s-;ho7?3=zutw0e<:9:18'62?=9;o0Z?9m:0yO62b=9r\o>74213_;9;73=#=mn1:6sr}|9j51?=83.9;44>2d9U62d=9rF9;i4>{Wf1>7}#9ji1=974V004>7}#=mo1:6*:de85?x{zu2c:8l4?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb820d=Q9;=1>v*:dd85?!3cl3<0qpsr;n3`1?6=,;=262681!3cm3<0(8jk:79~yx{i7>52;294~"5?00i56F=6e9j57c=83.9;44>2d98k4e2290/>:751b78R71e28qG>:j51zTg6?4|,8ih6{zut1vn;8::185>5<7s-8<57?=c:J12a=#9=l1=95f13g94?"5?00:>h5Y26`95~J5?m0:w[?m4;0x 4ed288n7[?=7;0x 0bb2?1/9ij56:~yx=nkk0;6)<89;aa?S40j3;p@?9k:0yU5g2=:r.:on4lb:T262<5s-?oi784$4fg>3=zutw0enm50;&13<v*:dd8;?!3cl320qpsC26a90~P5>h08w[j?:3y'1ae=kj1/?lk55eg8ySb62;q/9im513g8 6gb2h08w[j?:3y'1ae=kj1/?lk55eg8ySb62;q/9im513g8 6gb2h08w[j?:3y'1ae=kj1/?lk55eg8ySb62;q/9im5cc9'7dc==mo0q)896;58yS7dj38pZi>52z&6`f5<#:>31>;74V35a>7}K:>n1=vX>b581!7dk38=56X>2681!3cm3;97);kd;31?x{zD;=h68o50;&13<<5=h1]>:l52zN13a<6s_;i8773f3_;9;744<,7}#=mi1>8o4$2cf>0bb3t.=:;4>2:~y>i6k<0;6)<89;3`1>=zj??m6=4<:183!40138<:6F=6e9j57`=83.9;44>2d9K62><^;=i62wvqp5f12294?"5?00:>h5Y26`95~J5?m0:w[j=:3y'5fe=9::0Z<<8:3y'1ac=>2.>hi49;|~y>i6k<0;6)<89;3`1>P5?k0:wA<8d;3xRa4=:r.:on4>c49U571=:r.>hh49;%7g`?04<729q/>:752928L70c3f;h97>5$35:>4e232wi:;<50;194?6|,;=26:>4H34g?l75n3:1(?96:00f?M4002\9;o4>{M04`?7|^m81>v*>cb826c=Q9;=1>v*:dd825>"2lm0:=6sr}|9j566=83.9;44>2d9K62><^;=i62681!3cm3<0(8jk:79~yx{54;294~"5?00<<6F=6e9j57`=83.9;44>2d9K62><^;=i6P5?k0:wA<8d;3xRa4=:r.:on4>319U571=:r.>hh4>1:&6`a<692wvqp5f12`94?"5?00:>h5G26:8R71e28qG>:j51zTg6?4|,8ih6<=m;W313?4|,4728i>7[<8b;3xH71c28q]h?4={%3`g?7d=2\:>:4={%7ga?0<,50z&13<<6k=1C>;j4i00e>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed288m7[?=7;0x 0bb2?1/9ij56:~yx=n9::1<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm51228R4402;q/9ik56:&6`a<13twvq6a>c483>!4013;h96X=7c82I40l3;pZi<52z&2gf<6k<1]=?952z&6``<13-?oh784}|~?xd5=k0;6?4?:1y'62?=j01C>;j4i00f>5<#:>31=?k4;n3`1?6=,;=262681!3cm3<0(8jk:79~yx{56;294~"5?00:>n5G27f8 42a28>0e<:4={%7ga?0<,7}#9ji1oo5Y13596~"2ll0=7);kd;48yx{z3`ih6=4+26;9gf=Q:>h1>vB=7e82S7e<38p(fe<,:kn68jj;|Tg5?4|,fe<,:kn68jj;|Tg5?4|,fe<,:kn68jj;|Tg5?4|,0bb3t.=:;4j;|T2gg<5s_n;6?u+5ea9gf=#;ho19ik4}%452?743twv7d<99;29 71>2;<27[<8b;0xH71c28q]=o:52z&2gf<5>01]=?952z&6``<6:2.>hi4>2:~yI40k3;pZ?9=:3yU`5<5s-?oo7<99:&0e`<2ll1v(;89:008yx{P6:>09w);ke;31?!3cl3;97psrL35`>4}Q:>81>vXk0;0x 0bd2;?j7)=ne;7ga>{#>?<1=?5r}|9l5f3=83.9;44>c498yg42l3:1?7>50z&13<<5??1C>;j4i00e>5<#:>31=?k4H35;?S40j3;p@?9k:0yU`7<5s-;ho7?=f:T262<5s-?oi784$4fg>3=zutw0e<=?:18'62?=9;o0Z?9m:0yO62b=9r\o>74573_;9;73=#=mn1:6sr}|9l5f3=83.9;44>c49U62d=9rF9;i4>{Wf1>7}#9ji1=n;4V004>7}#=mo1:6*:de85?x{zu2wi>8k50;394?6|,;=26?6?;I05`>i6k<0;6)<89;3`1>=zj;?m6=4::183!4013=;7E<9d:k26c<72-8<57?=e:J13==Q:>h1=vB=7e82Sb52;q/=nm513d8R4402;q/9ik5109'1ab=981vqps4i013>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed289;7[?=7;0x 0bb28;0(8jk:038yx{z3`;8n7>5$35:>44b3A8<46X=7c82I40l3;pZi<52z&2gf<6;k1]=?952z&6``<692.>hi4>1:~yx=n9=<1<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm51548R4402;q/9ik5109'1ab=981vqps4o0a6>5<#:>31=n;4V35a>4}K:>n1=vXk2;0x 4ed28i>7[?=7;0x 0bb2?1/9ij56:~yx=zj;?h6=4<:183!4013=;7E<9d:k26c<72-8<57?=e:J13==Q:>h1=vB=7e82Sb52;q/=nm513d8R4402;q/9ik5109'1ab=981vqps4i013>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed289;7[?=7;0x 0bb28;0(8jk:038yx{z3f;h97>5$35:>4e23_84}Ql;09w)?lc;3`1>P6:>09w);ke;48 0bc2?1vqps4}c0aa?6=:3:1N5>m1b=?k50;&13<<6:l10c74e23_;9;73=#=mn1:6sr}|9~f7e029086=4?{%04=?40>2B9:i5f13d94?"5?00:>h5Y26`95~J5?m0:w[j=:3y'5fe=9;l0Z<<8:3y'1ac=>2.>hi49;|~y>o6;90;6)<89;31a>P5?k0:wA<8d;3xRa4=:r.:on4>319U571=:r.>hh4i;%7g`?`28i>7[<8b;3xH71c28q]h?4={%3`g?7d=2\:>:4={%7ga?0<,3:1:7>50z&13<<6:j1C>;j4$06e>42P6:>09w);ke;48 0bc2?1vqps4ib`94?"5?00hn6X=7c82I40l3;pZ:75cb9U62d=:rF9;i4>{W3a0?4|,8ih6nm4V004>7}#=mo146*:de8;?x{zD;=h69uY27c97~Pc838p(8jl:ba8 6gb2{#>?<1i6sY27c97~Pc838p(8jl:ba8 6gb2{#>?<1i6sY27c97~Pc838p(8jl:ba8 6gb2oj:4ff?x"1>?0<7pX>cc81Sb72;q/9im5cb9'7dc==mo0q)896;30?x{z3`8=57>5$35:>70>3_84}Q9k>1>v*>cb812<=Q9;=1>v*:dd826>"2lm0:>6sr}M04g?7|^;=96?uYd181!3ck38=56*:7524c8R71e2;qG>:j51zT2f1<5s-;ho7<:a:T262<5s-?oi7?=;%7g`?753twv@?9l:0yU624=:r\o<773f3-9ji7;ke:'230=9;1vqp5`1b794?"5?00:o854}c0ab?6=;3:1744a3_;9;73=#=mn1:6sr}|9j566=83.9;44>2d9U62d=9rF9;i4>{Wf1>7}#9ji1=>>4V004>7}#=mo1:6*:de85?x{zu2e:o84?:%04=?7d=2\9;o4>{M04`?7|^m81>v*>cb82g0=Q9;=1>v*:dd85?!3cl3<0qpsr;|`1g5<7280;6=u+26;96=6<@;28i>76sm2b094?2=83:p(?96:628L70c3`;9j7>5$35:>44b3_84}Ql;09w)?lc;31b>P6:>09w);ke;32?!3cl3;:7psr}:k275<72-8<57?=e:J13==Q:>h1=vB=7e82Sb52;q/=nm51228R4402;q/9ik5109'1ab=981vqps4i01a>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed289i7[?=7;0x 0bb28;0(8jk:038yx{z3f;h97>5$35:>4e23_84}Ql;09w)?lc;3`1>P6:>09w);ke;48 0bc2?1vqps4}c0`7?6=980;6=u+26;935=O:?n0e<2681!3cm3;:7);kd;32?x{zu2c:?=4?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb8275=Q9;=1>v*:dd825>"2lm0:=6sr}|9j56d=83.9;44>2d9U62d=9rF9;i4>{Wf1>7}#9ji1=>l4V004>7}#=mo1=<5+5ef954=zutw0e<:9:18'62?=9;o0Z?9m:0yO62b=9r\o>74213_;9;747<,{M04`?7|^m81>v*>cb820<=Q9;=1>v*:dd825>"2lm0:=6sr}|9j51g=83.9;44>2d9U62d=9rF9;i4>{Wf1>7}#9ji1=9o4V004>7}#=mo1=<5+5ef954=zutw0e<:m:18'62?=9;o0Z?9m:0yO62b=9r\o>742e3_;9;747<,;%7g`?763twvq6g>4e83>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6hi4>1:~yx=n9=o1<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm515g8R4402;q/9ik5109'1ab=981vqps4i012>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed289:7[?=7;0x 0bb28;0(8jk:038yx{z3`;8>7>5$35:>44b3_84}Ql;09w)?lc;306>P6:>09w);ke;32?!3cl3;:7psr}:k276<72-8<57?=e:T13g<6sE85Y13596~"2ll0:=6*:de825>{zut1b=>:50;&13<<6:l1]>:l51zN13a<6s_n96?u+1ba9562<^88<6?u+5eg954=#=mn1=<5r}|8m452290/>:7513g8R71e28qG>:j51zTg6?4|,8ih6<=:;W313?4|,47288n7[<8b;3xH71c28q]h?4={%3`g?74>2\:>:4={%7ga?0<,:751b78R71e28qG>:j51zTg6?4|,8ih6{zut1vn?m;:1825?6=8r.9;4480:J12a=n9;l1<7*=78826`=O:>20Z?9m:0yO62b=9r\o>744a3_;9;747<,;%7g`?763twvq6g>3c83>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6;k1]=?952z&6``<692.>hi4>1:~yx=n9=<1<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm51548R4402;q/9ik5109'1ab=981vqps4i06:>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed28>27[?=7;0x 0bb28;0(8jk:038yx{z3`;?m7>5$35:>44b3_84}Ql;09w)?lc;37e>P6:>09w);ke;32?!3cl3;:7psr}:k20g<72-8<57?=e:T13g<6sE8{zut1b=9m50;&13<<6:l1]>:l51zN13a<6s_n96?u+1ba951e<^88<6?u+5eg954=#=mn1=<5r}|8m42c290/>:7513g8R71e28qG>:j51zTg6?4|,8ih6<:k;W313?4|,47288n7[<8b;3xH71c28q]h?4={%3`g?73m2\:>:4={%7ga?763-?oh7?>;|~y>o6;80;6)<89;31a>P5?k0:wA<8d;3xRa4=:r.:on4>309U571=:r.>hh4>1:&6`a<692wvqp5f12094?"5?00:>h5Y26`95~J5?m0:w[j=:3y'5fe=9:80Z<<8:3y'1ac=981/9ij5109~yx{2681!3cm3;:7);kd;32?x{zu2c:?84?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb8270=Q9;=1>v*:dd825>"2lm0:=6sr}|9j560=83.9;44>2d9U62d=9rF9;i4>{Wf1>7}#9ji1=>84V004>7}#=mo1:6*:de85?x{zu2e:o84?:%04=?7d=2\9;o4>{M04`?7|^m81>v*>cb82g0=Q9;=1>v*:dd85?!3cl3<0qpsr;|`1g4<72=0;6=u+26;95f2<@;288n7[<8b;3xH71c28q]h?4={%3`g?75n2\:>:4={%7ga?0<,:7513g8R71e28qG>:j51zTg6?4|,8ih6<=?;W313?4|,{zut1b=>l50;&13<<6:l1]>:l51zN13a<6s_n96?u+1ba956d<^88<6?u+5eg92>"2lm0=7psr}:m2g0<72-8<57?l5:T13g<6sE85}#:>31n45G27f8m44b290/>:7513g8?j7d=3:1(?96:0a6?S40j3;p@?9k:0yU`7<5s-;ho7?l5:T262<5s-?oi784$4fg>3=zutw0qo=9b;297?6=8r.9;44=779K63b2wvqp5f12294?"5?00:>h5Y26`95~J5?m0:w[j=:3y'5fe=9::0Z<<8:3y'1ac=n2.>hi4i;|~y>i6k<0;6)<89;3`1>P5?k0:wA<8d;3xRa4=:r.:on4>c49U571=:r.>hh49;%7g`?03<729q/>:7513a8L70c3-;?j7?;;h31a?6=,;=26<h5Y13596~"2ll0=7);kd;48yx{z3`ii6=4+26;9gg=Q:>h1=vB=7e82S7e<38p(2ji0Z?9m:3yO62b=9r\:n94={%3`g?ed3_;9;7==#=mn146sr}M04g?2|^;uYd181!3ck3ih7)=ne;7ga>{Ql809w);kc;31a>"4il0>hh5r$745>`=z^;uYd181!3ck3ih7)=ne;7ga>{Ql809w);kc;31a>"4il0>hi5r$745>`=z^;uYd181!3ck3ih7)=ne;7ga>{Ql809w);kc;aa?!5fm3?oi6s+6749a>{Q9jh1>vXk0;0x 0bd2ji0(>oj:4ff?x"1>?0:?6sr}:k12<<72-8<57<99:T13g<5sE87}#9ji1>;74V004>7}#=mo1=?5+5ef957=zutF9;n4>{W046?4|^m:1>v*:db812<=#;ho19ik4}%452?753twv7d<:a;29 71>2;?j7[<8b;0xH71c28q]=o:52z&2gf<5=h1]=?952z&6``<6:2.>hi4>2:~yI40k3;pZ?9=:3yU`5<5s-?oo7<:a:&0e`<2ll1v(;89:008yx{6=4+26;95f3<3th8:?4?:283>5}#:>31>:84H34g?l75n3:1(?96:00f?S40j3;p@?9k:0yU`7<5s-;ho7?=f:T262<5s-?oi784$4fg>3=zutw0e<=?:18'62?=9;o0Z?9m:0yO62b=9r\o>74573_;9;73=#=mn1:6sr}|9l5f3=83.9;44>c49U62d=9rF9;i4>{Wf1>7}#9ji1=n;4V004>7}#=mo1:6*:de85?x{zu2wi?;=50;394?6|,;=26?6?;I05`>i6k<0;6)<89;3`1>=zj:<>6=4::183!4013=;7E<9d:k26c<72-8<57?=e:T13g<6sE8k5Y13596~"2ll0:=6*:de825>{zut1b=>>50;&13<<6:l1]>:l51zN13a<6s_n96?u+1ba9566<^88<6?u+5eg954=#=mn1=<5r}|8m45e290/>:7513g8R71e28qG>:j51zTg6?4|,8ih6<=m;W313?4|,47288n7[<8b;3xH71c28q]h?4={%3`g?73>2\:>:4={%7ga?763-?oh7?>;|~y>i6k<0;6)<89;3`1>P5?k0:wA<8d;3xRa4=:r.:on4>c49U571=:r.>hh49;%7g`?00<729q/>:75719K63b2681!3cm3;:7);kd;32?x{zu2c:?o4?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb827g=Q9;=1>v*:dd825>"2lm0:=6sr}|9j510=83.9;44>2d9K62><^;=i62681!3cm3<0(8jk:79~yx{55;294~"5?00<<6F=6e9j57`=83.9;44>2d9U62d=9rF9;i4>{Wf1>7}#9ji1=?h4V004>7}#=mo1=<5+5ef954=zutw0e<=?:18'62?=9;o0Z?9m:0yO62b=9r\o>74573_;9;747<,;%7g`?763twvq6g>4783>!4013;9i6F=799U62d=9rF9;i4>{Wf1>7}#9ji1=984V004>7}#=mo1=<5+5ef954=zutw0c74e23_;9;73=#=mn1:6sr}|9~f60?290>6=4?{%04=?173A8=h6g>2g83>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6:o1]=?952z&6``<692.>hi4>1:~yx=n9::1<7*=78826`=O:>20Z?9m:0yO62b=9r\o>74573_;9;747<,;%7g`?763twvq6g>4783>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6hi4>1:~yx=h9j?1<7*=7882g0=Q:>h1=vB=7e82Sb52;q/=nm51b78R4402;q/9ik56:&6`a<13twvq6sm37694?3=83:p(?96:0a7?M41l2c:>k4?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb826c=Q9;=1>v*:dd85?!3cl3<0qpsr;h304?6=,;=26<2681!3cm3<0(8jk:79~yx{2wvqp5f15494?"5?00:>h5Y26`95~J5?m0:w[j=:3y'5fe=9=<0Z<<8:3y'1ac=>2.>hi49;|~y>i6k<0;6)<89;3`1>P5?k0:wA<8d;3xRa4=:r.:on4>c49U571=:r.>hh49;%7g`?06<729q/>:75719K63b:4={%7ga?763-?oh7?>;|~y>o6;90;6)<89;31a>P5?k0:wA<8d;3xRa4=:r.:on4>319U571=:r.>hh4>1:&6`a<692wvqp5`1b794?"5?00:o85Y26`95~J5?m0:w[j=:3y'5fe=9j?0Z<<8:3y'1ac=>2.>hi49;|~y>{e;0l1<7<50;2x 71>2k30D?8k;h31a?6=,;=26<5}#:>31n45G27f8m44b290/>:7513g8?j7d=3:1(?96:0a6?S40j3;p@?9k:0yU`7<5s-;ho7?l5:T262<5s-?oi784$4fg>3=zutw0qo=nd;297?6=8r.9;44=779K63b2wvqp5f12294?"5?00:>h5Y26`95~J5?m0:w[j=:3y'5fe=9::0Z<<8:3y'1ac=n2.>hi4i;|~y>i6k<0;6)<89;3`1>P5?k0:wA<8d;3xRa4=:r.:on4>c49U571=:r.>hh49;%7g`?03<729q/>:7513a8L70c3-;?j7?;;h31a?6=,;=26<h5Y13596~"2ll0=7);kd;48yx{z3`ii6=4+26;9gg=Q:>h1=vB=7e82S7e<38p(2ji0Z?9m:3yO62b=9r\:n94={%3`g?ed3_;9;7==#=mn146sr}M04g?2|^;uYd181!3ck3ih7)=ne;7ga>{Ql809w);kc;31a>"4il0>hh5r$745>`=z^;uYd181!3ck3ih7)=ne;7ga>{Ql809w);kc;31a>"4il0>hi5r$745>`=z^;uYd181!3ck3ih7)=ne;7ga>{Ql809w);kc;aa?!5fm3?oi6s+67493>{Q9jh1>vXk0;0x 0bd2ji0(>oj:4ff?x"1>?0:?6sr}:k12<<72-8<57<99:T13g<5sE87}#9ji1>;74V004>7}#=mo1=?5+5ef957=zutF9;n4>{W046?4|^m:1>v*:db812<=#;ho19ik4}%452?753twv7d<:a;29 71>2;?j7[<8b;0xH71c28q]=o:52z&2gf<5=h1]=?952z&6``<6:2.>hi4>2:~yI40k3;pZ?9=:3yU`5<5s-?oo7<:a:&0e`<2ll1v(;89:008yx{6=4+26;95f3<3th8m=4?:283>5}#:>31>:84H34g?l75n3:1(?96:00f?S40j3;p@?9k:0yU`7<5s-;ho7?=f:T262<5s-?oi784$4fg>3=zutw0e<=?:18'62?=9;o0Z?9m:0yO62b=9r\o>74573_;9;73=#=mn1:6sr}|9l5f3=83.9;44>c49U62d=9rF9;i4>{Wf1>7}#9ji1=n;4V004>7}#=mo1:6*:de85?x{zu2wi?l?50;394?6|,;=26?6?;I05`>i6k<0;6)<89;3`1>=zj:k86=4<:183!4013=;7E<9d:k26c<72-8<57?=e:T13g<6sE8k5Y13596~"2ll0=7);kd;48yx{z3`;8<7>5$35:>44b3_84}Ql;09w)?lc;304>P6:>09w);ke;48 0bc2?1vqps4o0a6>5<#:>31=n;4V35a>4}K:>n1=vXk2;0x 4ed28i>7[?=7;0x 0bb2?1/9ij56:~yx=zj:k?6=4::183!4013=;7E<9d:k26c<72-8<57?=e:T13g<6sE8k5Y13596~"2ll0i7);kd;`8yx{z3`;8<7>5$35:>44b3A8<46X=7c82I40l3;pZi<52z&2gf<6;91]=?952z&6``<692.>hi4>1:~yx=n9:h1<7*=78826`=O:>20Z?9m:0yO62b=9r\o>745e3_;9;7g=#=mn1n6sr}|9j510=83.9;44>2d9U62d=9rF9;i4>{Wf1>7}#9ji1=984V004>7}#=mo1=<5+5ef954=zutw0c74e23_;9;73=#=mn1:6sr}|9~f6g529086=4?{%04=?7d<2B9:i5f13d94?"5?00:>h5Y26`95~J5?m0:w[j=:3y'5fe=9;l0Z<<8:3y'1ac=>2.>hi49;|~y>o6;90;6)<89;31a>P5?k0:wA<8d;3xRa4=:r.:on4>319U571=:r.>hh49;%7g`?028i>7[<8b;3xH71c28q]h?4={%3`g?7d=2\:>:4={%7ga?0<,3:187>50z&13<<082B9:i5f13d94?"5?00:>h5G26:8R71e28qG>:j51zTg6?4|,8ih6<{zut1b=>>50;&13<<6:l1C>:64V35a>4}K:>n1=vXk2;0x 4ed289;7[?=7;0x 0bb2880(8jk:008yx{z3`;8n7>5$35:>44b3A8<46X=7c82I40l3;pZi<52z&2gf<6;k1]=?952z&6``<6:2.>hi4>2:~yx=h9j?1<7*=7882g0=Q:>h1=vB=7e82Sb52;q/=nm51b78R4402;q/9ik56:&6`a<13twvq6sm3`594??=83:p(?96:628L70c3`;9j7>5$35:>44b3_84}Ql;09w)?lc;31b>P6:>09w);ke;a8 0bc2j1vqps4i013>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed289;7[?=7;0x 0bb2j1/9ij5c:~yx=n9:h1<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm512`8R4402;q/9ik5c:&6`a4783>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6f=zutw0e<:n:18'62?=9;o0Z?9m:0yO62b=9r\o>742f3_;9;7f=#=mn1o6sr}|9j51d=83.9;44>2d9U62d=9rF9;i4>{Wf1>7}#9ji1=9l4V004>7}#=mo1o6*:de8`?x{zu2c:8n4?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb820f=Q9;=1>v*:dd8`?!3cl3i0qpsr;n3`1?6=,;=262681!3cm3<0(8jk:79~yx{51083>5}#:>31;=5G27f8m44a290/>:7513g8R71e28qG>:j51zTg6?4|,8ih6<{zut1b=>>50;&13<<6:l1]>:l51zN13a<6s_n96?u+1ba9566<^88<6?u+5eg9g>"2lm0h7psr}:k27g<72-8<57?=e:T13g<6sE85$35:>44b3_84}Ql;09w)?lc;372>P6:>09w);ke;a8 0bc2j1vqps4i06:>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed28>27[?=7;0x 0bb2j1/9ij5c:~yx=n9=k1<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm515c8R4402;q/9ik5c:&6`a4c83>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6{M04`?7|^m81>v*>cb820f=Q9;=1>v*:dd8`?!3cl3i0qpsr;h37`?6=,;=26<P5?k0:wA<8d;3xRa4=:r.:on4>4e9U571=:r.>hh4>2:&6`a<6:2wvqp5f15g94?"5?00:>h5G26:8R71e28qG>:j51zTg6?4|,8ih6<:j;W313?4|,44288n7[<8b;3xH71c28q]h?4={%3`g?7492\:>:4={%7ga?0<,:7513g8R71e28qG>:j51zTg6?4|,8ih6<==;W313?4|,{zut1b=>=50;&13<<6:l1]>:l51zN13a<6s_n96?u+1ba9565<^88<6?u+5eg92>"2lm0=7psr}:k271<72-8<57?=e:T13g<6sE85$35:>44b3_84}Ql;09w)?lc;301>P6:>09w);ke;48 0bc2?1vqps4i015>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed289=7[?=7;0x 0bb2?1/9ij56:~yx=h9j?1<7*=7882g0=Q:>h1=vB=7e82Sb52;q/=nm51b78R4402;q/9ik56:&6`a<13twvq6sm3`;94?76290;w)<89;53?M41l2c:>k4?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb826c=Q9;=1>v*:dd8`?!3cl3i0qpsr;h304?6=,;=26<2681!3cm3i0(8jk:b9~yx{h5Y26`95~J5?m0:w[j=:3y'5fe=9=<0Z<<8:3y'1ac=k2.>hi4l;|~y>o6<00;6)<89;31a>P5?k0:wA<8d;3xRa4=:r.:on4>489U571=:r.>hh4l;%7g`?e288n7[<8b;3xH71c28q]h?4={%3`g?73i2\:>:4={%7ga?e<,:7513g8R71e28qG>:j51zTg6?4|,8ih6<:m;W313?4|,{zut1b=9m50;&13<<6:l1C>:64V35a>4}K:>n1=vXk2;0x 4ed28>h7[?=7;0x 0bb2j1/9ij5c:~yx=n9=n1<7*=78826`=O:>20Z?9m:0yO62b=9r\o>742c3_;9;744<,{M04`?7|^m81>v*>cb820`=Q9;=1>v*:dd826>"2lm0:>6sr}|9j567=83.9;44>2d9U62d=9rF9;i4>{Wf1>7}#9ji1=>?4V004>7}#=mo1:6*:de85?x{zu2c:??4?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb8277=Q9;=1>v*:dd85?!3cl3<0qpsr;h307?6=,;=26<2681!3cm3<0(8jk:79~yx{2wvqp5f12794?"5?00:>h5Y26`95~J5?m0:w[j=:3y'5fe=9:?0Z<<8:3y'1ac=>2.>hi49;|~y>o6;?0;6)<89;31a>P5?k0:wA<8d;3xRa4=:r.:on4>379U571=:r.>hh49;%7g`?028i>7[<8b;3xH71c28q]h?4={%3`g?7d=2\:>:4={%7ga?0<,50z&13<<6k=1C>;j4i00e>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed288m7[?=7;0x 0bb2?1/9ij56:~yx=n9::1<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm51228R4402;q/9ik56:&6`a<13twvq6g>3c83>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6;k1]=?952z&6``<13-?oh784}|~?l73>3:1(?96:00f?S40j3;p@?9k:0yU`7<5s-;ho7?;6:T262<5s-?oi784$4fg>3=zutw0c74e23_;9;73=#=mn1:6sr}|9~f10129096=4?{%04=?d>3A8=h6g>2d83>!4013;9i65`1b794?"5?00:o85Y26`95~J5?m0:w[j=:3y'5fe=9j?0Z<<8:3y'1ac=>2.>hi49;|~y>{e2k30D?8k;h31a?6=,;=26<4?:283>5}#:>31>:84H34g?l75n3:1(?96:00f?S40j3;p@?9k:0yU`7<5s-;ho7?=f:T262<5s-?oi784$4fg>3=zutw0e<=?:18'62?=9;o0Z?9m:0yO62b=9r\o>74573_;9;7c=#=mn1j6sr}|9l5f3=83.9;44>c49U62d=9rF9;i4>{Wf1>7}#9ji1=n;4V004>7}#=mo1:6*:de85?x{zu2wi8:<50;494?6|,;=26<"62d83>!4013;9i6X=7c82I40l3;pZ2.>hi49;|~y>odj3:1(?96:b`8R71e28qG>:j51zT2f1<5s-;ho7mm;W313?4|,{zut1bon4?:%04=?ed3_84}Q9k>1>v*>cb8`g>P6:>09w);ke;:8 0bc211vqpB=7b87S41i39pZi>52z&6`fhn4>2d9'7dc==mo0q)896;g8yS41i39pZi>52z&6`fhn4>2d9'7dc==mn0q)896;g8yS41i39pZi>52z&6`fhn4lb:&0e`<2ll1v(;89:69~R4ee2;q]h=4={%7gg?ed3-9ji7;ke:'230=9:1vqp5f27;94?"5?009:45Y26`96~J5?m0:w[?m4;0x 4ed2;<27[?=7;0x 0bb2880(8jk:008yx{K:>i1=vX=7381Sb72;q/9im527;8 6gb2{zu2c99l4?:%04=?42i2\9;o4={M04`?7|^8h?6?u+1ba960g<^88<6?u+5eg957=#=mn1=?5r}|N13f<6s_8<>7{e2;==7E<9d:k26c<72-8<57?=e:T13g<6sE8k5Y13596~"2ll0=7);kd;48yx{z3`;8<7>5$35:>44b3_84}Ql;09w)?lc;304>P6:>09w);ke;48 0bc2?1vqps4o0a6>5<#:>31=n;4V35a>4}K:>n1=vXk2;0x 4ed28i>7[?=7;0x 0bb2?1/9ij56:~yx=zj=<36=4>:183!401383<6F=6e9l5f3=83.9;44>c498yg21i3:1?7>50z&13<<082B9:i5f13d94?"5?00:>h5Y26`95~J5?m0:w[j=:3y'5fe=9;l0Z<<8:3y'1ac=>2.>hi49;|~y>o6;90;6)<89;31a>P5?k0:wA<8d;3xRa4=:r.:on4>319U571=:r.>hh49;%7g`?028i>7[<8b;3xH71c28q]h?4={%3`g?7d=2\:>:4={%7ga?0<,;j4i00e>5<#:>31=?k4H35;?S40j3;p@?9k:0yU`7<5s-;ho7?=f:T262<5s-?oi7l4$4fg>g=zutw0e<=?:18'62?=9;o0D?97;W04f?7|D;=o62681!3cm3h0(8jk:c9~yx{h5Y26`95~J5?m0:w[j=:3y'5fe=9=<0Z<<8:3y'1ac=j2.>hi4m;|~y>o6<00;6)<89;31a>P5?k0:wA<8d;3xRa4=:r.:on4>489U571=:r.>hh4m;%7g`?d288n7[<8b;3xH71c28q]h?4={%3`g?73i2\:>:4={%7ga?d<,:7513g8R71e28qG>:j51zTg6?4|,8ih6<:m;W313?4|,{zut1b=9m50;&13<<6:l1]>:l51zN13a<6s_n96?u+1ba951e<^88<6?u+5eg9f>"2lm0i7psr}:k20a<72-8<57?=e:T13g<6sE85$35:>44b3A8<46X=7c82I40l3;pZi<52z&2gf<6{M04`?7|^m81>v*>cb8274=Q9;=1>v*:dd8a?!3cl3h0qpsr;h306?6=,;=26<P5?k0:wA<8d;3xRa4=:r.:on4>339U571=:r.>hh4>1:&6`a<692wvqp5f12194?"5?00:>h5Y26`95~J5?m0:w[j=:3y'5fe=9:90Z<<8:3y'1ac=981/9ij5109~yx{2681!3cm3;:7);kd;32?x{zu2c:?;4?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb8273=Q9;=1>v*:dd85?!3cl3<0qpsr;n3`1?6=,;=262681!3cm3<0(8jk:79~yx{=o7>51083>5}#:>31;=5G27f8m44a290/>:7513g8L71?3_84}Ql;09w)?lc;31b>P6:>09w);ke;`8 0bc2k1vqps4i013>5<#:>31=?k4H35;?S40j3;p@?9k:0yU`7<5s-;ho7?<0:T262<5s-?oi7l4$4fg>g=zutw0e<=m:18'62?=9;o0Z?9m:0yO62b=9r\o>745e3_;9;7g=#=mn1n6sr}|9j510=83.9;44>2d9U62d=9rF9;i4>{Wf1>7}#9ji1=984V004>7}#=mo1n6*:de8a?x{zu2c:844?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb820<=Q9;=1>v*:dd8a?!3cl3h0qpsr;h37e?6=,;=26<2681!3cm3h0(8jk:c9~yx{i6=4+26;957c<^;=i6h5Y26`95~J5?m0:w[j=:3y'5fe=9=i0Z<<8:3y'1ac=j2.>hi4m;|~y>o6P5?k0:wA<8d;3xRa4=:r.:on4>4e9U571=:r.>hh4m;%7g`?d288n7E<88:T13g<6sE85$35:>44b3A8<46X=7c82I40l3;pZi<52z&2gf<6;81]=?952z&6``{M04`?7|^m81>v*>cb8277=Q9;=1>v*:dd825>"2lm0:=6sr}|9j565=83.9;44>2d9U62d=9rF9;i4>{Wf1>7}#9ji1=>=4V004>7}#=mo1=<5+5ef954=zutw0e<=;:18'62?=9;o0Z?9m:0yO62b=9r\o>74533_;9;747<,;%7g`?763twvq6g>3783>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6;?1]=?952z&6``<13-?oh784}|~?j7d=3:1(?96:0a6?S40j3;p@?9k:0yU`7<5s-;ho7?l5:T262<5s-?oi784$4fg>3=zutw0qo:9d;2954<729q/>:75719K63b:4={%7ga?d<,:7513g8L71?3_84}Ql;09w)?lc;304>P6:>09w);ke;`8 0bc2k1vqps4i01a>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed289i7[?=7;0x 0bb2k1/9ij5b:~yx=n9=<1<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm51548R4402;q/9ik5b:&6`a4883>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6<01]=?952z&6``g=zutw0e<:m:18'62?=9;o0Z?9m:0yO62b=9r\o>742e3_;9;7g=#=mn1n6sr}|9j51e=83.9;44>2d9U62d=9rF9;i4>{Wf1>7}#9ji1=9m4V004>7}#=mo1n6*:de8a?x{zu2c:8i4?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb820a=Q9;=1>v*:dd8a?!3cl3h0qpsr;h37a?6=,;=26<P5?k0:wA<8d;3xRa4=:r.:on4>4d9U571=:r.>hh4m;%7g`?d288n7E<88:T13g<6sE87>5$35:>44b3A8<46X=7c82I40l3;pZi<52z&2gf<6;;1]=?952z&6``<692.>hi4>1:~yx=n9:91<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm51218R4402;q/9ik5109'1ab=981vqps4i017>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed289?7[?=7;0x 0bb28;0(8jk:038yx{z3`;897>5$35:>44b3_84}Ql;09w)?lc;301>P6:>09w);ke;32?!3cl3;:7psr}:k273<72-8<57?=e:T13g<6sE85$35:>4e23_84}Ql;09w)?lc;3`1>P6:>09w);ke;48 0bc2?1vqps4}c65a?6=980;6=u+26;935=O:?n0e<2681!3cm3h0(8jk:c9~yx{:4={%7ga?d<,:7513g8R71e28qG>:j51zTg6?4|,8ih6<=m;W313?4|,{zut1b=9850;&13<<6:l1]>:l51zN13a<6s_n96?u+1ba9510<^88<6?u+5eg9f>"2lm0i7psr}:k20<<72-8<57?=e:T13g<6sE85$35:>44b3_84}Ql;09w)?lc;37e>P6:>09w);ke;`8 0bc2k1vqps4i06a>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed28>i7[?=7;0x 0bb2k1/9ij5b:~yx=n9=i1<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm515a8R4402;q/9ik5b:&6`a4e83>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6{M04`?7|^m81>v*>cb820`=Q9;=1>v*:dd8a?!3cl3h0qpsr;h305?6=,;=26<P5?k0:wA<8d;3xRa4=:r.:on4>309U571=:r.>hh4m;%7g`?d288n7E<88:T13g<6sE8{zut1b=>=50;&13<<6:l1]>:l51zN13a<6s_n96?u+1ba9565<^88<6?u+5eg954=#=mn1=<5r}|8m453290/>:7513g8R71e28qG>:j51zTg6?4|,8ih6<=;;W313?4|,47288n7[<8b;3xH71c28q]h?4={%3`g?74=2\:>:4={%7ga?763-?oh7?>;|~y>o6;?0;6)<89;31a>P5?k0:wA<8d;3xRa4=:r.:on4>379U571=:r.>hh49;%7g`?028i>7[<8b;3xH71c28q]h?4={%3`g?7d=2\:>:4={%7ga?0<,50z&13<<6k=1C>;j4i00e>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed288m7[?=7;0x 0bb2?1/9ij56:~yx=n9::1<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm51228R4402;q/9ik56:&6`a<13twvq6g>3c83>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6;k1]=?952z&6``<13-?oh784}|~?l73>3:1(?96:00f?S40j3;p@?9k:0yU`7<5s-;ho7?;6:T262<5s-?oi784$4fg>3=zutw0e<:6:18'62?=9;o0Z?9m:0yO62b=9r\o>742>3_;9;73=#=mn1:6sr}|9l5f3=83.9;44>c49U62d=9rF9;i4>{Wf1>7}#9ji1=n;4V004>7}#=mo1:6*:de85?x{zu2wi8:>50;594?6|,;=26:>4H34g?l75n3:1(?96:00f?M4002\9;o4>{M04`?7|^m81>v*>cb826c=Q9;=1>v*:dd8`?!3cl3i0qpsr;h304?6=,;=26<P5?k0:wA<8d;3xRa4=:r.:on4>319U571=:r.>hh4l;%7g`?e288n7E<88:T13g<6sE85$35:>44b3A8<46X=7c82I40l3;pZi<52z&2gf<6{M04`?7|^m81>v*>cb820<=Q9;=1>v*:dd826>"2lm0:>6sr}|9j51g=83.9;44>2d9U62d=9rF9;i4>{Wf1>7}#9ji1=9o4V004>7}#=mo1=?5+5ef957=zutw0c74e23_;9;73=#=mn1:6sr}|9~f41a29096=4?{%04=?d>3A8=h6g>2d83>!4013;9i65`1b794?"5?00:o85Y26`95~J5?m0:w[j=:3y'5fe=9j?0Z<<8:3y'1ac=>2.>hi49;|~y>{e91?1<7<50;2x 71>2k30D?8k;h31a?6=,;=26<5}#:>31=?m4H34g?!73n3;?7d?=e;29 71>288n7[<8b;3xH71c28q]=o:52z&2gf<6:l1]=?952z&6``<13-?oh784}|~?lee290/>:75cc9U62d=9rF9;i4>{W3a0?4|,8ih6nl4V004>7}#=mo1:6*:de85?x{zu2cho7>5$35:>fe<^;=i6?uC26f95~P6j=09w)?lc;a`?S75?38p(8jj:99'1ab=02wvqA<8c;6xR70f2:q]h=4={%7gg?ed3-9ji7;ke:U`4<5s-?oo7?=e:&0e`<2ll1v(;89:d9~R70f2:q]h=4={%7gg?ed3-9ji7;ke:U`4<5s-?oo7?=e:&0e`<2lm1v(;89:d9~R70f2:q]h=4={%7gg?ed3-9ji7;ke:U`4<5s-?oo7mm;%1ba?3cm2w/:;857:U5fd=:r\o<7fe<,:kn68jj;|&523<6;2wvq6g=6883>!40138=56X=7c81I40l3;pZhn4=689'7dc==mo0q)896;31?x{z3`8>m7>5$35:>73f3_84}Q9k>1>v*>cb811d=Q9;=1>v*:dd826>"2lm0:>6sr}M04g?7|^;=96?uYd181!3ck38>m6*:751b78?xd6090;6>4?:1y'62?=:><0D?8k;h31b?6=,;=26<P5?k0:wA<8d;3xRa4=:r.:on4>2g9U571=:r.>hh49;%7g`?0288n7[<8b;3xH71c28q]h?4={%3`g?7482\:>:4={%7ga?0<,:751b78R71e28qG>:j51zTg6?4|,8ih6{zut1vn<6>:182>5<7s-8<57<70:J12a=h9j?1<7*=7882g0=53;294~"5?00<<6F=6e9j57`=83.9;44>2d9U62d=9rF9;i4>{Wf1>7}#9ji1=?h4V004>7}#=mo1:6*:de85?x{zu2c:?=4?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb8275=Q9;=1>v*:dd85?!3cl3<0qpsr;n3`1?6=,;=262681!3cm3<0(8jk:79~yx{51083>5}#:>31;=5G27f8m44a290/>:7513g8L71?3_84}Ql;09w)?lc;31b>P6:>09w);ke;`8 0bc2k1vqps4i013>5<#:>31=?k4H35;?S40j3;p@?9k:0yU`7<5s-;ho7?<0:T262<5s-?oi7l4$4fg>g=zutw0e<=m:18'62?=9;o0Z?9m:0yO62b=9r\o>745e3_;9;7g=#=mn1n6sr}|9j510=83.9;44>2d9U62d=9rF9;i4>{Wf1>7}#9ji1=984V004>7}#=mo1n6*:de8a?x{zu2c:844?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb820<=Q9;=1>v*:dd8a?!3cl3h0qpsr;h37e?6=,;=26<2681!3cm3h0(8jk:c9~yx{i6=4+26;957c<^;=i6h5Y26`95~J5?m0:w[j=:3y'5fe=9=i0Z<<8:3y'1ac=j2.>hi4m;|~y>o6P5?k0:wA<8d;3xRa4=:r.:on4>4e9U571=:r.>hh4m;%7g`?d288n7E<88:T13g<6sE85$35:>44b3A8<46X=7c82I40l3;pZi<52z&2gf<6;81]=?952z&6``<692.>hi4>1:~yx=n9:81<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm51208R4402;q/9ik56:&6`a<13twvq6g>3283>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6;:1]=?952z&6``<13-?oh784}|~?l74<3:1(?96:00f?S40j3;p@?9k:0yU`7<5s-;ho7?<4:T262<5s-?oi784$4fg>3=zutw0e<=::18'62?=9;o0Z?9m:0yO62b=9r\o>74523_;9;73=#=mn1:6sr}|9j560=83.9;44>2d9U62d=9rF9;i4>{Wf1>7}#9ji1=>84V004>7}#=mo1:6*:de85?x{zu2e:o84?:%04=?7d=2\9;o4>{M04`?7|^m81>v*>cb82g0=Q9;=1>v*:dd85?!3cl3<0qpsr;|`2<7<72:0;6=u+26;95f2<@;288n7[<8b;3xH71c28q]h?4={%3`g?75n2\:>:4={%7ga?0<,:7513g8R71e28qG>:j51zTg6?4|,8ih6<=?;W313?4|,{zut1d=n;50;&13<<6k<1]>:l51zN13a<6s_n96?u+1ba95f3<^88<6?u+5eg92>"2lm0=7psr}:a5=0=838:6=4?{%04=?173A8=h6g>2g83>!4013;9i6F=799U62d=9rF9;i4>{Wf1>7}#9ji1=?h4V004>7}#=mo1o6*:de8`?x{zu2c:?=4?:%04=?75m2B9;55Y26`95~J5?m0:w[j=:3y'5fe=9::0Z<<8:3y'1ac=k2.>hi4l;|~y>o6;k0;6)<89;31a>P5?k0:wA<8d;3xRa4=:r.:on4>3c9U571=:r.>hh4l;%7g`?e288n7[<8b;3xH71c28q]h?4={%3`g?73>2\:>:4={%7ga?e<,290/>:7513g8R71e28qG>:j51zTg6?4|,8ih6<:6;W313?4|,{zut1b=9o50;&13<<6:l1]>:l51zN13a<6s_n96?u+1ba951g<^88<6?u+5eg9g>"2lm0h7psr}:k20g<72-8<57?=e:T13g<6sE85$35:>44b3_84}Ql;09w)?lc;37g>P6:>09w);ke;a8 0bc2j1vqps4i06g>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed28>o7[?=7;0x 0bb2j1/9ij5c:~yx=n9=o1<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm515g8R4402;q/9ik5c:&6`a3083>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6;81]=?952z&6``f=zutw0e<=<:18'62?=9;o0Z?9m:0yO62b=9r\o>74543_;9;7f=#=mn1o6sr}|9j562=83.9;44>2d9U62d=9rF9;i4>{Wf1>7}#9ji1=>:4V004>7}#=mo1o6*:de8`?x{zu2c:?84?:%04=?75m2B9;55Y26`95~J5?m0:w[j=:3y'5fe=9:?0Z<<8:3y'1ac=k2.>hi4l;|~y>o6;?0;6)<89;31a>P5?k0:wA<8d;3xRa4=:r.:on4>379U571=:r.>hh4l;%7g`?e288n7[<8b;3xH71c28q]h?4={%3`g?74?2\:>:4={%7ga?753-?oh7?=;|~y>o6;10;6)<89;31a>P5?k0:wA<8d;3xRa4=:r.:on4>399U571=:r.>hh4>2:&6`a<6:2wvqp5f12;94?"5?00:>h5G26:8R71e28qG>:j51zTg6?4|,8ih6<=6;W313?4|,44288n7[<8b;3xH71c28q]h?4={%3`g?74i2\:>:4={%7ga?753-?oh7?=;|~y>o6;j0;6)<89;31a>P5?k0:wA<8d;3xRa4=:r.:on4>3b9U571=:r.>hh49;%7g`?0288n7[<8b;3xH71c28q]h?4={%3`g?74l2\:>:4={%7ga?0<,:7513g8R71e28qG>:j51zTg6?4|,8ih6<=j;W313?4|,{zut1b=>h50;&13<<6:l1]>:l51zN13a<6s_n96?u+1ba956`<^88<6?u+5eg92>"2lm0=7psr}:k205<72-8<57?=e:T13g<6sE85$35:>44b3_84}Ql;09w)?lc;375>P6:>09w);ke;48 0bc2?1vqps4i061>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed28>97[?=7;0x 0bb2?1/9ij56:~yx=n9=91<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm51518R4402;q/9ik56:&6`a<13twvq6g>4583>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6<=1]=?952z&6``<13-?oh784}|~?l73=3:1(?96:00f?S40j3;p@?9k:0yU`7<5s-;ho7?;5:T262<5s-?oi784$4fg>3=zutw0e<:8:18'62?=9;o0Z?9m:0yO62b=9r\o>74203_;9;73=#=mn1:6sr}|9j51>=83.9;44>2d9U62d=9rF9;i4>{Wf1>7}#9ji1=964V004>7}#=mo1:6*:de85?x{zu2e:o84?:%04=?7d=2\9;o4>{M04`?7|^m81>v*>cb82g0=Q9;=1>v*:dd85?!3cl3<0qpsr;|`2<2<72;;1<7>t$35:>26<@;288n7E<88:T13g<6sE8k5Y13596~"2ll0h7);kd;a8yx{z3`;8<7>5$35:>44b3A8<46X=7c82I40l3;pZi<52z&2gf<6;91]=?952z&6``f=zutw0e<:9:18'62?=9;o0Z?9m:0yO62b=9r\o>74213_;9;7f=#=mn1o6sr}|9j51?=83.9;44>2d9U62d=9rF9;i4>{Wf1>7}#9ji1=974V004>7}#=mo1o6*:de8`?x{zu2c:8l4?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb820d=Q9;=1>v*:dd8`?!3cl3i0qpsr;h37f?6=,;=26<2681!3cm3i0(8jk:b9~yx{h6=4+26;957c<^;=i6h5Y26`95~J5?m0:w[j=:3y'5fe=9=n0Z<<8:3y'1ac=k2.>hi4l;|~y>o6P5?k0:wA<8d;3xRa4=:r.:on4>4d9U571=:r.>hh4l;%7g`?e288n7[<8b;3xH71c28q]h?4={%3`g?7492\:>:4={%7ga?e<,:7513g8R71e28qG>:j51zTg6?4|,8ih6<==;W313?4|,{zut1b=>=50;&13<<6:l1]>:l51zN13a<6s_n96?u+1ba9565<^88<6?u+5eg9g>"2lm0h7psr}:k271<72-8<57?=e:T13g<6sE85$35:>44b3A8<46X=7c82I40l3;pZi<52z&2gf<6;<1]=?952z&6``3:1(?96:00f?S40j3;p@?9k:0yU`7<5s-;ho7?<6:T262<5s-?oi7m4$4fg>f=zutw0e<=8:18'62?=9;o0Z?9m:0yO62b=9r\o>74503_;9;744<,3883>!4013;9i6F=799U62d=9rF9;i4>{Wf1>7}#9ji1=>74V004>7}#=mo1=?5+5ef957=zutw0e<=n:18'62?=9;o0Z?9m:0yO62b=9r\o>745f3_;9;744<,3=zutw0e<=k:18'62?=9;o0Z?9m:0yO62b=9r\o>745c3_;9;73=#=mn1:6sr}|9j56c=83.9;44>2d9U62d=9rF9;i4>{Wf1>7}#9ji1=>k4V004>7}#=mo1:6*:de85?x{zu2c:?k4?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb827c=Q9;=1>v*:dd85?!3cl3<0qpsr;h374?6=,;=26<2681!3cm3<0(8jk:79~yx{:6=4+26;957c<^;=i62wvqp5f15094?"5?00:>h5Y26`95~J5?m0:w[j=:3y'5fe=9=80Z<<8:3y'1ac=>2.>hi49;|~y>o6<:0;6)<89;31a>P5?k0:wA<8d;3xRa4=:r.:on4>429U571=:r.>hh49;%7g`?0288n7[<8b;3xH71c28q]h?4={%3`g?73<2\:>:4={%7ga?0<,:7513g8R71e28qG>:j51zTg6?4|,8ih6<::;W313?4|,{zut1b=9950;&13<<6:l1]>:l51zN13a<6s_n96?u+1ba9511<^88<6?u+5eg92>"2lm0=7psr}:k20=<72-8<57?=e:T13g<6sE85$35:>4e23_84}Ql;09w)?lc;3`1>P6:>09w);ke;48 0bc2?1vqps4}c3;2681!3cm3i0(8jk:b9~yx{:4={%7ga?e<,:7513g8R71e28qG>:j51zTg6?4|,8ih6<=m;W313?4|,{zut1b=9850;&13<<6:l1]>:l51zN13a<6s_n96?u+1ba9510<^88<6?u+5eg9g>"2lm0h7psr}:k20<<72-8<57?=e:T13g<6sE85$35:>44b3_84}Ql;09w)?lc;37e>P6:>09w);ke;a8 0bc2j1vqps4i06a>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed28>i7[?=7;0x 0bb2j1/9ij5c:~yx=n9=i1<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm515a8R4402;q/9ik5c:&6`a4e83>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6f=zutw0e<=>:18'62?=9;o0D?97;W04f?7|D;=o62681!3cm3i0(8jk:b9~yx{:4={%7ga?e<,:7513g8L71?3_84}Ql;09w)?lc;307>P6:>09w);ke;a8 0bc2j1vqps4i017>5<#:>31=?k4H35;?S40j3;p@?9k:0yU`7<5s-;ho7?<4:T262<5s-?oi7m4$4fg>f=zutw0e<=::18'62?=9;o0D?97;W04f?7|D;=o62681!3cm3i0(8jk:b9~yx{h5Y26`95~J5?m0:w[j=:3y'5fe=9:=0Z<<8:3y'1ac=9;1/9ij5139~yx{P5?k0:wA<8d;3xRa4=:r.:on4>389U571=:r.>hh4>2:&6`a<6:2wvqp5f12c94?"5?00:>h5Y26`95~J5?m0:w[j=:3y'5fe=9:k0Z<<8:3y'1ac=9;1/9ij5139~yx{2wvqp5f12f94?"5?00:>h5Y26`95~J5?m0:w[j=:3y'5fe=9:n0Z<<8:3y'1ac=>2.>hi49;|~y>o6;l0;6)<89;31a>P5?k0:wA<8d;3xRa4=:r.:on4>3d9U571=:r.>hh49;%7g`?0288n7[<8b;3xH71c28q]h?4={%3`g?74n2\:>:4={%7ga?0<,:7513g8R71e28qG>:j51zTg6?4|,8ih6<:?;W313?4|,{zut1b=9?50;&13<<6:l1]>:l51zN13a<6s_n96?u+1ba9517<^88<6?u+5eg92>"2lm0=7psr}:k207<72-8<57?=e:T13g<6sE85$35:>44b3_84}Ql;09w)?lc;377>P6:>09w);ke;48 0bc2?1vqps4i067>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed28>?7[?=7;0x 0bb2?1/9ij56:~yx=n9=?1<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm51578R4402;q/9ik56:&6`a<13twvq6g>4683>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6<>1]=?952z&6``<13-?oh784}|~?l7303:1(?96:00f?S40j3;p@?9k:0yU`7<5s-;ho7?;8:T262<5s-?oi784$4fg>3=zutw0c74e23_;9;73=#=mn1:6sr}|9~f4>>2909=7>50z&13<<082B9:i5f13d94?"5?00:>h5G26:8R71e28qG>:j51zTg6?4|,8ih6<{zut1b=>>50;&13<<6:l1C>:64V35a>4}K:>n1=vXk2;0x 4ed289;7[?=7;0x 0bb2j1/9ij5c:~yx=n9:h1<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm512`8R4402;q/9ik5c:&6`a4783>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6f=zutw0e<:n:18'62?=9;o0Z?9m:0yO62b=9r\o>742f3_;9;7f=#=mn1o6sr}|9j51d=83.9;44>2d9U62d=9rF9;i4>{Wf1>7}#9ji1=9l4V004>7}#=mo1o6*:de8`?x{zu2c:8n4?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb820f=Q9;=1>v*:dd8`?!3cl3i0qpsr;h37`?6=,;=26<2681!3cm3i0(8jk:b9~yx{n6=4+26;957c<^;=i6h5G26:8R71e28qG>:j51zTg6?4|,8ih6<=>;W313?4|,{zut1b=><50;&13<<6:l1C>:64V35a>4}K:>n1=vXk2;0x 4ed28997[?=7;0x 0bb2j1/9ij5c:~yx=n9:91<7*=78826`=O:>20Z?9m:0yO62b=9r\o>74543_;9;7f=#=mn1o6sr}|9j562=83.9;44>2d9K62><^;=i6h5G26:8R71e28qG>:j51zTg6?4|,8ih6<=:;W313?4|,{zut1b=>850;&13<<6:l1]>:l51zN13a<6s_n96?u+1ba9560<^88<6?u+5eg9g>"2lm0h7psr}:k272<72-8<57?=e:T13g<6sE86*:de826>{zut1b=>650;&13<<6:l1]>:l51zN13a<6s_n96?u+1ba956><^88<6?u+5eg957=#=mn1=?5r}|8m45>290/>:7513g8L71?3_84}Ql;09w)?lc;30=>P6:>09w);ke;31?!3cl3;97psr}:k27d<72-8<57?=e:T13g<6sE86*:de826>{zut1b=>m50;&13<<6:l1]>:l51zN13a<6s_n96?u+1ba956e<^88<6?u+5eg92>"2lm0=7psr}:k27a<72-8<57?=e:T13g<6sE85$35:>44b3_84}Ql;09w)?lc;30a>P6:>09w);ke;48 0bc2?1vqps4i01e>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed289m7[?=7;0x 0bb2?1/9ij56:~yx=n9=:1<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm51528R4402;q/9ik56:&6`a<13twvq6g>4083>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6<81]=?952z&6``<13-?oh784}|~?l73:3:1(?96:00f?S40j3;p@?9k:0yU`7<5s-;ho7?;2:T262<5s-?oi784$4fg>3=zutw0e<:<:18'62?=9;o0Z?9m:0yO62b=9r\o>74243_;9;73=#=mn1:6sr}|9j512=83.9;44>2d9U62d=9rF9;i4>{Wf1>7}#9ji1=9:4V004>7}#=mo1:6*:de85?x{zu2c:884?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb8200=Q9;=1>v*:dd85?!3cl3<0qpsr;h373?6=,;=26<2681!3cm3<0(8jk:79~yx{36=4+26;957c<^;=i62wvqp5`1b794?"5?00:o85Y26`95~J5?m0:w[j=:3y'5fe=9j?0Z<<8:3y'1ac=>2.>hi49;|~y>{e91k1<7;50;2x 71>28i?7E<9d:k26c<72-8<57?=e:T13g<6sE8k5Y13596~"2ll0=7);kd;48yx{z3`;8<7>5$35:>44b3_84}Ql;09w)?lc;304>P6:>09w);ke;48 0bc2?1vqps4i01a>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed289i7[?=7;0x 0bb2?1/9ij56:~yx=n9=<1<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm51548R4402;q/9ik56:&6`a<13twvq6a>c483>!4013;h96X=7c82I40l3;pZi<52z&2gf<6k<1]=?952z&6``<13-?oh784}|~?xd3=o0;6?4?:1y'62?=j01C>;j4i00f>5<#:>31=?k4;n3`1?6=,;=262681!3cm3<0(8jk:79~yx{=97>56;294~"5?00:>n5G27f8 42a28>0e<:4={%7ga?0<,7}#9ji1oo5Y13596~"2ll0=7);kd;48yx{z3`ih6=4+26;9gf=Q:>h1>vB=7e82S7e<38p(fe<,:kn68jj;|Tg5?4|,fe<,:kn68jj;|Tg5?4|,fe<,:kn68jj;|Tg5?4|,0bb3t.=:;4j;|T2gg<5s_n;6?u+5ea9gf=#;ho19ik4}%452?743twv7d<99;29 71>2;<27[<8b;0xH71c28q]=o:52z&2gf<5>01]=?952z&6``<6:2.>hi4>2:~yI40k3;pZ?9=:3yU`5<5s-?oo7<99:&0e`<2ll1v(;89:008yx{P6:>09w);ke;31?!3cl3;97psrL35`>4}Q:>81>vXk0;0x 0bd2;?j7)=ne;7ga>{#>?<1=?5r}|9l5f3=83.9;44>c498yg2193:1?7>50z&13<<5??1C>;j4i00e>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed288m7[?=7;0x 0bb2?1/9ij56:~yx=n9::1<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm51228R4402;q/9ik56:&6`a<13twvq6a>c483>!4013;h96X=7c82I40l3;pZi<52z&2gf<6k<1]=?952z&6``<13-?oh784}|~?xd3>;0;6<4?:1y'62?=:1:0D?8k;n3`1?6=,;=26t$35:>26<@;288n7E<88:T13g<6sE8k5Y13596~"2ll0i7);kd;`8yx{z3`;8<7>5$35:>44b3A8<46X=7c82I40l3;pZi<52z&2gf<6;91]=?952z&6``3=zutw0qo:90;297?6=8r.9;4480:J12a=n9;l1<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm513d8R4402;q/9ik5109'1ab=981vqps4i013>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed289;7[?=7;0x 0bb28;0(8jk:038yx{z3f;h97>5$35:>4e23_84}Ql;09w)?lc;3`1>P6:>09w);ke;48 0bc2?1vqps4}c640?6=:3:1N5>m1b=?k50;&13<<6:l10c74e23_;9;73=#=mn1:6sr}|9~f11f290=6=4?{%04=?75k2B9:i5+15d951=n9;o1<7*=78826`=Q:>h1=vB=7e82S7e<38p(2wvqp5fcc83>!4013ii7[<8b;3xH71c28q]=o:52z&2gf:4={%7ga?0<,7}#9ji1on5Y13596~"2ll037);kd;:8yx{K:>i18vX=6`80Sb72;q/9im5cb9'7dc==mo0q[j>:3y'1ae=9;o0(>oj:4ff?x"1>?0n7pX=6`80Sb72;q/9im5cb9'7dc==mo0q[j>:3y'1ae=9;o0(>oj:4fg?x"1>?0n7pX=6`80Sb72;q/9im5cb9'7dc==mo0q[j>:3y'1ae=kk1/?lk55eg8y!01>3o0q[?lb;0xRa6=:r.>hn4lc:&0e`<2ll1v(;89:018yx{P6:>09w);ke;31?!3cl3;97psrL35`>4}Q:>81>vXk0;0x 0bd2;<27)=ne;7ga>{#>?<1=?5r}|9j60g=83.9;44=5`9U62d=:rF9;i4>{W3a0?4|,8ih6?;n;W313?4|,44:m51zT137<5s_n;6?u+5ea960g<,:kn68jj;|&523<6:2wvq6a>c483>!4013;h965rb555>5<4290;w)<89;042>N5>m1b=?h50;&13<<6:l1]>:l51zN13a<6s_n96?u+1ba957`<^88<6?u+5eg92>"2lm0=7psr}:k275<72-8<57?=e:T13g<6sE85$35:>4e23_84}Ql;09w)?lc;3`1>P6:>09w);ke;48 0bc2?1vqps4}c643?6=93:16<729q/>:75719K63b:4={%7ga?d<,:7513g8L71?3_84}Ql;09w)?lc;304>P6:>09w);ke;`8 0bc2k1vqps4o0a6>5<#:>31=n;4V35a>4}K:>n1=vXk2;0x 4ed28i>7[?=7;0x 0bb2?1/9ij56:~yx=zj==>6=4<:183!4013=;7E<9d:k26c<72-8<57?=e:T13g<6sE8k5Y13596~"2ll0:=6*:de825>{zut1b=>>50;&13<<6:l1]>:l51zN13a<6s_n96?u+1ba9566<^88<6?u+5eg954=#=mn1=<5r}|8k4e2290/>:751b78R71e28qG>:j51zTg6?4|,8ih6{zut1vn8kk:181>5<7s-8<57l6;I05`>o6:l0;6)<89;31a>=h9j?1<7*=7882g0=Q:>h1=vB=7e82Sb52;q/=nm51b78R4402;q/9ik56:&6`a<13twvq6sm5g694?4=83:p(?96:c;8L70c3`;9i7>5$35:>44b32e:o84?:%04=?7d=2\9;o4>{M04`?7|^m81>v*>cb82g0=Q9;=1>v*:dd85?!3cl3<0qpsr;|`6bd<72:0;6=u+26;9620<@;288n7[<8b;3xH71c28q]h?4={%3`g?75n2\:>:4={%7ga?0<,:7513g8R71e28qG>:j51zTg6?4|,8ih6<=?;W313?4|,{zut1d=n;50;&13<<6k<1]>:l51zN13a<6s_n96?u+1ba95f3<^88<6?u+5eg92>"2lm0=7psr}:a1c?=83<1<7>t$35:>44d3A8=h6*>4g820>o6:l0;6)<89;31a>P5?k0:wA<8d;3xR4d32;q/=nm513g8R4402;q/9ik56:&6`a<13twvq6glb;29 71>2jh0Z?9m:0yO62b=9r\:n94={%3`g?ee3_;9;73=#=mn1:6sr}|9jgf<72-8<57ml;W04f?4|D;=o62681!3cm320(8jk:99~yxJ5?j0?w[<9a;1xRa6=:r.>hn4lc:&0e`<2ll1vZi?52z&6`f<6:l1/?lk55eg8y!01>3o0q[<9a;1xRa6=:r.>hn4lc:&0e`<2ll1vZi?52z&6`f<6:l1/?lk55ef8y!01>3o0q[<9a;1xRa6=:r.>hn4lc:&0e`<2ll1vZi?52z&6`f1vZh1>vB=7e82S7e<38p(oj:4ff?x"1>?0:>6sr}:k11d<72-8<57<:a:T13g<5sE87}#9ji1>8o4V004>7}#=mo1=?5+5ef957=zutF9;n4>{W046?4|^m:1>v*:db811d=#;ho19ik4}%452?753twv7b?l5;29 71>28i>76sm5dg94?5=83:p(?96:355?M41l2c:>k4?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb826c=Q9;=1>v*:dd85?!3cl3<0qpsr;h304?6=,;=26<2681!3cm3<0(8jk:79~yx{6=4+26;95f3<^;=i62wvqp5rb4ge>5<6290;w)<89;0;4>N5>m1d=n;50;&13<<6k<10qo;i1;290?6=8r.9;4480:J12a=n9;l1<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm513d8R4402;q/9ik5b:&6`a3183>!4013;9i6F=799U62d=9rF9;i4>{Wf1>7}#9ji1=>>4V004>7}#=mo1=<5+5ef954=zutw0e<=m:18'62?=9;o0Z?9m:0yO62b=9r\o>745e3_;9;747<,3=zutw0qo;i2;2920Z?9m:0yO62b=9r\o>744a3_;9;7g=#=mn1n6sr}|9j566=83.9;44>2d9K62><^;=i6h5G26:8R71e28qG>:j51zTg6?4|,8ih6<=m;W313?4|,{zut1b=9850;&13<<6:l1]>:l51zN13a<6s_n96?u+1ba9510<^88<6?u+5eg954=#=mn1=<5r}|8m42>290/>:7513g8L71?3_84}Ql;09w)?lc;37=>P6:>09w);ke;32?!3cl3;:7psr}:k20d<72-8<57?=e:T13g<6sE8{zut1b=9l50;&13<<6:l1]>:l51zN13a<6s_n96?u+1ba951d<^88<6?u+5eg954=#=mn1=<5r}|8k4e2290/>:751b78R71e28qG>:j51zTg6?4|,8ih6{zut1vn8h<:18;>5<7s-8<579?;I05`>o6:o0;6)<89;31a>N5?11]>:l51zN13a<6s_n96?u+1ba957`<^88<6?u+5eg9f>"2lm0i7psr}:k275<72-8<57?=e:J13==Q:>h1=vB=7e82Sb52;q/=nm51228R4402;q/9ik5b:&6`a3c83>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6;k1]=?952z&6``<692.>hi4>1:~yx=n9=<1<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm51548R4402;q/9ik5109'1ab=981vqps4i06:>5<#:>31=?k4H35;?S40j3;p@?9k:0yU`7<5s-;ho7?;9:T262<5s-?oi7?>;%7g`?763twvq6g>4`83>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6hi4>1:~yx=n9=h1<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm515`8R4402;q/9ik5109'1ab=981vqps4o0a6>5<#:>31=n;4V35a>4}K:>n1=vXk2;0x 4ed28i>7[?=7;0x 0bb2?1/9ij56:~yx=zj2d9U62d=9rF9;i4>{Wf1>7}#9ji1=?h4V004>7}#=mo1:6*:de85?x{zu2c:?=4?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb8275=Q9;=1>v*:dd85?!3cl3<0qpsr;h30f?6=,;=26<2681!3cm3<0(8jk:79~yx{6=4+26;95f3<^;=i62wvqp5rb4d6>5<0290;w)<89;53?M41l2c:>k4?:%04=?75m2B9;55Y26`95~J5?m0:w[j=:3y'5fe=9;l0Z<<8:3y'1ac=k2.>hi4l;|~y>o6;90;6)<89;31a>P5?k0:wA<8d;3xRa4=:r.:on4>319U571=:r.>hh4l;%7g`?e288n7E<88:T13g<6sE85$35:>44b3A8<46X=7c82I40l3;pZi<52z&2gf<6{M04`?7|^m81>v*>cb820<=Q9;=1>v*:dd8`?!3cl3i0qpsr;h37e?6=,;=26<P5?k0:wA<8d;3xRa4=:r.:on4>4`9U571=:r.>hh4>2:&6`a<6:2wvqp5`1b794?"5?00:o85Y26`95~J5?m0:w[j=:3y'5fe=9j?0Z<<8:3y'1ac=>2.>hi49;|~y>{e=o<1<7950;2x 71>2>:0D?8k;h31b?6=,;=26<P5?k0:wA<8d;3xRa4=:r.:on4>2g9U571=:r.>hh4l;%7g`?e288n7[<8b;3xH71c28q]h?4={%3`g?7482\:>:4={%7ga?e<,:7513g8L71?3_84}Ql;09w)?lc;30f>P6:>09w);ke;a8 0bc2j1vqps4i065>5<#:>31=?k4H35;?S40j3;p@?9k:0yU`7<5s-;ho7?;6:T262<5s-?oi7m4$4fg>f=zutw0e<:6:18'62?=9;o0Z?9m:0yO62b=9r\o>742>3_;9;744<,{M04`?7|^m81>v*>cb820d=Q9;=1>v*:dd826>"2lm0:>6sr}|9l5f3=83.9;44>c49U62d=9rF9;i4>{Wf1>7}#9ji1=n;4V004>7}#=mo1:6*:de85?x{zu2wi9k950;194?6|,;=26o6:o0;6)<89;31a>P5?k0:wA<8d;3xRa4=:r.:on4>2g9U571=:r.>hh49;%7g`?0288n7[<8b;3xH71c28q]h?4={%3`g?7482\:>:4={%7ga?0<,:751b78R71e28qG>:j51zTg6?4|,8ih6{zut1vn9ji:181>5<7s-8<57l6;I05`>o6:l0;6)<89;31a>=h9j?1<7*=7882g0=Q:>h1=vB=7e82Sb52;q/=nm51b78R4402;q/9ik56:&6`a<13twvq6sm4df94?4=83:p(?96:c;8L70c3`;9i7>5$35:>44b32e:o84?:%04=?7d=2\9;o4>{M04`?7|^m81>v*>cb82g0=Q9;=1>v*:dd85?!3cl3<0qpsr;|`7a3<72;0;6=u+26;9f<=O:?n0e<28i>7[<8b;3xH71c28q]h?4={%3`g?7d=2\:>:4={%7ga?0<,50z&13<<6:j1C>;j4$06e>42P6:>09w);ke;48 0bc2?1vqps4ib`94?"5?00hn6X=7c82I40l3;pZ:75cb9U62d=:rF9;i4>{W3a0?4|,8ih6nm4V004>7}#=mo146*:de8;?x{zD;=h69uY27c97~Pc838p(8jl:ba8 6gb2{#>?<1i6sY27c97~Pc838p(8jl:ba8 6gb2{#>?<1i6sY27c97~Pc838p(8jl:ba8 6gb2oj:4ff?x"1>?0<7pX>cc81Sb72;q/9im5cb9'7dc==mo0q)896;30?x{z3`8=57>5$35:>70>3_84}Q9k>1>v*>cb812<=Q9;=1>v*:dd826>"2lm0:>6sr}M04g?7|^;=96?uYd181!3ck38=56*:7524c8R71e2;qG>:j51zT2f1<5s-;ho7<:a:T262<5s-?oi7?=;%7g`?753twv@?9l:0yU624=:r\o<773f3-9ji7;ke:'230=9;1vqp5`1b794?"5?00:o854}c6f4?6=;3:1744a3_;9;73=#=mn1:6sr}|9j566=83.9;44>2d9U62d=9rF9;i4>{Wf1>7}#9ji1=>>4V004>7}#=mo1:6*:de85?x{zu2e:o84?:%04=?7d=2\9;o4>{M04`?7|^m81>v*>cb82g0=Q9;=1>v*:dd85?!3cl3<0qpsr;|`7a4<7280;6=u+26;96=6<@;28i>76sm4d194?5=83:p(?96:628L70c3`;9j7>5$35:>44b3_84}Ql;09w)?lc;31b>P6:>09w);ke;48 0bc2?1vqps4i013>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed289;7[?=7;0x 0bb2?1/9ij56:~yx=h9j?1<7*=7882g0=Q:>h1=vB=7e82Sb52;q/=nm51b78R4402;q/9ik56:&6`a<13twvq6sm4d694?5=83:p(?96:628L70c3`;9j7>5$35:>44b3_84}Ql;09w)?lc;31b>P6:>09w);ke;`8 0bc2k1vqps4i013>5<#:>31=?k4H35;?S40j3;p@?9k:0yU`7<5s-;ho7?<0:T262<5s-?oi7?>;%7g`?763twvq6a>c483>!4013;h96X=7c82I40l3;pZi<52z&2gf<6k<1]=?952z&6``<13-?oh784}|~?xd3m<0;6>4?:1y'62?=?91C>;j4i00e>5<#:>31=?k4H35;?S40j3;p@?9k:0yU`7<5s-;ho7?=f:T262<5s-?oi7?>;%7g`?763twvq6g>3183>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6;91]=?952z&6``<692.>hi4>1:~yx=h9j?1<7*=7882g0=Q:>h1=vB=7e82Sb52;q/=nm51b78R4402;q/9ik56:&6`a<13twvq6sm4d094?2=83:p(?96:0a7?M41l2c:>k4?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb826c=Q9;=1>v*:dd85?!3cl3<0qpsr;h304?6=,;=26<2681!3cm3<0(8jk:79~yx{2wvqp5`1b794?"5?00:o85Y26`95~J5?m0:w[j=:3y'5fe=9j?0Z<<8:3y'1ac=>2.>hi49;|~y>{e2>:0D?8k;h31b?6=,;=26<P5?k0:wA<8d;3xRa4=:r.:on4>2g9U571=:r.>hh4l;%7g`?e288n7E<88:T13g<6sE85$35:>44b3A8<46X=7c82I40l3;pZi<52z&2gf<6;k1]=?952z&6``3:1(?96:00f?M4002\9;o4>{M04`?7|^m81>v*>cb8203=Q9;=1>v*:dd826>"2lm0:>6sr}|9j51?=83.9;44>2d9U62d=9rF9;i4>{Wf1>7}#9ji1=974V004>7}#=mo1=?5+5ef957=zutw0c74e23_;9;73=#=mn1:6sr}|9~f1c?290<6=4?{%04=?173A8=h6g>2g83>!4013;9i6F=799U62d=9rF9;i4>{Wf1>7}#9ji1=?h4V004>7}#=mo1o6*:de8`?x{zu2c:?=4?:%04=?75m2B9;55Y26`95~J5?m0:w[j=:3y'5fe=9::0Z<<8:3y'1ac=k2.>hi4l;|~y>o6;k0;6)<89;31a>N5?11]>:l51zN13a<6s_n96?u+1ba956d<^88<6?u+5eg9g>"2lm0h7psr}:k203<72-8<57?=e:J13==Q:>h1=vB=7e82Sb52;q/=nm51548R4402;q/9ik5c:&6`a4883>!4013;9i6F=799U62d=9rF9;i4>{Wf1>7}#9ji1=974V004>7}#=mo1=?5+5ef957=zutw0e<:n:18'62?=9;o0Z?9m:0yO62b=9r\o>742f3_;9;744<,3=zutw0qo:j9;293?6=8r.9;4480:J12a=n9;l1<7*=78826`=O:>20Z?9m:0yO62b=9r\o>744a3_;9;7f=#=mn1o6sr}|9j566=83.9;44>2d9K62><^;=i6h5G26:8R71e28qG>:j51zTg6?4|,8ih6<=m;W313?4|,{zut1b=9850;&13<<6:l1C>:64V35a>4}K:>n1=vXk2;0x 4ed28>=7[?=7;0x 0bb2880(8jk:008yx{z3`;?57>5$35:>44b3_84}Ql;09w)?lc;37=>P6:>09w);ke;31?!3cl3;97psr}:k20d<72-8<57?=e:T13g<6sE86*:de826>{zut1d=n;50;&13<<6k<1]>:l51zN13a<6s_n96?u+1ba95f3<^88<6?u+5eg92>"2lm0=7psr}:a0`g=83>1<7>t$35:>4e33A8=h6g>2g83>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6:o1]=?952z&6``<13-?oh784}|~?l7483:1(?96:00f?S40j3;p@?9k:0yU`7<5s-;ho7?<0:T262<5s-?oi784$4fg>3=zutw0e<=m:18'62?=9;o0Z?9m:0yO62b=9r\o>745e3_;9;73=#=mn1:6sr}|9l5f3=83.9;44>c49U62d=9rF9;i4>{Wf1>7}#9ji1=n;4V004>7}#=mo1:6*:de85?x{zu2wi9nj50;094?6|,;=26o74H34g?l75m3:1(?96:00f?>i6k<0;6)<89;3`1>P5?k0:wA<8d;3xRa4=:r.:on4>c49U571=:r.>hh49;%7g`?03<729q/>:7513a8L70c3-;?j7?;;h31a?6=,;=26<h5Y13596~"2ll0=7);kd;48yx{z3`ii6=4+26;9gg=Q:>h1=vB=7e82S7e<38p(2ji0Z?9m:3yO62b=9r\:n94={%3`g?ed3_;9;7==#=mn146sr}M04g?2|^;uYd181!3ck3ih7)=ne;7ga>{Ql809w);kc;31a>"4il0>hh5r$745>`=z^;uYd181!3ck3ih7)=ne;7ga>{Ql809w);kc;31a>"4il0>hi5r$745>`=z^;uYd181!3ck3ih7)=ne;7ga>{Ql809w);kc;aa?!5fm3?oi6s+67493>{Q9jh1>vXk0;0x 0bd2ji0(>oj:4ff?x"1>?0:?6sr}:k12<<72-8<57<99:T13g<5sE87}#9ji1>;74V004>7}#=mo1=?5+5ef957=zutF9;n4>{W046?4|^m:1>v*:db812<=#;ho19ik4}%452?753twv7d<:a;29 71>2;?j7[<8b;0xH71c28q]=o:52z&2gf<5=h1]=?952z&6``<6:2.>hi4>2:~yI40k3;pZ?9=:3yU`5<5s-?oo7<:a:&0e`<2ll1v(;89:008yx{6=4+26;95f3<3th>oh4?:283>5}#:>31>:84H34g?l75n3:1(?96:00f?M4002\9;o4>{M04`?7|^m81>v*>cb826c=Q9;=1>v*:dd85?!3cl3<0qpsr;h304?6=,;=26<2681!3cm3<0(8jk:79~yx{6=4+26;95f3<^;=i62wvqp5rb4ae>5<6290;w)<89;0;4>N5>m1d=n;50;&13<<6k<10qo;k1;2954<729q/>:75719K63bh5Y26`95~J5?m0:w[j=:3y'5fe=9::0Z<<8:3y'1ac=j2.>hi4m;|~y>o6;k0;6)<89;31a>P5?k0:wA<8d;3xRa4=:r.:on4>3c9U571=:r.>hh4m;%7g`?d288n7[<8b;3xH71c28q]h?4={%3`g?73>2\:>:4={%7ga?d<,290/>:7513g8R71e28qG>:j51zTg6?4|,8ih6<:6;W313?4|,{zut1b=9o50;&13<<6:l1]>:l51zN13a<6s_n96?u+1ba951g<^88<6?u+5eg9f>"2lm0i7psr}:k20g<72-8<57?=e:T13g<6sE85$35:>44b3_84}Ql;09w)?lc;37g>P6:>09w);ke;`8 0bc2k1vqps4i06g>5<#:>31=?k4H35;?S40j3;p@?9k:0yU`7<5s-;ho7?;d:T262<5s-?oi7l4$4fg>g=zutw0e<:j:18'62?=9;o0Z?9m:0yO62b=9r\o>742b3_;9;747<,3=zutw0e<==:18'62?=9;o0Z?9m:0yO62b=9r\o>74553_;9;73=#=mn1:6sr}|9j565=83.9;44>2d9U62d=9rF9;i4>{Wf1>7}#9ji1=>=4V004>7}#=mo1:6*:de85?x{zu2c:?94?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb8271=Q9;=1>v*:dd85?!3cl3<0qpsr;h301?6=,;=26<2681!3cm3<0(8jk:79~yx{2wvqp5`1b794?"5?00:o85Y26`95~J5?m0:w[j=:3y'5fe=9j?0Z<<8:3y'1ac=>2.>hi49;|~y>{e=m81<7?>:183!4013=;7E<9d:k26c<72-8<57?=e:T13g<6sE8k5Y13596~"2ll0i7);kd;`8yx{z3`;8<7>5$35:>44b3_84}Ql;09w)?lc;304>P6:>09w);ke;`8 0bc2k1vqps4i01a>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed289i7[?=7;0x 0bb2k1/9ij5b:~yx=n9=<1<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm51548R4402;q/9ik5b:&6`a4883>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6<01]=?952z&6``g=zutw0e<:m:18'62?=9;o0Z?9m:0yO62b=9r\o>742e3_;9;7g=#=mn1n6sr}|9j51e=83.9;44>2d9U62d=9rF9;i4>{Wf1>7}#9ji1=9m4V004>7}#=mo1n6*:de8a?x{zu2c:8i4?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb820a=Q9;=1>v*:dd825>"2lm0:=6sr}|9j51c=83.9;44>2d9U62d=9rF9;i4>{Wf1>7}#9ji1=9k4V004>7}#=mo1=<5+5ef954=zutw0e<=>:18'62?=9;o0Z?9m:0yO62b=9r\o>74563_;9;73=#=mn1:6sr}|9j564=83.9;44>2d9U62d=9rF9;i4>{Wf1>7}#9ji1=><4V004>7}#=mo1:6*:de85?x{zu2c:?>4?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb8276=Q9;=1>v*:dd85?!3cl3<0qpsr;h300?6=,;=26<2681!3cm3<0(8jk:79~yx{6=4+26;957c<^;=i62wvqp5f12494?"5?00:>h5Y26`95~J5?m0:w[j=:3y'5fe=9:<0Z<<8:3y'1ac=>2.>hi49;|~y>i6k<0;6)<89;3`1>P5?k0:wA<8d;3xRa4=:r.:on4>c49U571=:r.>hh49;%7g`?06<729q/>:751b68L70c3`;9j7>5$35:>44b3_84}Ql;09w)?lc;31b>P6:>09w);ke;48 0bc2?1vqps4i013>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed289;7[?=7;0x 0bb2?1/9ij56:~yx=h9j?1<7*=7882g0=Q:>h1=vB=7e82Sb52;q/=nm51b78R4402;q/9ik56:&6`a<13twvq6sm5e794?5=83:p(?96:628L70c3`;9j7>5$35:>44b3_84}Ql;09w)?lc;31b>P6:>09w);ke;`8 0bc2k1vqps4i013>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed289;7[?=7;0x 0bb2k1/9ij5b:~yx=h9j?1<7*=7882g0=Q:>h1=vB=7e82Sb52;q/=nm51b78R4402;q/9ik56:&6`a<13twvq6sm56794?4=83:p(?96:c;8L70c3`;9i7>5$35:>44b32e:o84?:%04=?7d=2\9;o4>{M04`?7|^m81>v*>cb82g0=Q9;=1>v*:dd85?!3cl3<0qpsr;|`63<<72;0;6=u+26;9f<=O:?n0e<28i>7[<8b;3xH71c28q]h?4={%3`g?7d=2\:>:4={%7ga?0<,7>50z&13<h54o0a6>5<#:>31=n;4V35a>4}K:>n1=vXk2;0x 4ed28i>7[?=7;0x 0bb2h1/9ij5a:~yx=zj<==6=4<:183!40138<:6F=6e9j57`=83.9;44>2d9K62><^;=i62wvqp5f12294?"5?00:>h5Y26`95~J5?m0:w[j=:3y'5fe=9::0Z<<8:3y'1ac=>2.>hi49;|~y>i6k<0;6)<89;3`1>P5?k0:wA<8d;3xRa4=:r.:on4>c49U571=:r.>hh49;%7g`?04<729q/>:752928L70c3f;h97>5$35:>4e232wi9:650;194?6|,;=26:>4H34g?l75n3:1(?96:00f?S40j3;p@?9k:0yU`7<5s-;ho7?=f:T262<5s-?oi784$4fg>3=zutw0e<=?:18'62?=9;o0Z?9m:0yO62b=9r\o>74573_;9;73=#=mn1:6sr}|9l5f3=83.9;44>c49U62d=9rF9;i4>{Wf1>7}#9ji1=n;4V004>7}#=mo1:6*:de85?x{zu2wi9:o50;494?6|,;=26:>4H34g?l75n3:1(?96:00f?M4002\9;o4>{M04`?7|^m81>v*>cb826c=Q9;=1>v*:dd8`?!3cl3i0qpsr;h304?6=,;=26<P5?k0:wA<8d;3xRa4=:r.:on4>319U571=:r.>hh4l;%7g`?e288n7E<88:T13g<6sE85$35:>44b3A8<46X=7c82I40l3;pZi<52z&2gf<6hi4>2:~yx=n9=31<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm515;8R4402;q/9ik5139'1ab=9;1vqps4o0a6>5<#:>31=n;4V35a>4}K:>n1=vXk2;0x 4ed28i>7[?=7;0x 0bb2?1/9ij56:~yx=zj<=i6=49:183!4013=;7E<9d:k26c<72-8<57?=e:J13==Q:>h1=vB=7e82Sb52;q/=nm513d8R4402;q/9ik5c:&6`a3183>!4013;9i6F=799U62d=9rF9;i4>{Wf1>7}#9ji1=>>4V004>7}#=mo1o6*:de8`?x{zu2c:?o4?:%04=?75m2B9;55Y26`95~J5?m0:w[j=:3y'5fe=9:h0Z<<8:3y'1ac=k2.>hi4l;|~y>o6N5?11]>:l51zN13a<6s_n96?u+1ba9510<^88<6?u+5eg957=#=mn1=?5r}|8m42>290/>:7513g8R71e28qG>:j51zTg6?4|,8ih6<:6;W313?4|,4428i>7[<8b;3xH71c28q]h?4={%3`g?7d=2\:>:4={%7ga?0<,50z&13<<082B9:i5f13d94?"5?00:>h5G26:8R71e28qG>:j51zTg6?4|,8ih6<{zut1b=>>50;&13<<6:l1C>:64V35a>4}K:>n1=vXk2;0x 4ed289;7[?=7;0x 0bb2j1/9ij5c:~yx=n9:h1<7*=78826`=O:>20Z?9m:0yO62b=9r\o>745e3_;9;7f=#=mn1o6sr}|9j510=83.9;44>2d9K62><^;=i62681!3cm3;97);kd;31?x{zu2e:o84?:%04=?7d=2\9;o4>{M04`?7|^m81>v*>cb82g0=Q9;=1>v*:dd85?!3cl3<0qpsr;|`63a<72>0;6=u+26;935=O:?n0e<2681!3cm3i0(8jk:b9~yx{:4={%7ga?e<,:7513g8L71?3_84}Ql;09w)?lc;30f>P6:>09w);ke;a8 0bc2j1vqps4i065>5<#:>31=?k4H35;?S40j3;p@?9k:0yU`7<5s-;ho7?;6:T262<5s-?oi7m4$4fg>f=zutw0e<:6:18'62?=9;o0D?97;W04f?7|D;=o62681!3cm3;97);kd;31?x{zu2c:8l4?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb820d=Q9;=1>v*:dd826>"2lm0:>6sr}|9l5f3=83.9;44>c49U62d=9rF9;i4>{Wf1>7}#9ji1=n;4V004>7}#=mo1:6*:de85?x{zu2wi9:k50;594?6|,;=26:>4H34g?l75n3:1(?96:00f?M4002\9;o4>{M04`?7|^m81>v*>cb826c=Q9;=1>v*:dd8`?!3cl3i0qpsr;h304?6=,;=26<P5?k0:wA<8d;3xRa4=:r.:on4>319U571=:r.>hh4l;%7g`?e288n7E<88:T13g<6sE85$35:>44b3A8<46X=7c82I40l3;pZi<52z&2gf<6hi4>2:~yx=n9=31<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm515;8R4402;q/9ik5139'1ab=9;1vqps4i06b>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed28>j7[?=7;0x 0bb2880(8jk:008yx{z3f;h97>5$35:>4e23_84}Ql;09w)?lc;3`1>P6:>09w);ke;48 0bc2?1vqps4}c74b?6=>3:1744a3_;9;73=#=mn1:6sr}|9j566=83.9;44>2d9U62d=9rF9;i4>{Wf1>7}#9ji1=>>4V004>7}#=mo1:6*:de85?x{zu2c:?o4?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb827g=Q9;=1>v*:dd85?!3cl3<0qpsr;h372?6=,;=26<2681!3cm3<0(8jk:79~yx{26=4+26;957c<^;=i62wvqp5`1b794?"5?00:o85Y26`95~J5?m0:w[j=:3y'5fe=9j?0Z<<8:3y'1ac=>2.>hi49;|~y>{e1<7<50;2x 71>2k30D?8k;h31a?6=,;=26<4?:383>5}#:>31n45G27f8m44b290/>:7513g8?j7d=3:1(?96:0a6?S40j3;p@?9k:0yU`7<5s-;ho7?l5:T262<5s-?oi784$4fg>3=zutw0qo:ia;296?6=8r.9;44m9:J12a=n9;o1<7*=78826`=6=4+26;95f3<^;=i62wvqp5rb422>5<4290;w)<89;042>N5>m1b=?h50;&13<<6:l1]>:l51zN13a<6s_n96?u+1ba957`<^88<6?u+5eg92>"2lm0=7psr}:k275<72-8<57?=e:T13g<6sE85$35:>4e23_84}Ql;09w)?lc;3`1>P6:>09w);ke;48 0bc2?1vqps4}c734?6=>3:1:7513g8R71e28qG>:j51zT2f1<5s-;ho7?=e:T262<5s-?oi784$4fg>3=zutw0enl50;&13<{M04`?7|^8h?6?u+1ba9gg=Q9;=1>v*:dd85?!3cl3<0qpsr;ha`>5<#:>31on5Y26`96~J5?m0:w[?m4;0x 4ed2ji0Z<<8:3y'1ac=02.>hi47;|~H71d2=q]>;o53zTg4?4|,0bb3t\o=744b3-9ji7;ke:'230=m2w]>;o53zTg4?4|,0bb3t\o=744b3-9ji7;kd:'230=m2w]>;o53zTg4?4|,0bb3t\o=7fd<,:kn68jj;|&523<03t\:oo4={Wf3>7}#=mi1on5+3`g91achh4>2:&6`a<6:2wvqA<8c;3xR7152;q]h=4={%7gg?4112.8mh4:dd9~ 3012880qps4i37b>5<#:>31>8o4V35a>7}K:>n1=vX>b581!7dk38>m6X>2681!3cm3;97);kd;31?x{zD;=h62wvqp5f12294?"5?00:>h5Y26`95~J5?m0:w[j=:3y'5fe=9::0Z<<8:3y'1ac=>2.>hi49;|~y>i6k<0;6)<89;3`1>P5?k0:wA<8d;3xRa4=:r.:on4>c49U571=:r.>hh49;%7g`?04<729q/>:752928L70c3f;h97>5$35:>4e232wi8k650;694?6|,;=26:>4H34g?l75n3:1(?96:00f?M4002\9;o4>{M04`?7|^m81>v*>cb826c=Q9;=1>v*:dd825>"2lm0:=6sr}|9j566=83.9;44>2d9K62><^;=i62681!3cm3;:7);kd;32?x{zu2e:o84?:%04=?7d=2\9;o4>{M04`?7|^m81>v*>cb82g0=Q9;=1>v*:dd85?!3cl3<0qpsr;|`7b<<72<0;6=u+26;935=O:?n0e<2681!3cm3;:7);kd;32?x{zu2c:?=4?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb8275=Q9;=1>v*:dd825>"2lm0:=6sr}|9j56d=83.9;44>2d9K62><^;=i6P5?k0:wA<8d;3xRa4=:r.:on4>479U571=:r.>hh4>1:&6`a<692wvqp5`1b794?"5?00:o85Y26`95~J5?m0:w[j=:3y'5fe=9j?0Z<<8:3y'1ac=>2.>hi49;|~y>{e28i?7E<9d:k26c<72-8<57?=e:T13g<6sE8k5Y13596~"2ll0=7);kd;48yx{z3`;8<7>5$35:>44b3_84}Ql;09w)?lc;304>P6:>09w);ke;48 0bc2?1vqps4o0a6>5<#:>31=n;4V35a>4}K:>n1=vXk2;0x 4ed28i>7[?=7;0x 0bb2?1/9ij56:~yx=zj=li6=49:183!4013=;7E<9d:k26c<72-8<57?=e:J13==Q:>h1=vB=7e82Sb52;q/=nm513d8R4402;q/9ik5c:&6`a3183>!4013;9i6F=799U62d=9rF9;i4>{Wf1>7}#9ji1=>>4V004>7}#=mo1o6*:de8`?x{zu2c:?o4?:%04=?75m2B9;55Y26`95~J5?m0:w[j=:3y'5fe=9:h0Z<<8:3y'1ac=k2.>hi4l;|~y>o6N5?11]>:l51zN13a<6s_n96?u+1ba9510<^88<6?u+5eg957=#=mn1=?5r}|8m42>290/>:7513g8R71e28qG>:j51zTg6?4|,8ih6<:6;W313?4|,4428i>7[<8b;3xH71c28q]h?4={%3`g?7d=2\:>:4={%7ga?0<,50z&13<<082B9:i5f13d94?"5?00:>h5G26:8R71e28qG>:j51zTg6?4|,8ih6<{zut1b=>>50;&13<<6:l1C>:64V35a>4}K:>n1=vXk2;0x 4ed289;7[?=7;0x 0bb2j1/9ij5c:~yx=n9:h1<7*=78826`=O:>20Z?9m:0yO62b=9r\o>745e3_;9;7f=#=mn1o6sr}|9j510=83.9;44>2d9K62><^;=i62681!3cm3;97);kd;31?x{zu2e:o84?:%04=?7d=2\9;o4>{M04`?7|^m81>v*>cb82g0=Q9;=1>v*:dd85?!3cl3<0qpsr;|`7ba<72?0;6=u+26;935=O:?n0e<2681!3cm3i0(8jk:b9~yx{:4={%7ga?e<,:7513g8L71?3_84}Ql;09w)?lc;30f>P6:>09w);ke;a8 0bc2j1vqps4i065>5<#:>31=?k4H35;?S40j3;p@?9k:0yU`7<5s-;ho7?;6:T262<5s-?oi7?=;%7g`?753twvq6g>4883>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6<01]=?952z&6``<6:2.>hi4>2:~yx=h9j?1<7*=7882g0=Q:>h1=vB=7e82Sb52;q/=nm51b78R4402;q/9ik56:&6`a<13twvq6sm4gg94?2=83:p(?96:0a7?M41l2c:>k4?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb826c=Q9;=1>v*:dd85?!3cl3<0qpsr;h304?6=,;=26<2681!3cm3<0(8jk:79~yx{2wvqp5`1b794?"5?00:o85Y26`95~J5?m0:w[j=:3y'5fe=9j?0Z<<8:3y'1ac=>2.>hi49;|~y>{e:831<7<50;2x 71>2k30D?8k;h31a?6=,;=26<5}#:>31n45G27f8m44b290/>:7513g8?j7d=3:1(?96:0a6?S40j3;p@?9k:0yU`7<5s-;ho7?l5:T262<5s-?oi7o4$4fg>d=zutw0qo<>a;297?6=8r.9;44=779K63b2wvqp5f12294?"5?00:>h5Y26`95~J5?m0:w[j=:3y'5fe=9::0Z<<8:3y'1ac=>2.>hi49;|~y>i6k<0;6)<89;3`1>P5?k0:wA<8d;3xRa4=:r.:on4>c49U571=:r.>hh49;%7g`?04<729q/>:752928L70c3f;h97>5$35:>4e232wi>4H34g?l75n3:1(?96:00f?S40j3;p@?9k:0yU`7<5s-;ho7?=f:T262<5s-?oi7l4$4fg>g=zutw0e<=?:18'62?=9;o0Z?9m:0yO62b=9r\o>74573_;9;747<,3=zutw0qo<>e;297?6=8r.9;4480:J12a=n9;l1<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm513d8R4402;q/9ik5b:&6`a3183>!4013;9i6F=799U62d=9rF9;i4>{Wf1>7}#9ji1=>>4V004>7}#=mo1=<5+5ef954=zutw0c74e23_;9;73=#=mn1:6sr}|9~f77d29086=4?{%04=?7d<2B9:i5f13d94?"5?00:>h5Y26`95~J5?m0:w[j=:3y'5fe=9;l0Z<<8:3y'1ac=>2.>hi49;|~y>o6;90;6)<89;31a>P5?k0:wA<8d;3xRa4=:r.:on4>319U571=:r.>hh49;%7g`?028i>7[<8b;3xH71c28q]h?4={%3`g?7d=2\:>:4={%7ga?0<,7>50z&13<h54o0a6>5<#:>31=n;4V35a>4}K:>n1=vXk2;0x 4ed28i>7[?=7;0x 0bb2?1/9ij56:~yx=zj?:m6=49:183!4013;9o6F=6e9'51`=9=1b=?k50;&13<<6:l1]>:l51zN13a<6s_;i8744b3_;9;73=#=mn1:6sr}|9jgg<72-8<57mm;W04f?7|D;=o62681!3cm3<0(8jk:79~yx{P5?k09wA<8d;3xR4d32;q/=nm5cb9U571=:r.>hh47;%7g`?>:m54zT12d<4s_n;6?u+5ea9gf=#;ho19ik4}Wf2>7}#=mi1=?k4$2cf>0bb3t.=:;4j;|T12d<4s_n;6?u+5ea9gf=#;ho19ik4}Wf2>7}#=mi1=?k4$2cf>0bc3t.=:;4j;|T12d<4s_n;6?u+5ea9gf=#;ho19ik4}Wf2>7}#=mi1oo5+3`g91acv*:db8`g>"4il0>hh5r$745>45:4={%7ga?753-?oh7?=;|~H71d28q]>:<52zTg4?4|,h1>vB=7e82S7e<38p(oj:4ff?x"1>?0:>6sr}:m2g0<72-8<57?l5:9~f36?29086=4?{%04=?40>2B9:i5f13d94?"5?00:>h5Y26`95~J5?m0:w[j=:3y'5fe=9;l0Z<<8:3y'1ac=>2.>hi49;|~y>o6;90;6)<89;31a>P5?k0:wA<8d;3xRa4=:r.:on4>319U571=:r.>hh49;%7g`?028i>7[<8b;3xH71c28q]h?4={%3`g?7d=2\:>:4={%7ga?0<,50z&13<<5091C>;j4o0a6>5<#:>31=n;4;|`54g<72:0;6=u+26;935=O:?n0e<744a3_;9;747<,;%7g`?763twvq6a>c483>!4013;h96X=7c82I40l3;pZi<52z&2gf<6k<1]=?952z&6``<13-?oh784}|~?xd18j0;6:4?:1y'62?=?91C>;j4i00e>5<#:>31=?k4H35;?S40j3;p@?9k:0yU`7<5s-;ho7?=f:T262<5s-?oi7l4$4fg>g=zutw0e<=?:18'62?=9;o0D?97;W04f?7|D;=o62681!3cm3h0(8jk:c9~yx{:4={%7ga?d<,:7513g8R71e28qG>:j51zTg6?4|,8ih6<:9;W313?4|,47288n7[<8b;3xH71c28q]h?4={%3`g?7312\:>:4={%7ga?763-?oh7?>;|~y>o6P5?k0:wA<8d;3xRa4=:r.:on4>4`9U571=:r.>hh4>1:&6`a<692wvqp5`1b794?"5?00:o85Y26`95~J5?m0:w[j=:3y'5fe=9j?0Z<<8:3y'1ac=>2.>hi49;|~y>{e>9n1<7950;2x 71>2>:0D?8k;h31b?6=,;=26<P5?k0:wA<8d;3xRa4=:r.:on4>2g9U571=:r.>hh4m;%7g`?d288n7E<88:T13g<6sE85$35:>44b3_84}Ql;09w)?lc;30f>P6:>09w);ke;32?!3cl3;:7psr}:k203<72-8<57?=e:T13g<6sE8{zut1b=9750;&13<<6:l1]>:l51zN13a<6s_n96?u+1ba951?<^88<6?u+5eg954=#=mn1=<5r}|8m42f290/>:7513g8R71e28qG>:j51zTg6?4|,8ih6<:n;W313?4|,4728i>7[<8b;3xH71c28q]h?4={%3`g?7d=2\:>:4={%7ga?0<,50z&13<<6k=1C>;j4i00e>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed288m7[?=7;0x 0bb2?1/9ij56:~yx=n9::1<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm51228R4402;q/9ik56:&6`a<13twvq6g>3c83>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6;k1]=?952z&6``<13-?oh784}|~?j7d=3:1(?96:0a6?S40j3;p@?9k:0yU`7<5s-;ho7?l5:T262<5s-?oi784$4fg>3=zutw0qo:65;296?6=8r.9;44m9:J12a=n9;o1<7*=78826`=6=4+26;95f3<^;=i62wvqp5rb5;`>5<1290;w)<89;31g>N5>m1/=9h5159j57c=83.9;44>2d9U62d=9rF9;i4>{W3a0?4|,8ih6<{zut1boo4?:%04=?ee3_84}Q9k>1>v*>cb8`f>P6:>09w);ke;48 0bc2?1vqps4iba94?"5?00ho6X=7c81I40l3;pZ7}#=mi1on5+3`g91ac7}#=mi1on5+3`g91ac7}#=mi1on5+3`g91ac290/>:7527;8R71e2;qG>:j51zT2f1<5s-;ho7<99:T262<5s-?oi7?=;%7g`?753twv@?9l:0yU624=:r\o<770>3-9ji7;ke:'230=9;1vqp5f24c94?"5?0099l5Y26`96~J5?m0:w[?m4;0x 4ed2;?j7[?=7;0x 0bb2880(8jk:008yx{K:>i1=vX=7381Sb72;q/9im524c8 6gb2{zu2e:o84?:%04=?7d=21vn979:180>5<7s-8<57<86:J12a=n9;l1<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm513d8R4402;q/9ik56:&6`a<13twvq6g>3183>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6;91]=?952z&6``<13-?oh784}|~?j7d=3:1(?96:0a6?S40j3;p@?9k:0yU`7<5s-;ho7?l5:T262<5s-?oi784$4fg>3=zutw0qo:67;295?6=8r.9;44=819K63b6=4+26;95f3<3th?544?:283>5}#:>31;=5G27f8m44a290/>:7513g8R71e28qG>:j51zTg6?4|,8ih6<47288n7[<8b;3xH71c28q]h?4={%3`g?7482\:>:4={%7ga?763-?oh7?>;|~y>i6k<0;6)<89;3`1>P5?k0:wA<8d;3xRa4=:r.:on4>c49U571=:r.>hh49;%7g`?06<729q/>:75719K63b2681!3cm3;:7);kd;32?x{zu2e:o84?:%04=?7d=2\9;o4>{M04`?7|^m81>v*>cb82g0=Q9;=1>v*:dd85?!3cl3<0qpsr;|`7==<72:0;6=u+26;95f2<@;288n7[<8b;3xH71c28q]h?4={%3`g?75n2\:>:4={%7ga?0<,:7513g8R71e28qG>:j51zTg6?4|,8ih6<=?;W313?4|,{zut1d=n;50;&13<<6k<1]>:l51zN13a<6s_n96?u+1ba95f3<^88<6?u+5eg92>"2lm0=7psr}:a0t$35:>g?<@;288n76a>c483>!4013;h96X=7c82I40l3;pZi<52z&2gf<6k<1]=?952z&6``<13-?oh784}|~?xd3i<0;6?4?:1y'62?=j01C>;j4i00f>5<#:>31=?k4;n3`1?6=,;=262681!3cm3<0(8jk:79~yx{jm7>56;294~"5?00:>n5G27f8 42a28>0e<:4={%7ga?0<,7}#9ji1oo5Y13596~"2ll0=7);kd;48yx{z3`ih6=4+26;9gf=Q:>h1>vB=7e82S7e<38p(fe<,:kn68jj;|Tg5?4|,fe<,:kn68jj;|Tg5?4|,fe<,:kn68jj;|Tg5?4|,0bb3t.=:;48;|T2gg<5s_n;6?u+5ea9gf=#;ho19ik4}%452?743twv7d<99;29 71>2;<27[<8b;0xH71c28q]=o:52z&2gf<5>01]=?952z&6``<6:2.>hi4>2:~yI40k3;pZ?9=:3yU`5<5s-?oo7<99:&0e`<2ll1v(;89:008yx{P6:>09w);ke;31?!3cl3;97psrL35`>4}Q:>81>vXk0;0x 0bd2;?j7)=ne;7ga>{#>?<1=?5r}|9l5f3=83.9;44>c498yg2>m3:1?7>50z&13<<5??1C>;j4i00e>5<#:>31=?k4H35;?S40j3;p@?9k:0yU`7<5s-;ho7?=f:T262<5s-?oi784$4fg>3=zutw0e<=?:18'62?=9;o0Z?9m:0yO62b=9r\o>74573_;9;73=#=mn1:6sr}|9l5f3=83.9;44>c49U62d=9rF9;i4>{Wf1>7}#9ji1=n;4V004>7}#=mo1:6*:de85?x{zu2wi84h50;394?6|,;=26?6?;I05`>i6k<0;6)<89;3`1>=zj=k:6=4<:183!4013=;7E<9d:k26c<72-8<57?=e:T13g<6sE8k5Y13596~"2ll0=7);kd;48yx{z3`;8<7>5$35:>44b3_84}Ql;09w)?lc;304>P6:>09w);ke;48 0bc2?1vqps4o0a6>5<#:>31=n;4V35a>4}K:>n1=vXk2;0x 4ed28i>7[?=7;0x 0bb2?1/9ij56:~yx=zj=k96=4<:183!4013=;7E<9d:k26c<72-8<57?=e:T13g<6sE8k5Y13596~"2ll0:=6*:de825>{zut1b=>>50;&13<<6:l1C>:64V35a>4}K:>n1=vXk2;0x 4ed289;7[?=7;0x 0bb28;0(8jk:038yx{z3f;h97>5$35:>4e23_84}Ql;09w)?lc;3`1>P6:>09w);ke;48 0bc2?1vqps4}c6b7?6=;3:1N5>m1b=?h50;&13<<6:l1]>:l51zN13a<6s_n96?u+1ba957`<^88<6?u+5eg954=#=mn1=<5r}|8m457290/>:7513g8R71e28qG>:j51zTg6?4|,8ih6<=?;W313?4|,4728i>7[<8b;3xH71c28q]h?4={%3`g?7d=2\:>:4={%7ga?0<,50z&13<<082B9:i5f13d94?"5?00:>h5Y26`95~J5?m0:w[j=:3y'5fe=9;l0Z<<8:3y'1ac=981/9ij5109~yx{:4={%7ga?763-?oh7?>;|~y>o6;k0;6)<89;31a>P5?k0:wA<8d;3xRa4=:r.:on4>3c9U571=:r.>hh4>1:&6`a<692wvqp5`1b794?"5?00:o85Y26`95~J5?m0:w[j=:3y'5fe=9j?0Z<<8:3y'1ac=>2.>hi49;|~y>{e28i?7E<9d:k26c<72-8<57?=e:T13g<6sE8k5Y13596~"2ll0=7);kd;48yx{z3`;8<7>5$35:>44b3_84}Ql;09w)?lc;304>P6:>09w);ke;48 0bc2?1vqps4i01a>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed289i7[?=7;0x 0bb2?1/9ij56:~yx=n9=<1<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm51548R4402;q/9ik56:&6`a<13twvq6a>c483>!4013;h96X=7c82I40l3;pZi<52z&2gf<6k<1]=?952z&6``<13-?oh784}|~?xd3i?0;6>4?:1y'62?=?91C>;j4i00e>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed288m7[?=7;0x 0bb2j1/9ij5c:~yx=n9::1<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm51228R4402;q/9ik5139'1ab=9;1vqps4o0a6>5<#:>31=n;4V35a>4}K:>n1=vXk2;0x 4ed28i>7[?=7;0x 0bb2?1/9ij56:~yx=zj=k<6=4<:183!4013=;7E<9d:k26c<72-8<57?=e:T13g<6sE8k5Y13596~"2ll0h7);kd;a8yx{z3`;8<7>5$35:>44b3A8<46X=7c82I40l3;pZi<52z&2gf<6;91]=?952z&6``<6:2.>hi4>2:~yx=h9j?1<7*=7882g0=Q:>h1=vB=7e82Sb52;q/=nm51b78R4402;q/9ik56:&6`a<13twvq6sm4`:94?5=83:p(?96:0a7?M41l2c:>k4?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb826c=Q9;=1>v*:dd85?!3cl3<0qpsr;h304?6=,;=26<2681!3cm3<0(8jk:79~yx{6=4+26;95f3<^;=i62wvqp5rb510>5<5290;w)<89;`:?M41l2c:>h4?:%04=?75m21d=n;50;&13<<6k<1]>:l51zN13a<6s_n96?u+1ba95f3<^88<6?u+5eg92>"2lm0=7psr}:a06d=83<1<7>t$35:>44d3A8=h6*>4g821>o6:l0;6)<89;31a>P5?k0:wA<8d;3xR4d32;q/=nm513g8R4402;q/9ik56:&6`a<13twvq6glb;29 71>2jh0Z?9m:0yO62b=9r\:n94={%3`g?ee3_;9;73=#=mn1:6sr}|9jgf<72-8<57ml;W04f?4|D;=o62681!3cm320(8jk:99~yxJ5?j0?w[<9a;1xRa6=:r.>hn4lc:&0e`<2ll1vZi?52z&6`f<6:l1/?lk55eg8y!01>3o0q[<9a;1xRa6=:r.>hn4lc:&0e`<2ll1vZi?52z&6`f<6:l1/?lk55ef8y!01>3o0q[<9a;1xRa6=:r.>hn4lc:&0e`<2ll1vZi?52z&6`f1vZh1>vB=7e82S7e<38p(oj:4ff?x"1>?0:>6sr}:k11d<72-8<57<:a:T13g<5sE87}#9ji1>8o4V004>7}#=mo1=?5+5ef957=zutF9;n4>{W046?4|^m:1>v*:db811d=#;ho19ik4}%452?753twv7b?l5;29 71>28i>76sm42694?5=83:p(?96:355?M41l2c:>k4?:%04=?75m2B9;55Y26`95~J5?m0:w[j=:3y'5fe=9;l0Z<<8:3y'1ac=>2.>hi49;|~y>o6;90;6)<89;31a>P5?k0:wA<8d;3xRa4=:r.:on4>319U571=:r.>hh49;%7g`?028i>7[<8b;3xH71c28q]h?4={%3`g?7d=2\:>:4={%7ga?0<,50z&13<<5091C>;j4o0a6>5<#:>31=n;4;|`772<72:0;6=u+26;935=O:?n0e<2681!3cm3;:7);kd;32?x{zu2c:?=4?:%04=?75m2B9;55Y26`95~J5?m0:w[j=:3y'5fe=9::0Z<<8:3y'1ac=981/9ij5109~yx{6=4+26;95f3<^;=i62wvqp5rb51;>5<2290;w)<89;53?M41l2c:>k4?:%04=?75m2B9;55Y26`95~J5?m0:w[j=:3y'5fe=9;l0Z<<8:3y'1ac=981/9ij5109~yx{:4={%7ga?763-?oh7?>;|~y>o6;k0;6)<89;31a>P5?k0:wA<8d;3xRa4=:r.:on4>3c9U571=:r.>hh4>1:&6`a<692wvqp5f15494?"5?00:>h5G26:8R71e28qG>:j51zTg6?4|,8ih6<:9;W313?4|,4728i>7[<8b;3xH71c28q]h?4={%3`g?7d=2\:>:4={%7ga?0<,50z&13<<082B9:i5f13d94?"5?00:>h5G26:8R71e28qG>:j51zTg6?4|,8ih6<47288n7E<88:T13g<6sE8{zut1b=>l50;&13<<6:l1]>:l51zN13a<6s_n96?u+1ba956d<^88<6?u+5eg954=#=mn1=<5r}|8m421290/>:7513g8L71?3_84}Ql;09w)?lc;372>P6:>09w);ke;32?!3cl3;:7psr}:m2g0<72-8<57?l5:T13g<6sE85}#:>31=n:4H34g?l75n3:1(?96:00f?S40j3;p@?9k:0yU`7<5s-;ho7?=f:T262<5s-?oi784$4fg>3=zutw0e<=?:18'62?=9;o0Z?9m:0yO62b=9r\o>74573_;9;73=#=mn1:6sr}|9j56d=83.9;44>2d9U62d=9rF9;i4>{Wf1>7}#9ji1=>l4V004>7}#=mo1:6*:de85?x{zu2e:o84?:%04=?7d=2\9;o4>{M04`?7|^m81>v*>cb82g0=Q9;=1>v*:dd85?!3cl3<0qpsr;|`753<72;0;6=u+26;9f<=O:?n0e<28i>7[<8b;3xH71c28q]h?4={%3`g?7d=2\:>:4={%7ga?0<,50z&13<<6:j1C>;j4$06e>42P6:>09w);ke;48 0bc2?1vqps4ib`94?"5?00hn6X=7c82I40l3;pZ:75cb9U62d=:rF9;i4>{W3a0?4|,8ih6nm4V004>7}#=mo146*:de8;?x{zD;=h69uY27c97~Pc838p(8jl:ba8 6gb2{#>?<1i6sY27c97~Pc838p(8jl:ba8 6gb2{#>?<1i6sY27c97~Pc838p(8jl:ba8 6gb2oj:4ff?x"1>?0<7pX>cc81Sb72;q/9im5cb9'7dc==mo0q)896;30?x{z3`8=57>5$35:>70>3_84}Q9k>1>v*>cb812<=Q9;=1>v*:dd826>"2lm0:>6sr}M04g?7|^;=96?uYd181!3ck38=56*:7524c8R71e2;qG>:j51zT2f1<5s-;ho7<:a:T262<5s-?oi7?=;%7g`?753twv@?9l:0yU624=:r\o<773f3-9ji7;ke:'230=9;1vqp5`1b794?"5?00:o854}c623?6=;3:1744a3_;9;73=#=mn1:6sr}|9j566=83.9;44>2d9U62d=9rF9;i4>{Wf1>7}#9ji1=>>4V004>7}#=mo1:6*:de85?x{zu2e:o84?:%04=?7d=2\9;o4>{M04`?7|^m81>v*>cb82g0=Q9;=1>v*:dd85?!3cl3<0qpsr;|`75=<7280;6=u+26;96=6<@;28i>76sm40c94?5=83:p(?96:628L70c3`;9j7>5$35:>44b3_84}Ql;09w)?lc;31b>P6:>09w);ke;32?!3cl3;:7psr}:k275<72-8<57?=e:T13g<6sE8{zut1d=n;50;&13<<6k<1]>:l51zN13a<6s_n96?u+1ba95f3<^88<6?u+5eg92>"2lm0=7psr}:a04d=8391<7>t$35:>26<@;288n7[<8b;3xH71c28q]h?4={%3`g?75n2\:>:4={%7ga?763-?oh7?>;|~y>o6;90;6)<89;31a>P5?k0:wA<8d;3xRa4=:r.:on4>319U571=:r.>hh4>1:&6`a<692wvqp5`1b794?"5?00:o85Y26`95~J5?m0:w[j=:3y'5fe=9j?0Z<<8:3y'1ac=>2.>hi49;|~y>{e<8i1<7:50;2x 71>2>:0D?8k;h31b?6=,;=26<2681!3cm3;:7);kd;32?x{zu2c:?=4?:%04=?75m2B9;55Y26`95~J5?m0:w[j=:3y'5fe=9::0Z<<8:3y'1ac=981/9ij5109~yx{:4={%7ga?763-?oh7?>;|~y>i6k<0;6)<89;3`1>P5?k0:wA<8d;3xRa4=:r.:on4>c49U571=:r.>hh49;%7g`?01<729q/>:751b68L70c3`;9j7>5$35:>44b3_84}Ql;09w)?lc;31b>P6:>09w);ke;48 0bc2?1vqps4i013>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed289;7[?=7;0x 0bb2?1/9ij56:~yx=n9:h1<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm512`8R4402;q/9ik56:&6`a<13twvq6a>c483>!4013;h96X=7c82I40l3;pZi<52z&2gf<6k<1]=?952z&6``<13-?oh784}|~?xd4j<0;6?4?:1y'62?=j01C>;j4i00f>5<#:>31=?k4;n3`1?6=,;=262681!3cm3<0(8jk:79~yx{56;294~"5?00:>n5G27f8 42a28>0e<:4={%7ga?0<,7}#9ji1oo5Y13596~"2ll0=7);kd;48yx{z3`ih6=4+26;9gf=Q:>h1>vB=7e82S7e<38p(fe<,:kn68jj;|Tg5?4|,fe<,:kn68jj;|Tg5?4|,fe<,:kn68jj;|Tg5?4|,0bb3t.=:;48;|T2gg<5s_n;6?u+5ea9gf=#;ho19ik4}%452?743twv7d<99;29 71>2;<27[<8b;0xH71c28q]=o:52z&2gf<5>01]=?952z&6``<6:2.>hi4>2:~yI40k3;pZ?9=:3yU`5<5s-?oo7<99:&0e`<2ll1v(;89:008yx{P6:>09w);ke;31?!3cl3;97psrL35`>4}Q:>81>vXk0;0x 0bd2;?j7)=ne;7ga>{#>?<1=?5r}|9l5f3=83.9;44>c498yg5e>3:1?7>50z&13<<5??1C>;j4i00e>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed288m7[?=7;0x 0bb2?1/9ij56:~yx=n9::1<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm51228R4402;q/9ik56:&6`a<13twvq6a>c483>!4013;h96X=7c82I40l3;pZi<52z&2gf<6k<1]=?952z&6``<13-?oh784}|~?xd4j>0;6<4?:1y'62?=:1:0D?8k;n3`1?6=,;=26=8391<7>t$35:>26<@;288n7[<8b;3xH71c28q]h?4={%3`g?75n2\:>:4={%7ga?d<,:7513g8R71e28qG>:j51zTg6?4|,8ih6<=?;W313?4|,{zut1d=n;50;&13<<6k<1]>:l51zN13a<6s_n96?u+1ba95f3<^88<6?u+5eg92>"2lm0=7psr}:a7f>=8381<7>t$35:>g?<@;288n76a>c483>!4013;h96X=7c82I40l3;pZi<52z&2gf<6k<1]=?952z&6``<13-?oh784}|~?xd4l80;6>4?:1y'62?=:><0D?8k;h31b?6=,;=26<2681!3cm3<0(8jk:79~yx{2.>hi49;|~y>{e;m:1<7850;2x 71>288h7E<9d:&20c<6<2c:>h4?:%04=?75m2\9;o4>{M04`?7|^8h?6?u+1ba957c<^88<6?u+5eg92>"2lm0=7psr}:k`f?6=,;=26nl4V35a>4}K:>n1=vX>b581!7dk3ii7[?=7;0x 0bb2?1/9ij56:~yx=nkj0;6)<89;a`?S40j38p@?9k:0yU5g2=:r.:on4lc:T262<5s-?oi764$4fg>==zutF9;n4;{W05e?5|^m:1>v*:db8`g>"4il0>hh5rVe396~"2lj0:>h5+3`g91acv*:db8`g>"4il0>hh5rVe396~"2lj0:>h5+3`g91abv*:db8`g>"4il0>hh5rVe396~"2lj0hn6*7}Ql909w);kc;a`?!5fm3?oi6s+674956=zut1b>;750;&13<<5>01]>:l52zN13a<6s_;i8770>3_;9;744<,7}#=mi1>;74$2cf>0bb3t.=:;4>2:~y>o5=h0;6)<89;06e>P5?k09wA<8d;3xR4d32;q/=nm524c8R4402;q/9ik5139'1ab=9;1vqpB=7b82S40:38pZi>52z&6`f<5=h1/?lk55eg8y!01>3;97psr;n3`1?6=,;=26t$35:>7113A8=h6g>2g83>!4013;9i6F=799U62d=9rF9;i4>{Wf1>7}#9ji1=?h4V004>7}#=mo1:6*:de85?x{zu2c:?=4?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb8275=Q9;=1>v*:dd85?!3cl3<0qpsr;n3`1?6=,;=262681!3cm3<0(8jk:79~yx{51;294~"5?0094=5G27f8k4e2290/>:751b78?xd4kj0;694?:1y'62?=?91C>;j4i00e>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed288m7[?=7;0x 0bb28;0(8jk:038yx{z3`;8<7>5$35:>44b3A8<46X=7c82I40l3;pZi<52z&2gf<6;91]=?952z&6``;%7g`?763twvq6a>c483>!4013;h96X=7c82I40l3;pZi<52z&2gf<6k<1]=?952z&6``<13-?oh784}|~?xd4km0;6;4?:1y'62?=?91C>;j4i00e>5<#:>31=?k4H35;?S40j3;p@?9k:0yU`7<5s-;ho7?=f:T262<5s-?oi7?>;%7g`?763twvq6g>3183>!4013;9i6F=799U62d=9rF9;i4>{Wf1>7}#9ji1=>>4V004>7}#=mo1=<5+5ef954=zutw0e<=m:18'62?=9;o0D?97;W04f?7|D;=o62681!3cm3;:7);kd;32?x{zu2c:8;4?:%04=?75m2B9;55Y26`95~J5?m0:w[j=:3y'5fe=9=<0Z<<8:3y'1ac=981/9ij5109~yx{26=4+26;957c<^;=i62.>hi49;|~y>{e;jo1<7850;2x 71>2>:0D?8k;h31b?6=,;=26<P5?k0:wA<8d;3xRa4=:r.:on4>2g9U571=:r.>hh4>1:&6`a<692wvqp5f12294?"5?00:>h5G26:8R71e28qG>:j51zTg6?4|,8ih6<=?;W313?4|,47288n7E<88:T13g<6sE8{zut1b=9850;&13<<6:l1C>:64V35a>4}K:>n1=vXk2;0x 4ed28>=7[?=7;0x 0bb28;0(8jk:038yx{z3`;?57>5$35:>44b3A8<46X=7c82I40l3;pZi<52z&2gf<6<01]=?952z&6``<692.>hi4>1:~yx=h9j?1<7*=7882g0=Q:>h1=vB=7e82Sb52;q/=nm51b78R4402;q/9ik56:&6`a<13twvq6sm3b`94?2=83:p(?96:0a7?M41l2c:>k4?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb826c=Q9;=1>v*:dd85?!3cl3<0qpsr;h304?6=,;=26<2681!3cm3<0(8jk:79~yx{2wvqp5`1b794?"5?00:o85Y26`95~J5?m0:w[j=:3y'5fe=9j?0Z<<8:3y'1ac=>2.>hi49;|~y>{e<8l1<7<50;2x 71>2k30D?8k;h31a?6=,;=26<94?:783>5}#:>31=?m4H34g?!73n3;?7d?=e;29 71>288n7[<8b;3xH71c28q]=o:52z&2gf<6:l1]=?952z&6``<13-?oh784}|~?lee290/>:75cc9U62d=9rF9;i4>{W3a0?4|,8ih6nl4V004>7}#=mo1:6*:de85?x{zu2cho7>5$35:>fe<^;=i6?uC26f95~P6j=09w)?lc;a`?S75?38p(8jj:99'1ab=02wvqA<8c;6xR70f2:q]h=4={%7gg?ed3-9ji7;ke:U`4<5s-?oo7?=e:&0e`<2ll1v(;89:d9~R70f2:q]h=4={%7gg?ed3-9ji7;ke:U`4<5s-?oo7?=e:&0e`<2lm1v(;89:d9~R70f2:q]h=4={%7gg?ed3-9ji7;ke:U`4<5s-?oo7mm;%1ba?3cm2w/:;857:U5fd=:r\o<7fe<,:kn68jj;|&523<6;2wvq6g=6883>!40138=56X=7c81I40l3;pZhn4=689'7dc==mo0q)896;31?x{z3`8>m7>5$35:>73f3_84}Q9k>1>v*>cb811d=Q9;=1>v*:dd826>"2lm0:>6sr}M04g?7|^;=96?uYd181!3ck38>m6*:751b78?xd3:90;6>4?:1y'62?=:><0D?8k;h31b?6=,;=26<2681!3cm3<0(8jk:79~yx{2wvqp5`1b794?"5?00:o85Y26`95~J5?m0:w[j=:3y'5fe=9j?0Z<<8:3y'1ac=>2.>hi49;|~y>{e<;;1<7?50;2x 71>2;2;7E<9d:m2g0<72-8<57?l5:9~f14529086=4?{%04=?173A8=h6g>2g83>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6:o1]=?952z&6``<692.>hi4>1:~yx=n9::1<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm51228R4402;q/9ik5109'1ab=981vqps4o0a6>5<#:>31=n;4V35a>4}K:>n1=vXk2;0x 4ed28i>7[?=7;0x 0bb2?1/9ij56:~yx=zj??:6=4=:183!4013h27E<9d:k26`<72-8<57?=e:9l5f3=83.9;44>c49U62d=9rF9;i4>{Wf1>7}#9ji1=n;4V004>7}#=mo1:6*:de85?x{zu2wi:8o50;094?6|,;=26o74H34g?l75m3:1(?96:00f?>i6k<0;6)<89;3`1>P5?k0:wA<8d;3xRa4=:r.:on4>c49U571=:r.>hh49;%7g`?03<729q/>:7513a8L70c3-;?j7?:;h31a?6=,;=26<h5Y13596~"2ll0=7);kd;48yx{z3`ii6=4+26;9gg=Q:>h1=vB=7e82S7e<38p(2ji0Z?9m:3yO62b=9r\:n94={%3`g?ed3_;9;7==#=mn146sr}M04g?2|^;uYd181!3ck3ih7)=ne;7ga>{Ql809w);kc;31a>"4il0>hh5r$745>`=z^;uYd181!3ck3ih7)=ne;7ga>{Ql809w);kc;31a>"4il0>hi5r$745>`=z^;uYd181!3ck3ih7)=ne;7ga>{Ql809w);kc;aa?!5fm3?oi6s+67493>{Q9jh1>vXk0;0x 0bd2ji0(>oj:4ff?x"1>?0:?6sr}:k12<<72-8<57<99:T13g<5sE87}#9ji1>;74V004>7}#=mo1=?5+5ef957=zutF9;n4>{W046?4|^m:1>v*:db812<=#;ho19ik4}%452?753twv7d<:a;29 71>2;?j7[<8b;0xH71c28q]=o:52z&2gf<5=h1]=?952z&6``<6:2.>hi4>2:~yI40k3;pZ?9=:3yU`5<5s-?oo7<:a:&0e`<2ll1v(;89:008yx{6=4+26;95f3<3th=9?4?:283>5}#:>31>:84H34g?l75n3:1(?96:00f?M4002\9;o4>{M04`?7|^m81>v*>cb826c=Q9;=1>v*:dd85?!3cl3<0qpsr;h304?6=,;=26<2681!3cm3<0(8jk:79~yx{6=4+26;95f3<^;=i62wvqp5rb770>5<6290;w)<89;0;4>N5>m1d=n;50;&13<<6k<10qo8:5;297?6=8r.9;4480:J12a=n9;l1<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm513d8R4402;q/9ik56:&6`a<13twvq6g>3183>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6;91]=?952z&6``<13-?oh784}|~?j7d=3:1(?96:0a6?S40j3;p@?9k:0yU`7<5s-;ho7?l5:T262<5s-?oi784$4fg>3=zutw0qo8:6;297?6=8r.9;4480:J12a=n9;l1<7*=78826`=O:>20Z?9m:0yO62b=9r\o>744a3_;9;747<,{M04`?7|^m81>v*>cb8275=Q9;=1>v*:dd825>"2lm0:=6sr}|9l5f3=83.9;44>c49U62d=9rF9;i4>{Wf1>7}#9ji1=n;4V004>7}#=mo1:6*:de85?x{zu2wi:8950;494?6|,;=26:>4H34g?l75n3:1(?96:00f?S40j3;p@?9k:0yU`7<5s-;ho7?=f:T262<5s-?oi7?>;%7g`?763twvq6g>3183>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6;91]=?952z&6``{M04`?7|^m81>v*>cb827g=Q9;=1>v*:dd825>"2lm0:=6sr}|9j510=83.9;44>2d9K62><^;=i6P5?k0:wA<8d;3xRa4=:r.:on4>489U571=:r.>hh4>1:&6`a<692wvqp5`1b794?"5?00:o85Y26`95~J5?m0:w[j=:3y'5fe=9j?0Z<<8:3y'1ac=>2.>hi49;|~y>{e><21<7850;2x 71>2>:0D?8k;h31b?6=,;=26<2681!3cm3h0(8jk:c9~yx{:4={%7ga?763-?oh7?>;|~y>o6;k0;6)<89;31a>N5?11]>:l51zN13a<6s_n96?u+1ba956d<^88<6?u+5eg954=#=mn1=<5r}|8m421290/>:7513g8L71?3_84}Ql;09w)?lc;372>P6:>09w);ke;32?!3cl3;:7psr}:k20<<72-8<57?=e:T13g<6sE8{zut1d=n;50;&13<<6k<1]>:l51zN13a<6s_n96?u+1ba95f3<^88<6?u+5eg92>"2lm0=7psr}:a20?=83=1<7>t$35:>26<@;288n7E<88:T13g<6sE8k5Y13596~"2ll0i7);kd;`8yx{z3`;8<7>5$35:>44b3A8<46X=7c82I40l3;pZi<52z&2gf<6;91]=?952z&6``{M04`?7|^m81>v*>cb827g=Q9;=1>v*:dd8a?!3cl3h0qpsr;h372?6=,;=26<2681!3cm3;:7);kd;32?x{zu2c:844?:%04=?75m2B9;55Y26`95~J5?m0:w[j=:3y'5fe=9=30Z<<8:3y'1ac=981/9ij5109~yx{j6=4+26;957c<^;=i62681!3cm3<0(8jk:79~yx{87>56;294~"5?00:o95G27f8m44a290/>:7513g8R71e28qG>:j51zTg6?4|,8ih6<{zut1b=>>50;&13<<6:l1]>:l51zN13a<6s_n96?u+1ba9566<^88<6?u+5eg92>"2lm0=7psr}:k27g<72-8<57?=e:T13g<6sE85$35:>44b3_84}Ql;09w)?lc;372>P6:>09w);ke;48 0bc2?1vqps4i06:>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed28>27[?=7;0x 0bb2?1/9ij56:~yx=h9j?1<7*=7882g0=Q:>h1=vB=7e82Sb52;q/=nm51b78R4402;q/9ik56:&6`a<13twvq6sm64`94?0=83:p(?96:628L70c3`;9j7>5$35:>44b3_84}Ql;09w)?lc;31b>P6:>09w);ke;31?!3cl3;97psr}:k275<72-8<57?=e:T13g<6sE85$35:>44b3A8<46X=7c82I40l3;pZi<52z&2gf<6;k1]=?952z&6``<6:2.>hi4>2:~yx=n9=<1<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm51548R4402;q/9ik5139'1ab=9;1vqps4i06:>5<#:>31=?k4H35;?S40j3;p@?9k:0yU`7<5s-;ho7?;9:T262<5s-?oi7?=;%7g`?753twvq6a>c483>!4013;h96X=7c82I40l3;pZi<52z&2gf<6k<1]=?952z&6``<13-?oh784}|~?xd4lj0;6?4?:1y'62?=j01C>;j4i00f>5<#:>31=?k4;n3`1?6=,;=262681!3cm3<0(8jk:79~yx{53;294~"5?009;;5G27f8m44a290/>:7513g8R71e28qG>:j51zTg6?4|,8ih6<{zut1b=>>50;&13<<6:l1]>:l51zN13a<6s_n96?u+1ba9566<^88<6?u+5eg9b>"2lm0m7psr}:m2g0<72-8<57?l5:T13g<6sE85}#:>31=?m4H34g?!73n3;?7d?=e;29 71>288n7[<8b;3xH71c28q]=o:52z&2gf<6:l1]=?952z&6``<13-?oh784}|~?lee290/>:75cc9U62d=9rF9;i4>{W3a0?4|,8ih6nl4V004>7}#=mo1:6*:de85?x{zu2cho7>5$35:>fe<^;=i6?uC26f95~P6j=09w)?lc;a`?S75?38p(8jj:99'1ab=02wvqA<8c;6xR70f2:q]h=4={%7gg?ed3-9ji7;ke:U`4<5s-?oo7?=e:&0e`<2ll1v(;89:d9~R70f2:q]h=4={%7gg?ed3-9ji7;ke:U`4<5s-?oo7?=e:&0e`<2lm1v(;89:d9~R70f2:q]h=4={%7gg?ed3-9ji7;ke:U`4<5s-?oo7mm;%1ba?3cm2w/:;857:U5fd=:r\o<7fe<,:kn68jj;|&523<6;2wvq6g=6883>!40138=56X=7c81I40l3;pZhn4=689'7dc==mo0q)896;31?x{z3`8>m7>5$35:>73f3_84}Q9k>1>v*>cb811d=Q9;=1>v*:dd826>"2lm0:>6sr}M04g?7|^;=96?uYd181!3ck38>m6*:751b78?xd4lm0;6>4?:1y'62?=:><0D?8k;h31b?6=,;=26<2681!3cm3<0(8jk:79~yx{2wvqp5`1b794?"5?00:o85Y26`95~J5?m0:w[j=:3y'5fe=9j?0Z<<8:3y'1ac=>2.>hi49;|~y>{e;mo1<7?50;2x 71>2;2;7E<9d:m2g0<72-8<57?l5:9~f6c7290?6=4?{%04=?173A8=h6g>2g83>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6:o1]=?952z&6``<692.>hi4>1:~yx=n9::1<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm51228R4402;q/9ik5109'1ab=981vqps4i01a>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed289i7[?=7;0x 0bb2k1/9ij5b:~yx=h9j?1<7*=7882g0=Q:>h1=vB=7e82Sb52;q/=nm51b78R4402;q/9ik56:&6`a<13twvq6sm3d394?2=83:p(?96:628L70c3`;9j7>5$35:>44b3_84}Ql;09w)?lc;31b>P6:>09w);ke;32?!3cl3;:7psr}:k275<72-8<57?=e:T13g<6sE8{zut1b=>l50;&13<<6:l1C>:64V35a>4}K:>n1=vXk2;0x 4ed289i7[?=7;0x 0bb28;0(8jk:038yx{z3f;h97>5$35:>4e23_84}Ql;09w)?lc;3`1>P6:>09w);ke;48 0bc2?1vqps4}c1f6?6=<3:1N5>m1b=?h50;&13<<6:l1]>:l51zN13a<6s_n96?u+1ba957`<^88<6?u+5eg954=#=mn1=<5r}|8m457290/>:7513g8L71?3_84}Ql;09w)?lc;304>P6:>09w);ke;`8 0bc2k1vqps4i01a>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed289i7[?=7;0x 0bb28;0(8jk:038yx{z3f;h97>5$35:>4e23_84}Ql;09w)?lc;3`1>P6:>09w);ke;48 0bc2?1vqps4}c1gb?6=<3:1744a3_;9;73=#=mn1:6sr}|9j566=83.9;44>2d9U62d=9rF9;i4>{Wf1>7}#9ji1=>>4V004>7}#=mo1:6*:de85?x{zu2c:?o4?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb827g=Q9;=1>v*:dd85?!3cl3<0qpsr;n3`1?6=,;=262681!3cm3<0(8jk:79~yx{997>52;294~"5?00i56F=6e9j57c=83.9;44>2d98k4e2290/>:751b78R71e28qG>:j51zTg6?4|,8ih6{zut1vn95<7s-8<57?=c:J12a=#9=l1=95f13g94?"5?00:>h5Y26`95~J5?m0:w[?m4;0x 4ed288n7[?=7;0x 0bb2?1/9ij56:~yx=nkk0;6)<89;aa?S40j3;p@?9k:0yU5g2=:r.:on4lb:T262<5s-?oi784$4fg>3=zutw0enm50;&13<v*:dd8;?!3cl320qpsC26a90~P5>h08w[j?:3y'1ae=kj1/?lk55eg8ySb62;q/9im513g8 6gb2h08w[j?:3y'1ae=kj1/?lk55eg8ySb62;q/9im513g8 6gb2h08w[j?:3y'1ae=kj1/?lk55eg8ySb62;q/9im5cc9'7dc==mo0q)896;58yS7dj38pZi>52z&6`f5<#:>31>;74V35a>7}K:>n1=vX>b581!7dk38=56X>2681!3cm3;97);kd;31?x{zD;=h68o50;&13<<5=h1]>:l52zN13a<6s_;i8773f3_;9;744<,7}#=mi1>8o4$2cf>0bb3t.=:;4>2:~y>i6k<0;6)<89;3`1>=zj=8=6=4<:183!40138<:6F=6e9j57`=83.9;44>2d9U62d=9rF9;i4>{Wf1>7}#9ji1=?h4V004>7}#=mo1:6*:de85?x{zu2c:?=4?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb8275=Q9;=1>v*:dd85?!3cl3<0qpsr;n3`1?6=,;=262681!3cm3<0(8jk:79~yx{9;7>51;294~"5?0094=5G27f8k4e2290/>:751b78?xd3:10;6>4?:1y'62?=?91C>;j4i00e>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed288m7[?=7;0x 0bb28;0(8jk:038yx{z3`;8<7>5$35:>44b3_84}Ql;09w)?lc;304>P6:>09w);ke;32?!3cl3;:7psr}:m2g0<72-8<57?l5:T13g<6sE8o4?:383>5}#:>31n45G27f8m44b290/>:7513g8?j7d=3:1(?96:0a6?S40j3;p@?9k:0yU`7<5s-;ho7?l5:T262<5s-?oi784$4fg>3=zutw0qo:<2;292?6=8r.9;44>2b9K63b<,8>m6<:4i00f>5<#:>31=?k4V35a>4}K:>n1=vX>b581!7dk3;9i6X>2681!3cm3<0(8jk:79~yx{P5?k0:wA<8d;3xR4d32;q/=nm5cc9U571=:r.>hh49;%7g`?0:l52zN13a<6s_;i87fe<^88<6?u+5eg9<>"2lm037psrL35`>1}Q:?k1?vXk0;0x 0bd2ji0(>oj:4ff?xPc938p(8jl:00f?!5fm3?oi6s+6749a>{Q:?k1?vXk0;0x 0bd2ji0(>oj:4ff?xPc938p(8jl:00f?!5fm3?oh6s+6749a>{Q:?k1?vXk0;0x 0bd2ji0(>oj:4ff?xPc938p(8jl:b`8 6gb23;87psr;h05=?6=,;=26?86;W04f?4|D;=o66*:de826>{zuE87}Ql909w);kc;05=>"4il0>hh5r$745>44:4={%7ga?753-?oh7?=;|~H71d28q]>:<52zTg4?4|,9o7>53;294~"5?009;;5G27f8m44a290/>:7513g8R71e28qG>:j51zTg6?4|,8ih6<{zut1b=>>50;&13<<6:l1]>:l51zN13a<6s_n96?u+1ba9566<^88<6?u+5eg92>"2lm0=7psr}:m2g0<72-8<57?l5:T13g<6sE8i4?:083>5}#:>31>5>4H34g?j7d=3:1(?96:0a6?>{e<;l1<7;50;2x 71>2>:0D?8k;h31b?6=,;=26<P5?k0:wA<8d;3xRa4=:r.:on4>2g9U571=:r.>hh4>1:&6`a<692wvqp5f12294?"5?00:>h5G26:8R71e28qG>:j51zTg6?4|,8ih6<=?;W313?4|,47288n7[<8b;3xH71c28q]h?4={%3`g?74j2\:>:4={%7ga?763-?oh7?>;|~y>o6P5?k0:wA<8d;3xRa4=:r.:on4>479U571=:r.>hh4>1:&6`a<692wvqp5`1b794?"5?00:o85Y26`95~J5?m0:w[j=:3y'5fe=9j?0Z<<8:3y'1ac=>2.>hi49;|~y>{e<::1<7;50;2x 71>2>:0D?8k;h31b?6=,;=26<P5?k0:wA<8d;3xRa4=:r.:on4>2g9U571=:r.>hh4>1:&6`a<692wvqp5f12294?"5?00:>h5G26:8R71e28qG>:j51zTg6?4|,8ih6<=?;W313?4|,47288n7[<8b;3xH71c28q]h?4={%3`g?74j2\:>:4={%7ga?763-?oh7?>;|~y>o6P5?k0:wA<8d;3xRa4=:r.:on4>479U571=:r.>hh4>1:&6`a<692wvqp5`1b794?"5?00:o85Y26`95~J5?m0:w[j=:3y'5fe=9j?0Z<<8:3y'1ac=>2.>hi49;|~y>{e<;o1<7=50;2x 71>28i?7E<9d:k26c<72-8<57?=e:T13g<6sE8k5Y13596~"2ll0=7);kd;48yx{z3`;8<7>5$35:>44b3_84}Ql;09w)?lc;304>P6:>09w);ke;48 0bc2?1vqps4o0a6>5<#:>31=n;4V35a>4}K:>n1=vXk2;0x 4ed28i>7[?=7;0x 0bb2?1/9ij56:~yx=zj;2o6=4=:183!4013h27E<9d:k26`<72-8<57?=e:9l5f3=83.9;44>c49U62d=9rF9;i4>{Wf1>7}#9ji1=n;4V004>7}#=mo1:6*:de85?x{zu2wi>4?50;094?6|,;=26o74H34g?l75m3:1(?96:00f?>i6k<0;6)<89;3`1>P5?k0:wA<8d;3xRa4=:r.:on4>c49U571=:r.>hh49;%7g`?06<729q/>:752648L70c3`;9j7>5$35:>44b3_84}Ql;09w)?lc;31b>P6:>09w);ke;48 0bc2?1vqps4i013>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed289;7[?=7;0x 0bb2o1/9ij5f:~yx=h9j?1<7*=7882g0=Q:>h1=vB=7e82Sb52;q/=nm51b78R4402;q/9ik56:&6`a<13twvq6sm28:94?0=83:p(?96:00`?M41l2.:8k4>4:k26`<72-8<57?=e:T13g<6sE87}#9ji1=?k4V004>7}#=mo1:6*:de85?x{zu2chn7>5$35:>fd<^;=i62wvqp5fcb83>!4013ih7[<8b;0xH71c28q]=o:52z&2gf:4={%7ga?><,v*:db826`=#;ho19ik4}%452?cv*:db826`=#;ho19ij4}%452?cv*:db8`f>"4il0>hh5r$745>2=z^8ii6?uYd181!3ck3ih7)=ne;7ga>{#>?<1=>5r}|9j63?=83.9;44=689U62d=:rF9;i4>{W3a0?4|,8ih6?86;W313?4|,44:m51zT137<5s_n;6?u+5ea963?<,:kn68jj;|&523<6:2wvq6g=5`83>!40138>m6X=7c81I40l3;pZhn4=5`9'7dc==mo0q)896;31?x{z3f;h97>5$35:>4e232wi>5k50;194?6|,;=26?99;I05`>o6:o0;6)<89;31a>P5?k0:wA<8d;3xRa4=:r.:on4>2g9U571=:r.>hh49;%7g`?0288n7[<8b;3xH71c28q]h?4={%3`g?7482\:>:4={%7ga?0<,:751b78R71e28qG>:j51zTg6?4|,8ih6{zut1vn?6i:182>5<7s-8<57<70:J12a=h9j?1<7*=7882g0=58;294~"5?00<<6F=6e9j57`=83.9;44>2d9K62><^;=i62681!3cm3;:7);kd;32?x{zu2c:?o4?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb827g=Q9;=1>v*:dd825>"2lm0:=6sr}|9j510=83.9;44>2d9K62><^;=i62681!3cm3;:7);kd;32?x{zu2c:8l4?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb820d=Q9;=1>v*:dd825>"2lm0:=6sr}|9j51d=83.9;44>2d9U62d=9rF9;i4>{Wf1>7}#9ji1=9l4V004>7}#=mo1=<5+5ef954=zutw0c74e23_;9;73=#=mn1:6sr}|9~f7?5290:=7>50z&13<<082B9:i5f13d94?"5?00:>h5G26:8R71e28qG>:j51zTg6?4|,8ih6<{zut1b=>>50;&13<<6:l1C>:64V35a>4}K:>n1=vXk2;0x 4ed289;7[?=7;0x 0bb2j1/9ij5c:~yx=n9:h1<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm512`8R4402;q/9ik5c:&6`a4783>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6f=zutw0e<:n:18'62?=9;o0Z?9m:0yO62b=9r\o>742f3_;9;7f=#=mn1o6sr}|9j51d=83.9;44>2d9U62d=9rF9;i4>{Wf1>7}#9ji1=9l4V004>7}#=mo1o6*:de8`?x{zu2c:8n4?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb820f=Q9;=1>v*:dd8`?!3cl3i0qpsr;h37`?6=,;=26<2681!3cm3i0(8jk:b9~yx{n6=4+26;957c<@;=37[<8b;3xH71c28q]h?4={%3`g?73m2\:>:4={%7ga?e<,:7513g8L71?3_84}Ql;09w)?lc;305>P6:>09w);ke;31?!3cl3;97psr}:k277<72-8<57?=e:T13g<6sE86*:de826>{zut1b=>=50;&13<<6:l1]>:l51zN13a<6s_n96?u+1ba9565<^88<6?u+5eg957=#=mn1=?5r}|8m453290/>:7513g8R71e28qG>:j51zTg6?4|,8ih6<=;;W313?4|,44288n7[<8b;3xH71c28q]h?4={%3`g?74=2\:>:4={%7ga?753-?oh7?=;|~y>o6;?0;6)<89;31a>P5?k0:wA<8d;3xRa4=:r.:on4>379U571=:r.>hh49;%7g`?028i>7[<8b;3xH71c28q]h?4={%3`g?7d=2\:>:4={%7ga?0<,;3:1=<4?:1y'62?=?91C>;j4i00e>5<#:>31=?k4H35;?S40j3;p@?9k:0yU`7<5s-;ho7?=f:T262<5s-?oi7m4$4fg>f=zutw0e<=?:18'62?=9;o0D?97;W04f?7|D;=o62681!3cm3i0(8jk:b9~yx{h5Y26`95~J5?m0:w[j=:3y'5fe=9=<0Z<<8:3y'1ac=k2.>hi4l;|~y>o6<00;6)<89;31a>P5?k0:wA<8d;3xRa4=:r.:on4>489U571=:r.>hh4l;%7g`?e288n7[<8b;3xH71c28q]h?4={%3`g?73i2\:>:4={%7ga?e<,:7513g8R71e28qG>:j51zTg6?4|,8ih6<:m;W313?4|,{zut1b=9m50;&13<<6:l1]>:l51zN13a<6s_n96?u+1ba951e<^88<6?u+5eg9g>"2lm0h7psr}:k20a<72-8<57?=e:T13g<6sE85$35:>44b3A8<46X=7c82I40l3;pZi<52z&2gf<6{M04`?7|^m81>v*>cb8274=Q9;=1>v*:dd826>"2lm0:>6sr}|9j564=83.9;44>2d9U62d=9rF9;i4>{Wf1>7}#9ji1=><4V004>7}#=mo1=?5+5ef957=zutw0e<=<:18'62?=9;o0Z?9m:0yO62b=9r\o>74543_;9;744<,3483>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6;<1]=?952z&6``<6:2.>hi4>2:~yx=n9:<1<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm51248R4402;q/9ik56:&6`a<13twvq6a>c483>!4013;h96X=7c82I40l3;pZi<52z&2gf<6k<1]=?952z&6``<13-?oh784}|~?xd51=0;62>:0D?8k;h31b?6=,;=26<P5?k0:wA<8d;3xRa4=:r.:on4>2g9U571=:r.>hh4l;%7g`?e288n7E<88:T13g<6sE85$35:>44b3_84}Ql;09w)?lc;30f>P6:>09w);ke;a8 0bc2j1vqps4i065>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed28>=7[?=7;0x 0bb2j1/9ij5c:~yx=n9=31<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm515;8R4402;q/9ik5c:&6`a4`83>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6f=zutw0e<:l:18'62?=9;o0Z?9m:0yO62b=9r\o>742d3_;9;7f=#=mn1o6sr}|9j51b=83.9;44>2d9U62d=9rF9;i4>{Wf1>7}#9ji1=9j4V004>7}#=mo1o6*:de8`?x{zu2c:8h4?:%04=?75m2B9;55Y26`95~J5?m0:w[j=:3y'5fe=9=o0Z<<8:3y'1ac=k2.>hi4l;|~y>o6;80;6)<89;31a>N5?11]>:l51zN13a<6s_n96?u+1ba9567<^88<6?u+5eg957=#=mn1=?5r}|8m455290/>:7513g8R71e28qG>:j51zTg6?4|,8ih6<==;W313?4|,44288n7[<8b;3xH71c28q]h?4={%3`g?74;2\:>:4={%7ga?753-?oh7?=;|~y>o6;=0;6)<89;31a>P5?k0:wA<8d;3xRa4=:r.:on4>359U571=:r.>hh4>2:&6`a<6:2wvqp5f12794?"5?00:>h5Y26`95~J5?m0:w[j=:3y'5fe=9:?0Z<<8:3y'1ac=9;1/9ij5139~yx{2wvqp5`1b794?"5?00:o85Y26`95~J5?m0:w[j=:3y'5fe=9j?0Z<<8:3y'1ac=>2.>hi49;|~y>{e:0?1<7?>:183!4013=;7E<9d:k26c<72-8<57?=e:J13==Q:>h1=vB=7e82Sb52;q/=nm513d8R4402;q/9ik5c:&6`a3183>!4013;9i6F=799U62d=9rF9;i4>{Wf1>7}#9ji1=>>4V004>7}#=mo1o6*:de8`?x{zu2c:?o4?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb827g=Q9;=1>v*:dd8`?!3cl3i0qpsr;h372?6=,;=26<2681!3cm3i0(8jk:b9~yx{26=4+26;957c<^;=i6h5Y26`95~J5?m0:w[j=:3y'5fe=9=k0Z<<8:3y'1ac=k2.>hi4l;|~y>o6P5?k0:wA<8d;3xRa4=:r.:on4>4c9U571=:r.>hh4l;%7g`?e288n7[<8b;3xH71c28q]h?4={%3`g?73k2\:>:4={%7ga?e<,:7513g8R71e28qG>:j51zTg6?4|,8ih6<:k;W313?4|,{zut1b=9k50;&13<<6:l1C>:64V35a>4}K:>n1=vXk2;0x 4ed28>n7[?=7;0x 0bb2j1/9ij5c:~yx=n9:;1<7*=78826`=O:>20Z?9m:0yO62b=9r\o>74563_;9;744<,3283>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6;:1]=?952z&6``<6:2.>hi4>2:~yx=n9:>1<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm51268R4402;q/9ik5139'1ab=9;1vqps4i016>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed289>7[?=7;0x 0bb2880(8jk:008yx{z3`;8:7>5$35:>44b3_84}Ql;09w)?lc;302>P6:>09w);ke;48 0bc2?1vqps4o0a6>5<#:>31=n;4V35a>4}K:>n1=vXk2;0x 4ed28i>7[?=7;0x 0bb2?1/9ij56:~yx=zj;3=6=4::183!4013;h86F=6e9j57`=83.9;44>2d9U62d=9rF9;i4>{Wf1>7}#9ji1=?h4V004>7}#=mo1:6*:de85?x{zu2c:?=4?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb8275=Q9;=1>v*:dd85?!3cl3<0qpsr;h30f?6=,;=26<2681!3cm3<0(8jk:79~yx{=6=4+26;957c<^;=i62wvqp5`1b794?"5?00:o85Y26`95~J5?m0:w[j=:3y'5fe=9j?0Z<<8:3y'1ac=>2.>hi49;|~y>{e:0k1<7<50;2x 71>2k30D?8k;h31a?6=,;=26<5}#:>31>:84H34g?l75n3:1(?96:00f?S40j3;p@?9k:0yU`7<5s-;ho7?=f:T262<5s-?oi784$4fg>3=zutw0e<=?:18'62?=9;o0Z?9m:0yO62b=9r\o>74573_;9;7c=#=mn1j6sr}|9l5f3=83.9;44>c49U62d=9rF9;i4>{Wf1>7}#9ji1=n;4V004>7}#=mo1:6*:de85?x{zu2wi>l?50;494?6|,;=26<"62d83>!4013;9i6X=7c82I40l3;pZ2.>hi49;|~y>odj3:1(?96:b`8R71e28qG>:j51zT2f1<5s-;ho7mm;W313?4|,{zut1bon4?:%04=?ed3_84}Q9k>1>v*>cb8`g>P6:>09w);ke;:8 0bc211vqpB=7b87S41i39pZi>52z&6`fhn4>2d9'7dc==mo0q)896;g8yS41i39pZi>52z&6`fhn4>2d9'7dc==mn0q)896;g8yS41i39pZi>52z&6`fhn4lb:&0e`<2ll1v(;89:69~R4ee2;q]h=4={%7gg?ed3-9ji7;ke:'230=9:1vqp5f27;94?"5?009:45Y26`96~J5?m0:w[?m4;0x 4ed2;<27[?=7;0x 0bb2880(8jk:008yx{K:>i1=vX=7381Sb72;q/9im527;8 6gb2{zu2c99l4?:%04=?42i2\9;o4={M04`?7|^8h?6?u+1ba960g<^88<6?u+5eg957=#=mn1=?5r}|N13f<6s_8<>7{e:0h1<7=50;2x 71>2;==7E<9d:k26c<72-8<57?=e:T13g<6sE8k5Y13596~"2ll0=7);kd;48yx{z3`;8<7>5$35:>44b3_84}Ql;09w)?lc;304>P6:>09w);ke;48 0bc2?1vqps4o0a6>5<#:>31=n;4V35a>4}K:>n1=vXk2;0x 4ed28i>7[?=7;0x 0bb2?1/9ij56:~yx=zj;3h6=4>:183!401383<6F=6e9l5f3=83.9;44>c498yg4>m3:1;7>50z&13<<082B9:i5f13d94?"5?00:>h5G26:8R71e28qG>:j51zTg6?4|,8ih6<47288n7[<8b;3xH71c28q]h?4={%3`g?7482\:>:4={%7ga?763-?oh7?>;|~y>o6;k0;6)<89;31a>P5?k0:wA<8d;3xRa4=:r.:on4>3c9U571=:r.>hh4>1:&6`a<692wvqp5f15494?"5?00:>h5Y26`95~J5?m0:w[j=:3y'5fe=9=<0Z<<8:3y'1ac=981/9ij5109~yx{26=4+26;957c<^;=i62681!3cm3;:7);kd;32?x{zu2e:o84?:%04=?7d=2\9;o4>{M04`?7|^m81>v*>cb82g0=Q9;=1>v*:dd85?!3cl3<0qpsr;|`1=c<72>0;6=u+26;935=O:?n0e<2681!3cm3;:7);kd;32?x{zu2c:?=4?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb8275=Q9;=1>v*:dd825>"2lm0:=6sr}|9j56d=83.9;44>2d9U62d=9rF9;i4>{Wf1>7}#9ji1=>l4V004>7}#=mo1=<5+5ef954=zutw0e<:9:18'62?=9;o0D?97;W04f?7|D;=o62681!3cm3;:7);kd;32?x{zu2c:844?:%04=?75m2B9;55Y26`95~J5?m0:w[j=:3y'5fe=9=30Z<<8:3y'1ac=981/9ij5109~yx{j6=4+26;957c<^;=i62681!3cm3<0(8jk:79~yx{53;294~"5?00:o95G27f8m44a290/>:7513g8R71e28qG>:j51zTg6?4|,8ih6<{zut1b=>>50;&13<<6:l1]>:l51zN13a<6s_n96?u+1ba9566<^88<6?u+5eg92>"2lm0=7psr}:m2g0<72-8<57?l5:T13g<6sE85}#:>31n45G27f8m44b290/>:7513g8?j7d=3:1(?96:0a6?S40j3;p@?9k:0yU`7<5s-;ho7?l5:T262<5s-?oi784$4fg>3=zutw0qo=kb;292?6=8r.9;44>2b9K63b<,8>m6<:4i00f>5<#:>31=?k4V35a>4}K:>n1=vX>b581!7dk3;9i6X>2681!3cm3<0(8jk:79~yx{P5?k0:wA<8d;3xR4d32;q/=nm5cc9U571=:r.>hh49;%7g`?0:l52zN13a<6s_;i87fe<^88<6?u+5eg9<>"2lm037psrL35`>1}Q:?k1?vXk0;0x 0bd2ji0(>oj:4ff?xPc938p(8jl:00f?!5fm3?oi6s+6749a>{Q:?k1?vXk0;0x 0bd2ji0(>oj:4ff?xPc938p(8jl:00f?!5fm3?oh6s+6749a>{Q:?k1?vXk0;0x 0bd2ji0(>oj:4ff?xPc938p(8jl:b`8 6gb23;87psr;h05=?6=,;=26?86;W04f?4|D;=o66*:de826>{zuE87}Ql909w);kc;05=>"4il0>hh5r$745>44:4={%7ga?753-?oh7?=;|~H71d28q]>:<52zTg4?4|,53;294~"5?009;;5G27f8m44a290/>:7513g8L71?3_84}Ql;09w)?lc;31b>P6:>09w);ke;48 0bc2?1vqps4i013>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed289;7[?=7;0x 0bb2?1/9ij56:~yx=h9j?1<7*=7882g0=Q:>h1=vB=7e82Sb52;q/=nm51b78R4402;q/9ik56:&6`a<13twvq6sm3e694?7=83:p(?96:3:3?M41l2e:o84?:%04=?7d=21vn>j9:180>5<7s-8<579?;I05`>o6:o0;6)<89;31a>P5?k0:wA<8d;3xRa4=:r.:on4>2g9U571=:r.>hh4>1:&6`a<692wvqp5f12294?"5?00:>h5Y26`95~J5?m0:w[j=:3y'5fe=9::0Z<<8:3y'1ac=981/9ij5109~yx{6=4+26;95f3<^;=i62wvqp5rb2f4>5<4290;w)<89;53?M41l2c:>k4?:%04=?75m2B9;55Y26`95~J5?m0:w[j=:3y'5fe=9;l0Z<<8:3y'1ac=981/9ij5109~yx{:4={%7ga?763-?oh7?>;|~y>i6k<0;6)<89;3`1>P5?k0:wA<8d;3xRa4=:r.:on4>c49U571=:r.>hh49;%7g`?06<729q/>:75719K63b:4={%7ga?d<,:7513g8R71e28qG>:j51zTg6?4|,8ih6<=?;W313?4|,4728i>7[<8b;3xH71c28q]h?4={%3`g?7d=2\:>:4={%7ga?0<,50z&13<<082B9:i5f13d94?"5?00:>h5Y26`95~J5?m0:w[j=:3y'5fe=9;l0Z<<8:3y'1ac=981/9ij5109~yx{:4={%7ga?763-?oh7?>;|~y>o6;k0;6)<89;31a>P5?k0:wA<8d;3xRa4=:r.:on4>3c9U571=:r.>hh4>1:&6`a<692wvqp5`1b794?"5?00:o85Y26`95~J5?m0:w[j=:3y'5fe=9j?0Z<<8:3y'1ac=>2.>hi49;|~y>{e;m?1<7;50;2x 71>28i?7E<9d:k26c<72-8<57?=e:T13g<6sE8k5Y13596~"2ll0=7);kd;48yx{z3`;8<7>5$35:>44b3_84}Ql;09w)?lc;304>P6:>09w);ke;48 0bc2?1vqps4i01a>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed289i7[?=7;0x 0bb2?1/9ij56:~yx=n9=<1<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm51548R4402;q/9ik56:&6`a<13twvq6a>c483>!4013;h96X=7c82I40l3;pZi<52z&2gf<6k<1]=?952z&6``<13-?oh784}|~?xd4m?0;6?4?:1y'62?=j01C>;j4i00f>5<#:>31=?k4;n3`1?6=,;=262681!3cm3<0(8jk:79~yx{53;294~"5?009;;5G27f8m44a290/>:7513g8R71e28qG>:j51zTg6?4|,8ih6<{zut1b=>>50;&13<<6:l1]>:l51zN13a<6s_n96?u+1ba9566<^88<6?u+5eg9b>"2lm0m7psr}:m2g0<72-8<57?l5:T13g<6sE85}#:>31=?m4H34g?!73n3;?7d?=e;29 71>288n7[<8b;3xH71c28q]=o:52z&2gf<6:l1]=?952z&6``<13-?oh784}|~?lee290/>:75cc9U62d=9rF9;i4>{W3a0?4|,8ih6nl4V004>7}#=mo1:6*:de85?x{zu2cho7>5$35:>fe<^;=i6?uC26f95~P6j=09w)?lc;a`?S75?38p(8jj:99'1ab=02wvqA<8c;6xR70f2:q]h=4={%7gg?ed3-9ji7;ke:U`4<5s-?oo7?=e:&0e`<2ll1v(;89:d9~R70f2:q]h=4={%7gg?ed3-9ji7;ke:U`4<5s-?oo7?=e:&0e`<2lm1v(;89:d9~R70f2:q]h=4={%7gg?ed3-9ji7;ke:U`4<5s-?oo7mm;%1ba?3cm2w/:;857:U5fd=:r\o<7fe<,:kn68jj;|&523<6;2wvq6g=6883>!40138=56X=7c81I40l3;pZhn4=689'7dc==mo0q)896;31?x{z3`8>m7>5$35:>73f3_84}Q9k>1>v*>cb811d=Q9;=1>v*:dd826>"2lm0:>6sr}M04g?7|^;=96?uYd181!3ck38>m6*:751b78?xd4m>0;6>4?:1y'62?=:><0D?8k;h31b?6=,;=26<2681!3cm3<0(8jk:79~yx{2wvqp5`1b794?"5?00:o85Y26`95~J5?m0:w[j=:3y'5fe=9j?0Z<<8:3y'1ac=>2.>hi49;|~y>{e;l21<7?50;2x 71>2;2;7E<9d:m2g0<72-8<57?l5:9~f6cf290?6=4?{%04=?173A8=h6g>2g83>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6:o1]=?952z&6``<692.>hi4>1:~yx=n9::1<7*=78826`=O:>20Z?9m:0yO62b=9r\o>74573_;9;7g=#=mn1n6sr}|9j56d=83.9;44>2d9U62d=9rF9;i4>{Wf1>7}#9ji1=>l4V004>7}#=mo1=<5+5ef954=zutw0c74e23_;9;73=#=mn1:6sr}|9~f6ce290>6=4?{%04=?173A8=h6g>2g83>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6:o1]=?952z&6``<692.>hi4>1:~yx=n9::1<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm51228R4402;q/9ik5109'1ab=981vqps4i01a>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed289i7[?=7;0x 0bb28;0(8jk:038yx{z3`;?:7>5$35:>44b3_84}Ql;09w)?lc;372>P6:>09w);ke;`8 0bc2k1vqps4o0a6>5<#:>31=n;4V35a>4}K:>n1=vXk2;0x 4ed28i>7[?=7;0x 0bb2?1/9ij56:~yx=zj:oh6=4::183!4013=;7E<9d:k26c<72-8<57?=e:T13g<6sE8k5Y13596~"2ll0:=6*:de825>{zut1b=>>50;&13<<6:l1]>:l51zN13a<6s_n96?u+1ba9566<^88<6?u+5eg954=#=mn1=<5r}|8m45e290/>:7513g8R71e28qG>:j51zTg6?4|,8ih6<=m;W313?4|,47288n7E<88:T13g<6sE8{zut1d=n;50;&13<<6k<1]>:l51zN13a<6s_n96?u+1ba95f3<^88<6?u+5eg92>"2lm0=7psr}:a7`b=83?1<7>t$35:>26<@;288n7[<8b;3xH71c28q]h?4={%3`g?75n2\:>:4={%7ga?763-?oh7?>;|~y>o6;90;6)<89;31a>N5?11]>:l51zN13a<6s_n96?u+1ba9566<^88<6?u+5eg954=#=mn1=<5r}|8m45e290/>:7513g8L71?3_84}Ql;09w)?lc;30f>P6:>09w);ke;32?!3cl3;:7psr}:k203<72-8<57?=e:T13g<6sE8{zut1d=n;50;&13<<6k<1]>:l51zN13a<6s_n96?u+1ba95f3<^88<6?u+5eg92>"2lm0=7psr}:a7`?=83?1<7>t$35:>4e33A8=h6g>2g83>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6:o1]=?952z&6``<13-?oh784}|~?l7483:1(?96:00f?S40j3;p@?9k:0yU`7<5s-;ho7?<0:T262<5s-?oi784$4fg>3=zutw0e<=m:18'62?=9;o0Z?9m:0yO62b=9r\o>745e3_;9;73=#=mn1:6sr}|9j510=83.9;44>2d9U62d=9rF9;i4>{Wf1>7}#9ji1=984V004>7}#=mo1:6*:de85?x{zu2e:o84?:%04=?7d=2\9;o4>{M04`?7|^m81>v*>cb82g0=Q9;=1>v*:dd85?!3cl3<0qpsr;|`50<<72;0;6=u+26;9f<=O:?n0e<28i>7[<8b;3xH71c28q]h?4={%3`g?7d=2\:>:4={%7ga?0<,50z&13<<6:j1C>;j4$06e>42P6:>09w);ke;48 0bc2?1vqps4ib`94?"5?00hn6X=7c82I40l3;pZ:75cb9U62d=:rF9;i4>{W3a0?4|,8ih6nm4V004>7}#=mo146*:de8;?x{zD;=h69uY27c97~Pc838p(8jl:ba8 6gb2{#>?<1i6sY27c97~Pc838p(8jl:ba8 6gb2{#>?<1i6sY27c97~Pc838p(8jl:ba8 6gb2oj:4ff?x"1>?0<7pX>cc81Sb72;q/9im5cb9'7dc==mo0q)896;30?x{z3`8=57>5$35:>70>3_84}Q9k>1>v*>cb812<=Q9;=1>v*:dd826>"2lm0:>6sr}M04g?7|^;=96?uYd181!3ck38=56*:7524c8R71e2;qG>:j51zT2f1<5s-;ho7<:a:T262<5s-?oi7?=;%7g`?753twv@?9l:0yU624=:r\o<773f3-9ji7;ke:'230=9;1vqp5`1b794?"5?00:o854}c47e?6=;3:1744a3_;9;73=#=mn1:6sr}|9j566=83.9;44>2d9U62d=9rF9;i4>{Wf1>7}#9ji1=>>4V004>7}#=mo1:6*:de85?x{zu2e:o84?:%04=?7d=2\9;o4>{M04`?7|^m81>v*>cb82g0=Q9;=1>v*:dd85?!3cl3<0qpsr;|`50g<7280;6=u+26;96=6<@;28i>76sm65f94?1=83:p(?96:628L70c3`;9j7>5$35:>44b3A8<46X=7c82I40l3;pZi<52z&2gf<6:o1]=?952z&6``{M04`?7|^m81>v*>cb8275=Q9;=1>v*:dd8a?!3cl3h0qpsr;h30f?6=,;=26<P5?k0:wA<8d;3xRa4=:r.:on4>3c9U571=:r.>hh4m;%7g`?d288n7[<8b;3xH71c28q]h?4={%3`g?73>2\:>:4={%7ga?763-?oh7?>;|~y>o6<00;6)<89;31a>P5?k0:wA<8d;3xRa4=:r.:on4>489U571=:r.>hh4>1:&6`a<692wvqp5f15c94?"5?00:>h5Y26`95~J5?m0:w[j=:3y'5fe=9=k0Z<<8:3y'1ac=981/9ij5109~yx{6=4+26;95f3<^;=i62wvqp5rb76f>5<0290;w)<89;53?M41l2c:>k4?:%04=?75m2B9;55Y26`95~J5?m0:w[j=:3y'5fe=9;l0Z<<8:3y'1ac=j2.>hi4m;|~y>o6;90;6)<89;31a>N5?11]>:l51zN13a<6s_n96?u+1ba9566<^88<6?u+5eg9f>"2lm0i7psr}:k27g<72-8<57?=e:T13g<6sE8{zut1b=9850;&13<<6:l1]>:l51zN13a<6s_n96?u+1ba9510<^88<6?u+5eg954=#=mn1=<5r}|8m42>290/>:7513g8R71e28qG>:j51zTg6?4|,8ih6<:6;W313?4|,47288n7[<8b;3xH71c28q]h?4={%3`g?73i2\:>:4={%7ga?763-?oh7?>;|~y>i6k<0;6)<89;3`1>P5?k0:wA<8d;3xRa4=:r.:on4>c49U571=:r.>hh49;%7g`?06<729q/>:751b68L70c3`;9j7>5$35:>44b3_84}Ql;09w)?lc;31b>P6:>09w);ke;48 0bc2?1vqps4i013>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed289;7[?=7;0x 0bb2?1/9ij56:~yx=h9j?1<7*=7882g0=Q:>h1=vB=7e82Sb52;q/=nm51b78R4402;q/9ik56:&6`a<13twvq6sm14294?4=83:p(?96:c;8L70c3`;9i7>5$35:>44b32e:o84?:%04=?7d=2\9;o4>{M04`?7|^m81>v*>cb82g0=Q9;=1>v*:dd85?!3cl3<0qpsr;|`21g<72?0;6=u+26;957e<@;2d9U571=:r.>hh49;%7g`?0:l51zN13a<6s_;i87fd<^88<6?u+5eg92>"2lm0=7psr}:k`g?6=,;=26nm4V35a>7}K:>n1=vX>b581!7dk3ih7[?=7;0x 0bb211/9ij58:~yI40k3>pZ?8n:2yU`5<5s-?oo7ml;%1ba?3cm2w]h<4={%7gg?75m2.8mh4:dd9~ 3012l1vZ?8n:2yU`5<5s-?oo7ml;%1ba?3cm2w]h<4={%7gg?75m2.8mh4:de9~ 3012l1vZ?8n:2yU`5<5s-?oo7ml;%1ba?3cm2w]h<4={%7gg?ee3-9ji7;ke:'230=?2w]=nl52zTg4?4|,0bb3t.=:;4>3:~y>o5>00;6)<89;05=>P5?k09wA<8d;3xR4d32;q/=nm527;8R4402;q/9ik5139'1ab=9;1vqpB=7b82S40:38pZi>52z&6`f<5>01/?lk55eg8y!01>3;97psr;h06e?6=,;=26?;n;W04f?4|D;=o66*:de826>{zuE87}Ql909w);kc;06e>"4il0>hh5r$745>445083>6<729q/>:752648L70c3`;9j7>5$35:>44b3_84}Ql;09w)?lc;31b>P6:>09w);ke;48 0bc2?1vqps4i013>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed289;7[?=7;0x 0bb2?1/9ij56:~yx=h9j?1<7*=7882g0=Q:>h1=vB=7e82Sb52;q/=nm51b78R4402;q/9ik56:&6`a<13twvq6sm14094?7=83:p(?96:3:3?M41l2e:o84?:%04=?7d=21vn<;;:180>5<7s-8<579?;I05`>o6:o0;6)<89;31a>P5?k0:wA<8d;3xRa4=:r.:on4>2g9U571=:r.>hh4>1:&6`a<692wvqp5f12294?"5?00:>h5Y26`95~J5?m0:w[j=:3y'5fe=9::0Z<<8:3y'1ac=981/9ij5109~yx{6=4+26;95f3<^;=i62wvqp5rb076>5<4290;w)<89;53?M41l2c:>k4?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb826c=Q9;=1>v*:dd825>"2lm0:=6sr}|9j566=83.9;44>2d9U62d=9rF9;i4>{Wf1>7}#9ji1=>>4V004>7}#=mo1=<5+5ef954=zutw0c74e23_;9;73=#=mn1:6sr}|9~f43129086=4?{%04=?173A8=h6g>2g83>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6:o1]=?952z&6``<692.>hi4>1:~yx=n9::1<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm51228R4402;q/9ik5109'1ab=981vqps4o0a6>5<#:>31=n;4V35a>4}K:>n1=vXk2;0x 4ed28i>7[?=7;0x 0bb2?1/9ij56:~yx=zj8?<6=4<:183!4013=;7E<9d:k26c<72-8<57?=e:T13g<6sE8k5Y13596~"2ll0=7);kd;48yx{z3`;8<7>5$35:>44b3_84}Ql;09w)?lc;304>P6:>09w);ke;48 0bc2?1vqps4o0a6>5<#:>31=n;4V35a>4}K:>n1=vXk2;0x 4ed28i>7[?=7;0x 0bb2?1/9ij56:~yx=zj8?36=4<:183!4013=;7E<9d:k26c<72-8<57?=e:T13g<6sE8k5Y13596~"2ll0:=6*:de825>{zut1b=>>50;&13<<6:l1]>:l51zN13a<6s_n96?u+1ba9566<^88<6?u+5eg954=#=mn1=<5r}|8k4e2290/>:751b78R71e28qG>:j51zTg6?4|,8ih6{zut1vn<;6:187>5<7s-8<579?;I05`>o6:o0;6)<89;31a>N5?11]>:l51zN13a<6s_n96?u+1ba957`<^88<6?u+5eg954=#=mn1=<5r}|8m457290/>:7513g8R71e28qG>:j51zTg6?4|,8ih6<=?;W313?4|,47288n7[<8b;3xH71c28q]h?4={%3`g?74j2\:>:4={%7ga?763-?oh7?>;|~y>i6k<0;6)<89;3`1>P5?k0:wA<8d;3xRa4=:r.:on4>c49U571=:r.>hh49;%7g`?05283>2<729q/>:751b68L70c3`;9j7>5$35:>44b3_84}Ql;09w)?lc;31b>P6:>09w);ke;48 0bc2?1vqps4i013>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed289;7[?=7;0x 0bb2?1/9ij56:~yx=n9:h1<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm512`8R4402;q/9ik56:&6`a<13twvq6g>4783>!4013;9i6X=7c82I40l3;pZi<52z&2gf<63=zutw0e<:n:18'62?=9;o0Z?9m:0yO62b=9r\o>742f3_;9;73=#=mn1:6sr}|9l5f3=83.9;44>c49U62d=9rF9;i4>{Wf1>7}#9ji1=n;4V004>7}#=mo1:6*:de85?x{zu2wi?;m50;094?6|,;=26o74H34g?l75m3:1(?96:00f?>i6k<0;6)<89;3`1>P5?k0:wA<8d;3xRa4=:r.:on4>c49U571=:r.>hh49;%7g`?06<729q/>:752648L70c3`;9j7>5$35:>44b3_84}Ql;09w)?lc;31b>P6:>09w);ke;48 0bc2?1vqps4i013>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed289;7[?=7;0x 0bb2o1/9ij5f:~yx=h9j?1<7*=7882g0=Q:>h1=vB=7e82Sb52;q/=nm51b78R4402;q/9ik56:&6`a<13twvq6sm36394?0=83:p(?96:00`?M41l2.:8k4>4:k26`<72-8<57?=e:T13g<6sE87}#9ji1=?k4V004>7}#=mo1:6*:de85?x{zu2chn7>5$35:>fd<^;=i62wvqp5fcb83>!4013ih7[<8b;0xH71c28q]=o:52z&2gf:4={%7ga?><,v*:db826`=#;ho19ik4}%452?cv*:db826`=#;ho19ij4}%452?cv*:db8`f>"4il0>hh5r$745>2=z^8ii6?uYd181!3ck3ih7)=ne;7ga>{#>?<1=>5r}|9j63?=83.9;44=689U62d=:rF9;i4>{W3a0?4|,8ih6?86;W313?4|,44:m51zT137<5s_n;6?u+5ea963?<,:kn68jj;|&523<6:2wvq6g=5`83>!40138>m6X=7c81I40l3;pZhn4=5`9'7dc==mo0q)896;31?x{z3f;h97>5$35:>4e232wi?;j50;194?6|,;=26?99;I05`>o6:o0;6)<89;31a>N5?11]>:l51zN13a<6s_n96?u+1ba957`<^88<6?u+5eg92>"2lm0=7psr}:k275<72-8<57?=e:T13g<6sE85$35:>4e23_84}Ql;09w)?lc;3`1>P6:>09w);ke;48 0bc2?1vqps4}c15a?6=93:11<729q/>:75719K63bh5G26:8R71e28qG>:j51zTg6?4|,8ih6<=?;W313?4|,47288n7E<88:T13g<6sE8{zut1d=n;50;&13<<6k<1]>:l51zN13a<6s_n96?u+1ba95f3<^88<6?u+5eg92>"2lm0=7psr}:a05>=8381<7>t$35:>g?<@;288n76a>c483>!4013;h96X=7c82I40l3;pZi<52z&2gf<6k<1]=?952z&6``<13-?oh784}|~?xd38m0;6;4?:1y'62?=9;i0D?8k;%37b?733`;9i7>5$35:>44b3_84}Q9k>1>v*>cb826`=Q9;=1>v*:dd85?!3cl3<0qpsr;haa>5<#:>31oo5Y26`95~J5?m0:w[?m4;0x 4ed2jh0Z<<8:3y'1ac=>2.>hi49;|~y>odk3:1(?96:ba8R71e2;qG>:j51zT2f1<5s-;ho7ml;W313?4|,{zuE86}Ql909w);kc;a`?!5fm3?oi6sYd081!3ck3;9i6*6}Ql909w);kc;a`?!5fm3?oi6sYd081!3ck3;9i6*6}Ql909w);kc;a`?!5fm3?oi6sYd081!3ck3ii7)=ne;7ga>{#>?<1;6sY1b`96~Pc838p(8jl:ba8 6gb2{zu2c9:44?:%04=?4112\9;o4={M04`?7|^8h?6?u+1ba963?<^88<6?u+5eg957=#=mn1=?5r}|N13f<6s_8<>7hh4>2:&6`a<6:2wvqA<8c;3xR7152;q]h=4={%7gg?42i2.8mh4:dd9~ 3012880qps4o0a6>5<#:>31=n;4;|`74<<72:0;6=u+26;9620<@;288n7[<8b;3xH71c28q]h?4={%3`g?75n2\:>:4={%7ga?0<,:7513g8R71e28qG>:j51zTg6?4|,8ih6<=?;W313?4|,{zut1d=n;50;&13<<6k<1]>:l51zN13a<6s_n96?u+1ba95f3<^88<6?u+5eg92>"2lm0=7psr}:a05g=83;1<7>t$35:>7>73A8=h6a>c483>!4013;h965rb52a>5<4290;w)<89;53?M41l2c:>k4?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb826c=Q9;=1>v*:dd825>"2lm0:=6sr}|9j566=83.9;44>2d9U62d=9rF9;i4>{Wf1>7}#9ji1=>>4V004>7}#=mo1=<5+5ef954=zutw0c74e23_;9;73=#=mn1:6sr}|9~f16b29096=4?{%04=?d>3A8=h6g>2d83>!4013;9i65`1b794?"5?00:o85Y26`95~J5?m0:w[j=:3y'5fe=9j?0Z<<8:3y'1ac=>2.>hi49;|~y>{e<8?1<7850;2x 71>288h7E<9d:&20c<6<2c:>h4?:%04=?75m2\9;o4>{M04`?7|^8h?6?u+1ba957c<^88<6?u+5eg92>"2lm0=7psr}:k`f?6=,;=26nl4V35a>4}K:>n1=vX>b581!7dk3ii7[?=7;0x 0bb2?1/9ij56:~yx=nkj0;6)<89;a`?S40j38p@?9k:0yU5g2=:r.:on4lc:T262<5s-?oi764$4fg>==zutF9;n4;{W05e?5|^m:1>v*:db8`g>"4il0>hh5rVe396~"2lj0:>h5+3`g91acv*:db8`g>"4il0>hh5rVe396~"2lj0:>h5+3`g91abv*:db8`g>"4il0>hh5rVe396~"2lj0hn6*7}Ql909w);kc;a`?!5fm3?oi6s+674956=zut1b>;750;&13<<5>01]>:l52zN13a<6s_;i8770>3_;9;744<,7}#=mi1>;74$2cf>0bb3t.=:;4>2:~y>o5=h0;6)<89;06e>P5?k09wA<8d;3xR4d32;q/=nm524c8R4402;q/9ik5139'1ab=9;1vqpB=7b82S40:38pZi>52z&6`f<5=h1/?lk55eg8y!01>3;97psr;n3`1?6=,;=26t$35:>7113A8=h6g>2g83>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6:o1]=?952z&6``<13-?oh784}|~?l7483:1(?96:00f?S40j3;p@?9k:0yU`7<5s-;ho7?<0:T262<5s-?oi784$4fg>3=zutw0c74e23_;9;73=#=mn1:6sr}|9~f177290:6=4?{%04=?4?82B9:i5`1b794?"5?00:o854}c626?6=<3:1N5>m1b=?h50;&13<<6:l1]>:l51zN13a<6s_n96?u+1ba957`<^88<6?u+5eg954=#=mn1=<5r}|8m457290/>:7513g8L71?3_84}Ql;09w)?lc;304>P6:>09w);ke;32?!3cl3;:7psr}:k27g<72-8<57?=e:T13g<6sE8{zut1d=n;50;&13<<6k<1]>:l51zN13a<6s_n96?u+1ba95f3<^88<6?u+5eg92>"2lm0=7psr}:a045=83>1<7>t$35:>26<@;288n7[<8b;3xH71c28q]h?4={%3`g?75n2\:>:4={%7ga?763-?oh7?>;|~y>o6;90;6)<89;31a>N5?11]>:l51zN13a<6s_n96?u+1ba9566<^88<6?u+5eg954=#=mn1=<5r}|8m45e290/>:7513g8R71e28qG>:j51zTg6?4|,8ih6<=m;W313?4|,4728i>7[<8b;3xH71c28q]h?4={%3`g?7d=2\:>:4={%7ga?0<,50z&13<<6k=1C>;j4i00e>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed288m7[?=7;0x 0bb2?1/9ij56:~yx=n9::1<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm51228R4402;q/9ik56:&6`a<13twvq6a>c483>!4013;h96X=7c82I40l3;pZi<52z&2gf<6k<1]=?952z&6``<13-?oh784}|~?xd3=:0;6?4?:1y'62?=j01C>;j4i00f>5<#:>31=?k4;n3`1?6=,;=262681!3cm3<0(8jk:79~yx{>47>56;294~"5?00:>n5G27f8 42a28>0e<:4={%7ga?0<,7}#9ji1oo5Y13596~"2ll0=7);kd;48yx{z3`ih6=4+26;9gf=Q:>h1>vB=7e82S7e<38p(fe<,:kn68jj;|Tg5?4|,fe<,:kn68jj;|Tg5?4|,fe<,:kn68jj;|Tg5?4|,0bb3t.=:;48;|T2gg<5s_n;6?u+5ea9gf=#;ho19ik4}%452?743twv7d<99;29 71>2;<27[<8b;0xH71c28q]=o:52z&2gf<5>01]=?952z&6``<6:2.>hi4>2:~yI40k3;pZ?9=:3yU`5<5s-?oo7<99:&0e`<2ll1v(;89:008yx{P6:>09w);ke;31?!3cl3;97psrL35`>4}Q:>81>vXk0;0x 0bd2;?j7)=ne;7ga>{#>?<1=?5r}|9l5f3=83.9;44>c498yg22<3:1?7>50z&13<<5??1C>;j4i00e>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed288m7[?=7;0x 0bb2?1/9ij56:~yx=n9::1<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm51228R4402;q/9ik56:&6`a<13twvq6a>c483>!4013;h96X=7c82I40l3;pZi<52z&2gf<6k<1]=?952z&6``<13-?oh784}|~?xd3=<0;6<4?:1y'62?=:1:0D?8k;n3`1?6=,;=26t$35:>26<@;288n7[<8b;3xH71c28q]h?4={%3`g?75n2\:>:4={%7ga?763-?oh7?>;|~y>o6;90;6)<89;31a>P5?k0:wA<8d;3xRa4=:r.:on4>319U571=:r.>hh4>1:&6`a<692wvqp5`1b794?"5?00:o85Y26`95~J5?m0:w[j=:3y'5fe=9j?0Z<<8:3y'1ac=>2.>hi49;|~y>{e<>h1<7<50;2x 71>2k30D?8k;h31a?6=,;=26<5}#:>31=?m4H34g?!73n3;?7d?=e;29 71>288n7[<8b;3xH71c28q]=o:52z&2gf<6:l1]=?952z&6``<13-?oh784}|~?lee290/>:75cc9U62d=9rF9;i4>{W3a0?4|,8ih6nl4V004>7}#=mo1:6*:de85?x{zu2cho7>5$35:>fe<^;=i6?uC26f95~P6j=09w)?lc;a`?S75?38p(8jj:99'1ab=02wvqA<8c;6xR70f2:q]h=4={%7gg?ed3-9ji7;ke:U`4<5s-?oo7?=e:&0e`<2ll1v(;89:d9~R70f2:q]h=4={%7gg?ed3-9ji7;ke:U`4<5s-?oo7?=e:&0e`<2lm1v(;89:d9~R70f2:q]h=4={%7gg?ed3-9ji7;ke:U`4<5s-?oo7mm;%1ba?3cm2w/:;857:U5fd=:r\o<7fe<,:kn68jj;|&523<6;2wvq6g=6883>!40138=56X=7c81I40l3;pZhn4=689'7dc==mo0q)896;31?x{z3`8>m7>5$35:>73f3_84}Q9k>1>v*>cb811d=Q9;=1>v*:dd826>"2lm0:>6sr}M04g?7|^;=96?uYd181!3ck38>m6*:751b78?xd3?j0;6>4?:1y'62?=:><0D?8k;h31b?6=,;=26<2681!3cm3<0(8jk:79~yx{2wvqp5`1b794?"5?00:o85Y26`95~J5?m0:w[j=:3y'5fe=9j?0Z<<8:3y'1ac=>2.>hi49;|~y>{e<>n1<7?50;2x 71>2;2;7E<9d:m2g0<72-8<57?l5:9~f11a29086=4?{%04=?173A8=h6g>2g83>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6:o1]=?952z&6``<13-?oh784}|~?l7483:1(?96:00f?S40j3;p@?9k:0yU`7<5s-;ho7?<0:T262<5s-?oi784$4fg>3=zutw0c74e23_;9;73=#=mn1:6sr}|9~f1>7290:=7>50z&13<<082B9:i5f13d94?"5?00:>h5G26:8R71e28qG>:j51zTg6?4|,8ih6<{zut1b=>>50;&13<<6:l1C>:64V35a>4}K:>n1=vXk2;0x 4ed289;7[?=7;0x 0bb2k1/9ij5b:~yx=n9:h1<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm512`8R4402;q/9ik5b:&6`a4783>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6g=zutw0e<:n:18'62?=9;o0Z?9m:0yO62b=9r\o>742f3_;9;7g=#=mn1n6sr}|9j51d=83.9;44>2d9U62d=9rF9;i4>{Wf1>7}#9ji1=9l4V004>7}#=mo1n6*:de8a?x{zu2c:8n4?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb820f=Q9;=1>v*:dd8a?!3cl3h0qpsr;h37`?6=,;=26<2681!3cm3h0(8jk:c9~yx{n6=4+26;957c<@;=37[<8b;3xH71c28q]h?4={%3`g?73m2\:>:4={%7ga?d<,:7513g8L71?3_84}Ql;09w)?lc;305>P6:>09w);ke;`8 0bc2k1vqps4i011>5<#:>31=?k4H35;?S40j3;p@?9k:0yU`7<5s-;ho7?<2:T262<5s-?oi7?>;%7g`?763twvq6g>3283>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6;:1]=?952z&6``<692.>hi4>1:~yx=n9:>1<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm51268R4402;q/9ik5109'1ab=981vqps4i016>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed289>7[?=7;0x 0bb2?1/9ij56:~yx=n9:<1<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm51248R4402;q/9ik56:&6`a<13twvq6a>c483>!4013;h96X=7c82I40l3;pZi<52z&2gf<6k<1]=?952z&6``<13-?oh784}|~?xd3080;62>:0D?8k;h31b?6=,;=26<P5?k0:wA<8d;3xRa4=:r.:on4>2g9U571=:r.>hh4m;%7g`?d288n7E<88:T13g<6sE85$35:>44b3_84}Ql;09w)?lc;30f>P6:>09w);ke;`8 0bc2k1vqps4i065>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed28>=7[?=7;0x 0bb2k1/9ij5b:~yx=n9=31<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm515;8R4402;q/9ik5b:&6`a4`83>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6g=zutw0e<:l:18'62?=9;o0Z?9m:0yO62b=9r\o>742d3_;9;7g=#=mn1n6sr}|9j51b=83.9;44>2d9U62d=9rF9;i4>{Wf1>7}#9ji1=9j4V004>7}#=mo1n6*:de8a?x{zu2c:8h4?:%04=?75m2B9;55Y26`95~J5?m0:w[j=:3y'5fe=9=o0Z<<8:3y'1ac=j2.>hi4m;|~y>o6;80;6)<89;31a>N5?11]>:l51zN13a<6s_n96?u+1ba9567<^88<6?u+5eg9f>"2lm0i7psr}:k277<72-8<57?=e:J13==Q:>h1=vB=7e82Sb52;q/=nm51208R4402;q/9ik5109'1ab=981vqps4i010>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed28987[?=7;0x 0bb28;0(8jk:038yx{z3`;887>5$35:>44b3_84}Ql;09w)?lc;300>P6:>09w);ke;32?!3cl3;:7psr}:k270<72-8<57?=e:T13g<6sE85$35:>44b3_84}Ql;09w)?lc;302>P6:>09w);ke;48 0bc2?1vqps4o0a6>5<#:>31=n;4V35a>4}K:>n1=vXk2;0x 4ed28i>7[?=7;0x 0bb2?1/9ij56:~yx=zj=296=4>1;294~"5?00<<6F=6e9j57`=83.9;44>2d9K62><^;=i6h5G26:8R71e28qG>:j51zTg6?4|,8ih6<=?;W313?4|,{zut1b=>l50;&13<<6:l1]>:l51zN13a<6s_n96?u+1ba956d<^88<6?u+5eg9f>"2lm0i7psr}:k203<72-8<57?=e:T13g<6sE85$35:>44b3_84}Ql;09w)?lc;37=>P6:>09w);ke;`8 0bc2k1vqps4i06b>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed28>j7[?=7;0x 0bb2k1/9ij5b:~yx=n9=h1<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm515`8R4402;q/9ik5b:&6`a4b83>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6g=zutw0e<:j:18'62?=9;o0D?97;W04f?7|D;=o62681!3cm3h0(8jk:c9~yx{:4={%7ga?d<,:7513g8L71?3_84}Ql;09w)?lc;306>P6:>09w);ke;32?!3cl3;:7psr}:k276<72-8<57?=e:T13g<6sE85Y13596~"2ll0:=6*:de825>{zut1b=>:50;&13<<6:l1]>:l51zN13a<6s_n96?u+1ba9562<^88<6?u+5eg954=#=mn1=<5r}|8m452290/>:7513g8R71e28qG>:j51zTg6?4|,8ih6<=:;W313?4|,{zut1b=>850;&13<<6:l1]>:l51zN13a<6s_n96?u+1ba9560<^88<6?u+5eg92>"2lm0=7psr}:m2g0<72-8<57?l5:T13g<6sE84?:0394?6|,;=26:>4H34g?l75n3:1(?96:00f?M4002\9;o4>{M04`?7|^m81>v*>cb826c=Q9;=1>v*:dd8a?!3cl3h0qpsr;h304?6=,;=26<P5?k0:wA<8d;3xRa4=:r.:on4>319U571=:r.>hh4m;%7g`?d288n7[<8b;3xH71c28q]h?4={%3`g?74j2\:>:4={%7ga?d<,:7513g8R71e28qG>:j51zTg6?4|,8ih6<:9;W313?4|,{zut1b=9750;&13<<6:l1]>:l51zN13a<6s_n96?u+1ba951?<^88<6?u+5eg9f>"2lm0i7psr}:k20d<72-8<57?=e:T13g<6sE85$35:>44b3_84}Ql;09w)?lc;37f>P6:>09w);ke;`8 0bc2k1vqps4i06`>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed28>h7[?=7;0x 0bb2k1/9ij5b:~yx=n9=n1<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm515f8R4402;q/9ik5b:&6`a4d83>!4013;9i6F=799U62d=9rF9;i4>{Wf1>7}#9ji1=9k4V004>7}#=mo1n6*:de8a?x{zu2c:?<4?:%04=?75m2B9;55Y26`95~J5?m0:w[j=:3y'5fe=9:;0Z<<8:3y'1ac=j2.>hi4m;|~y>o6;;0;6)<89;31a>N5?11]>:l51zN13a<6s_n96?u+1ba9564<^88<6?u+5eg954=#=mn1=<5r}|8m454290/>:7513g8R71e28qG>:j51zTg6?4|,8ih6<=<;W313?4|,47288n7[<8b;3xH71c28q]h?4={%3`g?74<2\:>:4={%7ga?763-?oh7?>;|~y>o6;<0;6)<89;31a>P5?k0:wA<8d;3xRa4=:r.:on4>349U571=:r.>hh49;%7g`?0288n7[<8b;3xH71c28q]h?4={%3`g?74>2\:>:4={%7ga?0<,:751b78R71e28qG>:j51zTg6?4|,8ih6{zut1vn96;:1825?6=8r.9;4480:J12a=n9;l1<7*=78826`=O:>20Z?9m:0yO62b=9r\o>744a3_;9;7g=#=mn1n6sr}|9j566=83.9;44>2d9K62><^;=i6h5Y26`95~J5?m0:w[j=:3y'5fe=9:h0Z<<8:3y'1ac=j2.>hi4m;|~y>o6P5?k0:wA<8d;3xRa4=:r.:on4>479U571=:r.>hh4m;%7g`?d288n7[<8b;3xH71c28q]h?4={%3`g?7312\:>:4={%7ga?d<,:7513g8R71e28qG>:j51zTg6?4|,8ih6<:n;W313?4|,{zut1b=9l50;&13<<6:l1]>:l51zN13a<6s_n96?u+1ba951d<^88<6?u+5eg9f>"2lm0i7psr}:k20f<72-8<57?=e:T13g<6sE85$35:>44b3_84}Ql;09w)?lc;37`>P6:>09w);ke;`8 0bc2k1vqps4i06f>5<#:>31=?k4H35;?S40j3;p@?9k:0yU`7<5s-;ho7?;e:T262<5s-?oi7l4$4fg>g=zutw0e<=>:18'62?=9;o0D?97;W04f?7|D;=o62681!3cm3;:7);kd;32?x{zu2c:??4?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb8277=Q9;=1>v*:dd825>"2lm0:=6sr}|9j565=83.9;44>2d9U62d=9rF9;i4>{Wf1>7}#9ji1=>=4V004>7}#=mo1=<5+5ef954=zutw0e<=;:18'62?=9;o0Z?9m:0yO62b=9r\o>74533_;9;747<,3=zutw0e<=9:18'62?=9;o0Z?9m:0yO62b=9r\o>74513_;9;73=#=mn1:6sr}|9l5f3=83.9;44>c49U62d=9rF9;i4>{Wf1>7}#9ji1=n;4V004>7}#=mo1:6*:de85?x{zu2wi8:k50;594?6|,;=26o6:o0;6)<89;31a>P5?k0:wA<8d;3xRa4=:r.:on4>2g9U571=:r.>hh49;%7g`?0288n7[<8b;3xH71c28q]h?4={%3`g?7482\:>:4={%7ga?0<,:7513g8R71e28qG>:j51zTg6?4|,8ih6<=m;W313?4|,{zut1b=9850;&13<<6:l1]>:l51zN13a<6s_n96?u+1ba9510<^88<6?u+5eg92>"2lm0=7psr}:k20<<72-8<57?=e:T13g<6sE85$35:>44b3_84}Ql;09w)?lc;37e>P6:>09w);ke;48 0bc2?1vqps4o0a6>5<#:>31=n;4V35a>4}K:>n1=vXk2;0x 4ed28i>7[?=7;0x 0bb2?1/9ij56:~yx=zj8=:6=4=:183!4013h27E<9d:k26`<72-8<57?=e:9l5f3=83.9;44>c49U62d=9rF9;i4>{Wf1>7}#9ji1=n;4V004>7}#=mo1:6*:de85?x{zu2wi=:k50;194?6|,;=26?99;I05`>o6:o0;6)<89;31a>P5?k0:wA<8d;3xRa4=:r.:on4>2g9U571=:r.>hh49;%7g`?0288n7[<8b;3xH71c28q]h?4={%3`g?7482\:>:4={%7ga?`<,:751b78R71e28qG>:j51zTg6?4|,8ih6{zut1vn<9k:185>5<7s-8<57?=c:J12a=#9=l1=95f13g94?"5?00:>h5Y26`95~J5?m0:w[?m4;0x 4ed288n7[?=7;0x 0bb2?1/9ij56:~yx=nkk0;6)<89;aa?S40j3;p@?9k:0yU5g2=:r.:on4lb:T262<5s-?oi784$4fg>3=zutw0enm50;&13<v*:dd8;?!3cl320qpsC26a90~P5>h08w[j?:3y'1ae=kj1/?lk55eg8ySb62;q/9im513g8 6gb2h08w[j?:3y'1ae=kj1/?lk55eg8ySb62;q/9im513g8 6gb2h08w[j?:3y'1ae=kj1/?lk55eg8ySb62;q/9im5cc9'7dc==mo0q)896;58yS7dj38pZi>52z&6`f5<#:>31>;74V35a>7}K:>n1=vX>b581!7dk38=56X>2681!3cm3;97);kd;31?x{zD;=h68o50;&13<<5=h1]>:l52zN13a<6s_;i8773f3_;9;744<,7}#=mi1>8o4$2cf>0bb3t.=:;4>2:~y>i6k<0;6)<89;3`1>=zj8=96=4<:183!40138<:6F=6e9j57`=83.9;44>2d9K62><^;=i62wvqp5f12294?"5?00:>h5Y26`95~J5?m0:w[j=:3y'5fe=9::0Z<<8:3y'1ac=>2.>hi49;|~y>i6k<0;6)<89;3`1>P5?k0:wA<8d;3xRa4=:r.:on4>c49U571=:r.>hh49;%7g`?07283>4<729q/>:752928L70c3f;h97>5$35:>4e232wi=:;50;194?6|,;=26:>4H34g?l75n3:1(?96:00f?S40j3;p@?9k:0yU`7<5s-;ho7?=f:T262<5s-?oi7?>;%7g`?763twvq6g>3183>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6;91]=?952z&6``<692.>hi4>1:~yx=h9j?1<7*=7882g0=Q:>h1=vB=7e82Sb52;q/=nm51b78R4402;q/9ik56:&6`a<13twvq6sm16494?5=83:p(?96:628L70c3`;9j7>5$35:>44b3_84}Ql;09w)?lc;31b>P6:>09w);ke;48 0bc2?1vqps4i013>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed289;7[?=7;0x 0bb2?1/9ij56:~yx=h9j?1<7*=7882g0=Q:>h1=vB=7e82Sb52;q/=nm51b78R4402;q/9ik56:&6`a<13twvq6sm16594?5=83:p(?96:628L70c3`;9j7>5$35:>44b3_84}Ql;09w)?lc;31b>P6:>09w);ke;48 0bc2?1vqps4i013>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed289;7[?=7;0x 0bb2?1/9ij56:~yx=h9j?1<7*=7882g0=Q:>h1=vB=7e82Sb52;q/=nm51b78R4402;q/9ik56:&6`a<13twvq6sm16:94?2=83:p(?96:628L70c3`;9j7>5$35:>44b3_84}Ql;09w)?lc;31b>P6:>09w);ke;32?!3cl3;:7psr}:k275<72-8<57?=e:T13g<6sE8{zut1b=>l50;&13<<6:l1C>:64V35a>4}K:>n1=vXk2;0x 4ed289i7[?=7;0x 0bb2k1/9ij5b:~yx=h9j?1<7*=7882g0=Q:>h1=vB=7e82Sb52;q/=nm51b78R4402;q/9ik56:&6`a<13twvq6sm16;94?2=83:p(?96:628L70c3`;9j7>5$35:>44b3_84}Ql;09w)?lc;31b>P6:>09w);ke;32?!3cl3;:7psr}:k275<72-8<57?=e:J13==Q:>h1=vB=7e82Sb52;q/=nm51228R4402;q/9ik5109'1ab=981vqps4i01a>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed289i7[?=7;0x 0bb28;0(8jk:038yx{z3f;h97>5$35:>4e23_84}Ql;09w)?lc;3`1>P6:>09w);ke;48 0bc2?1vqps4}c34e?6=<3:1N5>m1b=?h50;&13<<6:l1C>:64V35a>4}K:>n1=vXk2;0x 4ed288m7[?=7;0x 0bb28;0(8jk:038yx{z3`;8<7>5$35:>44b3_84}Ql;09w)?lc;304>P6:>09w);ke;32?!3cl3;:7psr}:k27g<72-8<57?=e:T13g<6sE85$35:>4e23_84}Ql;09w)?lc;3`1>P6:>09w);ke;48 0bc2?1vqps4}c34f?6=<3:1N5>m1b=?h50;&13<<6:l1]>:l51zN13a<6s_n96?u+1ba957`<^88<6?u+5eg954=#=mn1=<5r}|8m457290/>:7513g8L71?3_84}Ql;09w)?lc;304>P6:>09w);ke;32?!3cl3;:7psr}:k27g<72-8<57?=e:T13g<6sE8{zut1d=n;50;&13<<6k<1]>:l51zN13a<6s_n96?u+1ba95f3<^88<6?u+5eg92>"2lm0=7psr}:a522=8321<7>t$35:>4e33A8=h6g>2g83>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6:o1]=?952z&6``<13-?oh784}|~?l7483:1(?96:00f?S40j3;p@?9k:0yU`7<5s-;ho7?<0:T262<5s-?oi784$4fg>3=zutw0e<=m:18'62?=9;o0Z?9m:0yO62b=9r\o>745e3_;9;73=#=mn1:6sr}|9j510=83.9;44>2d9U62d=9rF9;i4>{Wf1>7}#9ji1=984V004>7}#=mo1:6*:de85?x{zu2c:844?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb820<=Q9;=1>v*:dd85?!3cl3<0qpsr;h37e?6=,;=26<2681!3cm3<0(8jk:79~yx{i6=4+26;957c<^;=i62wvqp5`1b794?"5?00:o85Y26`95~J5?m0:w[j=:3y'5fe=9j?0Z<<8:3y'1ac=>2.>hi49;|~y>{e9031<7<50;2x 71>2k30D?8k;h31a?6=,;=26<5}#:>31>:84H34g?l75n3:1(?96:00f?S40j3;p@?9k:0yU`7<5s-;ho7?=f:T262<5s-?oi784$4fg>3=zutw0e<=?:18'62?=9;o0Z?9m:0yO62b=9r\o>74573_;9;7c=#=mn1j6sr}|9l5f3=83.9;44>c49U62d=9rF9;i4>{Wf1>7}#9ji1=n;4V004>7}#=mo1:6*:de85?x{zu2wi=l;50;494?6|,;=26<"62d83>!4013;9i6X=7c82I40l3;pZ2.>hi49;|~y>odj3:1(?96:b`8R71e28qG>:j51zT2f1<5s-;ho7mm;W313?4|,{zut1bon4?:%04=?ed3_84}Q9k>1>v*>cb8`g>P6:>09w);ke;:8 0bc211vqpB=7b87S41i39pZi>52z&6`fhn4>2d9'7dc==mo0q)896;g8yS41i39pZi>52z&6`fhn4>2d9'7dc==mn0q)896;g8yS41i39pZi>52z&6`fhn4lb:&0e`<2ll1v(;89:69~R4ee2;q]h=4={%7gg?ed3-9ji7;ke:'230=9:1vqp5f27;94?"5?009:45Y26`96~J5?m0:w[?m4;0x 4ed2;<27[?=7;0x 0bb2880(8jk:008yx{K:>i1=vX=7381Sb72;q/9im527;8 6gb2{zu2c99l4?:%04=?42i2\9;o4={M04`?7|^8h?6?u+1ba960g<^88<6?u+5eg957=#=mn1=?5r}|N13f<6s_8<>7{e90k1<7=50;2x 71>2;==7E<9d:k26c<72-8<57?=e:J13==Q:>h1=vB=7e82Sb52;q/=nm513d8R4402;q/9ik56:&6`a<13twvq6g>3183>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6;91]=?952z&6``<13-?oh784}|~?j7d=3:1(?96:0a6?S40j3;p@?9k:0yU`7<5s-;ho7?l5:T262<5s-?oi784$4fg>3=zutw0qo?6b;295?6=8r.9;44=819K63b6=4+26;95f3<3th:5i4?:283>5}#:>31;=5G27f8m44a290/>:7513g8R71e28qG>:j51zTg6?4|,8ih6<47288n7[<8b;3xH71c28q]h?4={%3`g?7482\:>:4={%7ga?763-?oh7?>;|~y>i6k<0;6)<89;3`1>P5?k0:wA<8d;3xRa4=:r.:on4>c49U571=:r.>hh49;%7g`?09d83>6<729q/>:75719K63b2wvqp5f12294?"5?00:>h5Y26`95~J5?m0:w[j=:3y'5fe=9::0Z<<8:3y'1ac=>2.>hi49;|~y>i6k<0;6)<89;3`1>P5?k0:wA<8d;3xRa4=:r.:on4>c49U571=:r.>hh49;%7g`?09g83>6<729q/>:75719K63b2wvqp5f12294?"5?00:>h5Y26`95~J5?m0:w[j=:3y'5fe=9::0Z<<8:3y'1ac=>2.>hi49;|~y>i6k<0;6)<89;3`1>P5?k0:wA<8d;3xRa4=:r.:on4>c49U571=:r.>hh49;%7g`?0a183>1<729q/>:75719K63b2681!3cm3;:7);kd;32?x{zu2c:?o4?:%04=?75m2B9;55Y26`95~J5?m0:w[j=:3y'5fe=9:h0Z<<8:3y'1ac=j2.>hi4m;|~y>i6k<0;6)<89;3`1>P5?k0:wA<8d;3xRa4=:r.:on4>c49U571=:r.>hh49;%7g`?0a083>1<729q/>:75719K63bP5?k0:wA<8d;3xRa4=:r.:on4>319U571=:r.>hh4>1:&6`a<692wvqp5f12`94?"5?00:>h5Y26`95~J5?m0:w[j=:3y'5fe=9:h0Z<<8:3y'1ac=981/9ij5109~yx{6=4+26;95f3<^;=i62wvqp5rb0c1>5<3290;w)<89;53?M41l2c:>k4?:%04=?75m2B9;55Y26`95~J5?m0:w[j=:3y'5fe=9;l0Z<<8:3y'1ac=981/9ij5109~yx{2681!3cm3h0(8jk:c9~yx{6=4+26;95f3<^;=i62wvqp5rb0c0>5<3290;w)<89;53?M41l2c:>k4?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb826c=Q9;=1>v*:dd825>"2lm0:=6sr}|9j566=83.9;44>2d9K62><^;=i62681!3cm3;:7);kd;32?x{zu2e:o84?:%04=?7d=2\9;o4>{M04`?7|^m81>v*>cb82g0=Q9;=1>v*:dd85?!3cl3<0qpsr;|`2=f<7210;6=u+26;95f2<@;288n7[<8b;3xH71c28q]h?4={%3`g?75n2\:>:4={%7ga?0<,:7513g8R71e28qG>:j51zTg6?4|,8ih6<=?;W313?4|,{zut1b=>l50;&13<<6:l1]>:l51zN13a<6s_n96?u+1ba956d<^88<6?u+5eg92>"2lm0=7psr}:k203<72-8<57?=e:T13g<6sE85$35:>44b3_84}Ql;09w)?lc;37=>P6:>09w);ke;48 0bc2?1vqps4i06b>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed28>j7[?=7;0x 0bb2?1/9ij56:~yx=n9=h1<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm515`8R4402;q/9ik56:&6`a<13twvq6a>c483>!4013;h96X=7c82I40l3;pZi<52z&2gf<6k<1]=?952z&6``<13-?oh784}|~?xd4?:0;6?4?:1y'62?=j01C>;j4i00f>5<#:>31=?k4;n3`1?6=,;=262681!3cm3<0(8jk:79~yx{52;294~"5?00i56F=6e9j57c=83.9;44>2d98k4e2290/>:751b78R71e28qG>:j51zTg6?4|,8ih6{zut1vn>6?:185>5<7s-8<57?=c:J12a=#9=l1=95f13g94?"5?00:>h5Y26`95~J5?m0:w[?m4;0x 4ed288n7[?=7;0x 0bb2?1/9ij56:~yx=nkk0;6)<89;aa?S40j3;p@?9k:0yU5g2=:r.:on4lb:T262<5s-?oi784$4fg>3=zutw0enm50;&13<v*:dd8;?!3cl320qpsC26a90~P5>h08w[j?:3y'1ae=kj1/?lk55eg8ySb62;q/9im513g8 6gb2h08w[j?:3y'1ae=kj1/?lk55eg8ySb62;q/9im513g8 6gb2h08w[j?:3y'1ae=kj1/?lk55eg8ySb62;q/9im5cc9'7dc==mo0q)896;58yS7dj38pZi>52z&6`f5<#:>31>;74V35a>7}K:>n1=vX>b581!7dk38=56X>2681!3cm3;97);kd;31?x{zD;=h68o50;&13<<5=h1]>:l52zN13a<6s_;i8773f3_;9;744<,7}#=mi1>8o4$2cf>0bb3t.=:;4>2:~y>i6k<0;6)<89;3`1>=zj:=?6=4<:183!40138<:6F=6e9j57`=83.9;44>2d9K62><^;=i62wvqp5f12294?"5?00:>h5Y26`95~J5?m0:w[j=:3y'5fe=9::0Z<<8:3y'1ac=>2.>hi49;|~y>i6k<0;6)<89;3`1>P5?k0:wA<8d;3xRa4=:r.:on4>c49U571=:r.>hh49;%7g`?04<729q/>:752928L70c3f;h97>5$35:>4e232wi?:950;194?6|,;=26:>4H34g?l75n3:1(?96:00f?S40j3;p@?9k:0yU`7<5s-;ho7?=f:T262<5s-?oi784$4fg>3=zutw0e<=?:18'62?=9;o0Z?9m:0yO62b=9r\o>74573_;9;73=#=mn1:6sr}|9l5f3=83.9;44>c49U62d=9rF9;i4>{Wf1>7}#9ji1=n;4V004>7}#=mo1:6*:de85?x{zu2wi?:650;194?6|,;=26:>4H34g?l75n3:1(?96:00f?S40j3;p@?9k:0yU`7<5s-;ho7?=f:T262<5s-?oi7l4$4fg>g=zutw0e<=?:18'62?=9;o0D?97;W04f?7|D;=o62681!3cm3;:7);kd;32?x{zu2e:o84?:%04=?7d=2\9;o4>{M04`?7|^m81>v*>cb82g0=Q9;=1>v*:dd85?!3cl3<0qpsr;|`033<72:0;6=u+26;95f2<@;288n7[<8b;3xH71c28q]h?4={%3`g?75n2\:>:4={%7ga?0<,:7513g8R71e28qG>:j51zTg6?4|,8ih6<=?;W313?4|,{zut1d=n;50;&13<<6k<1]>:l51zN13a<6s_n96?u+1ba95f3<^88<6?u+5eg92>"2lm0=7psr}:a72g=8391<7>t$35:>26<@;288n7[<8b;3xH71c28q]h?4={%3`g?75n2\:>:4={%7ga?e<,:7513g8L71?3_84}Ql;09w)?lc;304>P6:>09w);ke;31?!3cl3;97psr}:m2g0<72-8<57?l5:T13g<6sE85}#:>31;=5G27f8m44a290/>:7513g8L71?3_84}Ql;09w)?lc;31b>P6:>09w);ke;31?!3cl3;97psr}:k275<72-8<57?=e:J13==Q:>h1=vB=7e82Sb52;q/=nm51228R4402;q/9ik5139'1ab=9;1vqps4o0a6>5<#:>31=n;4V35a>4}K:>n1=vXk2;0x 4ed28i>7[?=7;0x 0bb2?1/9ij56:~yx=zj:=h6=4<:183!4013=;7E<9d:k26c<72-8<57?=e:J13==Q:>h1=vB=7e82Sb52;q/=nm513d8R4402;q/9ik5139'1ab=9;1vqps4i013>5<#:>31=?k4H35;?S40j3;p@?9k:0yU`7<5s-;ho7?<0:T262<5s-?oi7?=;%7g`?753twvq6a>c483>!4013;h96X=7c82I40l3;pZi<52z&2gf<6k<1]=?952z&6``<13-?oh784}|~?xd4?m0;62>:0D?8k;h31b?6=,;=26<P5?k0:wA<8d;3xRa4=:r.:on4>2g9U571=:r.>hh4l;%7g`?e288n7E<88:T13g<6sE85$35:>44b3_84}Ql;09w)?lc;30f>P6:>09w);ke;a8 0bc2j1vqps4i065>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed28>=7[?=7;0x 0bb2j1/9ij5c:~yx=n9=31<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm515;8R4402;q/9ik5c:&6`a4`83>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6f=zutw0e<:l:18'62?=9;o0Z?9m:0yO62b=9r\o>742d3_;9;7f=#=mn1o6sr}|9j51b=83.9;44>2d9U62d=9rF9;i4>{Wf1>7}#9ji1=9j4V004>7}#=mo1o6*:de8`?x{zu2c:8h4?:%04=?75m2B9;55Y26`95~J5?m0:w[j=:3y'5fe=9=o0Z<<8:3y'1ac=k2.>hi4l;|~y>o6;80;6)<89;31a>N5?11]>:l51zN13a<6s_n96?u+1ba9567<^88<6?u+5eg957=#=mn1=?5r}|8m455290/>:7513g8R71e28qG>:j51zTg6?4|,8ih6<==;W313?4|,{zut1b=>=50;&13<<6:l1]>:l51zN13a<6s_n96?u+1ba9565<^88<6?u+5eg92>"2lm0=7psr}:k271<72-8<57?=e:T13g<6sE85$35:>44b3_84}Ql;09w)?lc;301>P6:>09w);ke;48 0bc2?1vqps4i015>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed289=7[?=7;0x 0bb2?1/9ij56:~yx=h9j?1<7*=7882g0=Q:>h1=vB=7e82Sb52;q/=nm51b78R4402;q/9ik56:&6`a<13twvq6sm36g94?3=83:p(?96:0a7?M41l2c:>k4?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb826c=Q9;=1>v*:dd85?!3cl3<0qpsr;h304?6=,;=26<2681!3cm3<0(8jk:79~yx{2wvqp5f15494?"5?00:>h5Y26`95~J5?m0:w[j=:3y'5fe=9=<0Z<<8:3y'1ac=>2.>hi49;|~y>i6k<0;6)<89;3`1>P5?k0:wA<8d;3xRa4=:r.:on4>c49U571=:r.>hh49;%7g`?07<729q/>:75b89K63b5$35:>4e23_84}Ql;09w)?lc;3`1>P6:>09w);ke;48 0bc2?1vqps4}c1;N5>m1b=?k50;&13<<6:l10c74e23_;9;73=#=mn1:6sr}|9~f6>b29086=4?{%04=?40>2B9:i5f13d94?"5?00:>h5Y26`95~J5?m0:w[j=:3y'5fe=9;l0Z<<8:3y'1ac=>2.>hi49;|~y>o6;90;6)<89;31a>P5?k0:wA<8d;3xRa4=:r.:on4>319U571=:r.>hh4i;%7g`?`28i>7[<8b;3xH71c28q]h?4={%3`g?7d=2\:>:4={%7ga?0<,50z&13<<6:j1C>;j4$06e>42P6:>09w);ke;48 0bc2?1vqps4ib`94?"5?00hn6X=7c82I40l3;pZ:75cb9U62d=:rF9;i4>{W3a0?4|,8ih6nm4V004>7}#=mo146*:de8;?x{zD;=h69uY27c97~Pc838p(8jl:ba8 6gb2{#>?<1i6sY27c97~Pc838p(8jl:ba8 6gb2{#>?<1i6sY27c97~Pc838p(8jl:ba8 6gb2oj:4ff?x"1>?0<7pX>cc81Sb72;q/9im5cb9'7dc==mo0q)896;30?x{z3`8=57>5$35:>70>3_84}Q9k>1>v*>cb812<=Q9;=1>v*:dd826>"2lm0:>6sr}M04g?7|^;=96?uYd181!3ck38=56*:7524c8R71e2;qG>:j51zT2f1<5s-;ho7<:a:T262<5s-?oi7?=;%7g`?753twv@?9l:0yU624=:r\o<773f3-9ji7;ke:'230=9;1vqp5`1b794?"5?00:o854}c1;6?6=;3:1744a3_;9;73=#=mn1:6sr}|9j566=83.9;44>2d9U62d=9rF9;i4>{Wf1>7}#9ji1=>>4V004>7}#=mo1:6*:de85?x{zu2e:o84?:%04=?7d=2\9;o4>{M04`?7|^m81>v*>cb82g0=Q9;=1>v*:dd85?!3cl3<0qpsr;|`0<6<7280;6=u+26;96=6<@;28i>76sm39794?2=83:p(?96:628L70c3`;9j7>5$35:>44b3_84}Ql;09w)?lc;31b>P6:>09w);ke;`8 0bc2k1vqps4i013>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed289;7[?=7;0x 0bb28;0(8jk:038yx{z3`;8n7>5$35:>44b3A8<46X=7c82I40l3;pZi<52z&2gf<6;k1]=?952z&6``<692.>hi4>1:~yx=h9j?1<7*=7882g0=Q:>h1=vB=7e82Sb52;q/=nm51b78R4402;q/9ik56:&6`a<13twvq6sm39494?2=83:p(?96:628L70c3`;9j7>5$35:>44b3A8<46X=7c82I40l3;pZi<52z&2gf<6:o1]=?952z&6``;%7g`?763twvq6g>3c83>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6;k1]=?952z&6``<692.>hi4>1:~yx=h9j?1<7*=7882g0=Q:>h1=vB=7e82Sb52;q/=nm51b78R4402;q/9ik56:&6`a<13twvq6sm39594?2=83:p(?96:628L70c3`;9j7>5$35:>44b3_84}Ql;09w)?lc;31b>P6:>09w);ke;32?!3cl3;:7psr}:k275<72-8<57?=e:T13g<6sE8{zut1b=>l50;&13<<6:l1]>:l51zN13a<6s_n96?u+1ba956d<^88<6?u+5eg954=#=mn1=<5r}|8k4e2290/>:751b78R71e28qG>:j51zTg6?4|,8ih6{zut1vn>6;:187>5<7s-8<57?l4:J12a=n9;l1<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm513d8R4402;q/9ik56:&6`a<13twvq6g>3183>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6;91]=?952z&6``<13-?oh784}|~?l74j3:1(?96:00f?S40j3;p@?9k:0yU`7<5s-;ho7?3=zutw0c74e23_;9;73=#=mn1:6sr}|9~f6>>29086=4?{%04=?173A8=h6g>2g83>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6:o1]=?952z&6``<6:2.>hi4>2:~yx=n9::1<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm51228R4402;q/9ik5139'1ab=9;1vqps4o0a6>5<#:>31=n;4V35a>4}K:>n1=vXk2;0x 4ed28i>7[?=7;0x 0bb2?1/9ij56:~yx=zj:2j6=4<:183!4013=;7E<9d:k26c<72-8<57?=e:J13==Q:>h1=vB=7e82Sb52;q/=nm513d8R4402;q/9ik5139'1ab=9;1vqps4i013>5<#:>31=?k4H35;?S40j3;p@?9k:0yU`7<5s-;ho7?<0:T262<5s-?oi7?=;%7g`?753twvq6a>c483>!4013;h96X=7c82I40l3;pZi<52z&2gf<6k<1]=?952z&6``<13-?oh784}|~?xd40k0;6>4?:1y'62?=9j>0D?8k;h31b?6=,;=26<2681!3cm3<0(8jk:79~yx{2wvqp5`1b794?"5?00:o85Y26`95~J5?m0:w[j=:3y'5fe=9j?0Z<<8:3y'1ac=>2.>hi49;|~y>{e;o=1<7<50;2x 71>2k30D?8k;h31a?6=,;=26<5}#:>31=?m4H34g?!73n3;?7d?=e;29 71>288n7[<8b;3xH71c28q]=o:52z&2gf<6:l1]=?952z&6``<13-?oh784}|~?lee290/>:75cc9U62d=9rF9;i4>{W3a0?4|,8ih6nl4V004>7}#=mo1:6*:de85?x{zu2cho7>5$35:>fe<^;=i6?uC26f95~P6j=09w)?lc;a`?S75?38p(8jj:99'1ab=02wvqA<8c;6xR70f2:q]h=4={%7gg?ed3-9ji7;ke:U`4<5s-?oo7?=e:&0e`<2ll1v(;89:d9~R70f2:q]h=4={%7gg?ed3-9ji7;ke:U`4<5s-?oo7?=e:&0e`<2lm1v(;89:d9~R70f2:q]h=4={%7gg?ed3-9ji7;ke:U`4<5s-?oo7mm;%1ba?3cm2w/:;857:U5fd=:r\o<7fe<,:kn68jj;|&523<6;2wvq6g=6883>!40138=56X=7c81I40l3;pZhn4=689'7dc==mo0q)896;31?x{z3`8>m7>5$35:>73f3_84}Q9k>1>v*>cb811d=Q9;=1>v*:dd826>"2lm0:>6sr}M04g?7|^;=96?uYd181!3ck38>m6*:751b78?xd4n10;6>4?:1y'62?=:><0D?8k;h31b?6=,;=26<2681!3cm3<0(8jk:79~yx{2wvqp5`1b794?"5?00:o85Y26`95~J5?m0:w[j=:3y'5fe=9j?0Z<<8:3y'1ac=>2.>hi49;|~y>{e;o31<7?50;2x 71>2;2;7E<9d:m2g0<72-8<57?l5:9~f6`f29086=4?{%04=?173A8=h6g>2g83>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6:o1]=?952z&6``<692.>hi4>1:~yx=n9::1<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm51228R4402;q/9ik5109'1ab=981vqps4o0a6>5<#:>31=n;4V35a>4}K:>n1=vXk2;0x 4ed28i>7[?=7;0x 0bb2?1/9ij56:~yx=zj?8h6=4=:183!4013h27E<9d:k26`<72-8<57?=e:9l5f3=83.9;44>c49U62d=9rF9;i4>{Wf1>7}#9ji1=n;4V004>7}#=mo1:6*:de85?x{zu2wi:>?50;494?6|,;=26<"62d83>!4013;9i6X=7c82I40l3;pZ2.>hi49;|~y>odj3:1(?96:b`8R71e28qG>:j51zT2f1<5s-;ho7mm;W313?4|,{zut1bon4?:%04=?ed3_84}Q9k>1>v*>cb8`g>P6:>09w);ke;:8 0bc211vqpB=7b87S41i39pZi>52z&6`fhn4>2d9'7dc==mo0q)896;g8yS41i39pZi>52z&6`fhn4>2d9'7dc==mn0q)896;g8yS41i39pZi>52z&6`fhn4lb:&0e`<2ll1v(;89:69~R4ee2;q]h=4={%7gg?ed3-9ji7;ke:'230=9:1vqp5f27;94?"5?009:45Y26`96~J5?m0:w[?m4;0x 4ed2;<27[?=7;0x 0bb2880(8jk:008yx{K:>i1=vX=7381Sb72;q/9im527;8 6gb2{zu2c99l4?:%04=?42i2\9;o4={M04`?7|^8h?6?u+1ba960g<^88<6?u+5eg957=#=mn1=?5r}|N13f<6s_8<>7{e>;n1<7=50;2x 71>2;==7E<9d:k26c<72-8<57?=e:T13g<6sE8k5Y13596~"2ll0=7);kd;48yx{z3`;8<7>5$35:>44b3_84}Ql;09w)?lc;304>P6:>09w);ke;48 0bc2?1vqps4o0a6>5<#:>31=n;4V35a>4}K:>n1=vXk2;0x 4ed28i>7[?=7;0x 0bb2?1/9ij56:~yx=zj?8n6=4>:183!401383<6F=6e9l5f3=83.9;44>c498yg05n3:1?7>50z&13<<082B9:i5f13d94?"5?00:>h5Y26`95~J5?m0:w[j=:3y'5fe=9;l0Z<<8:3y'1ac=981/9ij5109~yx{2681!3cm3<0(8jk:79~yx{7>52;294~"5?00i56F=6e9j57c=83.9;44>2d98k4e2290/>:751b78R71e28qG>:j51zTg6?4|,8ih6{zut1vn;=6:185>5<7s-8<57?=c:J12a=#9=l1=95f13g94?"5?00:>h5Y26`95~J5?m0:w[?m4;0x 4ed288n7[?=7;0x 0bb2?1/9ij56:~yx=nkk0;6)<89;aa?S40j3;p@?9k:0yU5g2=:r.:on4lb:T262<5s-?oi784$4fg>3=zutw0enm50;&13<v*:dd8;?!3cl320qpsC26a90~P5>h08w[j?:3y'1ae=kj1/?lk55eg8ySb62;q/9im513g8 6gb2h08w[j?:3y'1ae=kj1/?lk55eg8ySb62;q/9im513g8 6gb2h08w[j?:3y'1ae=kj1/?lk55eg8ySb62;q/9im5cc9'7dc==mo0q)896;58yS7dj38pZi>52z&6`f5<#:>31>;74V35a>7}K:>n1=vX>b581!7dk38=56X>2681!3cm3;97);kd;31?x{zD;=h68o50;&13<<5=h1]>:l52zN13a<6s_;i8773f3_;9;744<,7}#=mi1>8o4$2cf>0bb3t.=:;4>2:~y>i6k<0;6)<89;3`1>=zj?986=4<:183!40138<:6F=6e9j57`=83.9;44>2d9K62><^;=i62wvqp5f12294?"5?00:>h5Y26`95~J5?m0:w[j=:3y'5fe=9::0Z<<8:3y'1ac=>2.>hi49;|~y>i6k<0;6)<89;3`1>P5?k0:wA<8d;3xRa4=:r.:on4>c49U571=:r.>hh49;%7g`?04<729q/>:752928L70c3f;h97>5$35:>4e232wi:>850;194?6|,;=26:>4H34g?l75n3:1(?96:00f?M4002\9;o4>{M04`?7|^m81>v*>cb826c=Q9;=1>v*:dd825>"2lm0:=6sr}|9j566=83.9;44>2d9K62><^;=i62681!3cm3<0(8jk:79~yx{54;294~"5?00<<6F=6e9j57`=83.9;44>2d9U62d=9rF9;i4>{Wf1>7}#9ji1=?h4V004>7}#=mo1=<5+5ef954=zutw0e<=?:18'62?=9;o0D?97;W04f?7|D;=o62681!3cm3;:7);kd;32?x{zu2c:?o4?:%04=?75m2B9;55Y26`95~J5?m0:w[j=:3y'5fe=9:h0Z<<8:3y'1ac=981/9ij5109~yx{6=4+26;95f3<^;=i62wvqp5rb716>5<4290;w)<89;3`0>N5>m1b=?h50;&13<<6:l1]>:l51zN13a<6s_n96?u+1ba957`<^88<6?u+5eg92>"2lm0=7psr}:k275<72-8<57?=e:T13g<6sE85$35:>4e23_84}Ql;09w)?lc;3`1>P6:>09w);ke;48 0bc2?1vqps4}c40e?6=:3:1N5>m1b=?k50;&13<<6:l10c74e23_;9;73=#=mn1:6sr}|9~f35a290=6=4?{%04=?75k2B9:i5+15d951=n9;o1<7*=78826`=Q:>h1=vB=7e82S7e<38p(2wvqp5fcc83>!4013ii7[<8b;3xH71c28q]=o:52z&2gf:4={%7ga?0<,7}#9ji1on5Y13596~"2ll037);kd;:8yx{K:>i18vX=6`80Sb72;q/9im5cb9'7dc==mo0q[j>:3y'1ae=9;o0(>oj:4ff?x"1>?0n7pX=6`80Sb72;q/9im5cb9'7dc==mo0q[j>:3y'1ae=9;o0(>oj:4fg?x"1>?0n7pX=6`80Sb72;q/9im5cb9'7dc==mo0q[j>:3y'1ae=kk1/?lk55eg8y!01>3=0q[?lb;0xRa6=:r.>hn4lc:&0e`<2ll1v(;89:018yx{P6:>09w);ke;31?!3cl3;97psrL35`>4}Q:>81>vXk0;0x 0bd2;<27)=ne;7ga>{#>?<1=?5r}|9j60g=83.9;44=5`9U62d=:rF9;i4>{W3a0?4|,8ih6?;n;W313?4|,44:m51zT137<5s_n;6?u+5ea960g<,:kn68jj;|&523<6:2wvq6a>c483>!4013;h965rb71a>5<4290;w)<89;042>N5>m1b=?h50;&13<<6:l1]>:l51zN13a<6s_n96?u+1ba957`<^88<6?u+5eg92>"2lm0=7psr}:k275<72-8<57?=e:T13g<6sE85$35:>4e23_84}Ql;09w)?lc;3`1>P6:>09w);ke;48 0bc2?1vqps4}c40g?6=93:16<729q/>:75719K63b2681!3cm3;:7);kd;32?x{zu2e:o84?:%04=?7d=2\9;o4>{M04`?7|^m81>v*>cb82g0=Q9;=1>v*:dd85?!3cl3<0qpsr;|`505<72;0;6=u+26;9f<=O:?n0e<28i>7[<8b;3xH71c28q]h?4={%3`g?7d=2\:>:4={%7ga?0<,50z&13<<6:j1C>;j4$06e>42P6:>09w);ke;48 0bc2?1vqps4ib`94?"5?00hn6X=7c82I40l3;pZ:75cb9U62d=:rF9;i4>{W3a0?4|,8ih6nm4V004>7}#=mo146*:de8;?x{zD;=h69uY27c97~Pc838p(8jl:ba8 6gb2{#>?<1i6sY27c97~Pc838p(8jl:ba8 6gb2{#>?<1i6sY27c97~Pc838p(8jl:ba8 6gb2oj:4ff?x"1>?0<7pX>cc81Sb72;q/9im5cb9'7dc==mo0q)896;30?x{z3`8=57>5$35:>70>3_84}Q9k>1>v*>cb812<=Q9;=1>v*:dd826>"2lm0:>6sr}M04g?7|^;=96?uYd181!3ck38=56*:7524c8R71e2;qG>:j51zT2f1<5s-;ho7<:a:T262<5s-?oi7?=;%7g`?753twv@?9l:0yU624=:r\o<773f3-9ji7;ke:'230=9;1vqp5`1b794?"5?00:o854}c475?6=;3:1744a3_;9;73=#=mn1:6sr}|9j566=83.9;44>2d9U62d=9rF9;i4>{Wf1>7}#9ji1=>>4V004>7}#=mo1:6*:de85?x{zu2e:o84?:%04=?7d=2\9;o4>{M04`?7|^m81>v*>cb82g0=Q9;=1>v*:dd85?!3cl3<0qpsr;|`507<7280;6=u+26;96=6<@;28i>76sm65694?2=83:p(?96:628L70c3`;9j7>5$35:>44b3_84}Ql;09w)?lc;31b>P6:>09w);ke;32?!3cl3;:7psr}:k275<72-8<57?=e:J13==Q:>h1=vB=7e82Sb52;q/=nm51228R4402;q/9ik5109'1ab=981vqps4i01a>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed289i7[?=7;0x 0bb28;0(8jk:038yx{z3f;h97>5$35:>4e23_84}Ql;09w)?lc;3`1>P6:>09w);ke;48 0bc2?1vqps4}c471?6=>3:1N5>m1b=?h50;&13<<6:l1]>:l51zN13a<6s_n96?u+1ba957`<^88<6?u+5eg954=#=mn1=<5r}|8m457290/>:7513g8R71e28qG>:j51zTg6?4|,8ih6<=?;W313?4|,{zut1b=>l50;&13<<6:l1C>:64V35a>4}K:>n1=vXk2;0x 4ed289i7[?=7;0x 0bb28;0(8jk:038yx{z3`;?:7>5$35:>44b3_84}Ql;09w)?lc;372>P6:>09w);ke;32?!3cl3;:7psr}:k20<<72-8<57?=e:J13==Q:>h1=vB=7e82Sb52;q/=nm515;8R4402;q/9ik5109'1ab=981vqps4o0a6>5<#:>31=n;4V35a>4}K:>n1=vXk2;0x 4ed28i>7[?=7;0x 0bb2?1/9ij56:~yx=zj?>=6=49:183!4013=;7E<9d:k26c<72-8<57?=e:T13g<6sE8k5Y13596~"2ll0i7);kd;`8yx{z3`;8<7>5$35:>44b3A8<46X=7c82I40l3;pZi<52z&2gf<6;91]=?952z&6``<692.>hi4>1:~yx=n9:h1<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm512`8R4402;q/9ik5109'1ab=981vqps4i065>5<#:>31=?k4H35;?S40j3;p@?9k:0yU`7<5s-;ho7?;6:T262<5s-?oi7?>;%7g`?763twvq6g>4883>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6<01]=?952z&6``<692.>hi4>1:~yx=h9j?1<7*=7882g0=Q:>h1=vB=7e82Sb52;q/=nm51b78R4402;q/9ik56:&6`a<13twvq6sm65194?2=83:p(?96:0a7?M41l2c:>k4?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb826c=Q9;=1>v*:dd85?!3cl3<0qpsr;h304?6=,;=26<2681!3cm3<0(8jk:79~yx{2wvqp5`1b794?"5?00:o85Y26`95~J5?m0:w[j=:3y'5fe=9j?0Z<<8:3y'1ac=>2.>hi49;|~y>{e=l0;6?4?:1y'62?=j01C>;j4i00f>5<#:>31=?k4;n3`1?6=,;=262681!3cm3<0(8jk:79~yx{:l51zN13a<6s_;i8744b3_;9;73=#=mn1:6sr}|9jgg<72-8<57mm;W04f?7|D;=o62681!3cm3<0(8jk:79~yx{P5?k09wA<8d;3xR4d32;q/=nm5cb9U571=:r.>hh47;%7g`?>:m54zT12d<4s_n;6?u+5ea9gf=#;ho19ik4}Wf2>7}#=mi1=?k4$2cf>0bb3t.=:;4j;|T12d<4s_n;6?u+5ea9gf=#;ho19ik4}Wf2>7}#=mi1=?k4$2cf>0bc3t.=:;4j;|T12d<4s_n;6?u+5ea9gf=#;ho19ik4}Wf2>7}#=mi1oo5+3`g91acv*:db8`g>"4il0>hh5r$745>45:4={%7ga?753-?oh7?=;|~H71d28q]>:<52zTg4?4|,h1>vB=7e82S7e<38p(oj:4ff?x"1>?0:>6sr}:m2g0<72-8<57?l5:9~f0`=8391<7>t$35:>7113A8=h6g>2g83>!4013;9i6F=799U62d=9rF9;i4>{Wf1>7}#9ji1=?h4V004>7}#=mo1:6*:de85?x{zu2c:?=4?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb8275=Q9;=1>v*:dd85?!3cl3<0qpsr;n3`1?6=,;=262681!3cm3<0(8jk:79~yx{:183!401383<6F=6e9l5f3=83.9;44>c498yg05290<6=4?{%04=?173A8=h6g>2g83>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6:o1]=?952z&6``<692.>hi4>1:~yx=n9::1<7*=78826`=O:>20Z?9m:0yO62b=9r\o>74573_;9;747<,{M04`?7|^m81>v*>cb827g=Q9;=1>v*:dd825>"2lm0:=6sr}|9j510=83.9;44>2d9K62><^;=i6P5?k0:wA<8d;3xRa4=:r.:on4>489U571=:r.>hh4>1:&6`a<692wvqp5f15c94?"5?00:>h5Y26`95~J5?m0:w[j=:3y'5fe=9=k0Z<<8:3y'1ac=981/9ij5109~yx{6=4+26;95f3<^;=i62wvqp5rb7194?1=83:p(?96:628L70c3`;9j7>5$35:>44b3A8<46X=7c82I40l3;pZi<52z&2gf<6:o1]=?952z&6``<692.>hi4>1:~yx=n9::1<7*=78826`=O:>20Z?9m:0yO62b=9r\o>74573_;9;747<,{M04`?7|^m81>v*>cb827g=Q9;=1>v*:dd825>"2lm0:=6sr}|9j510=83.9;44>2d9K62><^;=i6P5?k0:wA<8d;3xRa4=:r.:on4>489U571=:r.>hh4>1:&6`a<692wvqp5f15c94?"5?00:>h5Y26`95~J5?m0:w[j=:3y'5fe=9=k0Z<<8:3y'1ac=981/9ij5109~yx{6=4+26;95f3<^;=i62wvqp5rb7694?1=83:p(?96:628L70c3`;9j7>5$35:>44b3A8<46X=7c82I40l3;pZi<52z&2gf<6:o1]=?952z&6``<692.>hi4>1:~yx=n9::1<7*=78826`=O:>20Z?9m:0yO62b=9r\o>74573_;9;747<,{M04`?7|^m81>v*>cb827g=Q9;=1>v*:dd825>"2lm0:=6sr}|9j510=83.9;44>2d9K62><^;=i6P5?k0:wA<8d;3xRa4=:r.:on4>489U571=:r.>hh4>1:&6`a<692wvqp5f15c94?"5?00:>h5Y26`95~J5?m0:w[j=:3y'5fe=9=k0Z<<8:3y'1ac=981/9ij5109~yx{6=4+26;95f3<^;=i62wvqp5rb7794?1=83:p(?96:628L70c3`;9j7>5$35:>44b3A8<46X=7c82I40l3;pZi<52z&2gf<6:o1]=?952z&6``<692.>hi4>1:~yx=n9::1<7*=78826`=O:>20Z?9m:0yO62b=9r\o>74573_;9;747<,{M04`?7|^m81>v*>cb827g=Q9;=1>v*:dd825>"2lm0:=6sr}|9j510=83.9;44>2d9K62><^;=i6P5?k0:wA<8d;3xRa4=:r.:on4>489U571=:r.>hh4>1:&6`a<692wvqp5f15c94?"5?00:>h5G26:8R71e28qG>:j51zTg6?4|,8ih6<:n;W313?4|,4728i>7[<8b;3xH71c28q]h?4={%3`g?7d=2\:>:4={%7ga?0<,2g83>!4013;9i6F=799U62d=9rF9;i4>{Wf1>7}#9ji1=?h4V004>7}#=mo1=<5+5ef954=zutw0e<=?:18'62?=9;o0D?97;W04f?7|D;=o62681!3cm3;:7);kd;32?x{zu2c:?o4?:%04=?75m2B9;55Y26`95~J5?m0:w[j=:3y'5fe=9:h0Z<<8:3y'1ac=981/9ij5109~yx{=6=4+26;957c<@;=37[<8b;3xH71c28q]h?4={%3`g?73>2\:>:4={%7ga?763-?oh7?>;|~y>o6<00;6)<89;31a>P5?k0:wA<8d;3xRa4=:r.:on4>489U571=:r.>hh4>1:&6`a<692wvqp5f15c94?"5?00:>h5G26:8R71e28qG>:j51zTg6?4|,8ih6<:n;W313?4|,47288n7E<88:T13g<6sE8{zut1d=n;50;&13<<6k<1]>:l51zN13a<6s_n96?u+1ba95f3<^88<6?u+5eg92>"2lm0=7psr}:a24<72?0;6=u+26;95f2<@;288n7[<8b;3xH71c28q]h?4={%3`g?75n2\:>:4={%7ga?0<,:7513g8R71e28qG>:j51zTg6?4|,8ih6<=?;W313?4|,{zut1b=>l50;&13<<6:l1]>:l51zN13a<6s_n96?u+1ba956d<^88<6?u+5eg92>"2lm0=7psr}:k203<72-8<57?=e:T13g<6sE85$35:>44b3_84}Ql;09w)?lc;37=>P6:>09w);ke;48 0bc2?1vqps4o0a6>5<#:>31=n;4V35a>4}K:>n1=vXk2;0x 4ed28i>7[?=7;0x 0bb2?1/9ij56:~yx=zj82o6=4=:183!4013h27E<9d:k26`<72-8<57?=e:9l5f3=83.9;44>c49U62d=9rF9;i4>{Wf1>7}#9ji1=n;4V004>7}#=mo1:6*:de85?x{zu2wi=4650;494?6|,;=26<"62d83>!4013;9i6X=7c82I40l3;pZ2.>hi49;|~y>odj3:1(?96:b`8R71e28qG>:j51zT2f1<5s-;ho7mm;W313?4|,{zut1bon4?:%04=?ed3_84}Q9k>1>v*>cb8`g>P6:>09w);ke;:8 0bc211vqpB=7b87S41i39pZi>52z&6`fhn4>2d9'7dc==mo0q)896;g8yS41i39pZi>52z&6`fhn4>2d9'7dc==mn0q)896;g8yS41i39pZi>52z&6`fhn4lb:&0e`<2ll1v(;89:69~R4ee2;q]h=4={%7gg?ed3-9ji7;ke:'230=9:1vqp5f27;94?"5?009:45Y26`96~J5?m0:w[?m4;0x 4ed2;<27[?=7;0x 0bb2880(8jk:008yx{K:>i1=vX=7381Sb72;q/9im527;8 6gb2{zu2c99l4?:%04=?42i2\9;o4={M04`?7|^8h?6?u+1ba960g<^88<6?u+5eg957=#=mn1=?5r}|N13f<6s_8<>7{e91o1<7=50;2x 71>2;==7E<9d:k26c<72-8<57?=e:J13==Q:>h1=vB=7e82Sb52;q/=nm513d8R4402;q/9ik56:&6`a<13twvq6g>3183>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6;91]=?952z&6``<13-?oh784}|~?j7d=3:1(?96:0a6?S40j3;p@?9k:0yU`7<5s-;ho7?l5:T262<5s-?oi784$4fg>3=zutw0qo?7f;295?6=8r.9;44=819K63b6=4+26;95f3<3th:5<4?:283>5}#:>31;=5G27f8m44a290/>:7513g8R71e28qG>:j51zTg6?4|,8ih6<{zut1b=>>50;&13<<6:l1]>:l51zN13a<6s_n96?u+1ba9566<^88<6?u+5eg92>"2lm0=7psr}:m2g0<72-8<57?l5:T13g<6sE85}#:>31;=5G27f8m44a290/>:7513g8R71e28qG>:j51zTg6?4|,8ih6<47288n7E<88:T13g<6sE8{zut1d=n;50;&13<<6k<1]>:l51zN13a<6s_n96?u+1ba95f3<^88<6?u+5eg92>"2lm0=7psr}:a5<5=8391<7>t$35:>26<@;288n7[<8b;3xH71c28q]h?4={%3`g?75n2\:>:4={%7ga?763-?oh7?>;|~y>o6;90;6)<89;31a>P5?k0:wA<8d;3xRa4=:r.:on4>319U571=:r.>hh4>1:&6`a<692wvqp5`1b794?"5?00:o85Y26`95~J5?m0:w[j=:3y'5fe=9j?0Z<<8:3y'1ac=>2.>hi49;|~y>{e90>1<7=50;2x 71>2>:0D?8k;h31b?6=,;=26<2681!3cm3;:7);kd;32?x{zu2c:?=4?:%04=?75m2B9;55Y26`95~J5?m0:w[j=:3y'5fe=9::0Z<<8:3y'1ac=981/9ij5109~yx{6=4+26;95f3<^;=i62wvqp5rb0;6>5<3290;w)<89;53?M41l2c:>k4?:%04=?75m2B9;55Y26`95~J5?m0:w[j=:3y'5fe=9;l0Z<<8:3y'1ac=j2.>hi4m;|~y>o6;90;6)<89;31a>N5?11]>:l51zN13a<6s_n96?u+1ba9566<^88<6?u+5eg954=#=mn1=<5r}|8m45e290/>:7513g8R71e28qG>:j51zTg6?4|,8ih6<=m;W313?4|,4728i>7[<8b;3xH71c28q]h?4={%3`g?7d=2\:>:4={%7ga?0<,>3:187>50z&13<<082B9:i5f13d94?"5?00:>h5Y26`95~J5?m0:w[j=:3y'5fe=9;l0Z<<8:3y'1ac=981/9ij5109~yx{:4={%7ga?763-?oh7?>;|~y>o6;k0;6)<89;31a>P5?k0:wA<8d;3xRa4=:r.:on4>3c9U571=:r.>hh4>1:&6`a<692wvqp5`1b794?"5?00:o85Y26`95~J5?m0:w[j=:3y'5fe=9j?0Z<<8:3y'1ac=>2.>hi49;|~y>{e90:1<7950;2x 71>28i?7E<9d:k26c<72-8<57?=e:T13g<6sE8k5Y13596~"2ll0=7);kd;48yx{z3`;8<7>5$35:>44b3_84}Ql;09w)?lc;304>P6:>09w);ke;48 0bc2?1vqps4i01a>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed289i7[?=7;0x 0bb2?1/9ij56:~yx=n9=<1<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm51548R4402;q/9ik56:&6`a<13twvq6g>4883>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6<01]=?952z&6``<13-?oh784}|~?l73i3:1(?96:00f?S40j3;p@?9k:0yU`7<5s-;ho7?;a:T262<5s-?oi784$4fg>3=zutw0c74e23_;9;73=#=mn1:6sr}|9~f4g029096=4?{%04=?d>3A8=h6g>2d83>!4013;9i65`1b794?"5?00:o85Y26`95~J5?m0:w[j=:3y'5fe=9j?0Z<<8:3y'1ac=>2.>hi49;|~y>{e9k91<7850;2x 71>288h7E<9d:&20c<6<2c:>h4?:%04=?75m2\9;o4>{M04`?7|^8h?6?u+1ba957c<^88<6?u+5eg92>"2lm0=7psr}:k`f?6=,;=26nl4V35a>4}K:>n1=vX>b581!7dk3ii7[?=7;0x 0bb2?1/9ij56:~yx=nkj0;6)<89;a`?S40j38p@?9k:0yU5g2=:r.:on4lc:T262<5s-?oi764$4fg>==zutF9;n4;{W05e?5|^m:1>v*:db8`g>"4il0>hh5rVe396~"2lj0:>h5+3`g91acv*:db8`g>"4il0>hh5rVe396~"2lj0:>h5+3`g91abv*:db8`g>"4il0>hh5rVe396~"2lj0hn6*7}Ql909w);kc;a`?!5fm3?oi6s+674956=zut1b>;750;&13<<5>01]>:l52zN13a<6s_;i8770>3_;9;744<,7}#=mi1>;74$2cf>0bb3t.=:;4>2:~y>o5=h0;6)<89;06e>P5?k09wA<8d;3xR4d32;q/=nm524c8R4402;q/9ik5139'1ab=9;1vqpB=7b82S40:38pZi>52z&6`f<5=h1/?lk55eg8y!01>3;97psr;n3`1?6=,;=26=8391<7>t$35:>7113A8=h6g>2g83>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6:o1]=?952z&6``<13-?oh784}|~?l7483:1(?96:00f?S40j3;p@?9k:0yU`7<5s-;ho7?<0:T262<5s-?oi784$4fg>3=zutw0c74e23_;9;73=#=mn1:6sr}|9~f4g>290:6=4?{%04=?4?82B9:i5`1b794?"5?00:o854}c3bf?6=;3:1N5>m1b=?h50;&13<<6:l1]>:l51zN13a<6s_n96?u+1ba957`<^88<6?u+5eg92>"2lm0=7psr}:k275<72-8<57?=e:T13g<6sE85$35:>4e23_84}Ql;09w)?lc;3`1>P6:>09w);ke;48 0bc2?1vqps4}c3bg?6=;3:1N5>m1b=?h50;&13<<6:l1]>:l51zN13a<6s_n96?u+1ba957`<^88<6?u+5eg92>"2lm0=7psr}:k275<72-8<57?=e:T13g<6sE85$35:>4e23_84}Ql;09w)?lc;3`1>P6:>09w);ke;48 0bc2?1vqps4}c3b`?6=<3:1N5>m1b=?h50;&13<<6:l1C>:64V35a>4}K:>n1=vXk2;0x 4ed288m7[?=7;0x 0bb28;0(8jk:038yx{z3`;8<7>5$35:>44b3_84}Ql;09w)?lc;304>P6:>09w);ke;32?!3cl3;:7psr}:k27g<72-8<57?=e:T13g<6sE8{zut1d=n;50;&13<<6k<1]>:l51zN13a<6s_n96?u+1ba95f3<^88<6?u+5eg92>"2lm0=7psr}:a5dc=83>1<7>t$35:>26<@;288n7[<8b;3xH71c28q]h?4={%3`g?75n2\:>:4={%7ga?763-?oh7?>;|~y>o6;90;6)<89;31a>N5?11]>:l51zN13a<6s_n96?u+1ba9566<^88<6?u+5eg954=#=mn1=<5r}|8m45e290/>:7513g8R71e28qG>:j51zTg6?4|,8ih6<=m;W313?4|,4728i>7[<8b;3xH71c28q]h?4={%3`g?7d=2\:>:4={%7ga?0<,50z&13<<082B9:i5f13d94?"5?00:>h5G26:8R71e28qG>:j51zTg6?4|,8ih6<47288n7E<88:T13g<6sE8{zut1b=>l50;&13<<6:l1C>:64V35a>4}K:>n1=vXk2;0x 4ed289i7[?=7;0x 0bb28;0(8jk:038yx{z3`;?:7>5$35:>44b3A8<46X=7c82I40l3;pZi<52z&2gf<6hi4>1:~yx=h9j?1<7*=7882g0=Q:>h1=vB=7e82Sb52;q/=nm51b78R4402;q/9ik56:&6`a<13twvq6sm1c294?>=83:p(?96:628L70c3`;9j7>5$35:>44b3_84}Ql;09w)?lc;31b>P6:>09w);ke;`8 0bc2k1vqps4i013>5<#:>31=?k4H35;?S40j3;p@?9k:0yU`7<5s-;ho7?<0:T262<5s-?oi7l4$4fg>g=zutw0e<=m:18'62?=9;o0D?97;W04f?7|D;=o62681!3cm3h0(8jk:c9~yx{=6=4+26;957c<@;=37[<8b;3xH71c28q]h?4={%3`g?73>2\:>:4={%7ga?763-?oh7?>;|~y>o6<00;6)<89;31a>N5?11]>:l51zN13a<6s_n96?u+1ba951?<^88<6?u+5eg954=#=mn1=<5r}|8m42f290/>:7513g8L71?3_84}Ql;09w)?lc;37e>P6:>09w);ke;32?!3cl3;:7psr}:k20g<72-8<57?=e:T13g<6sE8{zut1d=n;50;&13<<6k<1]>:l51zN13a<6s_n96?u+1ba95f3<^88<6?u+5eg92>"2lm0=7psr}:a5g7=8321<7>t$35:>26<@;288n7[<8b;3xH71c28q]h?4={%3`g?75n2\:>:4={%7ga?d<,:7513g8L71?3_84}Ql;09w)?lc;304>P6:>09w);ke;`8 0bc2k1vqps4i01a>5<#:>31=?k4H35;?S40j3;p@?9k:0yU`7<5s-;ho7?;%7g`?763twvq6g>4783>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6hi4>1:~yx=n9=31<7*=78826`=O:>20Z?9m:0yO62b=9r\o>742>3_;9;747<,{M04`?7|^m81>v*>cb820d=Q9;=1>v*:dd825>"2lm0:=6sr}|9j51d=83.9;44>2d9U62d=9rF9;i4>{Wf1>7}#9ji1=9l4V004>7}#=mo1=<5+5ef954=zutw0c74e23_;9;73=#=mn1:6sr}|9~f4gf29036=4?{%04=?7d<2B9:i5f13d94?"5?00:>h5Y26`95~J5?m0:w[j=:3y'5fe=9;l0Z<<8:3y'1ac=>2.>hi49;|~y>o6;90;6)<89;31a>P5?k0:wA<8d;3xRa4=:r.:on4>319U571=:r.>hh49;%7g`?0288n7[<8b;3xH71c28q]h?4={%3`g?74j2\:>:4={%7ga?0<,:7513g8R71e28qG>:j51zTg6?4|,8ih6<:9;W313?4|,{zut1b=9750;&13<<6:l1]>:l51zN13a<6s_n96?u+1ba951?<^88<6?u+5eg92>"2lm0=7psr}:k20d<72-8<57?=e:T13g<6sE85$35:>44b3_84}Ql;09w)?lc;37f>P6:>09w);ke;48 0bc2?1vqps4o0a6>5<#:>31=n;4V35a>4}K:>n1=vXk2;0x 4ed28i>7[?=7;0x 0bb2?1/9ij56:~yx=zj8io6=4=:183!4013h27E<9d:k26`<72-8<57?=e:9l5f3=83.9;44>c49U62d=9rF9;i4>{Wf1>7}#9ji1=n;4V004>7}#=mo1:6*:de85?x{zu2wi=i750;494?6|,;=26<"62d83>!4013;9i6X=7c82I40l3;pZ2.>hi49;|~y>odj3:1(?96:b`8R71e28qG>:j51zT2f1<5s-;ho7mm;W313?4|,{zut1bon4?:%04=?ed3_84}Q9k>1>v*>cb8`g>P6:>09w);ke;:8 0bc211vqpB=7b87S41i39pZi>52z&6`fhn4>2d9'7dc==mo0q)896;g8yS41i39pZi>52z&6`fhn4>2d9'7dc==mn0q)896;g8yS41i39pZi>52z&6`fhn4lb:&0e`<2ll1v(;89:69~R4ee2;q]h=4={%7gg?ed3-9ji7;ke:'230=9:1vqp5f27;94?"5?009:45Y26`96~J5?m0:w[?m4;0x 4ed2;<27[?=7;0x 0bb2880(8jk:008yx{K:>i1=vX=7381Sb72;q/9im527;8 6gb2{zu2c99l4?:%04=?42i2\9;o4={M04`?7|^8h?6?u+1ba960g<^88<6?u+5eg957=#=mn1=?5r}|N13f<6s_8<>7{e9jo1<7=50;2x 71>2;==7E<9d:k26c<72-8<57?=e:T13g<6sE8k5Y13596~"2ll0=7);kd;48yx{z3`;8<7>5$35:>44b3_84}Ql;09w)?lc;304>P6:>09w);ke;48 0bc2?1vqps4o0a6>5<#:>31=n;4V35a>4}K:>n1=vXk2;0x 4ed28i>7[?=7;0x 0bb2?1/9ij56:~yx=zj8im6=4>:183!401383<6F=6e9l5f3=83.9;44>c498yg7c93:1?7>50z&13<<082B9:i5f13d94?"5?00:>h5Y26`95~J5?m0:w[j=:3y'5fe=9;l0Z<<8:3y'1ac=>2.>hi49;|~y>o6;90;6)<89;31a>P5?k0:wA<8d;3xRa4=:r.:on4>319U571=:r.>hh49;%7g`?028i>7[<8b;3xH71c28q]h?4={%3`g?7d=2\:>:4={%7ga?0<,50z&13<<082B9:i5f13d94?"5?00:>h5Y26`95~J5?m0:w[j=:3y'5fe=9;l0Z<<8:3y'1ac=>2.>hi49;|~y>o6;90;6)<89;31a>P5?k0:wA<8d;3xRa4=:r.:on4>319U571=:r.>hh49;%7g`?028i>7[<8b;3xH71c28q]h?4={%3`g?7d=2\:>:4={%7ga?0<,50z&13<<082B9:i5f13d94?"5?00:>h5Y26`95~J5?m0:w[j=:3y'5fe=9;l0Z<<8:3y'1ac=j2.>hi4m;|~y>o6;90;6)<89;31a>N5?11]>:l51zN13a<6s_n96?u+1ba9566<^88<6?u+5eg954=#=mn1=<5r}|8k4e2290/>:751b78R71e28qG>:j51zTg6?4|,8ih6{zut1vn5<7s-8<579?;I05`>o6:o0;6)<89;31a>N5?11]>:l51zN13a<6s_n96?u+1ba957`<^88<6?u+5eg9f>"2lm0i7psr}:k275<72-8<57?=e:J13==Q:>h1=vB=7e82Sb52;q/=nm51228R4402;q/9ik5109'1ab=981vqps4o0a6>5<#:>31=n;4V35a>4}K:>n1=vXk2;0x 4ed28i>7[?=7;0x 0bb2?1/9ij56:~yx=zj8n>6=4<:183!4013=;7E<9d:k26c<72-8<57?=e:J13==Q:>h1=vB=7e82Sb52;q/=nm513d8R4402;q/9ik5109'1ab=981vqps4i013>5<#:>31=?k4H35;?S40j3;p@?9k:0yU`7<5s-;ho7?<0:T262<5s-?oi7?>;%7g`?763twvq6a>c483>!4013;h96X=7c82I40l3;pZi<52z&2gf<6k<1]=?952z&6``<13-?oh784}|~?xd6l?0;6>4?:1y'62?=?91C>;j4i00e>5<#:>31=?k4H35;?S40j3;p@?9k:0yU`7<5s-;ho7?=f:T262<5s-?oi7?>;%7g`?763twvq6g>3183>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6;91]=?952z&6``<692.>hi4>1:~yx=h9j?1<7*=7882g0=Q:>h1=vB=7e82Sb52;q/=nm51b78R4402;q/9ik56:&6`a<13twvq6sm1e594?2=83:p(?96:628L70c3`;9j7>5$35:>44b3_84}Ql;09w)?lc;31b>P6:>09w);ke;`8 0bc2k1vqps4i013>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed289;7[?=7;0x 0bb2k1/9ij5b:~yx=n9:h1<7*=78826`=O:>20Z?9m:0yO62b=9r\o>745e3_;9;747<,3=zutw0qo?k0;29c59K63b2wvqp5f12294?"5?00:>h5Y26`95~J5?m0:w[j=:3y'5fe=9::0Z<<8:3y'1ac=>2.>hi49;|~y>o6;k0;6)<89;31a>P5?k0:wA<8d;3xRa4=:r.:on4>3c9U571=:r.>hh49;%7g`?0288n7[<8b;3xH71c28q]h?4={%3`g?73>2\:>:4={%7ga?0<,290/>:7513g8R71e28qG>:j51zTg6?4|,8ih6<:6;W313?4|,{zut1b=9o50;&13<<6:l1]>:l51zN13a<6s_n96?u+1ba951g<^88<6?u+5eg92>"2lm0=7psr}:k20g<72-8<57?=e:T13g<6sE85$35:>4e23_84}Ql;09w)?lc;3`1>P6:>09w);ke;48 0bc2?1vqps4}c3e7?6=:3:1N5>m1b=?k50;&13<<6:l10c74e23_;9;73=#=mn1:6sr}|9~f4`e29096=4?{%04=?d>3A8=h6g>2d83>!4013;9i65`1b794?"5?00:o85Y26`95~J5?m0:w[j=:3y'5fe=9j?0Z<<8:3y'1ac=>2.>hi49;|~y>{e9oo1<7850;2x 71>288h7E<9d:&20c<6<2c:>h4?:%04=?75m2\9;o4>{M04`?7|^8h?6?u+1ba957c<^88<6?u+5eg92>"2lm0=7psr}:k`f?6=,;=26nl4V35a>4}K:>n1=vX>b581!7dk3ii7[?=7;0x 0bb2?1/9ij56:~yx=nkj0;6)<89;a`?S40j38p@?9k:0yU5g2=:r.:on4lc:T262<5s-?oi764$4fg>==zutF9;n4;{W05e?5|^m:1>v*:db8`g>"4il0>hh5rVe396~"2lj0:>h5+3`g91acv*:db8`g>"4il0>hh5rVe396~"2lj0:>h5+3`g91abv*:db8`g>"4il0>hh5rVe396~"2lj0hn6*7}Ql909w);kc;a`?!5fm3?oi6s+674956=zut1b>;750;&13<<5>01]>:l52zN13a<6s_;i8770>3_;9;744<,7}#=mi1>;74$2cf>0bb3t.=:;4>2:~y>o5=h0;6)<89;06e>P5?k09wA<8d;3xR4d32;q/=nm524c8R4402;q/9ik5139'1ab=9;1vqpB=7b82S40:38pZi>52z&6`f<5=h1/?lk55eg8y!01>3;97psr;n3`1?6=,;=26t$35:>7113A8=h6g>2g83>!4013;9i6F=799U62d=9rF9;i4>{Wf1>7}#9ji1=?h4V004>7}#=mo1:6*:de85?x{zu2c:?=4?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb8275=Q9;=1>v*:dd85?!3cl3<0qpsr;n3`1?6=,;=262681!3cm3<0(8jk:79~yx{51;294~"5?0094=5G27f8k4e2290/>:751b78?xd6n>0;694?:1y'62?=?91C>;j4i00e>5<#:>31=?k4H35;?S40j3;p@?9k:0yU`7<5s-;ho7?=f:T262<5s-?oi7?>;%7g`?763twvq6g>3183>!4013;9i6F=799U62d=9rF9;i4>{Wf1>7}#9ji1=>>4V004>7}#=mo1=<5+5ef954=zutw0e<=m:18'62?=9;o0D?97;W04f?7|D;=o62681!3cm3;:7);kd;32?x{zu2e:o84?:%04=?7d=2\9;o4>{M04`?7|^m81>v*>cb82g0=Q9;=1>v*:dd85?!3cl3<0qpsr;|`2b=<72<0;6=u+26;935=O:?n0e<744a3_;9;7g=#=mn1n6sr}|9j566=83.9;44>2d9K62><^;=i6P5?k0:wA<8d;3xRa4=:r.:on4>3c9U571=:r.>hh4>1:&6`a<692wvqp5f15494?"5?00:>h5G26:8R71e28qG>:j51zTg6?4|,8ih6<:9;W313?4|,4728i>7[<8b;3xH71c28q]h?4={%3`g?7d=2\:>:4={%7ga?0<,50z&13<<082B9:i5f13d94?"5?00:>h5Y26`95~J5?m0:w[j=:3y'5fe=9;l0Z<<8:3y'1ac=981/9ij5109~yx{h5G26:8R71e28qG>:j51zTg6?4|,8ih6<=m;W313?4|,47288n7E<88:T13g<6sE8{zut1b=9750;&13<<6:l1C>:64V35a>4}K:>n1=vXk2;0x 4ed28>27[?=7;0x 0bb28;0(8jk:038yx{z3f;h97>5$35:>4e23_84}Ql;09w)?lc;3`1>P6:>09w);ke;48 0bc2?1vqps4}c3ee?6=>3:1N5>m1b=?h50;&13<<6:l1]>:l51zN13a<6s_n96?u+1ba957`<^88<6?u+5eg9f>"2lm0i7psr}:k275<72-8<57?=e:J13==Q:>h1=vB=7e82Sb52;q/=nm51228R4402;q/9ik5109'1ab=981vqps4i01a>5<#:>31=?k4H35;?S40j3;p@?9k:0yU`7<5s-;ho7?;%7g`?763twvq6g>4783>!4013;9i6F=799U62d=9rF9;i4>{Wf1>7}#9ji1=984V004>7}#=mo1=<5+5ef954=zutw0e<:6:18'62?=9;o0Z?9m:0yO62b=9r\o>742>3_;9;747<,3=zutw0qo?i6;291?6=8r.9;44>c59K63b2wvqp5f12294?"5?00:>h5Y26`95~J5?m0:w[j=:3y'5fe=9::0Z<<8:3y'1ac=>2.>hi49;|~y>o6;k0;6)<89;31a>P5?k0:wA<8d;3xRa4=:r.:on4>3c9U571=:r.>hh49;%7g`?0288n7[<8b;3xH71c28q]h?4={%3`g?73>2\:>:4={%7ga?0<,:751b78R71e28qG>:j51zTg6?4|,8ih6{zut1vn5<7s-8<579?;I05`>o6:o0;6)<89;31a>N5?11]>:l51zN13a<6s_n96?u+1ba957`<^88<6?u+5eg9g>"2lm0h7psr}:k275<72-8<57?=e:J13==Q:>h1=vB=7e82Sb52;q/=nm51228R4402;q/9ik5c:&6`a3c83>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6;k1]=?952z&6``<6:2.>hi4>2:~yx=n9=<1<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm51548R4402;q/9ik5139'1ab=9;1vqps4i06:>5<#:>31=?k4H35;?S40j3;p@?9k:0yU`7<5s-;ho7?;9:T262<5s-?oi7?=;%7g`?753twvq6g>4`83>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6hi4>2:~yx=h9j?1<7*=7882g0=Q:>h1=vB=7e82Sb52;q/=nm51b78R4402;q/9ik56:&6`a<13twvq6sm29394?4=83:p(?96:c;8L70c3`;9i7>5$35:>44b32e:o84?:%04=?7d=2\9;o4>{M04`?7|^m81>v*>cb82g0=Q9;=1>v*:dd85?!3cl3<0qpsr;|`1<3<72?0;6=u+26;957e<@;2d9U571=:r.>hh49;%7g`?0:l51zN13a<6s_;i87fd<^88<6?u+5eg92>"2lm0=7psr}:k`g?6=,;=26nm4V35a>7}K:>n1=vX>b581!7dk3ih7[?=7;0x 0bb211/9ij58:~yI40k3>pZ?8n:2yU`5<5s-?oo7ml;%1ba?3cm2w]h<4={%7gg?75m2.8mh4:dd9~ 3012l1vZ?8n:2yU`5<5s-?oo7ml;%1ba?3cm2w]h<4={%7gg?75m2.8mh4:de9~ 3012l1vZ?8n:2yU`5<5s-?oo7ml;%1ba?3cm2w]h<4={%7gg?ee3-9ji7;ke:'230=?2w]=nl52zTg4?4|,0bb3t.=:;4>3:~y>o5>00;6)<89;05=>P5?k09wA<8d;3xR4d32;q/=nm527;8R4402;q/9ik5139'1ab=9;1vqpB=7b82S40:38pZi>52z&6`f<5>01/?lk55eg8y!01>3;97psr;h06e?6=,;=26?;n;W04f?4|D;=o66*:de826>{zuE87}Ql909w);kc;06e>"4il0>hh5r$745>446<729q/>:752648L70c3`;9j7>5$35:>44b3_84}Ql;09w)?lc;31b>P6:>09w);ke;48 0bc2?1vqps4i013>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed289;7[?=7;0x 0bb2?1/9ij56:~yx=h9j?1<7*=7882g0=Q:>h1=vB=7e82Sb52;q/=nm51b78R4402;q/9ik56:&6`a<13twvq6sm29194?7=83:p(?96:3:3?M41l2e:o84?:%04=?7d=21vn?6;:180>5<7s-8<579?;I05`>o6:o0;6)<89;31a>P5?k0:wA<8d;3xRa4=:r.:on4>2g9U571=:r.>hh4m;%7g`?d288n7[<8b;3xH71c28q]h?4={%3`g?7482\:>:4={%7ga?d<,:751b78R71e28qG>:j51zTg6?4|,8ih6{zut1vn>6i:181>5<7s-8<57l6;I05`>o6:l0;6)<89;31a>=h9j?1<7*=7882g0=Q:>h1=vB=7e82Sb52;q/=nm51b78R4402;q/9ik56:&6`a<13twvq6sm38:94?5=83:p(?96:355?M41l2c:>k4?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb826c=Q9;=1>v*:dd85?!3cl3<0qpsr;h304?6=,;=26<2681!3cm3l0(8jk:g9~yx{6=4+26;95f3<^;=i62wvqp5rb2;4>5<1290;w)<89;31g>N5>m1/=9h5159j57c=83.9;44>2d9U62d=9rF9;i4>{W3a0?4|,8ih6<{zut1boo4?:%04=?ee3_84}Q9k>1>v*>cb8`f>P6:>09w);ke;48 0bc2?1vqps4iba94?"5?00ho6X=7c81I40l3;pZ7}#=mi1on5+3`g91ac7}#=mi1on5+3`g91ac7}#=mi1on5+3`g91ac290/>:7527;8R71e2;qG>:j51zT2f1<5s-;ho7<99:T262<5s-?oi7?=;%7g`?753twv@?9l:0yU624=:r\o<770>3-9ji7;ke:'230=9;1vqp5f24c94?"5?0099l5Y26`96~J5?m0:w[?m4;0x 4ed2;?j7[?=7;0x 0bb2880(8jk:008yx{K:>i1=vX=7381Sb72;q/9im524c8 6gb2{zu2e:o84?:%04=?7d=21vn>7?:180>5<7s-8<57<86:J12a=n9;l1<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm513d8R4402;q/9ik56:&6`a<13twvq6g>3183>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6;91]=?952z&6``<13-?oh784}|~?j7d=3:1(?96:0a6?S40j3;p@?9k:0yU`7<5s-;ho7?l5:T262<5s-?oi784$4fg>3=zutw0qo=61;295?6=8r.9;44=819K63b6=4+26;95f3<3th85>4?:583>5}#:>31;=5G27f8m44a290/>:7513g8R71e28qG>:j51zTg6?4|,8ih6<{zut1b=>>50;&13<<6:l1]>:l51zN13a<6s_n96?u+1ba9566<^88<6?u+5eg954=#=mn1=<5r}|8m45e290/>:7513g8L71?3_84}Ql;09w)?lc;30f>P6:>09w);ke;32?!3cl3;:7psr}:m2g0<72-8<57?l5:T13g<6sE85}#:>31;=5G27f8m44a290/>:7513g8L71?3_84}Ql;09w)?lc;31b>P6:>09w);ke;`8 0bc2k1vqps4i013>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed289;7[?=7;0x 0bb28;0(8jk:038yx{z3`;8n7>5$35:>44b3_84}Ql;09w)?lc;30f>P6:>09w);ke;32?!3cl3;:7psr}:k203<72-8<57?=e:T13g<6sE8{zut1d=n;50;&13<<6k<1]>:l51zN13a<6s_n96?u+1ba95f3<^88<6?u+5eg92>"2lm0=7psr}:a7<3=83?1<7>t$35:>26<@;288n7[<8b;3xH71c28q]h?4={%3`g?75n2\:>:4={%7ga?763-?oh7?>;|~y>o6;90;6)<89;31a>P5?k0:wA<8d;3xRa4=:r.:on4>319U571=:r.>hh4>1:&6`a<692wvqp5f12`94?"5?00:>h5Y26`95~J5?m0:w[j=:3y'5fe=9:h0Z<<8:3y'1ac=981/9ij5109~yx{=6=4+26;957c<^;=i62681!3cm3<0(8jk:79~yx{7>54;294~"5?00:o95G27f8m44a290/>:7513g8R71e28qG>:j51zTg6?4|,8ih6<{zut1b=>>50;&13<<6:l1]>:l51zN13a<6s_n96?u+1ba9566<^88<6?u+5eg92>"2lm0=7psr}:k27g<72-8<57?=e:T13g<6sE85$35:>4e23_84}Ql;09w)?lc;3`1>P6:>09w);ke;48 0bc2?1vqps4}c1:=?6=:3:1N5>m1b=?k50;&13<<6:l10c74e23_;9;73=#=mn1:6sr}|9~f6?b290=6=4?{%04=?75k2B9:i5+15d951=n9;o1<7*=78826`=Q:>h1=vB=7e82S7e<38p(2wvqp5fcc83>!4013ii7[<8b;3xH71c28q]=o:52z&2gf:4={%7ga?0<,7}#9ji1on5Y13596~"2ll037);kd;:8yx{K:>i18vX=6`80Sb72;q/9im5cb9'7dc==mo0q[j>:3y'1ae=9;o0(>oj:4ff?x"1>?0n7pX=6`80Sb72;q/9im5cb9'7dc==mo0q[j>:3y'1ae=9;o0(>oj:4fg?x"1>?0n7pX=6`80Sb72;q/9im5cb9'7dc==mo0q[j>:3y'1ae=kk1/?lk55eg8y!01>3=0q[?lb;0xRa6=:r.>hn4lc:&0e`<2ll1v(;89:018yx{P6:>09w);ke;31?!3cl3;97psrL35`>4}Q:>81>vXk0;0x 0bd2;<27)=ne;7ga>{#>?<1=?5r}|9j60g=83.9;44=5`9U62d=:rF9;i4>{W3a0?4|,8ih6?;n;W313?4|,44:m51zT137<5s_n;6?u+5ea960g<,:kn68jj;|&523<6:2wvq6a>c483>!4013;h965rb2;b>5<4290;w)<89;042>N5>m1b=?h50;&13<<6:l1]>:l51zN13a<6s_n96?u+1ba957`<^88<6?u+5eg92>"2lm0=7psr}:k275<72-8<57?=e:T13g<6sE85$35:>4e23_84}Ql;09w)?lc;3`1>P6:>09w);ke;48 0bc2?1vqps4}c1:f?6=93:16<729q/>:75719K63bh5Y26`95~J5?m0:w[j=:3y'5fe=9::0Z<<8:3y'1ac=j2.>hi4m;|~y>i6k<0;6)<89;3`1>P5?k0:wA<8d;3xRa4=:r.:on4>c49U571=:r.>hh49;%7g`?07<729q/>:75b89K63b5$35:>4e23_84}Ql;09w)?lc;3`1>P6:>09w);ke;48 0bc2?1vqps4}c1`7?6=:3:1N5>m1b=?k50;&13<<6:l10c74e23_;9;73=#=mn1:6sr}|9~f6e029086=4?{%04=?40>2B9:i5f13d94?"5?00:>h5Y26`95~J5?m0:w[j=:3y'5fe=9;l0Z<<8:3y'1ac=>2.>hi49;|~y>o6;90;6)<89;31a>P5?k0:wA<8d;3xRa4=:r.:on4>319U571=:r.>hh4i;%7g`?`28i>7[<8b;3xH71c28q]h?4={%3`g?7d=2\:>:4={%7ga?0<,3:1:7>50z&13<<6:j1C>;j4$06e>42P6:>09w);ke;48 0bc2?1vqps4ib`94?"5?00hn6X=7c82I40l3;pZ:75cb9U62d=:rF9;i4>{W3a0?4|,8ih6nm4V004>7}#=mo146*:de8;?x{zD;=h69uY27c97~Pc838p(8jl:ba8 6gb2{#>?<1i6sY27c97~Pc838p(8jl:ba8 6gb2{#>?<1i6sY27c97~Pc838p(8jl:ba8 6gb2oj:4ff?x"1>?0<7pX>cc81Sb72;q/9im5cb9'7dc==mo0q)896;30?x{z3`8=57>5$35:>70>3_84}Q9k>1>v*>cb812<=Q9;=1>v*:dd826>"2lm0:>6sr}M04g?7|^;=96?uYd181!3ck38=56*:7524c8R71e2;qG>:j51zT2f1<5s-;ho7<:a:T262<5s-?oi7?=;%7g`?753twv@?9l:0yU624=:r\o<773f3-9ji7;ke:'230=9;1vqp5`1b794?"5?00:o854}c1ag?6=;3:1744a3_;9;73=#=mn1:6sr}|9j566=83.9;44>2d9U62d=9rF9;i4>{Wf1>7}#9ji1=>>4V004>7}#=mo1:6*:de85?x{zu2e:o84?:%04=?7d=2\9;o4>{M04`?7|^m81>v*>cb82g0=Q9;=1>v*:dd85?!3cl3<0qpsr;|`0fa<7280;6=u+26;96=6<@;28i>76sm3cd94?3=83:p(?96:628L70c3`;9j7>5$35:>44b3A8<46X=7c82I40l3;pZi<52z&2gf<6:o1]=?952z&6``<692.>hi4>1:~yx=n9::1<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm51228R4402;q/9ik5109'1ab=981vqps4i01a>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed289i7[?=7;0x 0bb28;0(8jk:038yx{z3`;?:7>5$35:>44b3A8<46X=7c82I40l3;pZi<52z&2gf<6hi4>1:~yx=h9j?1<7*=7882g0=Q:>h1=vB=7e82Sb52;q/=nm51b78R4402;q/9ik56:&6`a<13twvq6sm3b294?1=83:p(?96:628L70c3`;9j7>5$35:>44b3A8<46X=7c82I40l3;pZi<52z&2gf<6:o1]=?952z&6``<692.>hi4>1:~yx=n9::1<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm51228R4402;q/9ik5109'1ab=981vqps4i01a>5<#:>31=?k4H35;?S40j3;p@?9k:0yU`7<5s-;ho7?;%7g`?763twvq6g>4783>!4013;9i6F=799U62d=9rF9;i4>{Wf1>7}#9ji1=984V004>7}#=mo1=<5+5ef954=zutw0e<:6:18'62?=9;o0D?97;W04f?7|D;=o62681!3cm3;:7);kd;32?x{zu2c:8l4?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb820d=Q9;=1>v*:dd825>"2lm0:=6sr}|9l5f3=83.9;44>c49U62d=9rF9;i4>{Wf1>7}#9ji1=n;4V004>7}#=mo1:6*:de85?x{zu2wi?n?50;594?6|,;=26:>4H34g?l75n3:1(?96:00f?M4002\9;o4>{M04`?7|^m81>v*>cb826c=Q9;=1>v*:dd825>"2lm0:=6sr}|9j566=83.9;44>2d9K62><^;=i6P5?k0:wA<8d;3xRa4=:r.:on4>3c9U571=:r.>hh4>1:&6`a<692wvqp5f15494?"5?00:>h5G26:8R71e28qG>:j51zTg6?4|,8ih6<:9;W313?4|,47288n7E<88:T13g<6sE8{zut1b=9o50;&13<<6:l1]>:l51zN13a<6s_n96?u+1ba951g<^88<6?u+5eg954=#=mn1=<5r}|8k4e2290/>:751b78R71e28qG>:j51zTg6?4|,8ih6{zut1vn>m=:184>5<7s-8<579?;I05`>o6:o0;6)<89;31a>N5?11]>:l51zN13a<6s_n96?u+1ba957`<^88<6?u+5eg954=#=mn1=<5r}|8m457290/>:7513g8L71?3_84}Ql;09w)?lc;304>P6:>09w);ke;32?!3cl3;:7psr}:k27g<72-8<57?=e:J13==Q:>h1=vB=7e82Sb52;q/=nm512`8R4402;q/9ik5109'1ab=981vqps4i065>5<#:>31=?k4H35;?S40j3;p@?9k:0yU`7<5s-;ho7?;6:T262<5s-?oi7?>;%7g`?763twvq6g>4883>!4013;9i6F=799U62d=9rF9;i4>{Wf1>7}#9ji1=974V004>7}#=mo1=<5+5ef954=zutw0e<:n:18'62?=9;o0Z?9m:0yO62b=9r\o>742f3_;9;747<,3=zutw0qo=me;291?6=8r.9;44>c59K63b2wvqp5f12294?"5?00:>h5Y26`95~J5?m0:w[j=:3y'5fe=9::0Z<<8:3y'1ac=>2.>hi49;|~y>o6;k0;6)<89;31a>P5?k0:wA<8d;3xRa4=:r.:on4>3c9U571=:r.>hh49;%7g`?0288n7[<8b;3xH71c28q]h?4={%3`g?73>2\:>:4={%7ga?0<,:751b78R71e28qG>:j51zTg6?4|,8ih6{zut1vn>m;:186>5<7s-8<579?;I05`>o6:o0;6)<89;31a>N5?11]>:l51zN13a<6s_n96?u+1ba957`<^88<6?u+5eg957=#=mn1=?5r}|8m457290/>:7513g8R71e28qG>:j51zTg6?4|,8ih6<=?;W313?4|,44288n7[<8b;3xH71c28q]h?4={%3`g?74j2\:>:4={%7ga?753-?oh7?=;|~y>o6P5?k0:wA<8d;3xRa4=:r.:on4>479U571=:r.>hh4>2:&6`a<6:2wvqp5`1b794?"5?00:o85Y26`95~J5?m0:w[j=:3y'5fe=9j?0Z<<8:3y'1ac=>2.>hi49;|~y>{e;o;1<7<50;2x 71>2k30D?8k;h31a?6=,;=26<5}#:>31=?m4H34g?!73n3;?7d?=e;29 71>288n7[<8b;3xH71c28q]=o:52z&2gf<6:l1]=?952z&6``<13-?oh784}|~?lee290/>:75cc9U62d=9rF9;i4>{W3a0?4|,8ih6nl4V004>7}#=mo1:6*:de85?x{zu2cho7>5$35:>fe<^;=i6?uC26f95~P6j=09w)?lc;a`?S75?38p(8jj:99'1ab=02wvqA<8c;6xR70f2:q]h=4={%7gg?ed3-9ji7;ke:U`4<5s-?oo7?=e:&0e`<2ll1v(;89:d9~R70f2:q]h=4={%7gg?ed3-9ji7;ke:U`4<5s-?oo7?=e:&0e`<2lm1v(;89:d9~R70f2:q]h=4={%7gg?ed3-9ji7;ke:U`4<5s-?oo7mm;%1ba?3cm2w/:;857:U5fd=:r\o<7fe<,:kn68jj;|&523<6;2wvq6g=6883>!40138=56X=7c81I40l3;pZhn4=689'7dc==mo0q)896;31?x{z3`8>m7>5$35:>73f3_84}Q9k>1>v*>cb811d=Q9;=1>v*:dd826>"2lm0:>6sr}M04g?7|^;=96?uYd181!3ck38>m6*:751b78?xd4n;0;6>4?:1y'62?=:><0D?8k;h31b?6=,;=26<2681!3cm3<0(8jk:79~yx{2wvqp5`1b794?"5?00:o85Y26`95~J5?m0:w[j=:3y'5fe=9j?0Z<<8:3y'1ac=>2.>hi49;|~y>{e;o91<7?50;2x 71>2;2;7E<9d:m2g0<72-8<57?l5:9~f6`329086=4?{%04=?173A8=h6g>2g83>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6:o1]=?952z&6``g=zutw0c74e23_;9;73=#=mn1:6sr}|9~f6`c29096=4?{%04=?d>3A8=h6g>2d83>!4013;9i65`1b794?"5?00:o85Y26`95~J5?m0:w[j=:3y'5fe=9j?0Z<<8:3y'1ac=>2.>hi49;|~y>{e<9=1<7850;2x 71>288h7E<9d:&20c<6<2c:>h4?:%04=?75m2\9;o4>{M04`?7|^8h?6?u+1ba957c<^88<6?u+5eg92>"2lm0=7psr}:k`f?6=,;=26nl4V35a>4}K:>n1=vX>b581!7dk3ii7[?=7;0x 0bb2?1/9ij56:~yx=nkj0;6)<89;a`?S40j38p@?9k:0yU5g2=:r.:on4lc:T262<5s-?oi764$4fg>==zutF9;n4;{W05e?5|^m:1>v*:db8`g>"4il0>hh5rVe396~"2lj0:>h5+3`g91acv*:db8`g>"4il0>hh5rVe396~"2lj0:>h5+3`g91abv*:db8`g>"4il0>hh5rVe396~"2lj0hn6*7}Ql909w);kc;a`?!5fm3?oi6s+674956=zut1b>;750;&13<<5>01]>:l52zN13a<6s_;i8770>3_;9;744<,7}#=mi1>;74$2cf>0bb3t.=:;4>2:~y>o5=h0;6)<89;06e>P5?k09wA<8d;3xR4d32;q/=nm524c8R4402;q/9ik5139'1ab=9;1vqpB=7b82S40:38pZi>52z&6`f<5=h1/?lk55eg8y!01>3;97psr;n3`1?6=,;=26t$35:>7113A8=h6g>2g83>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6:o1]=?952z&6``<13-?oh784}|~?l7483:1(?96:00f?S40j3;p@?9k:0yU`7<5s-;ho7?<0:T262<5s-?oi784$4fg>3=zutw0c74e23_;9;73=#=mn1:6sr}|9~f6`a290:6=4?{%04=?4?82B9:i5`1b794?"5?00:o854}c635?6=;3:1N5>m1b=?h50;&13<<6:l1]>:l51zN13a<6s_n96?u+1ba957`<^88<6?u+5eg954=#=mn1=<5r}|8m457290/>:7513g8R71e28qG>:j51zTg6?4|,8ih6<=?;W313?4|,4728i>7[<8b;3xH71c28q]h?4={%3`g?7d=2\:>:4={%7ga?0<,50z&13<<082B9:i5f13d94?"5?00:>h5Y26`95~J5?m0:w[j=:3y'5fe=9;l0Z<<8:3y'1ac=981/9ij5109~yx{2681!3cm3<0(8jk:79~yx{;?7>53;294~"5?00<<6F=6e9j57`=83.9;44>2d9U62d=9rF9;i4>{Wf1>7}#9ji1=?h4V004>7}#=mo1=<5+5ef954=zutw0e<=?:18'62?=9;o0Z?9m:0yO62b=9r\o>74573_;9;747<,3=zutw0qo:?4;290?6=8r.9;4480:J12a=n9;l1<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm513d8R4402;q/9ik5109'1ab=981vqps4i013>5<#:>31=?k4H35;?S40j3;p@?9k:0yU`7<5s-;ho7?<0:T262<5s-?oi7?>;%7g`?763twvq6g>3c83>!4013;9i6F=799U62d=9rF9;i4>{Wf1>7}#9ji1=>l4V004>7}#=mo1=<5+5ef954=zutw0c74e23_;9;73=#=mn1:6sr}|9~f16229036=4?{%04=?173A8=h6g>2g83>!4013;9i6F=799U62d=9rF9;i4>{Wf1>7}#9ji1=?h4V004>7}#=mo1=<5+5ef954=zutw0e<=?:18'62?=9;o0D?97;W04f?7|D;=o62681!3cm3;:7);kd;32?x{zu2c:?o4?:%04=?75m2B9;55Y26`95~J5?m0:w[j=:3y'5fe=9:h0Z<<8:3y'1ac=981/9ij5109~yx{=6=4+26;957c<@;=37[<8b;3xH71c28q]h?4={%3`g?73>2\:>:4={%7ga?763-?oh7?>;|~y>o6<00;6)<89;31a>N5?11]>:l51zN13a<6s_n96?u+1ba951?<^88<6?u+5eg954=#=mn1=<5r}|8m42f290/>:7513g8L71?3_84}Ql;09w)?lc;37e>P6:>09w);ke;32?!3cl3;:7psr}:k20g<72-8<57?=e:T13g<6sE8{zut1d=n;50;&13<<6k<1]>:l51zN13a<6s_n96?u+1ba95f3<^88<6?u+5eg92>"2lm0=7psr}:a056=83<1<7>t$35:>4e33A8=h6g>2g83>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6:o1]=?952z&6``<13-?oh784}|~?l7483:1(?96:00f?S40j3;p@?9k:0yU`7<5s-;ho7?<0:T262<5s-?oi784$4fg>3=zutw0e<=m:18'62?=9;o0Z?9m:0yO62b=9r\o>745e3_;9;73=#=mn1:6sr}|9j510=83.9;44>2d9U62d=9rF9;i4>{Wf1>7}#9ji1=984V004>7}#=mo1:6*:de85?x{zu2c:844?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb820<=Q9;=1>v*:dd85?!3cl3<0qpsr;n3`1?6=,;=262681!3cm3<0(8jk:79~yx{8o7>52;294~"5?00i56F=6e9j57c=83.9;44>2d98k4e2290/>:751b78R71e28qG>:j51zTg6?4|,8ih6{zut1vn9:>:185>5<7s-8<57?=c:J12a=#9=l1=95f13g94?"5?00:>h5Y26`95~J5?m0:w[?m4;0x 4ed288n7[?=7;0x 0bb2?1/9ij56:~yx=nkk0;6)<89;aa?S40j3;p@?9k:0yU5g2=:r.:on4lb:T262<5s-?oi784$4fg>3=zutw0enm50;&13<v*:dd8;?!3cl320qpsC26a90~P5>h08w[j?:3y'1ae=kj1/?lk55eg8ySb62;q/9im513g8 6gb2h08w[j?:3y'1ae=kj1/?lk55eg8ySb62;q/9im513g8 6gb2h08w[j?:3y'1ae=kj1/?lk55eg8ySb62;q/9im5cc9'7dc==mo0q)896;58yS7dj38pZi>52z&6`f5<#:>31>;74V35a>7}K:>n1=vX>b581!7dk38=56X>2681!3cm3;97);kd;31?x{zD;=h68o50;&13<<5=h1]>:l52zN13a<6s_;i8773f3_;9;744<,7}#=mi1>8o4$2cf>0bb3t.=:;4>2:~y>i6k<0;6)<89;3`1>=zj=9o6=4<:183!40138<:6F=6e9j57`=83.9;44>2d9U62d=9rF9;i4>{Wf1>7}#9ji1=?h4V004>7}#=mo1:6*:de85?x{zu2c:?=4?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb8275=Q9;=1>v*:dd85?!3cl3<0qpsr;n3`1?6=,;=262681!3cm3<0(8jk:79~yx{8i7>51;294~"5?0094=5G27f8k4e2290/>:751b78?xd3;o0;6>4?:1y'62?=?91C>;j4i00e>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed288m7[?=7;0x 0bb28;0(8jk:038yx{z3`;8<7>5$35:>44b3_84}Ql;09w)?lc;304>P6:>09w);ke;32?!3cl3;:7psr}:m2g0<72-8<57?l5:T13g<6sE85}#:>31n45G27f8m44b290/>:7513g8?j7d=3:1(?96:0a6?S40j3;p@?9k:0yU`7<5s-;ho7?l5:T262<5s-?oi784$4fg>3=zutw0qo:;7;292?6=8r.9;44>2b9K63b<,8>m6<:4i00f>5<#:>31=?k4V35a>4}K:>n1=vX>b581!7dk3;9i6X>2681!3cm3<0(8jk:79~yx{P5?k0:wA<8d;3xR4d32;q/=nm5cc9U571=:r.>hh49;%7g`?0:l52zN13a<6s_;i87fe<^88<6?u+5eg9<>"2lm037psrL35`>1}Q:?k1?vXk0;0x 0bd2ji0(>oj:4ff?xPc938p(8jl:00f?!5fm3?oi6s+6749a>{Q:?k1?vXk0;0x 0bd2ji0(>oj:4ff?xPc938p(8jl:00f?!5fm3?oh6s+6749a>{Q:?k1?vXk0;0x 0bd2ji0(>oj:4ff?xPc938p(8jl:b`8 6gb23;87psr;h05=?6=,;=26?86;W04f?4|D;=o66*:de826>{zuE87}Ql909w);kc;05=>"4il0>hh5r$745>44:4={%7ga?753-?oh7?=;|~H71d28q]>:<52zTg4?4|,??7>53;294~"5?009;;5G27f8m44a290/>:7513g8R71e28qG>:j51zTg6?4|,8ih6<{zut1b=>>50;&13<<6:l1]>:l51zN13a<6s_n96?u+1ba9566<^88<6?u+5eg92>"2lm0=7psr}:m2g0<72-8<57?l5:T13g<6sE85}#:>31>5>4H34g?j7d=3:1(?96:0a6?>{e<=?1<7=50;2x 71>2>:0D?8k;h31b?6=,;=26<P5?k0:wA<8d;3xRa4=:r.:on4>2g9U571=:r.>hh4m;%7g`?d288n7E<88:T13g<6sE85$35:>4e23_84}Ql;09w)?lc;3`1>P6:>09w);ke;48 0bc2?1vqps4}c412?6=:3:1N5>m1b=?k50;&13<<6:l10c74e23_;9;73=#=mn1:6sr}|9~f34e290=6=4?{%04=?75k2B9:i5+15d951=n9;o1<7*=78826`=Q:>h1=vB=7e82S7e<38p(2wvqp5fcc83>!4013ii7[<8b;3xH71c28q]=o:52z&2gf:4={%7ga?0<,7}#9ji1on5Y13596~"2ll037);kd;:8yx{K:>i18vX=6`80Sb72;q/9im5cb9'7dc==mo0q[j>:3y'1ae=9;o0(>oj:4ff?x"1>?0n7pX=6`80Sb72;q/9im5cb9'7dc==mo0q[j>:3y'1ae=9;o0(>oj:4fg?x"1>?0n7pX=6`80Sb72;q/9im5cb9'7dc==mo0q[j>:3y'1ae=kk1/?lk55eg8y!01>3=0q[?lb;0xRa6=:r.>hn4lc:&0e`<2ll1v(;89:018yx{P6:>09w);ke;31?!3cl3;97psrL35`>4}Q:>81>vXk0;0x 0bd2;<27)=ne;7ga>{#>?<1=?5r}|9j60g=83.9;44=5`9U62d=:rF9;i4>{W3a0?4|,8ih6?;n;W313?4|,44:m51zT137<5s_n;6?u+5ea960g<,:kn68jj;|&523<6:2wvq6a>c483>!4013;h965rb704>5<4290;w)<89;042>N5>m1b=?h50;&13<<6:l1]>:l51zN13a<6s_n96?u+1ba957`<^88<6?u+5eg92>"2lm0=7psr}:k275<72-8<57?=e:T13g<6sE85$35:>4e23_84}Ql;09w)?lc;3`1>P6:>09w);ke;48 0bc2?1vqps4}c416<729q/>:75719K63b2681!3cm3;:7);kd;32?x{zu2e:o84?:%04=?7d=2\9;o4>{M04`?7|^m81>v*>cb82g0=Q9;=1>v*:dd85?!3cl3<0qpsr;|`5=?6=:3:1N5>m1b=?k50;&13<<6:l10c74e23_;9;73=#=mn1:6sr}|9~f3c=83<1<7>t$35:>44d3A8=h6*>4g820>o6:l0;6)<89;31a>P5?k0:wA<8d;3xR4d32;q/=nm513g8R4402;q/9ik56:&6`a<13twvq6glb;29 71>2jh0Z?9m:0yO62b=9r\:n94={%3`g?ee3_;9;73=#=mn1:6sr}|9jgf<72-8<57ml;W04f?4|D;=o62681!3cm320(8jk:99~yxJ5?j0?w[<9a;1xRa6=:r.>hn4lc:&0e`<2ll1vZi?52z&6`f<6:l1/?lk55eg8y!01>3o0q[<9a;1xRa6=:r.>hn4lc:&0e`<2ll1vZi?52z&6`f<6:l1/?lk55ef8y!01>3o0q[<9a;1xRa6=:r.>hn4lc:&0e`<2ll1vZi?52z&6`f1vZh1>vB=7e82S7e<38p(oj:4ff?x"1>?0:>6sr}:k11d<72-8<57<:a:T13g<5sE87}#9ji1>8o4V004>7}#=mo1=?5+5ef957=zutF9;n4>{W046?4|^m:1>v*:db811d=#;ho19ik4}%452?753twv7b?l5;29 71>28i>76sm6`83>6<729q/>:752648L70c3`;9j7>5$35:>44b3_84}Ql;09w)?lc;31b>P6:>09w);ke;48 0bc2?1vqps4i013>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed289;7[?=7;0x 0bb2?1/9ij56:~yx=h9j?1<7*=7882g0=Q:>h1=vB=7e82Sb52;q/=nm51b78R4402;q/9ik56:&6`a<13twvq6sm6c83>4<729q/>:752928L70c3f;h97>5$35:>4e232wi:n4?:283>5}#:>31;=5G27f8m44a290/>:7513g8R71e28qG>:j51zTg6?4|,8ih6<47288n7[<8b;3xH71c28q]h?4={%3`g?7482\:>:4={%7ga?763-?oh7?>;|~y>i6k<0;6)<89;3`1>P5?k0:wA<8d;3xRa4=:r.:on4>c49U571=:r.>hh49;%7g`?07<729q/>:75b89K63b5$35:>4e23_84}Ql;09w)?lc;3`1>P6:>09w);ke;48 0bc2?1vqps4}c0;g?6=>3:1:7513g8R71e28qG>:j51zT2f1<5s-;ho7?=e:T262<5s-?oi784$4fg>3=zutw0enl50;&13<{M04`?7|^8h?6?u+1ba9gg=Q9;=1>v*:dd85?!3cl3<0qpsr;ha`>5<#:>31on5Y26`96~J5?m0:w[?m4;0x 4ed2ji0Z<<8:3y'1ac=02.>hi47;|~H71d2=q]>;o53zTg4?4|,0bb3t\o=744b3-9ji7;ke:'230=m2w]>;o53zTg4?4|,0bb3t\o=744b3-9ji7;kd:'230=m2w]>;o53zTg4?4|,0bb3t\o=7fd<,:kn68jj;|&523<03t\:oo4={Wf3>7}#=mi1on5+3`g91achh4>2:&6`a<6:2wvqA<8c;3xR7152;q]h=4={%7gg?4112.8mh4:dd9~ 3012880qps4i37b>5<#:>31>8o4V35a>7}K:>n1=vX>b581!7dk38>m6X>2681!3cm3;97);kd;31?x{zD;=h62wvqp5f12294?"5?00:>h5Y26`95~J5?m0:w[j=:3y'5fe=9::0Z<<8:3y'1ac=>2.>hi49;|~y>i6k<0;6)<89;3`1>P5?k0:wA<8d;3xRa4=:r.:on4>c49U571=:r.>hh49;%7g`?04<729q/>:752928L70c3f;h97>5$35:>4e232wi>5o50;194?6|,;=26:>4H34g?l75n3:1(?96:00f?S40j3;p@?9k:0yU`7<5s-;ho7?=f:T262<5s-?oi7?>;%7g`?763twvq6g>3183>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6;91]=?952z&6``<692.>hi4>1:~yx=h9j?1<7*=7882g0=Q:>h1=vB=7e82Sb52;q/=nm51b78R4402;q/9ik56:&6`a<13twvq6sm33`94?4=83:p(?96:c;8L70c3`;9i7>5$35:>44b32e:o84?:%04=?7d=2\9;o4>{M04`?7|^m81>v*>cb82g0=Q9;=1>v*:dd85?!3cl3<0qpsr;|`06c<72;0;6=u+26;9f<=O:?n0e<28i>7[<8b;3xH71c28q]h?4={%3`g?7d=2\:>:4={%7ga?0<,3:1:7>50z&13<<6:j1C>;j4$06e>42P6:>09w);ke;48 0bc2?1vqps4ib`94?"5?00hn6X=7c82I40l3;pZ:75cb9U62d=:rF9;i4>{W3a0?4|,8ih6nm4V004>7}#=mo146*:de8;?x{zD;=h69uY27c97~Pc838p(8jl:ba8 6gb2{#>?<1i6sY27c97~Pc838p(8jl:ba8 6gb2{#>?<1i6sY27c97~Pc838p(8jl:ba8 6gb2oj:4ff?x"1>?0<7pX>cc81Sb72;q/9im5cb9'7dc==mo0q)896;30?x{z3`8=57>5$35:>70>3_84}Q9k>1>v*>cb812<=Q9;=1>v*:dd826>"2lm0:>6sr}M04g?7|^;=96?uYd181!3ck38=56*:7524c8R71e2;qG>:j51zT2f1<5s-;ho7<:a:T262<5s-?oi7?=;%7g`?753twv@?9l:0yU624=:r\o<773f3-9ji7;ke:'230=9;1vqp5`1b794?"5?00:o854}c11g?6=;3:1744a3_;9;73=#=mn1:6sr}|9j566=83.9;44>2d9U62d=9rF9;i4>{Wf1>7}#9ji1=>>4V004>7}#=mo1:6*:de85?x{zu2e:o84?:%04=?7d=2\9;o4>{M04`?7|^m81>v*>cb82g0=Q9;=1>v*:dd85?!3cl3<0qpsr;|`06a<7280;6=u+26;96=6<@;28i>76sm33g94?76290;w)<89;53?M41l2c:>k4?:%04=?75m2B9;55Y26`95~J5?m0:w[j=:3y'5fe=9;l0Z<<8:3y'1ac=981/9ij5109~yx{2681!3cm3;:7);kd;32?x{zu2c:8;4?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb8203=Q9;=1>v*:dd825>"2lm0:=6sr}|9j51?=83.9;44>2d9U62d=9rF9;i4>{Wf1>7}#9ji1=974V004>7}#=mo1=<5+5ef954=zutw0e<:n:18'62?=9;o0Z?9m:0yO62b=9r\o>742f3_;9;747<,;%7g`?763twvq6g>4b83>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6hi4>1:~yx=n9=n1<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm515f8R4402;q/9ik5109'1ab=981vqps4i06f>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed28>n7[?=7;0x 0bb28;0(8jk:038yx{z3`;8=7>5$35:>44b3_84}Ql;09w)?lc;305>P6:>09w);ke;32?!3cl3;:7psr}:k277<72-8<57?=e:T13g<6sE8{zut1b=>=50;&13<<6:l1]>:l51zN13a<6s_n96?u+1ba9565<^88<6?u+5eg92>"2lm0=7psr}:k271<72-8<57?=e:T13g<6sE85$35:>44b3_84}Ql;09w)?lc;301>P6:>09w);ke;48 0bc2?1vqps4i015>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed289=7[?=7;0x 0bb2?1/9ij56:~yx=h9j?1<7*=7882g0=Q:>h1=vB=7e82Sb52;q/=nm51b78R4402;q/9ik56:&6`a<13twvq6sm32294?46290;w)<89;53?M41l2c:>k4?:%04=?75m2B9;55Y26`95~J5?m0:w[j=:3y'5fe=9;l0Z<<8:3y'1ac=k2.>hi4l;|~y>o6;90;6)<89;31a>N5?11]>:l51zN13a<6s_n96?u+1ba9566<^88<6?u+5eg9g>"2lm0h7psr}:k27g<72-8<57?=e:T13g<6sE85$35:>44b3_84}Ql;09w)?lc;372>P6:>09w);ke;a8 0bc2j1vqps4i06:>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed28>27[?=7;0x 0bb2j1/9ij5c:~yx=n9=k1<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm515c8R4402;q/9ik5c:&6`a4c83>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6f=zutw0e<:k:18'62?=9;o0Z?9m:0yO62b=9r\o>742c3_;9;7f=#=mn1o6sr}|9j51c=83.9;44>2d9U62d=9rF9;i4>{Wf1>7}#9ji1=9k4V004>7}#=mo1o6*:de8`?x{zu2c:?<4?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb8274=Q9;=1>v*:dd8`?!3cl3i0qpsr;h306?6=,;=26<6X>2681!3cm3i0(8jk:b9~yx{h5Y26`95~J5?m0:w[j=:3y'5fe=9:>0Z<<8:3y'1ac=k2.>hi4l;|~y>o6;<0;6)<89;31a>N5?11]>:l51zN13a<6s_n96?u+1ba9563<^88<6?u+5eg9g>"2lm0h7psr}:k273<72-8<57?=e:J13==Q:>h1=vB=7e82Sb52;q/=nm51248R4402;q/9ik5c:&6`a3683>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6;>1]=?952z&6``3883>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6;01]=?952z&6``<6:2.>hi4>2:~yx=n9:k1<7*=78826`=O:>20Z?9m:0yO62b=9r\o>745f3_;9;744<,3=zutw0e<=k:18'62?=9;o0Z?9m:0yO62b=9r\o>745c3_;9;73=#=mn1:6sr}|9j56c=83.9;44>2d9U62d=9rF9;i4>{Wf1>7}#9ji1=>k4V004>7}#=mo1:6*:de85?x{zu2c:?k4?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb827c=Q9;=1>v*:dd85?!3cl3<0qpsr;h374?6=,;=26<2681!3cm3<0(8jk:79~yx{:6=4+26;957c<^;=i62wvqp5f15094?"5?00:>h5Y26`95~J5?m0:w[j=:3y'5fe=9=80Z<<8:3y'1ac=>2.>hi49;|~y>o6<:0;6)<89;31a>P5?k0:wA<8d;3xRa4=:r.:on4>429U571=:r.>hh49;%7g`?0288n7[<8b;3xH71c28q]h?4={%3`g?73<2\:>:4={%7ga?0<,:7513g8R71e28qG>:j51zTg6?4|,8ih6<::;W313?4|,{zut1b=9950;&13<<6:l1]>:l51zN13a<6s_n96?u+1ba9511<^88<6?u+5eg92>"2lm0=7psr}:k20=<72-8<57?=e:T13g<6sE85$35:>4e23_84}Ql;09w)?lc;3`1>P6:>09w);ke;48 0bc2?1vqps4}c105?6=:80;6=u+26;935=O:?n0e<2681!3cm3i0(8jk:b9~yx{:4={%7ga?e<,:7513g8R71e28qG>:j51zTg6?4|,8ih6<=m;W313?4|,{zut1b=9850;&13<<6:l1]>:l51zN13a<6s_n96?u+1ba9510<^88<6?u+5eg9g>"2lm0h7psr}:k20<<72-8<57?=e:T13g<6sE85$35:>44b3_84}Ql;09w)?lc;37e>P6:>09w);ke;a8 0bc2j1vqps4i06a>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed28>i7[?=7;0x 0bb2j1/9ij5c:~yx=n9=i1<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm515a8R4402;q/9ik5c:&6`a4e83>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6f=zutw0e<=>:18'62?=9;o0Z?9m:0yO62b=9r\o>74563_;9;7f=#=mn1o6sr}|9j564=83.9;44>2d9U62d=9rF9;i4>{Wf1>7}#9ji1=><4V004>7}#=mo1o6*:de8`?x{zu2c:?>4?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb8276=Q9;=1>v*:dd8`?!3cl3i0qpsr;h300?6=,;=26<2681!3cm3i0(8jk:b9~yx{6=4+26;957c<@;=37[<8b;3xH71c28q]h?4={%3`g?74=2\:>:4={%7ga?e<,:7513g8R71e28qG>:j51zTg6?4|,8ih6<=9;W313?4|,{zut1b=>950;&13<<6:l1]>:l51zN13a<6s_n96?u+1ba9561<^88<6?u+5eg957=#=mn1=?5r}|8m45?290/>:7513g8R71e28qG>:j51zTg6?4|,8ih6<=7;W313?4|,44288n7E<88:T13g<6sE86*:de826>{zut1b=>o50;&13<<6:l1]>:l51zN13a<6s_n96?u+1ba956g<^88<6?u+5eg957=#=mn1=?5r}|8m45d290/>:7513g8R71e28qG>:j51zTg6?4|,8ih6<=l;W313?4|,{zut1b=>j50;&13<<6:l1]>:l51zN13a<6s_n96?u+1ba956b<^88<6?u+5eg92>"2lm0=7psr}:k27`<72-8<57?=e:T13g<6sE85$35:>44b3_84}Ql;09w)?lc;30b>P6:>09w);ke;48 0bc2?1vqps4i063>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed28>;7[?=7;0x 0bb2?1/9ij56:~yx=n9=;1<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm51538R4402;q/9ik56:&6`a<13twvq6g>4383>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6<;1]=?952z&6``<13-?oh784}|~?l73;3:1(?96:00f?S40j3;p@?9k:0yU`7<5s-;ho7?;3:T262<5s-?oi784$4fg>3=zutw0e<:;:18'62?=9;o0Z?9m:0yO62b=9r\o>74233_;9;73=#=mn1:6sr}|9j513=83.9;44>2d9U62d=9rF9;i4>{Wf1>7}#9ji1=9;4V004>7}#=mo1:6*:de85?x{zu2c:8:4?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb8202=Q9;=1>v*:dd85?!3cl3<0qpsr;h372681!3cm3<0(8jk:79~yx{6=4+26;95f3<^;=i62wvqp5rb211>5<593:1N5>m1b=?h50;&13<<6:l1C>:64V35a>4}K:>n1=vXk2;0x 4ed288m7[?=7;0x 0bb2j1/9ij5c:~yx=n9::1<7*=78826`=O:>20Z?9m:0yO62b=9r\o>74573_;9;7f=#=mn1o6sr}|9j56d=83.9;44>2d9U62d=9rF9;i4>{Wf1>7}#9ji1=>l4V004>7}#=mo1o6*:de8`?x{zu2c:8;4?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb8203=Q9;=1>v*:dd8`?!3cl3i0qpsr;h37=?6=,;=26<2681!3cm3i0(8jk:b9~yx{j6=4+26;957c<^;=i6h5Y26`95~J5?m0:w[j=:3y'5fe=9=h0Z<<8:3y'1ac=k2.>hi4l;|~y>o6P5?k0:wA<8d;3xRa4=:r.:on4>4b9U571=:r.>hh4l;%7g`?e288n7[<8b;3xH71c28q]h?4={%3`g?73l2\:>:4={%7ga?e<,:7513g8R71e28qG>:j51zTg6?4|,8ih6<:j;W313?4|,{zut1b=>?50;&13<<6:l1C>:64V35a>4}K:>n1=vXk2;0x 4ed289:7[?=7;0x 0bb2j1/9ij5c:~yx=n9:81<7*=78826`=O:>20Z?9m:0yO62b=9r\o>74553_;9;7f=#=mn1o6sr}|9j565=83.9;44>2d9K62><^;=i6h5G26:8R71e28qG>:j51zTg6?4|,8ih6<=;;W313?4|,{zut1b=>;50;&13<<6:l1C>:64V35a>4}K:>n1=vXk2;0x 4ed289>7[?=7;0x 0bb2j1/9ij5c:~yx=n9:<1<7*=78826`=O:>20Z?9m:0yO62b=9r\o>74513_;9;7f=#=mn1o6sr}|9j561=83.9;44>2d9U62d=9rF9;i4>{Wf1>7}#9ji1=>94V004>7}#=mo1o6*:de8`?x{zu2c:?54?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb827==Q9;=1>v*:dd826>"2lm0:>6sr}|9j56?=83.9;44>2d9U62d=9rF9;i4>{Wf1>7}#9ji1=>74V004>7}#=mo1=?5+5ef957=zutw0e<=n:18'62?=9;o0D?97;W04f?7|D;=o62681!3cm3;97);kd;31?x{zu2c:?n4?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb827f=Q9;=1>v*:dd85?!3cl3<0qpsr;h30`?6=,;=26<2681!3cm3<0(8jk:79~yx{2wvqp5f12d94?"5?00:>h5Y26`95~J5?m0:w[j=:3y'5fe=9:l0Z<<8:3y'1ac=>2.>hi49;|~y>o6<90;6)<89;31a>P5?k0:wA<8d;3xRa4=:r.:on4>419U571=:r.>hh49;%7g`?0288n7[<8b;3xH71c28q]h?4={%3`g?7392\:>:4={%7ga?0<,:7513g8R71e28qG>:j51zTg6?4|,8ih6<:=;W313?4|,{zut1b=9=50;&13<<6:l1]>:l51zN13a<6s_n96?u+1ba9515<^88<6?u+5eg92>"2lm0=7psr}:k201<72-8<57?=e:T13g<6sE85$35:>44b3_84}Ql;09w)?lc;371>P6:>09w);ke;48 0bc2?1vqps4i064>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed28><7[?=7;0x 0bb2?1/9ij56:~yx=n9=21<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm515:8R4402;q/9ik56:&6`a<13twvq6a>c483>!4013;h96X=7c82I40l3;pZi<52z&2gf<6k<1]=?952z&6``<13-?oh784}|~?xd4;:0;6??50;2x 71>2>:0D?8k;h31b?6=,;=26<P5?k0:wA<8d;3xRa4=:r.:on4>2g9U571=:r.>hh4l;%7g`?e288n7E<88:T13g<6sE85$35:>44b3_84}Ql;09w)?lc;30f>P6:>09w);ke;a8 0bc2j1vqps4i065>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed28>=7[?=7;0x 0bb2j1/9ij5c:~yx=n9=31<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm515;8R4402;q/9ik5c:&6`a4`83>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6f=zutw0e<:l:18'62?=9;o0Z?9m:0yO62b=9r\o>742d3_;9;7f=#=mn1o6sr}|9j51b=83.9;44>2d9U62d=9rF9;i4>{Wf1>7}#9ji1=9j4V004>7}#=mo1o6*:de8`?x{zu2c:8h4?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb820`=Q9;=1>v*:dd8`?!3cl3i0qpsr;h305?6=,;=26<P5?k0:wA<8d;3xRa4=:r.:on4>309U571=:r.>hh4l;%7g`?e288n7E<88:T13g<6sE85$35:>44b3A8<46X=7c82I40l3;pZi<52z&2gf<6;:1]=?952z&6``{M04`?7|^m81>v*>cb8271=Q9;=1>v*:dd8`?!3cl3i0qpsr;h301?6=,;=26<P5?k0:wA<8d;3xRa4=:r.:on4>349U571=:r.>hh4l;%7g`?e288n7E<88:T13g<6sE85$35:>44b3_84}Ql;09w)?lc;303>P6:>09w);ke;a8 0bc2j1vqps4i01;>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed28937[?=7;0x 0bb2880(8jk:008yx{z3`;857>5$35:>44b3_84}Ql;09w)?lc;30=>P6:>09w);ke;31?!3cl3;97psr}:k27d<72-8<57?=e:J13==Q:>h1=vB=7e82Sb52;q/=nm512c8R4402;q/9ik5139'1ab=9;1vqps4i01`>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed289h7[?=7;0x 0bb2?1/9ij56:~yx=n9:n1<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm512f8R4402;q/9ik56:&6`a<13twvq6g>3d83>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6;l1]=?952z&6``<13-?oh784}|~?l74n3:1(?96:00f?S40j3;p@?9k:0yU`7<5s-;ho7?3=zutw0e<:?:18'62?=9;o0Z?9m:0yO62b=9r\o>74273_;9;73=#=mn1:6sr}|9j517=83.9;44>2d9U62d=9rF9;i4>{Wf1>7}#9ji1=9?4V004>7}#=mo1:6*:de85?x{zu2c:8?4?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb8207=Q9;=1>v*:dd85?!3cl3<0qpsr;h377?6=,;=26<2681!3cm3<0(8jk:79~yx{?6=4+26;957c<^;=i62wvqp5f15794?"5?00:>h5Y26`95~J5?m0:w[j=:3y'5fe=9=?0Z<<8:3y'1ac=>2.>hi49;|~y>o6<>0;6)<89;31a>P5?k0:wA<8d;3xRa4=:r.:on4>469U571=:r.>hh49;%7g`?0288n7[<8b;3xH71c28q]h?4={%3`g?7302\:>:4={%7ga?0<,:751b78R71e28qG>:j51zTg6?4|,8ih6{zut1vn>=;:186>5<7s-8<57?l4:J12a=n9;l1<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm513d8R4402;q/9ik56:&6`a<13twvq6g>3183>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6;91]=?952z&6``<13-?oh784}|~?l74j3:1(?96:00f?S40j3;p@?9k:0yU`7<5s-;ho7?3=zutw0e<:9:18'62?=9;o0Z?9m:0yO62b=9r\o>74213_;9;73=#=mn1:6sr}|9l5f3=83.9;44>c49U62d=9rF9;i4>{Wf1>7}#9ji1=n;4V004>7}#=mo1:6*:de85?x{zu2wi?lh50;094?6|,;=26o74H34g?l75m3:1(?96:00f?>i6k<0;6)<89;3`1>P5?k0:wA<8d;3xRa4=:r.:on4>c49U571=:r.>hh49;%7g`?03<729q/>:7513a8L70c3-;?j7?;;h31a?6=,;=26<h5Y13596~"2ll0=7);kd;48yx{z3`ii6=4+26;9gg=Q:>h1=vB=7e82S7e<38p(2ji0Z?9m:3yO62b=9r\:n94={%3`g?ed3_;9;7==#=mn146sr}M04g?2|^;uYd181!3ck3ih7)=ne;7ga>{Ql809w);kc;31a>"4il0>hh5r$745>`=z^;uYd181!3ck3ih7)=ne;7ga>{Ql809w);kc;31a>"4il0>hi5r$745>`=z^;uYd181!3ck3ih7)=ne;7ga>{Ql809w);kc;aa?!5fm3?oi6s+67493>{Q9jh1>vXk0;0x 0bd2ji0(>oj:4ff?x"1>?0:?6sr}:k12<<72-8<57<99:T13g<5sE87}#9ji1>;74V004>7}#=mo1=?5+5ef957=zutF9;n4>{W046?4|^m:1>v*:db812<=#;ho19ik4}%452?753twv7d<:a;29 71>2;?j7[<8b;0xH71c28q]=o:52z&2gf<5=h1]=?952z&6``<6:2.>hi4>2:~yI40k3;pZ?9=:3yU`5<5s-?oo7<:a:&0e`<2ll1v(;89:008yx{6=4+26;95f3<3th8n=4?:283>5}#:>31>:84H34g?l75n3:1(?96:00f?S40j3;p@?9k:0yU`7<5s-;ho7?=f:T262<5s-?oi784$4fg>3=zutw0e<=?:18'62?=9;o0Z?9m:0yO62b=9r\o>74573_;9;73=#=mn1:6sr}|9l5f3=83.9;44>c49U62d=9rF9;i4>{Wf1>7}#9ji1=n;4V004>7}#=mo1:6*:de85?x{zu2wi?o?50;394?6|,;=26?6?;I05`>i6k<0;6)<89;3`1>=zj:h96=4<:183!4013=;7E<9d:k26c<72-8<57?=e:J13==Q:>h1=vB=7e82Sb52;q/=nm513d8R4402;q/9ik5b:&6`a3183>!4013;9i6F=799U62d=9rF9;i4>{Wf1>7}#9ji1=>>4V004>7}#=mo1n6*:de8a?x{zu2e:o84?:%04=?7d=2\9;o4>{M04`?7|^m81>v*>cb82g0=Q9;=1>v*:dd85?!3cl3<0qpsr;|`70=<72;0;6=u+26;9f<=O:?n0e<28i>7[<8b;3xH71c28q]h?4={%3`g?7d=2\:>:4={%7ga?0<,7>50z&13<h54o0a6>5<#:>31=n;4V35a>4}K:>n1=vXk2;0x 4ed28i>7[?=7;0x 0bb2?1/9ij56:~yx=zj=?96=49:183!4013;9o6F=6e9'51`=9=1b=?k50;&13<<6:l1]>:l51zN13a<6s_;i8744b3_;9;73=#=mn1:6sr}|9jgg<72-8<57mm;W04f?7|D;=o62681!3cm3<0(8jk:79~yx{P5?k09wA<8d;3xR4d32;q/=nm5cb9U571=:r.>hh47;%7g`?>:m54zT12d<4s_n;6?u+5ea9gf=#;ho19ik4}Wf2>7}#=mi1=?k4$2cf>0bb3t.=:;4j;|T12d<4s_n;6?u+5ea9gf=#;ho19ik4}Wf2>7}#=mi1=?k4$2cf>0bc3t.=:;4j;|T12d<4s_n;6?u+5ea9gf=#;ho19ik4}Wf2>7}#=mi1oo5+3`g91acv*:db8`g>"4il0>hh5r$745>45:4={%7ga?753-?oh7?=;|~H71d28q]>:<52zTg4?4|,h1>vB=7e82S7e<38p(oj:4ff?x"1>?0:>6sr}:m2g0<72-8<57?l5:9~f12>29086=4?{%04=?40>2B9:i5f13d94?"5?00:>h5Y26`95~J5?m0:w[j=:3y'5fe=9;l0Z<<8:3y'1ac=>2.>hi49;|~y>o6;90;6)<89;31a>P5?k0:wA<8d;3xRa4=:r.:on4>319U571=:r.>hh49;%7g`?028i>7[<8b;3xH71c28q]h?4={%3`g?7d=2\:>:4={%7ga?0<,50z&13<<5091C>;j4o0a6>5<#:>31=n;4;|`70g<72:0;6=u+26;935=O:?n0e<2681!3cm3;:7);kd;32?x{zu2c:?=4?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb8275=Q9;=1>v*:dd825>"2lm0:=6sr}|9l5f3=83.9;44>c49U62d=9rF9;i4>{Wf1>7}#9ji1=n;4V004>7}#=mo1:6*:de85?x{zu2wi89j50;32>5<7s-8<579?;I05`>o6:o0;6)<89;31a>N5?11]>:l51zN13a<6s_n96?u+1ba957`<^88<6?u+5eg9g>"2lm0h7psr}:k275<72-8<57?=e:J13==Q:>h1=vB=7e82Sb52;q/=nm51228R4402;q/9ik5c:&6`a3c83>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6;k1]=?952z&6``3:1(?96:00f?S40j3;p@?9k:0yU`7<5s-;ho7?;6:T262<5s-?oi7m4$4fg>f=zutw0e<:6:18'62?=9;o0Z?9m:0yO62b=9r\o>742>3_;9;7f=#=mn1o6sr}|9j51g=83.9;44>2d9U62d=9rF9;i4>{Wf1>7}#9ji1=9o4V004>7}#=mo1o6*:de8`?x{zu2c:8o4?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb820g=Q9;=1>v*:dd8`?!3cl3i0qpsr;h37g?6=,;=26<2681!3cm3i0(8jk:b9~yx{o6=4+26;957c<^;=i6h5G26:8R71e28qG>:j51zTg6?4|,8ih6<:j;W313?4|,{zut1b=>?50;&13<<6:l1C>:64V35a>4}K:>n1=vXk2;0x 4ed289:7[?=7;0x 0bb2j1/9ij5c:~yx=n9:81<7*=78826`=O:>20Z?9m:0yO62b=9r\o>74553_;9;744<,3583>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6;=1]=?952z&6``<13-?oh784}|~?l74=3:1(?96:00f?S40j3;p@?9k:0yU`7<5s-;ho7?<5:T262<5s-?oi784$4fg>3=zutw0e<=9:18'62?=9;o0Z?9m:0yO62b=9r\o>74513_;9;73=#=mn1:6sr}|9l5f3=83.9;44>c49U62d=9rF9;i4>{Wf1>7}#9ji1=n;4V004>7}#=mo1:6*:de85?x{zu2wi89k50;32>5<7s-8<579?;I05`>o6:o0;6)<89;31a>N5?11]>:l51zN13a<6s_n96?u+1ba957`<^88<6?u+5eg9g>"2lm0h7psr}:k275<72-8<57?=e:J13==Q:>h1=vB=7e82Sb52;q/=nm51228R4402;q/9ik5c:&6`a3c83>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6;k1]=?952z&6``3:1(?96:00f?S40j3;p@?9k:0yU`7<5s-;ho7?;6:T262<5s-?oi7m4$4fg>f=zutw0e<:6:18'62?=9;o0Z?9m:0yO62b=9r\o>742>3_;9;7f=#=mn1o6sr}|9j51g=83.9;44>2d9U62d=9rF9;i4>{Wf1>7}#9ji1=9o4V004>7}#=mo1o6*:de8`?x{zu2c:8o4?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb820g=Q9;=1>v*:dd8`?!3cl3i0qpsr;h37g?6=,;=26<2681!3cm3i0(8jk:b9~yx{o6=4+26;957c<^;=i6h5G26:8R71e28qG>:j51zTg6?4|,8ih6<:j;W313?4|,{zut1b=>?50;&13<<6:l1C>:64V35a>4}K:>n1=vXk2;0x 4ed289:7[?=7;0x 0bb2880(8jk:008yx{z3`;8>7>5$35:>44b3_84}Ql;09w)?lc;306>P6:>09w);ke;31?!3cl3;97psr}:k276<72-8<57?=e:T13g<6sE85Y13596~"2ll0:>6*:de826>{zut1b=>:50;&13<<6:l1]>:l51zN13a<6s_n96?u+1ba9562<^88<6?u+5eg92>"2lm0=7psr}:k270<72-8<57?=e:T13g<6sE85$35:>44b3_84}Ql;09w)?lc;302>P6:>09w);ke;48 0bc2?1vqps4o0a6>5<#:>31=n;4V35a>4}K:>n1=vXk2;0x 4ed28i>7[?=7;0x 0bb2?1/9ij56:~yx=zj=>m6=4>1;294~"5?00<<6F=6e9j57`=83.9;44>2d9K62><^;=i6h5G26:8R71e28qG>:j51zTg6?4|,8ih6<=?;W313?4|,{zut1b=>l50;&13<<6:l1]>:l51zN13a<6s_n96?u+1ba956d<^88<6?u+5eg9g>"2lm0h7psr}:k203<72-8<57?=e:T13g<6sE85$35:>44b3_84}Ql;09w)?lc;37=>P6:>09w);ke;a8 0bc2j1vqps4i06b>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed28>j7[?=7;0x 0bb2j1/9ij5c:~yx=n9=h1<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm515`8R4402;q/9ik5c:&6`a4b83>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6f=zutw0e<:j:18'62?=9;o0D?97;W04f?7|D;=o62681!3cm3i0(8jk:b9~yx{:4={%7ga?753-?oh7?=;|~y>o6;;0;6)<89;31a>P5?k0:wA<8d;3xRa4=:r.:on4>339U571=:r.>hh4>2:&6`a<6:2wvqp5f12194?"5?00:>h5Y26`95~J5?m0:w[j=:3y'5fe=9:90Z<<8:3y'1ac=9;1/9ij5139~yx{2wvqp5f12794?"5?00:>h5Y26`95~J5?m0:w[j=:3y'5fe=9:?0Z<<8:3y'1ac=>2.>hi49;|~y>o6;?0;6)<89;31a>P5?k0:wA<8d;3xRa4=:r.:on4>379U571=:r.>hh49;%7g`?028i>7[<8b;3xH71c28q]h?4={%3`g?7d=2\:>:4={%7ga?0<,50z&13<<6k=1C>;j4i00e>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed288m7[?=7;0x 0bb2?1/9ij56:~yx=n9::1<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm51228R4402;q/9ik56:&6`a<13twvq6g>3c83>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6;k1]=?952z&6``<13-?oh784}|~?j7d=3:1(?96:0a6?S40j3;p@?9k:0yU`7<5s-;ho7?l5:T262<5s-?oi784$4fg>3=zutw0qo::9;296?6=8r.9;44m9:J12a=n9;o1<7*=78826`=6=4+26;95f3<^;=i62wvqp5rb57f>5<1290;w)<89;31g>N5>m1/=9h5159j57c=83.9;44>2d9U62d=9rF9;i4>{W3a0?4|,8ih6<{zut1boo4?:%04=?ee3_84}Q9k>1>v*>cb8`f>P6:>09w);ke;48 0bc2?1vqps4iba94?"5?00ho6X=7c81I40l3;pZ7}#=mi1on5+3`g91ac7}#=mi1on5+3`g91ac7}#=mi1on5+3`g91ac290/>:7527;8R71e2;qG>:j51zT2f1<5s-;ho7<99:T262<5s-?oi7?=;%7g`?753twv@?9l:0yU624=:r\o<770>3-9ji7;ke:'230=9;1vqp5f24c94?"5?0099l5Y26`96~J5?m0:w[?m4;0x 4ed2;?j7[?=7;0x 0bb2880(8jk:008yx{K:>i1=vX=7381Sb72;q/9im524c8 6gb2{zu2e:o84?:%04=?7d=21vn9;n:180>5<7s-8<57<86:J12a=n9;l1<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm513d8R4402;q/9ik56:&6`a<13twvq6g>3183>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6;91]=?952z&6``<13-?oh784}|~?j7d=3:1(?96:0a6?S40j3;p@?9k:0yU`7<5s-;ho7?l5:T262<5s-?oi784$4fg>3=zutw0qo::b;295?6=8r.9;44=819K63b6=4+26;95f3<3th?9n4?:283>5}#:>31;=5G27f8m44a290/>:7513g8R71e28qG>:j51zTg6?4|,8ih6<47288n7[<8b;3xH71c28q]h?4={%3`g?7482\:>:4={%7ga?763-?oh7?>;|~y>i6k<0;6)<89;3`1>P5?k0:wA<8d;3xRa4=:r.:on4>c49U571=:r.>hh49;%7g`?07<729q/>:75b89K63b5$35:>4e23_84}Ql;09w)?lc;3`1>P6:>09w);ke;48 0bc2?1vqps4}c7;3?6=:3:1N5>m1b=?k50;&13<<6:l10c74e23_;9;73=#=mn1:6sr}|9~f0>d290=6=4?{%04=?75k2B9:i5+15d951=n9;o1<7*=78826`=Q:>h1=vB=7e82S7e<38p(2wvqp5fcc83>!4013ii7[<8b;3xH71c28q]=o:52z&2gf:4={%7ga?0<,7}#9ji1on5Y13596~"2ll037);kd;:8yx{K:>i18vX=6`80Sb72;q/9im5cb9'7dc==mo0q[j>:3y'1ae=9;o0(>oj:4ff?x"1>?0n7pX=6`80Sb72;q/9im5cb9'7dc==mo0q[j>:3y'1ae=9;o0(>oj:4fg?x"1>?0n7pX=6`80Sb72;q/9im5cb9'7dc==mo0q[j>:3y'1ae=kk1/?lk55eg8y!01>3=0q[?lb;0xRa6=:r.>hn4lc:&0e`<2ll1v(;89:018yx{P6:>09w);ke;31?!3cl3;97psrL35`>4}Q:>81>vXk0;0x 0bd2;<27)=ne;7ga>{#>?<1=?5r}|9j60g=83.9;44=5`9U62d=:rF9;i4>{W3a0?4|,8ih6?;n;W313?4|,44:m51zT137<5s_n;6?u+5ea960g<,:kn68jj;|&523<6:2wvq6a>c483>!4013;h965rb4:7>5<4290;w)<89;042>N5>m1b=?h50;&13<<6:l1]>:l51zN13a<6s_n96?u+1ba957`<^88<6?u+5eg92>"2lm0=7psr}:k275<72-8<57?=e:T13g<6sE85$35:>4e23_84}Ql;09w)?lc;3`1>P6:>09w);ke;48 0bc2?1vqps4}c7;1?6=93:16<729q/>:75719K63bP5?k0:wA<8d;3xRa4=:r.:on4>319U571=:r.>hh4>1:&6`a<692wvqp5`1b794?"5?00:o85Y26`95~J5?m0:w[j=:3y'5fe=9j?0Z<<8:3y'1ac=>2.>hi49;|~y>{e=121<7:50;2x 71>2>:0D?8k;h31b?6=,;=26<P5?k0:wA<8d;3xRa4=:r.:on4>2g9U571=:r.>hh4>2:&6`a<6:2wvqp5f12294?"5?00:>h5Y26`95~J5?m0:w[j=:3y'5fe=9::0Z<<8:3y'1ac=9;1/9ij5139~yx{:4={%7ga?753-?oh7?=;|~y>i6k<0;6)<89;3`1>P5?k0:wA<8d;3xRa4=:r.:on4>c49U571=:r.>hh49;%7g`?01<729q/>:75719K63b2681!3cm3;97);kd;31?x{zu2c:?o4?:%04=?75m2B9;55Y26`95~J5?m0:w[j=:3y'5fe=9:h0Z<<8:3y'1ac=9;1/9ij5139~yx{6=4+26;95f3<^;=i62wvqp5rb4:b>5<4290;w)<89;3`0>N5>m1b=?h50;&13<<6:l1]>:l51zN13a<6s_n96?u+1ba957`<^88<6?u+5eg92>"2lm0=7psr}:k275<72-8<57?=e:T13g<6sE85$35:>4e23_84}Ql;09w)?lc;3`1>P6:>09w);ke;48 0bc2?1vqps4}c7gb?6=:3:1N5>m1b=?k50;&13<<6:l10c74e23_;9;73=#=mn1:6sr}|9~f0c129096=4?{%04=?d>3A8=h6g>2d83>!4013;9i65`1b794?"5?00:o85Y26`95~J5?m0:w[j=:3y'5fe=9j?0Z<<8:3y'1ac=>2.>hi49;|~y>{e=li1<7850;2x 71>288h7E<9d:&20c<6<2c:>h4?:%04=?75m2\9;o4>{M04`?7|^8h?6?u+1ba957c<^88<6?u+5eg92>"2lm0=7psr}:k`f?6=,;=26nl4V35a>4}K:>n1=vX>b581!7dk3ii7[?=7;0x 0bb2?1/9ij56:~yx=nkj0;6)<89;a`?S40j38p@?9k:0yU5g2=:r.:on4lc:T262<5s-?oi764$4fg>==zutF9;n4;{W05e?5|^m:1>v*:db8`g>"4il0>hh5rVe396~"2lj0:>h5+3`g91acv*:db8`g>"4il0>hh5rVe396~"2lj0:>h5+3`g91abv*:db8`g>"4il0>hh5rVe396~"2lj0hn6*7}Ql909w);kc;a`?!5fm3?oi6s+674956=zut1b>;750;&13<<5>01]>:l52zN13a<6s_;i8770>3_;9;744<,7}#=mi1>;74$2cf>0bb3t.=:;4>2:~y>o5=h0;6)<89;06e>P5?k09wA<8d;3xR4d32;q/=nm524c8R4402;q/9ik5139'1ab=9;1vqpB=7b82S40:38pZi>52z&6`f<5=h1/?lk55eg8y!01>3;97psr;n3`1?6=,;=26t$35:>7113A8=h6g>2g83>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6:o1]=?952z&6``<13-?oh784}|~?l7483:1(?96:00f?S40j3;p@?9k:0yU`7<5s-;ho7?<0:T262<5s-?oi784$4fg>3=zutw0c74e23_;9;73=#=mn1:6sr}|9~f0c6290:6=4?{%04=?4?82B9:i5`1b794?"5?00:o854}c7f7?6=;3:1N5>m1b=?h50;&13<<6:l1]>:l51zN13a<6s_n96?u+1ba957`<^88<6?u+5eg954=#=mn1=<5r}|8m457290/>:7513g8R71e28qG>:j51zTg6?4|,8ih6<=?;W313?4|,4728i>7[<8b;3xH71c28q]h?4={%3`g?7d=2\:>:4={%7ga?0<,50z&13<<082B9:i5f13d94?"5?00:>h5Y26`95~J5?m0:w[j=:3y'5fe=9;l0Z<<8:3y'1ac=981/9ij5109~yx{2681!3cm3<0(8jk:79~yx{53;294~"5?00<<6F=6e9j57`=83.9;44>2d9U62d=9rF9;i4>{Wf1>7}#9ji1=?h4V004>7}#=mo1:6*:de85?x{zu2c:?=4?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb8275=Q9;=1>v*:dd85?!3cl3<0qpsr;n3`1?6=,;=262681!3cm3<0(8jk:79~yx{7>54;294~"5?00:o95G27f8m44a290/>:7513g8R71e28qG>:j51zTg6?4|,8ih6<{zut1b=>>50;&13<<6:l1]>:l51zN13a<6s_n96?u+1ba9566<^88<6?u+5eg92>"2lm0=7psr}:k27g<72-8<57?=e:T13g<6sE85$35:>4e23_84}Ql;09w)?lc;3`1>P6:>09w);ke;48 0bc2?1vqps4}c7f3?6=980;6=u+26;935=O:?n0e<2681!3cm3i0(8jk:b9~yx{:4={%7ga?e<,:7513g8R71e28qG>:j51zTg6?4|,8ih6<=m;W313?4|,{zut1b=9850;&13<<6:l1]>:l51zN13a<6s_n96?u+1ba9510<^88<6?u+5eg9g>"2lm0h7psr}:k20<<72-8<57?=e:T13g<6sE85$35:>44b3_84}Ql;09w)?lc;37e>P6:>09w);ke;a8 0bc2j1vqps4i06a>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed28>i7[?=7;0x 0bb2j1/9ij5c:~yx=n9=i1<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm515a8R4402;q/9ik5c:&6`a4e83>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6{M04`?7|^m81>v*>cb820`=Q9;=1>v*:dd8`?!3cl3i0qpsr;h305?6=,;=26<P5?k0:wA<8d;3xRa4=:r.:on4>309U571=:r.>hh4>2:&6`a<6:2wvqp5f12094?"5?00:>h5Y26`95~J5?m0:w[j=:3y'5fe=9:80Z<<8:3y'1ac=9;1/9ij5139~yx{2681!3cm3;97);kd;31?x{zu2c:?84?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb8270=Q9;=1>v*:dd85?!3cl3<0qpsr;h302?6=,;=26<2681!3cm3<0(8jk:79~yx{6=4+26;95f3<^;=i62wvqp5rb4g;>5<693:1N5>m1b=?h50;&13<<6:l1C>:64V35a>4}K:>n1=vXk2;0x 4ed288m7[?=7;0x 0bb2j1/9ij5c:~yx=n9::1<7*=78826`=O:>20Z?9m:0yO62b=9r\o>74573_;9;7f=#=mn1o6sr}|9j56d=83.9;44>2d9U62d=9rF9;i4>{Wf1>7}#9ji1=>l4V004>7}#=mo1o6*:de8`?x{zu2c:8;4?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb8203=Q9;=1>v*:dd8`?!3cl3i0qpsr;h37=?6=,;=26<2681!3cm3i0(8jk:b9~yx{j6=4+26;957c<^;=i6h5Y26`95~J5?m0:w[j=:3y'5fe=9=h0Z<<8:3y'1ac=k2.>hi4l;|~y>o6P5?k0:wA<8d;3xRa4=:r.:on4>4b9U571=:r.>hh4l;%7g`?e288n7[<8b;3xH71c28q]h?4={%3`g?73l2\:>:4={%7ga?e<,:7513g8L71?3_84}Ql;09w)?lc;37a>P6:>09w);ke;a8 0bc2j1vqps4i012>5<#:>31=?k4H35;?S40j3;p@?9k:0yU`7<5s-;ho7?<1:T262<5s-?oi7?=;%7g`?753twvq6g>3383>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6;;1]=?952z&6``<6:2.>hi4>2:~yx=n9:91<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm51218R4402;q/9ik5139'1ab=9;1vqps4i017>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed289?7[?=7;0x 0bb2880(8jk:008yx{z3`;897>5$35:>44b3_84}Ql;09w)?lc;301>P6:>09w);ke;48 0bc2?1vqps4i015>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed289=7[?=7;0x 0bb2?1/9ij56:~yx=h9j?1<7*=7882g0=Q:>h1=vB=7e82Sb52;q/=nm51b78R4402;q/9ik56:&6`a<13twvq6sm5d;94?76290;w)<89;53?M41l2c:>k4?:%04=?75m2B9;55Y26`95~J5?m0:w[j=:3y'5fe=9;l0Z<<8:3y'1ac=k2.>hi4l;|~y>o6;90;6)<89;31a>N5?11]>:l51zN13a<6s_n96?u+1ba9566<^88<6?u+5eg9g>"2lm0h7psr}:k27g<72-8<57?=e:T13g<6sE85$35:>44b3_84}Ql;09w)?lc;372>P6:>09w);ke;a8 0bc2j1vqps4i06:>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed28>27[?=7;0x 0bb2j1/9ij5c:~yx=n9=k1<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm515c8R4402;q/9ik5c:&6`a4c83>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6f=zutw0e<:k:18'62?=9;o0Z?9m:0yO62b=9r\o>742c3_;9;7f=#=mn1o6sr}|9j51c=83.9;44>2d9K62><^;=i6h5G26:8R71e28qG>:j51zTg6?4|,8ih6<=>;W313?4|,44288n7[<8b;3xH71c28q]h?4={%3`g?74:2\:>:4={%7ga?753-?oh7?=;|~y>o6;:0;6)<89;31a>P5?k0:wA<8d;3xRa4=:r.:on4>329U571=:r.>hh4>2:&6`a<6:2wvqp5f12694?"5?00:>h5Y26`95~J5?m0:w[j=:3y'5fe=9:>0Z<<8:3y'1ac=9;1/9ij5139~yx{6=4+26;957c<^;=i62wvqp5f12494?"5?00:>h5Y26`95~J5?m0:w[j=:3y'5fe=9:<0Z<<8:3y'1ac=>2.>hi49;|~y>i6k<0;6)<89;3`1>P5?k0:wA<8d;3xRa4=:r.:on4>c49U571=:r.>hh49;%7g`?01<729q/>:751b68L70c3`;9j7>5$35:>44b3_84}Ql;09w)?lc;31b>P6:>09w);ke;48 0bc2?1vqps4i013>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed289;7[?=7;0x 0bb2?1/9ij56:~yx=n9:h1<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm512`8R4402;q/9ik56:&6`a<13twvq6a>c483>!4013;h96X=7c82I40l3;pZi<52z&2gf<6k<1]=?952z&6``<13-?oh784}|~?xd1990;6?4?:1y'62?=j01C>;j4i00f>5<#:>31=?k4;n3`1?6=,;=262681!3cm3<0(8jk:79~yx{56;294~"5?00:>n5G27f8 42a28>0e<:4={%7ga?0<,7}#9ji1oo5Y13596~"2ll0=7);kd;48yx{z3`ih6=4+26;9gf=Q:>h1>vB=7e82S7e<38p(fe<,:kn68jj;|Tg5?4|,fe<,:kn68jj;|Tg5?4|,fe<,:kn68jj;|Tg5?4|,0bb3t.=:;48;|T2gg<5s_n;6?u+5ea9gf=#;ho19ik4}%452?743twv7d<99;29 71>2;<27[<8b;0xH71c28q]=o:52z&2gf<5>01]=?952z&6``<6:2.>hi4>2:~yI40k3;pZ?9=:3yU`5<5s-?oo7<99:&0e`<2ll1v(;89:008yx{P6:>09w);ke;31?!3cl3;97psrL35`>4}Q:>81>vXk0;0x 0bd2;?j7)=ne;7ga>{#>?<1=?5r}|9l5f3=83.9;44>c498yg0693:1?7>50z&13<<5??1C>;j4i00e>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed288m7[?=7;0x 0bb2?1/9ij56:~yx=n9::1<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm51228R4402;q/9ik56:&6`a<13twvq6a>c483>!4013;h96X=7c82I40l3;pZi<52z&2gf<6k<1]=?952z&6``<13-?oh784}|~?xd19;0;6<4?:1y'62?=:1:0D?8k;n3`1?6=,;=26t$35:>26<@;288n7[<8b;3xH71c28q]h?4={%3`g?75n2\:>:4={%7ga?763-?oh7?>;|~y>o6;90;6)<89;31a>P5?k0:wA<8d;3xRa4=:r.:on4>319U571=:r.>hh4>1:&6`a<692wvqp5`1b794?"5?00:o85Y26`95~J5?m0:w[j=:3y'5fe=9j?0Z<<8:3y'1ac=>2.>hi49;|~y>{e>8<1<7<50;2x 71>2k30D?8k;h31a?6=,;=26<5}#:>31=?m4H34g?!73n3;?7d?=e;29 71>288n7[<8b;3xH71c28q]=o:52z&2gf<6:l1]=?952z&6``<13-?oh784}|~?lee290/>:75cc9U62d=9rF9;i4>{W3a0?4|,8ih6nl4V004>7}#=mo1:6*:de85?x{zu2cho7>5$35:>fe<^;=i6?uC26f95~P6j=09w)?lc;a`?S75?38p(8jj:99'1ab=02wvqA<8c;6xR70f2:q]h=4={%7gg?ed3-9ji7;ke:U`4<5s-?oo7?=e:&0e`<2ll1v(;89:d9~R70f2:q]h=4={%7gg?ed3-9ji7;ke:U`4<5s-?oo7?=e:&0e`<2lm1v(;89:d9~R70f2:q]h=4={%7gg?ed3-9ji7;ke:U`4<5s-?oo7mm;%1ba?3cm2w/:;857:U5fd=:r\o<7fe<,:kn68jj;|&523<6;2wvq6g=6883>!40138=56X=7c81I40l3;pZhn4=689'7dc==mo0q)896;31?x{z3`8>m7>5$35:>73f3_84}Q9k>1>v*>cb811d=Q9;=1>v*:dd826>"2lm0:>6sr}M04g?7|^;=96?uYd181!3ck38>m6*:751b78?xd19>0;6>4?:1y'62?=:><0D?8k;h31b?6=,;=26<2681!3cm3<0(8jk:79~yx{2wvqp5`1b794?"5?00:o85Y26`95~J5?m0:w[j=:3y'5fe=9j?0Z<<8:3y'1ac=>2.>hi49;|~y>{e>821<7?50;2x 71>2;2;7E<9d:m2g0<72-8<57?l5:9~f37f29086=4?{%04=?173A8=h6g>2g83>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6:o1]=?952z&6``<692.>hi4>1:~yx=n9::1<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm51228R4402;q/9ik5109'1ab=981vqps4o0a6>5<#:>31=n;4V35a>4}K:>n1=vXk2;0x 4ed28i>7[?=7;0x 0bb2?1/9ij56:~yx=zj?;i6=4<:183!4013=;7E<9d:k26c<72-8<57?=e:T13g<6sE8k5Y13596~"2ll0:=6*:de825>{zut1b=>>50;&13<<6:l1]>:l51zN13a<6s_n96?u+1ba9566<^88<6?u+5eg954=#=mn1=<5r}|8k4e2290/>:751b78R71e28qG>:j51zTg6?4|,8ih6{zut1vn;?l:184>5<7s-8<579?;I05`>o6:o0;6)<89;31a>N5?11]>:l51zN13a<6s_n96?u+1ba957`<^88<6?u+5eg9f>"2lm0i7psr}:k275<72-8<57?=e:J13==Q:>h1=vB=7e82Sb52;q/=nm51228R4402;q/9ik5b:&6`a3c83>!4013;9i6F=799U62d=9rF9;i4>{Wf1>7}#9ji1=>l4V004>7}#=mo1n6*:de8a?x{zu2c:8;4?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb8203=Q9;=1>v*:dd825>"2lm0:=6sr}|9j51?=83.9;44>2d9U62d=9rF9;i4>{Wf1>7}#9ji1=974V004>7}#=mo1=<5+5ef954=zutw0e<:n:18'62?=9;o0Z?9m:0yO62b=9r\o>742f3_;9;747<,3=zutw0qo8>d;293?6=8r.9;4480:J12a=n9;l1<7*=78826`=O:>20Z?9m:0yO62b=9r\o>744a3_;9;7g=#=mn1n6sr}|9j566=83.9;44>2d9K62><^;=i6h5Y26`95~J5?m0:w[j=:3y'5fe=9:h0Z<<8:3y'1ac=981/9ij5109~yx{=6=4+26;957c<^;=i62681!3cm3;:7);kd;32?x{zu2c:8l4?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb820d=Q9;=1>v*:dd825>"2lm0:=6sr}|9l5f3=83.9;44>c49U62d=9rF9;i4>{Wf1>7}#9ji1=n;4V004>7}#=mo1:6*:de85?x{zu2wi:<750;794?6|,;=26o6:o0;6)<89;31a>P5?k0:wA<8d;3xRa4=:r.:on4>2g9U571=:r.>hh49;%7g`?0288n7[<8b;3xH71c28q]h?4={%3`g?7482\:>:4={%7ga?0<,:7513g8R71e28qG>:j51zTg6?4|,8ih6<=m;W313?4|,{zut1b=9850;&13<<6:l1]>:l51zN13a<6s_n96?u+1ba9510<^88<6?u+5eg92>"2lm0=7psr}:m2g0<72-8<57?l5:T13g<6sE8=4?:383>5}#:>31n45G27f8m44b290/>:7513g8?j7d=3:1(?96:0a6?S40j3;p@?9k:0yU`7<5s-;ho7?l5:T262<5s-?oi784$4fg>3=zutw0qo8=5;292?6=8r.9;44>2b9K63b<,8>m6<:4i00f>5<#:>31=?k4V35a>4}K:>n1=vX>b581!7dk3;9i6X>2681!3cm3<0(8jk:79~yx{P5?k0:wA<8d;3xR4d32;q/=nm5cc9U571=:r.>hh49;%7g`?0:l52zN13a<6s_;i87fe<^88<6?u+5eg9<>"2lm037psrL35`>1}Q:?k1?vXk0;0x 0bd2ji0(>oj:4ff?xPc938p(8jl:00f?!5fm3?oi6s+6749a>{Q:?k1?vXk0;0x 0bd2ji0(>oj:4ff?xPc938p(8jl:00f?!5fm3?oh6s+6749a>{Q:?k1?vXk0;0x 0bd2ji0(>oj:4ff?xPc938p(8jl:b`8 6gb23;87psr;h05=?6=,;=26?86;W04f?4|D;=o66*:de826>{zuE87}Ql909w);kc;05=>"4il0>hh5r$745>44:4={%7ga?753-?oh7?=;|~H71d28q]>:<52zTg4?4|,53;294~"5?009;;5G27f8m44a290/>:7513g8R71e28qG>:j51zTg6?4|,8ih6<{zut1b=>>50;&13<<6:l1]>:l51zN13a<6s_n96?u+1ba9566<^88<6?u+5eg92>"2lm0=7psr}:m2g0<72-8<57?l5:T13g<6sE8?4?:083>5}#:>31>5>4H34g?j7d=3:1(?96:0a6?>{e>;91<7=50;2x 71>2>:0D?8k;h31b?6=,;=26<2681!3cm3;:7);kd;32?x{zu2c:?=4?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb8275=Q9;=1>v*:dd825>"2lm0:=6sr}|9l5f3=83.9;44>c49U62d=9rF9;i4>{Wf1>7}#9ji1=n;4V004>7}#=mo1:6*:de85?x{zu2wi=kh50;094?6|,;=26o74H34g?l75m3:1(?96:00f?>i6k<0;6)<89;3`1>P5?k0:wA<8d;3xRa4=:r.:on4>c49U571=:r.>hh49;%7g`?07<729q/>:75b89K63b5$35:>4e23_84}Ql;09w)?lc;3`1>P6:>09w);ke;c8 0bc2h1vqps4}c034?6=;3:1744a3_;9;73=#=mn1:6sr}|9j566=83.9;44>2d9U62d=9rF9;i4>{Wf1>7}#9ji1=>>4V004>7}#=mo1:6*:de85?x{zu2e:o84?:%04=?7d=2\9;o4>{M04`?7|^m81>v*>cb82g0=Q9;=1>v*:dd85?!3cl3<0qpsr;|`144<7280;6=u+26;96=6<@;28i>76sm21194?5=83:p(?96:628L70c3`;9j7>5$35:>44b3_84}Ql;09w)?lc;31b>P6:>09w);ke;`8 0bc2k1vqps4i013>5<#:>31=?k4H35;?S40j3;p@?9k:0yU`7<5s-;ho7?<0:T262<5s-?oi7?>;%7g`?763twvq6a>c483>!4013;h96X=7c82I40l3;pZi<52z&2gf<6k<1]=?952z&6``<13-?oh784}|~?xd58=0;6>4?:1y'62?=?91C>;j4i00e>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed288m7[?=7;0x 0bb28;0(8jk:038yx{z3`;8<7>5$35:>44b3_84}Ql;09w)?lc;304>P6:>09w);ke;`8 0bc2k1vqps4o0a6>5<#:>31=n;4V35a>4}K:>n1=vXk2;0x 4ed28i>7[?=7;0x 0bb2?1/9ij56:~yx=zj;:96=4<:183!4013;h86F=6e9j57`=83.9;44>2d9U62d=9rF9;i4>{Wf1>7}#9ji1=?h4V004>7}#=mo1:6*:de85?x{zu2c:?=4?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb8275=Q9;=1>v*:dd85?!3cl3<0qpsr;n3`1?6=,;=262681!3cm3<0(8jk:79~yx{52;294~"5?00i56F=6e9j57c=83.9;44>2d98k4e2290/>:751b78R71e28qG>:j51zTg6?4|,8ih6{zut1vn?>l:181>5<7s-8<57l6;I05`>o6:l0;6)<89;31a>=h9j?1<7*=7882g0=Q:>h1=vB=7e82Sb52;q/=nm51b78R4402;q/9ik5a:&6`ak4?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb826c=Q9;=1>v*:dd85?!3cl3<0qpsr;h304?6=,;=26<2681!3cm3<0(8jk:79~yx{6=4+26;95f3<^;=i62wvqp5rb32;>5<6290;w)<89;0;4>N5>m1d=n;50;&13<<6k<10qoh1=vB=7e82Sb52;q/=nm513d8R4402;q/9ik5b:&6`a3183>!4013;9i6F=799U62d=9rF9;i4>{Wf1>7}#9ji1=>>4V004>7}#=mo1=<5+5ef954=zutw0c74e23_;9;73=#=mn1:6sr}|9~f76e29086=4?{%04=?173A8=h6g>2g83>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6:o1]=?952z&6``<692.>hi4>1:~yx=n9::1<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm51228R4402;q/9ik5b:&6`ac483>!4013;h96X=7c82I40l3;pZi<52z&2gf<6k<1]=?952z&6``<13-?oh784}|~?xd5800;6>4?:1y'62?=9j>0D?8k;h31b?6=,;=26<2681!3cm3<0(8jk:79~yx{2wvqp5`1b794?"5?00:o85Y26`95~J5?m0:w[j=:3y'5fe=9j?0Z<<8:3y'1ac=>2.>hi49;|~y>{e:;:1<7<50;2x 71>2k30D?8k;h31a?6=,;=26<;4?:383>5}#:>31n45G27f8m44b290/>:7513g8?j7d=3:1(?96:0a6?S40j3;p@?9k:0yU`7<5s-;ho7?l5:T262<5s-?oi784$4fg>3=zutw0qo<=8;296?6=8r.9;44m9:J12a=n9;o1<7*=78826`=6=4+26;95f3<^;=i65<4290;w)<89;042>N5>m1b=?h50;&13<<6:l1]>:l51zN13a<6s_n96?u+1ba957`<^88<6?u+5eg92>"2lm0=7psr}:k275<72-8<57?=e:T13g<6sE85$35:>4e23_84}Ql;09w)?lc;3`1>P6:>09w);ke;48 0bc2?1vqps4}c016?6=93:16<729q/>:75719K63bh5G26:8R71e28qG>:j51zTg6?4|,8ih6<=?;W313?4|,4728i>7[<8b;3xH71c28q]h?4={%3`g?7d=2\:>:4={%7ga?0<,50z&13<<082B9:i5f13d94?"5?00:>h5Y26`95~J5?m0:w[j=:3y'5fe=9;l0Z<<8:3y'1ac=981/9ij5109~yx{2.>hi49;|~y>{e:;91<7=50;2x 71>28i?7E<9d:k26c<72-8<57?=e:T13g<6sE8k5Y13596~"2ll0=7);kd;48yx{z3`;8<7>5$35:>44b3_84}Ql;09w)?lc;304>P6:>09w);ke;48 0bc2?1vqps4o0a6>5<#:>31=n;4V35a>4}K:>n1=vXk2;0x 4ed28i>7[?=7;0x 0bb2?1/9ij56:~yx=zj;8<6=4>1;294~"5?00<<6F=6e9j57`=83.9;44>2d9K62><^;=i62681!3cm3;97);kd;31?x{zu2c:?o4?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb827g=Q9;=1>v*:dd826>"2lm0:>6sr}|9j510=83.9;44>2d9U62d=9rF9;i4>{Wf1>7}#9ji1=984V004>7}#=mo1=?5+5ef957=zutw0e<:6:18'62?=9;o0Z?9m:0yO62b=9r\o>742>3_;9;744<,4c83>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6hi4>2:~yx=n9=i1<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm515a8R4402;q/9ik5139'1ab=9;1vqps4i06g>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed28>o7[?=7;0x 0bb2880(8jk:008yx{z3`;?i7>5$35:>44b3_84}Ql;09w)?lc;37a>P6:>09w);ke;31?!3cl3;97psr}:k274<72-8<57?=e:T13g<6sE86*:de826>{zut1b=><50;&13<<6:l1]>:l51zN13a<6s_n96?u+1ba9564<^88<6?u+5eg957=#=mn1=?5r}|8m454290/>:7513g8R71e28qG>:j51zTg6?4|,8ih6<=<;W313?4|,44288n7[<8b;3xH71c28q]h?4={%3`g?74<2\:>:4={%7ga?0<,:7513g8R71e28qG>:j51zTg6?4|,8ih6<=:;W313?4|,{zut1b=>850;&13<<6:l1]>:l51zN13a<6s_n96?u+1ba9560<^88<6?u+5eg92>"2lm0=7psr}:m2g0<72-8<57?l5:T13g<6sE844?:383>5}#:>31n45G27f8m44b290/>:7513g8?j7d=3:1(?96:0a6?S40j3;p@?9k:0yU`7<5s-;ho7?l5:T262<5s-?oi784$4fg>3=zutw0qo<=f;296?6=8r.9;44m9:J12a=n9;o1<7*=78826`=6=4+26;95f3<^;=i65<4290;w)<89;042>N5>m1b=?h50;&13<<6:l1]>:l51zN13a<6s_n96?u+1ba957`<^88<6?u+5eg92>"2lm0=7psr}:k275<72-8<57?=e:T13g<6sE85$35:>4e23_84}Ql;09w)?lc;3`1>P6:>09w);ke;48 0bc2?1vqps4}c01f?6=93:16<729q/>:75719K63bh5G26:8R71e28qG>:j51zTg6?4|,8ih6<=?;W313?4|,4728i>7[<8b;3xH71c28q]h?4={%3`g?7d=2\:>:4={%7ga?0<,50z&13<<082B9:i5f13d94?"5?00:>h5Y26`95~J5?m0:w[j=:3y'5fe=9;l0Z<<8:3y'1ac=981/9ij5109~yx{2.>hi49;|~y>{e:;i1<7=50;2x 71>28i?7E<9d:k26c<72-8<57?=e:T13g<6sE8k5Y13596~"2ll0=7);kd;48yx{z3`;8<7>5$35:>44b3_84}Ql;09w)?lc;304>P6:>09w);ke;48 0bc2?1vqps4o0a6>5<#:>31=n;4V35a>4}K:>n1=vXk2;0x 4ed28i>7[?=7;0x 0bb2?1/9ij56:~yx=zj;9;6=4=:183!4013h27E<9d:k26`<72-8<57?=e:9l5f3=83.9;44>c49U62d=9rF9;i4>{Wf1>7}#9ji1=n;4V004>7}#=mo1:6*:de85?x{zu2wi>>850;094?6|,;=26o74H34g?l75m3:1(?96:00f?>i6k<0;6)<89;3`1>P5?k0:wA<8d;3xRa4=:r.:on4>c49U571=:r.>hh4n;%7g`?g6<729q/>:752648L70c3`;9j7>5$35:>44b3_84}Ql;09w)?lc;31b>P6:>09w);ke;48 0bc2?1vqps4i013>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed289;7[?=7;0x 0bb2?1/9ij56:~yx=h9j?1<7*=7882g0=Q:>h1=vB=7e82Sb52;q/=nm51b78R4402;q/9ik56:&6`a<13twvq6sm22094?7=83:p(?96:3:3?M41l2e:o84?:%04=?7d=21vn?=;:180>5<7s-8<579?;I05`>o6:o0;6)<89;31a>P5?k0:wA<8d;3xRa4=:r.:on4>2g9U571=:r.>hh4m;%7g`?d288n7E<88:T13g<6sE8{zut1d=n;50;&13<<6k<1]>:l51zN13a<6s_n96?u+1ba95f3<^88<6?u+5eg92>"2lm0=7psr}:a663=8391<7>t$35:>26<@;288n7[<8b;3xH71c28q]h?4={%3`g?75n2\:>:4={%7ga?763-?oh7?>;|~y>o6;90;6)<89;31a>P5?k0:wA<8d;3xRa4=:r.:on4>319U571=:r.>hh4m;%7g`?d28i>7[<8b;3xH71c28q]h?4={%3`g?7d=2\:>:4={%7ga?0<,50z&13<<6k=1C>;j4i00e>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed288m7[?=7;0x 0bb2?1/9ij56:~yx=n9::1<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm51228R4402;q/9ik56:&6`a<13twvq6a>c483>!4013;h96X=7c82I40l3;pZi<52z&2gf<6k<1]=?952z&6``<13-?oh784}|~?xd5;>0;6?4?:1y'62?=j01C>;j4i00f>5<#:>31=?k4;n3`1?6=,;=262681!3cm3<0(8jk:79~yx{52;294~"5?00i56F=6e9j57c=83.9;44>2d98k4e2290/>:751b78R71e28qG>:j51zTg6?4|,8ih6{zut1vn?=7:180>5<7s-8<57<86:J12a=n9;l1<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm513d8R4402;q/9ik56:&6`a<13twvq6g>3183>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6;91]=?952z&6``<13-?oh784}|~?j7d=3:1(?96:0a6?S40j3;p@?9k:0yU`7<5s-;ho7?l5:T262<5s-?oi784$4fg>3=zutw0qo<<9;295?6=8r.9;44=819K63b6=4+26;95f3<3th9?o4?:283>5}#:>31;=5G27f8m44a290/>:7513g8R71e28qG>:j51zTg6?4|,8ih6<{zut1b=>>50;&13<<6:l1C>:64V35a>4}K:>n1=vXk2;0x 4ed289;7[?=7;0x 0bb28;0(8jk:038yx{z3f;h97>5$35:>4e23_84}Ql;09w)?lc;3`1>P6:>09w);ke;48 0bc2?1vqps4}c00g?6=;3:1N5>m1b=?h50;&13<<6:l1]>:l51zN13a<6s_n96?u+1ba957`<^88<6?u+5eg954=#=mn1=<5r}|8m457290/>:7513g8R71e28qG>:j51zTg6?4|,8ih6<=?;W313?4|,{zut1d=n;50;&13<<6k<1]>:l51zN13a<6s_n96?u+1ba95f3<^88<6?u+5eg92>"2lm0=7psr}:a66g=8391<7>t$35:>4e33A8=h6g>2g83>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6:o1]=?952z&6``<13-?oh784}|~?l7483:1(?96:00f?S40j3;p@?9k:0yU`7<5s-;ho7?<0:T262<5s-?oi784$4fg>3=zutw0c74e23_;9;73=#=mn1:6sr}|9~f75b29096=4?{%04=?d>3A8=h6g>2d83>!4013;9i65`1b794?"5?00:o85Y26`95~J5?m0:w[j=:3y'5fe=9j?0Z<<8:3y'1ac=>2.>hi49;|~y>{e:=>1<7<50;2x 71>2k30D?8k;h31a?6=,;=26<5}#:>31>:84H34g?l75n3:1(?96:00f?S40j3;p@?9k:0yU`7<5s-;ho7?=f:T262<5s-?oi784$4fg>3=zutw0e<=?:18'62?=9;o0Z?9m:0yO62b=9r\o>74573_;9;73=#=mn1:6sr}|9l5f3=83.9;44>c49U62d=9rF9;i4>{Wf1>7}#9ji1=n;4V004>7}#=mo1:6*:de85?x{zu2wi>9>50;394?6|,;=26?6?;I05`>i6k<0;6)<89;3`1>=zj;>96=4<:183!4013=;7E<9d:k26c<72-8<57?=e:T13g<6sE8k5Y13596~"2ll0i7);kd;`8yx{z3`;8<7>5$35:>44b3A8<46X=7c82I40l3;pZi<52z&2gf<6;91]=?952z&6``<692.>hi4>1:~yx=h9j?1<7*=7882g0=Q:>h1=vB=7e82Sb52;q/=nm51b78R4402;q/9ik56:&6`a<13twvq6sm25194?5=83:p(?96:628L70c3`;9j7>5$35:>44b3_84}Ql;09w)?lc;31b>P6:>09w);ke;32?!3cl3;:7psr}:k275<72-8<57?=e:T13g<6sE85$35:>4e23_84}Ql;09w)?lc;3`1>P6:>09w);ke;48 0bc2?1vqps4}c075?6=;3:1744a3_;9;73=#=mn1:6sr}|9j566=83.9;44>2d9U62d=9rF9;i4>{Wf1>7}#9ji1=>>4V004>7}#=mo1:6*:de85?x{zu2e:o84?:%04=?7d=2\9;o4>{M04`?7|^m81>v*>cb82g0=Q9;=1>v*:dd85?!3cl3<0qpsr;|`100<72;0;6=u+26;9f<=O:?n0e<28i>7[<8b;3xH71c28q]h?4={%3`g?7d=2\:>:4={%7ga?0<,7>50z&13<h54o0a6>5<#:>31=n;4V35a>4}K:>n1=vXk2;0x 4ed28i>7[?=7;0x 0bb2h1/9ij5a:~yx=zj;>=6=4<:183!40138<:6F=6e9j57`=83.9;44>2d9U62d=9rF9;i4>{Wf1>7}#9ji1=?h4V004>7}#=mo1:6*:de85?x{zu2c:?=4?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb8275=Q9;=1>v*:dd85?!3cl3<0qpsr;n3`1?6=,;=262681!3cm3<0(8jk:79~yx{51;294~"5?0094=5G27f8k4e2290/>:751b78?xd5<00;6>4?:1y'62?=?91C>;j4i00e>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed288m7[?=7;0x 0bb2k1/9ij5b:~yx=n9::1<7*=78826`=O:>20Z?9m:0yO62b=9r\o>74573_;9;747<,3=zutw0qo<;a;297?6=8r.9;4480:J12a=n9;l1<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm513d8R4402;q/9ik5109'1ab=981vqps4i013>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed289;7[?=7;0x 0bb2k1/9ij5b:~yx=h9j?1<7*=7882g0=Q:>h1=vB=7e82Sb52;q/=nm51b78R4402;q/9ik56:&6`a<13twvq6sm25:94?5=83:p(?96:0a7?M41l2c:>k4?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb826c=Q9;=1>v*:dd85?!3cl3<0qpsr;h304?6=,;=26<2681!3cm3<0(8jk:79~yx{6=4+26;95f3<^;=i62wvqp5rb36`>5<5290;w)<89;`:?M41l2c:>h4?:%04=?75m21d=n;50;&13<<6k<1]>:l51zN13a<6s_n96?u+1ba95f3<^88<6?u+5eg92>"2lm0=7psr}:a604=8381<7>t$35:>g?<@;288n76a>c483>!4013;h96X=7c82I40l3;pZi<52z&2gf<6k<1]=?952z&6``4?:1y'62?=:><0D?8k;h31b?6=,;=26<2681!3cm3<0(8jk:79~yx{2wvqp5`1b794?"5?00:o85Y26`95~J5?m0:w[j=:3y'5fe=9j?0Z<<8:3y'1ac=>2.>hi49;|~y>{e:=o1<7?50;2x 71>2;2;7E<9d:m2g0<72-8<57?l5:9~f73729086=4?{%04=?173A8=h6g>2g83>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6:o1]=?952z&6``;%7g`?763twvq6a>c483>!4013;h96X=7c82I40l3;pZi<52z&2gf<6k<1]=?952z&6``<13-?oh784}|~?xd5=80;6>4?:1y'62?=?91C>;j4i00e>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed288m7[?=7;0x 0bb2k1/9ij5b:~yx=n9::1<7*=78826`=O:>20Z?9m:0yO62b=9r\o>74573_;9;747<,3=zutw0qo<;f;297?6=8r.9;44>c59K63b2wvqp5f12294?"5?00:>h5Y26`95~J5?m0:w[j=:3y'5fe=9::0Z<<8:3y'1ac=>2.>hi49;|~y>i6k<0;6)<89;3`1>P5?k0:wA<8d;3xRa4=:r.:on4>c49U571=:r.>hh49;%7g`?07<729q/>:75b89K63b5$35:>4e23_84}Ql;09w)?lc;3`1>P6:>09w);ke;48 0bc2?1vqps4}c06=?6=:3:1N5>m1b=?k50;&13<<6:l10c74e23_;9;7d=#=mn1m6sr}|9~f73329086=4?{%04=?40>2B9:i5f13d94?"5?00:>h5Y26`95~J5?m0:w[j=:3y'5fe=9;l0Z<<8:3y'1ac=>2.>hi49;|~y>o6;90;6)<89;31a>P5?k0:wA<8d;3xRa4=:r.:on4>319U571=:r.>hh49;%7g`?028i>7[<8b;3xH71c28q]h?4={%3`g?7d=2\:>:4={%7ga?0<,50z&13<<5091C>;j4o0a6>5<#:>31=n;4;|`112<72:0;6=u+26;935=O:?n0e<744a3_;9;7g=#=mn1n6sr}|9j566=83.9;44>2d9K62><^;=i62681!3cm3<0(8jk:79~yx{47>53;294~"5?00<<6F=6e9j57`=83.9;44>2d9U62d=9rF9;i4>{Wf1>7}#9ji1=?h4V004>7}#=mo1n6*:de8a?x{zu2c:?=4?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb8275=Q9;=1>v*:dd825>"2lm0:=6sr}|9l5f3=83.9;44>c49U62d=9rF9;i4>{Wf1>7}#9ji1=n;4V004>7}#=mo1:6*:de85?x{zu2wi>8850;194?6|,;=26o6:o0;6)<89;31a>P5?k0:wA<8d;3xRa4=:r.:on4>2g9U571=:r.>hh49;%7g`?0288n7[<8b;3xH71c28q]h?4={%3`g?7482\:>:4={%7ga?0<,:751b78R71e28qG>:j51zTg6?4|,8ih6{zut1vn8;;:181>5<7s-8<57l6;I05`>o6:l0;6)<89;31a>=h9j?1<7*=7882g0=Q:>h1=vB=7e82Sb52;q/=nm51b78R4402;q/9ik56:&6`a<13twvq6sm54:94?4=83:p(?96:c;8L70c3`;9i7>5$35:>44b32e:o84?:%04=?7d=2\9;o4>{M04`?7|^m81>v*>cb82g0=Q9;=1>v*:dd8b?!3cl3k0qpsr;|`610<72:0;6=u+26;9620<@;288n7E<88:T13g<6sE8k5Y13596~"2ll0=7);kd;48yx{z3`;8<7>5$35:>44b3_84}Ql;09w)?lc;304>P6:>09w);ke;48 0bc2?1vqps4o0a6>5<#:>31=n;4V35a>4}K:>n1=vXk2;0x 4ed28i>7[?=7;0x 0bb2?1/9ij56:~yx=zj:183!401383<6F=6e9l5f3=83.9;44>c498yg32?3:1?7>50z&13<<082B9:i5f13d94?"5?00:>h5Y26`95~J5?m0:w[j=:3y'5fe=9;l0Z<<8:3y'1ac=j2.>hi4m;|~y>o6;90;6)<89;31a>N5?11]>:l51zN13a<6s_n96?u+1ba9566<^88<6?u+5eg9f>"2lm0i7psr}:m2g0<72-8<57?l5:T13g<6sE85i4?:383>5}#:>31n45G27f8m44b290/>:7513g8?j7d=3:1(?96:0a6?S40j3;p@?9k:0yU`7<5s-;ho7?l5:T262<5s-?oi784$4fg>3=zutw0qo;n1;296?6=8r.9;44m9:J12a=n9;o1<7*=78826`=6=4+26;95f3<^;=i62wvqp5rb4c4>5<5290;w)<89;`:?M41l2c:>h4?:%04=?75m21d=n;50;&13<<6k<1]>:l51zN13a<6s_n96?u+1ba95f3<^88<6?u+5eg9e>"2lm0j7psr}:a1t$35:>7113A8=h6g>2g83>!4013;9i6F=799U62d=9rF9;i4>{Wf1>7}#9ji1=?h4V004>7}#=mo1:6*:de85?x{zu2c:?=4?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb8275=Q9;=1>v*:dd85?!3cl3<0qpsr;n3`1?6=,;=262681!3cm3<0(8jk:79~yx{51;294~"5?0094=5G27f8k4e2290/>:751b78?xd2i90;6>4?:1y'62?=?91C>;j4i00e>5<#:>31=?k4H35;?S40j3;p@?9k:0yU`7<5s-;ho7?=f:T262<5s-?oi7l4$4fg>g=zutw0e<=?:18'62?=9;o0D?97;W04f?7|D;=o62681!3cm3h0(8jk:c9~yx{6=4+26;95f3<^;=i62wvqp5rb4c1>5<3290;w)<89;53?M41l2c:>k4?:%04=?75m2B9;55Y26`95~J5?m0:w[j=:3y'5fe=9;l0Z<<8:3y'1ac=9;1/9ij5139~yx{:4={%7ga?753-?oh7?=;|~y>o6;k0;6)<89;31a>N5?11]>:l51zN13a<6s_n96?u+1ba956d<^88<6?u+5eg957=#=mn1=?5r}|8k4e2290/>:751b78R71e28qG>:j51zTg6?4|,8ih6{zut1vn8o<:186>5<7s-8<579?;I05`>o6:o0;6)<89;31a>N5?11]>:l51zN13a<6s_n96?u+1ba957`<^88<6?u+5eg9g>"2lm0h7psr}:k275<72-8<57?=e:J13==Q:>h1=vB=7e82Sb52;q/=nm51228R4402;q/9ik5c:&6`a3c83>!4013;9i6F=799U62d=9rF9;i4>{Wf1>7}#9ji1=>l4V004>7}#=mo1=?5+5ef957=zutw0e<:9:18'62?=9;o0Z?9m:0yO62b=9r\o>74213_;9;744<,3=zutw0qo;n4;291?6=8r.9;4480:J12a=n9;l1<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm513d8R4402;q/9ik5c:&6`a3183>!4013;9i6F=799U62d=9rF9;i4>{Wf1>7}#9ji1=>>4V004>7}#=mo1=?5+5ef957=zutw0e<=m:18'62?=9;o0D?97;W04f?7|D;=o62681!3cm3;97);kd;31?x{zu2c:8;4?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb8203=Q9;=1>v*:dd826>"2lm0:>6sr}|9l5f3=83.9;44>c49U62d=9rF9;i4>{Wf1>7}#9ji1=n;4V004>7}#=mo1:6*:de85?x{zu2wi9l;50;594?6|,;=26:>4H34g?l75n3:1(?96:00f?M4002\9;o4>{M04`?7|^m81>v*>cb826c=Q9;=1>v*:dd8`?!3cl3i0qpsr;h304?6=,;=26<P5?k0:wA<8d;3xRa4=:r.:on4>319U571=:r.>hh4l;%7g`?e288n7E<88:T13g<6sE85$35:>44b3A8<46X=7c82I40l3;pZi<52z&2gf<6hi4>2:~yx=n9=31<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm515;8R4402;q/9ik5139'1ab=9;1vqps4i06b>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed28>j7[?=7;0x 0bb2880(8jk:008yx{z3f;h97>5$35:>4e23_84}Ql;09w)?lc;3`1>P6:>09w);ke;48 0bc2?1vqps4}c7b2?6==3:1744a3_;9;73=#=mn1:6sr}|9j566=83.9;44>2d9U62d=9rF9;i4>{Wf1>7}#9ji1=>>4V004>7}#=mo1:6*:de85?x{zu2c:?o4?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb827g=Q9;=1>v*:dd85?!3cl3<0qpsr;h372?6=,;=26<2681!3cm3<0(8jk:79~yx{6=4+26;95f3<^;=i62wvqp5rb5cg>5<5290;w)<89;`:?M41l2c:>h4?:%04=?75m21d=n;50;&13<<6k<1]>:l51zN13a<6s_n96?u+1ba95f3<^88<6?u+5eg92>"2lm0=7psr}:a0g7=8381<7>t$35:>g?<@;288n76a>c483>!4013;h96X=7c82I40l3;pZi<52z&2gf<6k<1]=?952z&6``4?:1y'62?=:><0D?8k;h31b?6=,;=26<2681!3cm3<0(8jk:79~yx{2wvqp5`1b794?"5?00:o85Y26`95~J5?m0:w[j=:3y'5fe=9j?0Z<<8:3y'1ac=>2.>hi49;|~y>{e2;2;7E<9d:m2g0<72-8<57?l5:9~f1d729086=4?{%04=?173A8=h6g>2g83>!4013;9i6F=799U62d=9rF9;i4>{Wf1>7}#9ji1=?h4V004>7}#=mo1=<5+5ef954=zutw0e<=?:18'62?=9;o0D?97;W04f?7|D;=o62681!3cm3;:7);kd;32?x{zu2e:o84?:%04=?7d=2\9;o4>{M04`?7|^m81>v*>cb82g0=Q9;=1>v*:dd85?!3cl3<0qpsr;|`641<72;0;6=u+26;9f<=O:?n0e<28i>7[<8b;3xH71c28q]h?4={%3`g?7d=2\:>:4={%7ga?0<,50z&13<<6:j1C>;j4$06e>42P6:>09w);ke;48 0bc2?1vqps4ib`94?"5?00hn6X=7c82I40l3;pZ:75cb9U62d=:rF9;i4>{W3a0?4|,8ih6nm4V004>7}#=mo146*:de8;?x{zD;=h69uY27c97~Pc838p(8jl:ba8 6gb2{#>?<1i6sY27c97~Pc838p(8jl:ba8 6gb2{#>?<1i6sY27c97~Pc838p(8jl:ba8 6gb2oj:4ff?x"1>?0<7pX>cc81Sb72;q/9im5cb9'7dc==mo0q)896;30?x{z3`8=57>5$35:>70>3_84}Q9k>1>v*>cb812<=Q9;=1>v*:dd826>"2lm0:>6sr}M04g?7|^;=96?uYd181!3ck38=56*:7524c8R71e2;qG>:j51zT2f1<5s-;ho7<:a:T262<5s-?oi7?=;%7g`?753twv@?9l:0yU624=:r\o<773f3-9ji7;ke:'230=9;1vqp5`1b794?"5?00:o854}c731?6=;3:1744a3_;9;73=#=mn1:6sr}|9j566=83.9;44>2d9U62d=9rF9;i4>{Wf1>7}#9ji1=>>4V004>7}#=mo1:6*:de85?x{zu2e:o84?:%04=?7d=2\9;o4>{M04`?7|^m81>v*>cb82g0=Q9;=1>v*:dd85?!3cl3<0qpsr;|`643<7280;6=u+26;96=6<@;28i>76sm51594?5=83:p(?96:628L70c3`;9j7>5$35:>44b3A8<46X=7c82I40l3;pZi<52z&2gf<6:o1]=?952z&6``<692.>hi4>1:~yx=n9::1<7*=78826`=O:>20Z?9m:0yO62b=9r\o>74573_;9;747<,3=zutw0qo;?c;296?6=8r.9;44m9:J12a=n9;o1<7*=78826`=6=4+26;95f3<^;=i62wvqp5rb434>5<1290;w)<89;31g>N5>m1/=9h5159j57c=83.9;44>2d9U62d=9rF9;i4>{W3a0?4|,8ih6<{zut1boo4?:%04=?ee3_84}Q9k>1>v*>cb8`f>P6:>09w);ke;48 0bc2?1vqps4iba94?"5?00ho6X=7c81I40l3;pZ7}#=mi1on5+3`g91ac7}#=mi1on5+3`g91ac7}#=mi1on5+3`g91ac290/>:7527;8R71e2;qG>:j51zT2f1<5s-;ho7<99:T262<5s-?oi7?=;%7g`?753twv@?9l:0yU624=:r\o<770>3-9ji7;ke:'230=9;1vqp5f24c94?"5?0099l5Y26`96~J5?m0:w[?m4;0x 4ed2;?j7[?=7;0x 0bb2880(8jk:008yx{K:>i1=vX=7381Sb72;q/9im524c8 6gb2{zu2e:o84?:%04=?7d=21vn8>k:180>5<7s-8<57<86:J12a=n9;l1<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm513d8R4402;q/9ik56:&6`a<13twvq6g>3183>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6;91]=?952z&6``<13-?oh784}|~?j7d=3:1(?96:0a6?S40j3;p@?9k:0yU`7<5s-;ho7?l5:T262<5s-?oi784$4fg>3=zutw0qo;?e;295?6=8r.9;44=819K63b6=4+26;95f3<3th>==4?:283>5}#:>31;=5G27f8m44a290/>:7513g8R71e28qG>:j51zTg6?4|,8ih6<{zut1b=>>50;&13<<6:l1]>:l51zN13a<6s_n96?u+1ba9566<^88<6?u+5eg92>"2lm0=7psr}:m2g0<72-8<57?l5:T13g<6sE8=<4?:283>5}#:>31;=5G27f8m44a290/>:7513g8R71e28qG>:j51zTg6?4|,8ih6<{zut1b=>>50;&13<<6:l1C>:64V35a>4}K:>n1=vXk2;0x 4ed289;7[?=7;0x 0bb28;0(8jk:038yx{z3f;h97>5$35:>4e23_84}Ql;09w)?lc;3`1>P6:>09w);ke;48 0bc2?1vqps4}c726?6=;3:1N5>m1b=?h50;&13<<6:l1]>:l51zN13a<6s_n96?u+1ba957`<^88<6?u+5eg9f>"2lm0i7psr}:k275<72-8<57?=e:J13==Q:>h1=vB=7e82Sb52;q/=nm51228R4402;q/9ik5109'1ab=981vqps4o0a6>5<#:>31=n;4V35a>4}K:>n1=vXk2;0x 4ed28i>7[?=7;0x 0bb2?1/9ij56:~yx=zj<;86=4<:183!4013=;7E<9d:k26c<72-8<57?=e:J13==Q:>h1=vB=7e82Sb52;q/=nm513d8R4402;q/9ik5109'1ab=981vqps4i013>5<#:>31=?k4H35;?S40j3;p@?9k:0yU`7<5s-;ho7?<0:T262<5s-?oi7?>;%7g`?763twvq6a>c483>!4013;h96X=7c82I40l3;pZi<52z&2gf<6k<1]=?952z&6``<13-?oh784}|~?xd29=0;694?:1y'62?=?91C>;j4i00e>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed288m7[?=7;0x 0bb2k1/9ij5b:~yx=n9::1<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm51228R4402;q/9ik5b:&6`a3c83>!4013;9i6F=799U62d=9rF9;i4>{Wf1>7}#9ji1=>l4V004>7}#=mo1=<5+5ef954=zutw0c74e23_;9;73=#=mn1:6sr}|9~f072290?6=4?{%04=?173A8=h6g>2g83>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6:o1]=?952z&6``g=zutw0e<=m:18'62?=9;o0D?97;W04f?7|D;=o62681!3cm3;:7);kd;32?x{zu2e:o84?:%04=?7d=2\9;o4>{M04`?7|^m81>v*>cb82g0=Q9;=1>v*:dd85?!3cl3<0qpsr;|`64c<72>0;6=u+26;95f2<@;288n7[<8b;3xH71c28q]h?4={%3`g?75n2\:>:4={%7ga?0<,:7513g8R71e28qG>:j51zTg6?4|,8ih6<=?;W313?4|,{zut1b=>l50;&13<<6:l1]>:l51zN13a<6s_n96?u+1ba956d<^88<6?u+5eg92>"2lm0=7psr}:k203<72-8<57?=e:T13g<6sE85$35:>44b3_84}Ql;09w)?lc;37=>P6:>09w);ke;48 0bc2?1vqps4i06b>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed28>j7[?=7;0x 0bb2?1/9ij56:~yx=h9j?1<7*=7882g0=Q:>h1=vB=7e82Sb52;q/=nm51b78R4402;q/9ik56:&6`a<13twvq6sm50f94?4=83:p(?96:c;8L70c3`;9i7>5$35:>44b32e:o84?:%04=?7d=2\9;o4>{M04`?7|^m81>v*>cb82g0=Q9;=1>v*:dd85?!3cl3<0qpsr;|`664<72;0;6=u+26;9f<=O:?n0e<28i>7[<8b;3xH71c28q]h?4={%3`g?7d=2\:>:4={%7ga?0<,50z&13<<6:j1C>;j4$06e>42P6:>09w);ke;48 0bc2?1vqps4ib`94?"5?00hn6X=7c82I40l3;pZ:75cb9U62d=:rF9;i4>{W3a0?4|,8ih6nm4V004>7}#=mo146*:de8;?x{zD;=h69uY27c97~Pc838p(8jl:ba8 6gb2{#>?<1i6sY27c97~Pc838p(8jl:ba8 6gb2{#>?<1i6sY27c97~Pc838p(8jl:ba8 6gb2oj:4ff?x"1>?0<7pX>cc81Sb72;q/9im5cb9'7dc==mo0q)896;30?x{z3`8=57>5$35:>70>3_84}Q9k>1>v*>cb812<=Q9;=1>v*:dd826>"2lm0:>6sr}M04g?7|^;=96?uYd181!3ck38=56*:7524c8R71e2;qG>:j51zT2f1<5s-;ho7<:a:T262<5s-?oi7?=;%7g`?753twv@?9l:0yU624=:r\o<773f3-9ji7;ke:'230=9;1vqp5`1b794?"5?00:o854}c72a?6=;3:12681!3cm3<0(8jk:79~yx{2wvqp5`1b794?"5?00:o85Y26`95~J5?m0:w[j=:3y'5fe=9j?0Z<<8:3y'1ac=>2.>hi49;|~y>{e=8l1<7?50;2x 71>2;2;7E<9d:m2g0<72-8<57?l5:9~f04729086=4?{%04=?173A8=h6g>2g83>!4013;9i6F=799U62d=9rF9;i4>{Wf1>7}#9ji1=?h4V004>7}#=mo1=<5+5ef954=zutw0e<=?:18'62?=9;o0D?97;W04f?7|D;=o62681!3cm3;:7);kd;32?x{zu2e:o84?:%04=?7d=2\9;o4>{M04`?7|^m81>v*>cb82g0=Q9;=1>v*:dd85?!3cl3<0qpsr;|`667<72=0;6=u+26;935=O:?n0e<2681!3cm3;97);kd;31?x{zu2c:?=4?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb8275=Q9;=1>v*:dd826>"2lm0:>6sr}|9j56d=83.9;44>2d9U62d=9rF9;i4>{Wf1>7}#9ji1=>l4V004>7}#=mo1=?5+5ef957=zutw0c74e23_;9;73=#=mn1:6sr}|9~f044290?6=4?{%04=?173A8=h6g>2g83>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6:o1]=?952z&6``<6:2.>hi4>2:~yx=n9::1<7*=78826`=O:>20Z?9m:0yO62b=9r\o>74573_;9;744<,{M04`?7|^m81>v*>cb827g=Q9;=1>v*:dd826>"2lm0:>6sr}|9l5f3=83.9;44>c49U62d=9rF9;i4>{Wf1>7}#9ji1=n;4V004>7}#=mo1:6*:de85?x{zu2wi9?:50;494?6|,;=26:>4H34g?l75n3:1(?96:00f?S40j3;p@?9k:0yU`7<5s-;ho7?=f:T262<5s-?oi7?=;%7g`?753twvq6g>3183>!4013;9i6F=799U62d=9rF9;i4>{Wf1>7}#9ji1=>>4V004>7}#=mo1=?5+5ef957=zutw0e<=m:18'62?=9;o0D?97;W04f?7|D;=o62681!3cm3;97);kd;31?x{zu2c:8;4?:%04=?75m2B9;55Y26`95~J5?m0:w[j=:3y'5fe=9=<0Z<<8:3y'1ac=9;1/9ij5139~yx{26=4+26;957c<@;=37[<8b;3xH71c28q]h?4={%3`g?7312\:>:4={%7ga?753-?oh7?=;|~y>i6k<0;6)<89;3`1>P5?k0:wA<8d;3xRa4=:r.:on4>c49U571=:r.>hh49;%7g`?01<729q/>:751b68L70c3`;9j7>5$35:>44b3_84}Ql;09w)?lc;31b>P6:>09w);ke;48 0bc2?1vqps4i013>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed289;7[?=7;0x 0bb2?1/9ij56:~yx=n9:h1<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm512`8R4402;q/9ik56:&6`a<13twvq6a>c483>!4013;h96X=7c82I40l3;pZi<52z&2gf<6k<1]=?952z&6``<13-?oh784}|~?xd6j00;6?4?:1y'62?=j01C>;j4i00f>5<#:>31=?k4;n3`1?6=,;=262681!3cm3<0(8jk:79~yx{52;294~"5?00i56F=6e9j57c=83.9;44>2d98k4e2290/>:751b78R71e28qG>:j51zTg6?4|,8ih6{zut1vn5<7s-8<57l6;I05`>o6:l0;6)<89;31a>=h9j?1<7*=7882g0=Q:>h1=vB=7e82Sb52;q/=nm51b78R4402;q/9ik56:&6`a<13twvq6sm1cd94?4=83:p(?96:c;8L70c3`;9i7>5$35:>44b32e:o84?:%04=?7d=2\9;o4>{M04`?7|^m81>v*>cb82g0=Q9;=1>v*:dd8b?!3cl3k0qpsr;|`2ff<72:0;6=u+26;9620<@;288n7[<8b;3xH71c28q]h?4={%3`g?75n2\:>:4={%7ga?0<,:7513g8R71e28qG>:j51zTg6?4|,8ih6<=?;W313?4|,{zut1d=n;50;&13<<6k<1]>:l51zN13a<6s_n96?u+1ba95f3<^88<6?u+5eg92>"2lm0=7psr}:a5gb=83;1<7>t$35:>7>73A8=h6a>c483>!4013;h965rb0`f>5<6290;w)<89;0;4>N5>m1d=n;50;&13<<6k<10qo?l0;297?6=8r.9;4480:J12a=n9;l1<7*=78826`=O:>20Z?9m:0yO62b=9r\o>744a3_;9;7<=#=mn156sr}|9j566=83.9;44>2d9K62><^;=i62.>hi49;|~y>{e:9n1<7<50;2x 71>2k30D?8k;h31a?6=,;=26<5}#:>31n45G27f8m44b290/>:7513g8?j7d=3:1(?96:0a6?S40j3;p@?9k:0yU`7<5s-;ho7?l5:T262<5s-?oi784$4fg>3=zutw0qo<>8;296?6=8r.9;44m9:J12a=n9;o1<7*=78826`=6=4+26;95f3<^;=i65<4290;w)<89;042>N5>m1b=?h50;&13<<6:l1]>:l51zN13a<6s_n96?u+1ba957`<^88<6?u+5eg92>"2lm0=7psr}:k275<72-8<57?=e:T13g<6sE85$35:>4e23_84}Ql;09w)?lc;3`1>P6:>09w);ke;48 0bc2?1vqps4}c03b?6=93:16<729q/>:75719K63b2wvqp5f12294?"5?00:>h5Y26`95~J5?m0:w[j=:3y'5fe=9::0Z<<8:3y'1ac=>2.>hi49;|~y>i6k<0;6)<89;3`1>P5?k0:wA<8d;3xRa4=:r.:on4>c49U571=:r.>hh49;%7g`?03<729q/>:75719K63b:4={%7ga?e<,:7513g8L71?3_84}Ql;09w)?lc;304>P6:>09w);ke;a8 0bc2j1vqps4i01a>5<#:>31=?k4H35;?S40j3;p@?9k:0yU`7<5s-;ho7?f=zutw0e<:9:18'62?=9;o0D?97;W04f?7|D;=o62681!3cm3;97);kd;31?x{zu2c:844?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb820<=Q9;=1>v*:dd826>"2lm0:>6sr}|9l5f3=83.9;44>c49U62d=9rF9;i4>{Wf1>7}#9ji1=n;4V004>7}#=mo1:6*:de85?x{zu2wi><=50;494?6|,;=26:>4H34g?l75n3:1(?96:00f?M4002\9;o4>{M04`?7|^m81>v*>cb826c=Q9;=1>v*:dd8`?!3cl3i0qpsr;h304?6=,;=26<P5?k0:wA<8d;3xRa4=:r.:on4>319U571=:r.>hh4l;%7g`?e288n7E<88:T13g<6sE85$35:>44b3A8<46X=7c82I40l3;pZi<52z&2gf<6hi4>2:~yx=n9=31<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm515;8R4402;q/9ik5139'1ab=9;1vqps4o0a6>5<#:>31=n;4V35a>4}K:>n1=vXk2;0x 4ed28i>7[?=7;0x 0bb2?1/9ij56:~yx=zj;;?6=49:183!4013=;7E<9d:k26c<72-8<57?=e:J13==Q:>h1=vB=7e82Sb52;q/=nm513d8R4402;q/9ik5c:&6`a3183>!4013;9i6F=799U62d=9rF9;i4>{Wf1>7}#9ji1=>>4V004>7}#=mo1o6*:de8`?x{zu2c:?o4?:%04=?75m2B9;55Y26`95~J5?m0:w[j=:3y'5fe=9:h0Z<<8:3y'1ac=k2.>hi4l;|~y>o6N5?11]>:l51zN13a<6s_n96?u+1ba9510<^88<6?u+5eg957=#=mn1=?5r}|8m42>290/>:7513g8R71e28qG>:j51zTg6?4|,8ih6<:6;W313?4|,4428i>7[<8b;3xH71c28q]h?4={%3`g?7d=2\:>:4={%7ga?0<,50z&13<<082B9:i5f13d94?"5?00:>h5G26:8R71e28qG>:j51zTg6?4|,8ih6<{zut1b=>>50;&13<<6:l1C>:64V35a>4}K:>n1=vXk2;0x 4ed289;7[?=7;0x 0bb2j1/9ij5c:~yx=n9:h1<7*=78826`=O:>20Z?9m:0yO62b=9r\o>745e3_;9;7f=#=mn1o6sr}|9j510=83.9;44>2d9K62><^;=i62681!3cm3;97);kd;31?x{zu2e:o84?:%04=?7d=2\9;o4>{M04`?7|^m81>v*>cb82g0=Q9;=1>v*:dd85?!3cl3<0qpsr;|`153<72>0;6=u+26;935=O:?n0e<2681!3cm3i0(8jk:b9~yx{:4={%7ga?e<,:7513g8L71?3_84}Ql;09w)?lc;30f>P6:>09w);ke;a8 0bc2j1vqps4i065>5<#:>31=?k4H35;?S40j3;p@?9k:0yU`7<5s-;ho7?;6:T262<5s-?oi7?=;%7g`?753twvq6g>4883>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6<01]=?952z&6``<6:2.>hi4>2:~yx=n9=k1<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm515c8R4402;q/9ik5139'1ab=9;1vqps4o0a6>5<#:>31=n;4V35a>4}K:>n1=vXk2;0x 4ed28i>7[?=7;0x 0bb2?1/9ij56:~yx=zj;;<6=49:183!4013;h86F=6e9j57`=83.9;44>2d9U62d=9rF9;i4>{Wf1>7}#9ji1=?h4V004>7}#=mo1:6*:de85?x{zu2c:?=4?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb8275=Q9;=1>v*:dd85?!3cl3<0qpsr;h30f?6=,;=26<2681!3cm3<0(8jk:79~yx{=6=4+26;957c<^;=i62wvqp5f15;94?"5?00:>h5Y26`95~J5?m0:w[j=:3y'5fe=9=30Z<<8:3y'1ac=>2.>hi49;|~y>i6k<0;6)<89;3`1>P5?k0:wA<8d;3xRa4=:r.:on4>c49U571=:r.>hh49;%7g`?07<729q/>:75b89K63b5$35:>4e23_84}Ql;09w)?lc;3`1>P6:>09w);ke;48 0bc2?1vqps4}c4e>5<5290;w)<89;`:?M41l2c:>h4?:%04=?75m21d=n;50;&13<<6k<1]>:l51zN13a<6s_n96?u+1ba95f3<^88<6?u+5eg92>"2lm0=7psr}:a0gd=83<1<7>t$35:>44d3A8=h6*>4g821>o6:l0;6)<89;31a>P5?k0:wA<8d;3xR4d32;q/=nm513g8R4402;q/9ik56:&6`a<13twvq6glb;29 71>2jh0Z?9m:0yO62b=9r\:n94={%3`g?ee3_;9;73=#=mn1:6sr}|9jgf<72-8<57ml;W04f?4|D;=o62681!3cm320(8jk:99~yxJ5?j0?w[<9a;1xRa6=:r.>hn4lc:&0e`<2ll1vZi?52z&6`f<6:l1/?lk55eg8y!01>3o0q[<9a;1xRa6=:r.>hn4lc:&0e`<2ll1vZi?52z&6`f<6:l1/?lk55ef8y!01>3o0q[<9a;1xRa6=:r.>hn4lc:&0e`<2ll1vZi?52z&6`f1vZh1>vB=7e82S7e<38p(oj:4ff?x"1>?0:>6sr}:k11d<72-8<57<:a:T13g<5sE87}#9ji1>8o4V004>7}#=mo1=?5+5ef957=zutF9;n4>{W046?4|^m:1>v*:db811d=#;ho19ik4}%452?753twv7b?l5;29 71>28i>76sm4c794?5=83:p(?96:355?M41l2c:>k4?:%04=?75m2B9;55Y26`95~J5?m0:w[j=:3y'5fe=9;l0Z<<8:3y'1ac=>2.>hi49;|~y>o6;90;6)<89;31a>P5?k0:wA<8d;3xRa4=:r.:on4>319U571=:r.>hh49;%7g`?028i>7[<8b;3xH71c28q]h?4={%3`g?7d=2\:>:4={%7ga?0<,3:1=7>50z&13<<5091C>;j4o0a6>5<#:>31=n;4;|`7f=<72:0;6=u+26;935=O:?n0e<744a3_;9;747<,;%7g`?763twvq6a>c483>!4013;h96X=7c82I40l3;pZi<52z&2gf<6k<1]=?952z&6``<13-?oh784}|~?xd3j00;6>4?:1y'62?=?91C>;j4i00e>5<#:>31=?k4H35;?S40j3;p@?9k:0yU`7<5s-;ho7?=f:T262<5s-?oi7?>;%7g`?763twvq6g>3183>!4013;9i6F=799U62d=9rF9;i4>{Wf1>7}#9ji1=>>4V004>7}#=mo1=<5+5ef954=zutw0c74e23_;9;73=#=mn1:6sr}|9~f1d029086=4?{%04=?7d<2B9:i5f13d94?"5?00:>h5Y26`95~J5?m0:w[j=:3y'5fe=9;l0Z<<8:3y'1ac=>2.>hi49;|~y>o6;90;6)<89;31a>P5?k0:wA<8d;3xRa4=:r.:on4>319U571=:r.>hh49;%7g`?028i>7[<8b;3xH71c28q]h?4={%3`g?7d=2\:>:4={%7ga?0<,7>50z&13<h54o0a6>5<#:>31=n;4V35a>4}K:>n1=vXk2;0x 4ed28i>7[?=7;0x 0bb2?1/9ij56:~yx=zjk21<7<50;2x 71>2k30D?8k;h31a?6=,;=26<5}#:>31n45G27f8m44b290/>:7513g8?j7d=3:1(?96:0a6?S40j3;p@?9k:0yU`7<5s-;ho7?l5:T262<5s-?oi784$4fg>3=zutw0qo:kc;292?6=8r.9;44>2b9K63b<,8>m6<;4i00f>5<#:>31=?k4V35a>4}K:>n1=vX>b581!7dk3;9i6X>2681!3cm3<0(8jk:79~yx{P5?k0:wA<8d;3xR4d32;q/=nm5cc9U571=:r.>hh49;%7g`?0:l52zN13a<6s_;i87fe<^88<6?u+5eg9<>"2lm037psrL35`>1}Q:?k1?vXk0;0x 0bd2ji0(>oj:4ff?xPc938p(8jl:00f?!5fm3?oi6s+6749a>{Q:?k1?vXk0;0x 0bd2ji0(>oj:4ff?xPc938p(8jl:00f?!5fm3?oh6s+6749a>{Q:?k1?vXk0;0x 0bd2ji0(>oj:4ff?xPc938p(8jl:b`8 6gb23;87psr;h05=?6=,;=26?86;W04f?4|D;=o66*:de826>{zuE87}Ql909w);kc;05=>"4il0>hh5r$745>44:4={%7ga?753-?oh7?=;|~H71d28q]>:<52zTg4?4|,o=7>53;294~"5?009;;5G27f8m44a290/>:7513g8L71?3_84}Ql;09w)?lc;31b>P6:>09w);ke;48 0bc2?1vqps4i013>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed289;7[?=7;0x 0bb2?1/9ij56:~yx=h9j?1<7*=7882g0=Q:>h1=vB=7e82Sb52;q/=nm51b78R4402;q/9ik56:&6`a<13twvq6sm4e094?7=83:p(?96:3:3?M41l2e:o84?:%04=?7d=21vn9j<:180>5<7s-8<579?;I05`>o6:o0;6)<89;31a>P5?k0:wA<8d;3xRa4=:r.:on4>2g9U571=:r.>hh49;%7g`?0288n7[<8b;3xH71c28q]h?4={%3`g?7482\:>:4={%7ga?0<,:751b78R71e28qG>:j51zTg6?4|,8ih6{zut1vn9j::187>5<7s-8<579?;I05`>o6:o0;6)<89;31a>P5?k0:wA<8d;3xRa4=:r.:on4>2g9U571=:r.>hh4l;%7g`?e288n7E<88:T13g<6sE85$35:>44b3A8<46X=7c82I40l3;pZi<52z&2gf<6;k1]=?952z&6``<6:2.>hi4>2:~yx=h9j?1<7*=7882g0=Q:>h1=vB=7e82Sb52;q/=nm51b78R4402;q/9ik56:&6`a<13twvq6sm4e494?2=83:p(?96:628L70c3`;9j7>5$35:>44b3_84}Ql;09w)?lc;31b>P6:>09w);ke;a8 0bc2j1vqps4i013>5<#:>31=?k4H35;?S40j3;p@?9k:0yU`7<5s-;ho7?<0:T262<5s-?oi7m4$4fg>f=zutw0e<=m:18'62?=9;o0D?97;W04f?7|D;=o62681!3cm3;97);kd;31?x{zu2e:o84?:%04=?7d=2\9;o4>{M04`?7|^m81>v*>cb82g0=Q9;=1>v*:dd85?!3cl3<0qpsr;|`7`2<72=0;6=u+26;935=O:?n0e<744a3_;9;7f=#=mn1o6sr}|9j566=83.9;44>2d9K62><^;=i6h5G26:8R71e28qG>:j51zTg6?4|,8ih6<=m;W313?4|,4428i>7[<8b;3xH71c28q]h?4={%3`g?7d=2\:>:4={%7ga?0<,50z&13<<082B9:i5f13d94?"5?00:>h5Y26`95~J5?m0:w[j=:3y'5fe=9;l0Z<<8:3y'1ac=k2.>hi4l;|~y>o6;90;6)<89;31a>N5?11]>:l51zN13a<6s_n96?u+1ba9566<^88<6?u+5eg9g>"2lm0h7psr}:k27g<72-8<57?=e:J13==Q:>h1=vB=7e82Sb52;q/=nm512`8R4402;q/9ik5139'1ab=9;1vqps4o0a6>5<#:>31=n;4V35a>4}K:>n1=vXk2;0x 4ed28i>7[?=7;0x 0bb2?1/9ij56:~yx=zj=n26=4;:183!4013=;7E<9d:k26c<72-8<57?=e:T13g<6sE8k5Y13596~"2ll0h7);kd;a8yx{z3`;8<7>5$35:>44b3A8<46X=7c82I40l3;pZi<52z&2gf<6;91]=?952z&6``{M04`?7|^m81>v*>cb827g=Q9;=1>v*:dd826>"2lm0:>6sr}|9l5f3=83.9;44>c49U62d=9rF9;i4>{Wf1>7}#9ji1=n;4V004>7}#=mo1:6*:de85?x{zu2wi8io50;494?6|,;=26o6:o0;6)<89;31a>P5?k0:wA<8d;3xRa4=:r.:on4>2g9U571=:r.>hh49;%7g`?0288n7[<8b;3xH71c28q]h?4={%3`g?7482\:>:4={%7ga?0<,:7513g8R71e28qG>:j51zTg6?4|,8ih6<=m;W313?4|,{zut1b=9850;&13<<6:l1]>:l51zN13a<6s_n96?u+1ba9510<^88<6?u+5eg92>"2lm0=7psr}:k20<<72-8<57?=e:T13g<6sE85$35:>4e23_84}Ql;09w)?lc;3`1>P6:>09w);ke;48 0bc2?1vqps4}c71e?6=:3:1N5>m1b=?k50;&13<<6:l10c74e23_;9;73=#=mn1:6sr}|9~f05629096=4?{%04=?d>3A8=h6g>2d83>!4013;9i65`1b794?"5?00:o85Y26`95~J5?m0:w[j=:3y'5fe=9j?0Z<<8:3y'1ac=i2.>hi4n;|~y>{e=;h1<7=50;2x 71>2;==7E<9d:k26c<72-8<57?=e:J13==Q:>h1=vB=7e82Sb52;q/=nm513d8R4402;q/9ik56:&6`a<13twvq6g>3183>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6;91]=?952z&6``<13-?oh784}|~?j7d=3:1(?96:0a6?S40j3;p@?9k:0yU`7<5s-;ho7?l5:T262<5s-?oi784$4fg>3=zutw0qo;=c;295?6=8r.9;44=819K63b6=4+26;95f3<3th>>h4?:583>5}#:>31;=5G27f8m44a290/>:7513g8R71e28qG>:j51zTg6?4|,8ih6<{zut1b=>>50;&13<<6:l1]>:l51zN13a<6s_n96?u+1ba9566<^88<6?u+5eg9f>"2lm0i7psr}:k27g<72-8<57?=e:J13==Q:>h1=vB=7e82Sb52;q/=nm512`8R4402;q/9ik5b:&6`ac483>!4013;h96X=7c82I40l3;pZi<52z&2gf<6k<1]=?952z&6``<13-?oh784}|~?xd2:o0;684?:1y'62?=?91C>;j4i00e>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed288m7[?=7;0x 0bb2k1/9ij5b:~yx=n9::1<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm51228R4402;q/9ik5b:&6`a3c83>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6;k1]=?952z&6``3:1(?96:00f?M4002\9;o4>{M04`?7|^m81>v*>cb8203=Q9;=1>v*:dd8a?!3cl3h0qpsr;n3`1?6=,;=262681!3cm3<0(8jk:79~yx{55;294~"5?00<<6F=6e9j57`=83.9;44>2d9U62d=9rF9;i4>{Wf1>7}#9ji1=?h4V004>7}#=mo1n6*:de8a?x{zu2c:?=4?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb8275=Q9;=1>v*:dd8a?!3cl3h0qpsr;h30f?6=,;=26<2681!3cm3h0(8jk:c9~yx{=6=4+26;957c<@;=37[<8b;3xH71c28q]h?4={%3`g?73>2\:>:4={%7ga?d<,:751b78R71e28qG>:j51zTg6?4|,8ih6{zut1vn85<7s-8<57?l4:J12a=n9;l1<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm513d8R4402;q/9ik56:&6`a<13twvq6g>3183>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6;91]=?952z&6``<13-?oh784}|~?l74j3:1(?96:00f?S40j3;p@?9k:0yU`7<5s-;ho7?3=zutw0c74e23_;9;73=#=mn1:6sr}|9~f05329096=4?{%04=?d>3A8=h6g>2d83>!4013;9i65`1b794?"5?00:o85Y26`95~J5?m0:w[j=:3y'5fe=9j?0Z<<8:3y'1ac=>2.>hi49;|~y>{e=:k1<7<50;2x 71>2k30D?8k;h31a?6=,;=26<?84?:283>5}#:>31>:84H34g?l75n3:1(?96:00f?M4002\9;o4>{M04`?7|^m81>v*>cb826c=Q9;=1>v*:dd85?!3cl3<0qpsr;h304?6=,;=26<2681!3cm3<0(8jk:79~yx{6=4+26;95f3<^;=i62wvqp5rb415>5<6290;w)<89;0;4>N5>m1d=n;50;&13<<6k<10qo;<8;297?6=8r.9;4480:J12a=n9;l1<7*=78826`=O:>20Z?9m:0yO62b=9r\o>744a3_;9;747<,;%7g`?763twvq6a>c483>!4013;h96X=7c82I40l3;pZi<52z&2gf<6k<1]=?952z&6``<13-?oh784}|~?xd2;00;684?:1y'62?=?91C>;j4i00e>5<#:>31=?k4H35;?S40j3;p@?9k:0yU`7<5s-;ho7?=f:T262<5s-?oi7?>;%7g`?763twvq6g>3183>!4013;9i6F=799U62d=9rF9;i4>{Wf1>7}#9ji1=>>4V004>7}#=mo1=<5+5ef954=zutw0e<=m:18'62?=9;o0D?97;W04f?7|D;=o62681!3cm3;:7);kd;32?x{zu2c:8;4?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb8203=Q9;=1>v*:dd825>"2lm0:=6sr}|9l5f3=83.9;44>c49U62d=9rF9;i4>{Wf1>7}#9ji1=n;4V004>7}#=mo1:6*:de85?x{zu2wi9>950;194?6|,;=26o6:o0;6)<89;31a>P5?k0:wA<8d;3xRa4=:r.:on4>2g9U571=:r.>hh49;%7g`?0288n7[<8b;3xH71c28q]h?4={%3`g?7482\:>:4={%7ga?0<,:751b78R71e28qG>:j51zTg6?4|,8ih6{zut1vn8;m:181>5<7s-8<57l6;I05`>o6:l0;6)<89;31a>=h9j?1<7*=7882g0=Q:>h1=vB=7e82Sb52;q/=nm51b78R4402;q/9ik56:&6`a<13twvq6sm54d94?4=83:p(?96:c;8L70c3`;9i7>5$35:>44b32e:o84?:%04=?7d=2\9;o4>{M04`?7|^m81>v*>cb82g0=Q9;=1>v*:dd85?!3cl3<0qpsr;|`621<72;0;6=u+26;9f<=O:?n0e<28i>7[<8b;3xH71c28q]h?4={%3`g?7d=2\:>:4={%7ga?g<,50z&13<<5??1C>;j4i00e>5<#:>31=?k4H35;?S40j3;p@?9k:0yU`7<5s-;ho7?=f:T262<5s-?oi784$4fg>3=zutw0e<=?:18'62?=9;o0Z?9m:0yO62b=9r\o>74573_;9;73=#=mn1:6sr}|9l5f3=83.9;44>c49U62d=9rF9;i4>{Wf1>7}#9ji1=n;4V004>7}#=mo1:6*:de85?x{zu2wi98j50;394?6|,;=26?6?;I05`>i6k<0;6)<89;3`1>=zjh1=vB=7e82Sb52;q/=nm513d8R4402;q/9ik5b:&6`a3183>!4013;9i6F=799U62d=9rF9;i4>{Wf1>7}#9ji1=>>4V004>7}#=mo1n6*:de8a?x{zu2c:?o4?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb827g=Q9;=1>v*:dd825>"2lm0:=6sr}|9j510=83.9;44>2d9K62><^;=i62.>hi49;|~y>{e=?:1<7=50;2x 71>2>:0D?8k;h31b?6=,;=26<2681!3cm3;97);kd;31?x{zu2c:?=4?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb8275=Q9;=1>v*:dd826>"2lm0:>6sr}|9l5f3=83.9;44>c49U62d=9rF9;i4>{Wf1>7}#9ji1=n;4V004>7}#=mo1:6*:de85?x{zu2wi9;?50;194?6|,;=26:>4H34g?l75n3:1(?96:00f?S40j3;p@?9k:0yU`7<5s-;ho7?=f:T262<5s-?oi7?=;%7g`?753twvq6g>3183>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6;91]=?952z&6``<6:2.>hi4>2:~yx=h9j?1<7*=7882g0=Q:>h1=vB=7e82Sb52;q/=nm51b78R4402;q/9ik56:&6`a<13twvq6sm57094?0=83:p(?96:628L70c3`;9j7>5$35:>44b3A8<46X=7c82I40l3;pZi<52z&2gf<6:o1]=?952z&6``{M04`?7|^m81>v*>cb8275=Q9;=1>v*:dd8`?!3cl3i0qpsr;h30f?6=,;=26<P5?k0:wA<8d;3xRa4=:r.:on4>3c9U571=:r.>hh4l;%7g`?e288n7[<8b;3xH71c28q]h?4={%3`g?73>2\:>:4={%7ga?753-?oh7?=;|~y>o6<00;6)<89;31a>P5?k0:wA<8d;3xRa4=:r.:on4>489U571=:r.>hh4>2:&6`a<6:2wvqp5`1b794?"5?00:o85Y26`95~J5?m0:w[j=:3y'5fe=9j?0Z<<8:3y'1ac=>2.>hi49;|~y>{e=?91<7:50;2x 71>28i?7E<9d:k26c<72-8<57?=e:T13g<6sE8k5Y13596~"2ll0=7);kd;48yx{z3`;8<7>5$35:>44b3_84}Ql;09w)?lc;304>P6:>09w);ke;48 0bc2?1vqps4i01a>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed289i7[?=7;0x 0bb2?1/9ij56:~yx=h9j?1<7*=7882g0=Q:>h1=vB=7e82Sb52;q/=nm51b78R4402;q/9ik56:&6`a<13twvq6sm57594?4=83:p(?96:c;8L70c3`;9i7>5$35:>44b32e:o84?:%04=?7d=2\9;o4>{M04`?7|^m81>v*>cb82g0=Q9;=1>v*:dd85?!3cl3<0qpsr;|`62g<72;0;6=u+26;9f<=O:?n0e<28i>7[<8b;3xH71c28q]h?4={%3`g?7d=2\:>:4={%7ga?0<,7>50z&13<h54o0a6>5<#:>31=n;4V35a>4}K:>n1=vXk2;0x 4ed28i>7[?=7;0x 0bb2h1/9ij5a:~yx=zj<<36=4<:183!40138<:6F=6e9j57`=83.9;44>2d9K62><^;=i62wvqp5f12294?"5?00:>h5Y26`95~J5?m0:w[j=:3y'5fe=9::0Z<<8:3y'1ac=>2.>hi49;|~y>i6k<0;6)<89;3`1>P5?k0:wA<8d;3xRa4=:r.:on4>c49U571=:r.>hh49;%7g`?04<729q/>:752928L70c3f;h97>5$35:>4e232wi9;o50;194?6|,;=26:>4H34g?l75n3:1(?96:00f?S40j3;p@?9k:0yU`7<5s-;ho7?=f:T262<5s-?oi784$4fg>3=zutw0e<=?:18'62?=9;o0Z?9m:0yO62b=9r\o>74573_;9;73=#=mn1:6sr}|9l5f3=83.9;44>c49U62d=9rF9;i4>{Wf1>7}#9ji1=n;4V004>7}#=mo1:6*:de85?x{zu2wi9;m50;;94?6|,;=26:>4H34g?l75n3:1(?96:00f?S40j3;p@?9k:0yU`7<5s-;ho7?=f:T262<5s-?oi7m4$4fg>f=zutw0e<=?:18'62?=9;o0Z?9m:0yO62b=9r\o>74573_;9;7f=#=mn1o6sr}|9j56d=83.9;44>2d9K62><^;=i6h5G26:8R71e28qG>:j51zTg6?4|,8ih6<:9;W313?4|,{zut1b=9750;&13<<6:l1C>:64V35a>4}K:>n1=vXk2;0x 4ed28>27[?=7;0x 0bb2880(8jk:008yx{z3`;?m7>5$35:>44b3A8<46X=7c82I40l3;pZi<52z&2gf<6hi4>2:~yx=n9=h1<7*=78826`=O:>20Z?9m:0yO62b=9r\o>742e3_;9;744<,c483>!4013;h96X=7c82I40l3;pZi<52z&2gf<6k<1]=?952z&6``<13-?oh784}|~?xd2>m0;644?:1y'62?=?91C>;j4i00e>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed288m7[?=7;0x 0bb2j1/9ij5c:~yx=n9::1<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm51228R4402;q/9ik5c:&6`a3c83>!4013;9i6F=799U62d=9rF9;i4>{Wf1>7}#9ji1=>l4V004>7}#=mo1o6*:de8`?x{zu2c:8;4?:%04=?75m2B9;55Y26`95~J5?m0:w[j=:3y'5fe=9=<0Z<<8:3y'1ac=9;1/9ij5139~yx{26=4+26;957c<^;=i6P5?k0:wA<8d;3xRa4=:r.:on4>4`9U571=:r.>hh4>2:&6`a<6:2wvqp5f15`94?"5?00:>h5G26:8R71e28qG>:j51zTg6?4|,8ih6<:m;W313?4|,44288n7[<8b;3xH71c28q]h?4={%3`g?73k2\:>:4={%7ga?753-?oh7?=;|~y>i6k<0;6)<89;3`1>P5?k0:wA<8d;3xRa4=:r.:on4>c49U571=:r.>hh49;%7g`?0<<729q/>:75719K63bh5Y26`95~J5?m0:w[j=:3y'5fe=9::0Z<<8:3y'1ac=k2.>hi4l;|~y>o6;k0;6)<89;31a>N5?11]>:l51zN13a<6s_n96?u+1ba956d<^88<6?u+5eg9g>"2lm0h7psr}:k203<72-8<57?=e:J13==Q:>h1=vB=7e82Sb52;q/=nm51548R4402;q/9ik5c:&6`a4883>!4013;9i6F=799U62d=9rF9;i4>{Wf1>7}#9ji1=974V004>7}#=mo1=?5+5ef957=zutw0e<:n:18'62?=9;o0D?97;W04f?7|D;=o62681!3cm3;97);kd;31?x{zu2c:8o4?:%04=?75m2B9;55Y26`95~J5?m0:w[j=:3y'5fe=9=h0Z<<8:3y'1ac=9;1/9ij5139~yx{h6=4+26;957c<^;=i62681!3cm3<0(8jk:79~yx{59;294~"5?00<<6F=6e9j57`=83.9;44>2d9U62d=9rF9;i4>{Wf1>7}#9ji1=?h4V004>7}#=mo1o6*:de8`?x{zu2c:?=4?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb8275=Q9;=1>v*:dd8`?!3cl3i0qpsr;h30f?6=,;=26<P5?k0:wA<8d;3xRa4=:r.:on4>3c9U571=:r.>hh4l;%7g`?e288n7E<88:T13g<6sE86*:de826>{zut1b=9750;&13<<6:l1]>:l51zN13a<6s_n96?u+1ba951?<^88<6?u+5eg957=#=mn1=?5r}|8m42f290/>:7513g8L71?3_84}Ql;09w)?lc;37e>P6:>09w);ke;31?!3cl3;97psr}:k20g<72-8<57?=e:J13==Q:>h1=vB=7e82Sb52;q/=nm515`8R4402;q/9ik5139'1ab=9;1vqps4i06`>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed28>h7[?=7;0x 0bb2880(8jk:008yx{z3f;h97>5$35:>4e23_84}Ql;09w)?lc;3`1>P6:>09w);ke;48 0bc2?1vqps4}c744?6=13:1N5>m1b=?h50;&13<<6:l1]>:l51zN13a<6s_n96?u+1ba957`<^88<6?u+5eg9g>"2lm0h7psr}:k275<72-8<57?=e:J13==Q:>h1=vB=7e82Sb52;q/=nm51228R4402;q/9ik5c:&6`a3c83>!4013;9i6F=799U62d=9rF9;i4>{Wf1>7}#9ji1=>l4V004>7}#=mo1o6*:de8`?x{zu2c:8;4?:%04=?75m2B9;55Y26`95~J5?m0:w[j=:3y'5fe=9=<0Z<<8:3y'1ac=9;1/9ij5139~yx{26=4+26;957c<@;=37[<8b;3xH71c28q]h?4={%3`g?7312\:>:4={%7ga?753-?oh7?=;|~y>o6N5?11]>:l51zN13a<6s_n96?u+1ba951g<^88<6?u+5eg957=#=mn1=?5r}|8m42e290/>:7513g8R71e28qG>:j51zTg6?4|,8ih6<:m;W313?4|,44288n7E<88:T13g<6sE86*:de826>{zut1d=n;50;&13<<6k<1]>:l51zN13a<6s_n96?u+1ba95f3<^88<6?u+5eg92>"2lm0=7psr}:a127=83<1<7>t$35:>4e33A8=h6g>2g83>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6:o1]=?952z&6``<13-?oh784}|~?l7483:1(?96:00f?S40j3;p@?9k:0yU`7<5s-;ho7?<0:T262<5s-?oi784$4fg>3=zutw0e<=m:18'62?=9;o0Z?9m:0yO62b=9r\o>745e3_;9;73=#=mn1:6sr}|9j510=83.9;44>2d9U62d=9rF9;i4>{Wf1>7}#9ji1=984V004>7}#=mo1:6*:de85?x{zu2c:844?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb820<=Q9;=1>v*:dd85?!3cl3<0qpsr;n3`1?6=,;=262681!3cm3<0(8jk:79~yx{52;294~"5?00i56F=6e9j57c=83.9;44>2d98k4e2290/>:751b78R71e28qG>:j51zTg6?4|,8ih6{zut1vn87::181>5<7s-8<57l6;I05`>o6:l0;6)<89;31a>=h9j?1<7*=7882g0=Q:>h1=vB=7e82Sb52;q/=nm51b78R4402;q/9ik56:&6`a<13twvq6sm58c94?4=83:p(?96:c;8L70c3`;9i7>5$35:>44b32e:o84?:%04=?7d=2\9;o4>{M04`?7|^m81>v*>cb82g0=Q9;=1>v*:dd8b?!3cl3k0qpsr;|`6=5<72:0;6=u+26;9620<@;288n7E<88:T13g<6sE8k5Y13596~"2ll0=7);kd;48yx{z3`;8<7>5$35:>44b3_84}Ql;09w)?lc;304>P6:>09w);ke;48 0bc2?1vqps4o0a6>5<#:>31=n;4V35a>4}K:>n1=vXk2;0x 4ed28i>7[?=7;0x 0bb2?1/9ij56:~yx=zj<3:6=4>:183!401383<6F=6e9l5f3=83.9;44>c498yg3>;3:197>50z&13<<082B9:i5f13d94?"5?00:>h5G26:8R71e28qG>:j51zTg6?4|,8ih6<{zut1b=>>50;&13<<6:l1]>:l51zN13a<6s_n96?u+1ba9566<^88<6?u+5eg9f>"2lm0i7psr}:k27g<72-8<57?=e:J13==Q:>h1=vB=7e82Sb52;q/=nm512`8R4402;q/9ik5b:&6`a4783>!4013;9i6F=799U62d=9rF9;i4>{Wf1>7}#9ji1=984V004>7}#=mo1n6*:de8a?x{zu2e:o84?:%04=?7d=2\9;o4>{M04`?7|^m81>v*>cb82g0=Q9;=1>v*:dd85?!3cl3<0qpsr;|`6=1<72<0;6=u+26;935=O:?n0e<2681!3cm3h0(8jk:c9~yx{:4={%7ga?d<,:7513g8L71?3_84}Ql;09w)?lc;30f>P6:>09w);ke;`8 0bc2k1vqps4i065>5<#:>31=?k4H35;?S40j3;p@?9k:0yU`7<5s-;ho7?;6:T262<5s-?oi7?>;%7g`?763twvq6a>c483>!4013;h96X=7c82I40l3;pZi<52z&2gf<6k<1]=?952z&6``<13-?oh784}|~?xd21;0;6>4?:1y'62?=9j>0D?8k;h31b?6=,;=26<2681!3cm3<0(8jk:79~yx{2wvqp5`1b794?"5?00:o85Y26`95~J5?m0:w[j=:3y'5fe=9j?0Z<<8:3y'1ac=>2.>hi49;|~y>{e=0<1<7<>:183!4013=;7E<9d:k26c<72-8<57?=e:J13==Q:>h1=vB=7e82Sb52;q/=nm513d8R4402;q/9ik5c:&6`a3183>!4013;9i6F=799U62d=9rF9;i4>{Wf1>7}#9ji1=>>4V004>7}#=mo1o6*:de8`?x{zu2c:?o4?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb827g=Q9;=1>v*:dd8`?!3cl3i0qpsr;h372?6=,;=26<2681!3cm3i0(8jk:b9~yx{26=4+26;957c<^;=i6h5Y26`95~J5?m0:w[j=:3y'5fe=9=k0Z<<8:3y'1ac=k2.>hi4l;|~y>o6P5?k0:wA<8d;3xRa4=:r.:on4>4c9U571=:r.>hh4l;%7g`?e288n7[<8b;3xH71c28q]h?4={%3`g?73k2\:>:4={%7ga?e<,:7513g8R71e28qG>:j51zTg6?4|,8ih6<:k;W313?4|,{zut1b=9k50;&13<<6:l1]>:l51zN13a<6s_n96?u+1ba951c<^88<6?u+5eg9g>"2lm0h7psr}:k274<72-8<57?=e:T13g<6sE87>5$35:>44b3_84}Ql;09w)?lc;306>P6:>09w);ke;a8 0bc2j1vqps4i010>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed28987[?=7;0x 0bb2j1/9ij5c:~yx=n9:>1<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm51268R4402;q/9ik5c:&6`a3483>!4013;9i6F=799U62d=9rF9;i4>{Wf1>7}#9ji1=>;4V004>7}#=mo1o6*:de8`?x{zu2c:?;4?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb8273=Q9;=1>v*:dd8`?!3cl3i0qpsr;h303?6=,;=26<2681!3cm3;97);kd;31?x{zu2c:?54?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb827==Q9;=1>v*:dd826>"2lm0:>6sr}|9j56?=83.9;44>2d9K62><^;=i62681!3cm3;97);kd;31?x{zu2c:?n4?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb827f=Q9;=1>v*:dd85?!3cl3<0qpsr;h30`?6=,;=26<2681!3cm3<0(8jk:79~yx{2wvqp5f12d94?"5?00:>h5Y26`95~J5?m0:w[j=:3y'5fe=9:l0Z<<8:3y'1ac=>2.>hi49;|~y>o6<90;6)<89;31a>P5?k0:wA<8d;3xRa4=:r.:on4>419U571=:r.>hh49;%7g`?0288n7[<8b;3xH71c28q]h?4={%3`g?7392\:>:4={%7ga?0<,:7513g8R71e28qG>:j51zTg6?4|,8ih6<:=;W313?4|,{zut1b=9=50;&13<<6:l1]>:l51zN13a<6s_n96?u+1ba9515<^88<6?u+5eg92>"2lm0=7psr}:k201<72-8<57?=e:T13g<6sE85$35:>44b3_84}Ql;09w)?lc;371>P6:>09w);ke;48 0bc2?1vqps4i064>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed28><7[?=7;0x 0bb2?1/9ij56:~yx=n9=21<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm515:8R4402;q/9ik56:&6`a<13twvq6a>c483>!4013;h96X=7c82I40l3;pZi<52z&2gf<6k<1]=?952z&6``<13-?oh784}|~?xd21>0;6??50;2x 71>2>:0D?8k;h31b?6=,;=26<P5?k0:wA<8d;3xRa4=:r.:on4>2g9U571=:r.>hh4l;%7g`?e288n7E<88:T13g<6sE85$35:>44b3_84}Ql;09w)?lc;30f>P6:>09w);ke;a8 0bc2j1vqps4i065>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed28>=7[?=7;0x 0bb2j1/9ij5c:~yx=n9=31<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm515;8R4402;q/9ik5c:&6`a4`83>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6f=zutw0e<:l:18'62?=9;o0Z?9m:0yO62b=9r\o>742d3_;9;7f=#=mn1o6sr}|9j51b=83.9;44>2d9U62d=9rF9;i4>{Wf1>7}#9ji1=9j4V004>7}#=mo1o6*:de8`?x{zu2c:8h4?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb820`=Q9;=1>v*:dd8`?!3cl3i0qpsr;h305?6=,;=26<P5?k0:wA<8d;3xRa4=:r.:on4>309U571=:r.>hh4l;%7g`?e288n7E<88:T13g<6sE85$35:>44b3A8<46X=7c82I40l3;pZi<52z&2gf<6;:1]=?952z&6``{M04`?7|^m81>v*>cb8271=Q9;=1>v*:dd8`?!3cl3i0qpsr;h301?6=,;=26<P5?k0:wA<8d;3xRa4=:r.:on4>349U571=:r.>hh4l;%7g`?e288n7[<8b;3xH71c28q]h?4={%3`g?74>2\:>:4={%7ga?e<,:7513g8R71e28qG>:j51zTg6?4|,8ih6<=8;W313?4|,44288n7[<8b;3xH71c28q]h?4={%3`g?7402\:>:4={%7ga?753-?oh7?=;|~y>o6;00;6)<89;31a>N5?11]>:l51zN13a<6s_n96?u+1ba956?<^88<6?u+5eg957=#=mn1=?5r}|8m45f290/>:7513g8R71e28qG>:j51zTg6?4|,8ih6<=n;W313?4|,44288n7[<8b;3xH71c28q]h?4={%3`g?74k2\:>:4={%7ga?0<,:7513g8R71e28qG>:j51zTg6?4|,8ih6<=k;W313?4|,{zut1b=>k50;&13<<6:l1]>:l51zN13a<6s_n96?u+1ba956c<^88<6?u+5eg92>"2lm0=7psr}:k27c<72-8<57?=e:T13g<6sE85$35:>44b3_84}Ql;09w)?lc;374>P6:>09w);ke;48 0bc2?1vqps4i062>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed28>:7[?=7;0x 0bb2?1/9ij56:~yx=n9=81<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm51508R4402;q/9ik56:&6`a<13twvq6g>4283>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6<:1]=?952z&6``<13-?oh784}|~?l73<3:1(?96:00f?S40j3;p@?9k:0yU`7<5s-;ho7?;4:T262<5s-?oi784$4fg>3=zutw0e<:::18'62?=9;o0Z?9m:0yO62b=9r\o>74223_;9;73=#=mn1:6sr}|9j511=83.9;44>2d9U62d=9rF9;i4>{Wf1>7}#9ji1=994V004>7}#=mo1:6*:de85?x{zu2c:854?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb820==Q9;=1>v*:dd85?!3cl3<0qpsr;n3`1?6=,;=262681!3cm3<0(8jk:79~yx{52083>5}#:>31;=5G27f8m44a290/>:7513g8L71?3_84}Ql;09w)?lc;31b>P6:>09w);ke;a8 0bc2j1vqps4i013>5<#:>31=?k4H35;?S40j3;p@?9k:0yU`7<5s-;ho7?<0:T262<5s-?oi7m4$4fg>f=zutw0e<=m:18'62?=9;o0Z?9m:0yO62b=9r\o>745e3_;9;7f=#=mn1o6sr}|9j510=83.9;44>2d9U62d=9rF9;i4>{Wf1>7}#9ji1=984V004>7}#=mo1o6*:de8`?x{zu2c:844?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb820<=Q9;=1>v*:dd8`?!3cl3i0qpsr;h37e?6=,;=26<2681!3cm3i0(8jk:b9~yx{i6=4+26;957c<^;=i6h5Y26`95~J5?m0:w[j=:3y'5fe=9=i0Z<<8:3y'1ac=k2.>hi4l;|~y>o6P5?k0:wA<8d;3xRa4=:r.:on4>4e9U571=:r.>hh4l;%7g`?e288n7[<8b;3xH71c28q]h?4={%3`g?73m2\:>:4={%7ga?e<,:7513g8L71?3_84}Ql;09w)?lc;305>P6:>09w);ke;a8 0bc2j1vqps4i011>5<#:>31=?k4H35;?S40j3;p@?9k:0yU`7<5s-;ho7?<2:T262<5s-?oi7m4$4fg>f=zutw0e<=<:18'62?=9;o0D?97;W04f?7|D;=o62681!3cm3i0(8jk:b9~yx{:4={%7ga?e<,:7513g8L71?3_84}Ql;09w)?lc;301>P6:>09w);ke;a8 0bc2j1vqps4i015>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed289=7[?=7;0x 0bb2j1/9ij5c:~yx=n9:=1<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm51258R4402;q/9ik5139'1ab=9;1vqps4i01;>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed28937[?=7;0x 0bb2880(8jk:008yx{z3`;857>5$35:>44b3A8<46X=7c82I40l3;pZi<52z&2gf<6;01]=?952z&6``<6:2.>hi4>2:~yx=n9:k1<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm512c8R4402;q/9ik5139'1ab=9;1vqps4i01`>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed289h7[?=7;0x 0bb2?1/9ij56:~yx=n9:n1<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm512f8R4402;q/9ik56:&6`a<13twvq6g>3d83>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6;l1]=?952z&6``<13-?oh784}|~?l74n3:1(?96:00f?S40j3;p@?9k:0yU`7<5s-;ho7?3=zutw0e<:?:18'62?=9;o0Z?9m:0yO62b=9r\o>74273_;9;73=#=mn1:6sr}|9j517=83.9;44>2d9U62d=9rF9;i4>{Wf1>7}#9ji1=9?4V004>7}#=mo1:6*:de85?x{zu2c:8?4?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb8207=Q9;=1>v*:dd85?!3cl3<0qpsr;h377?6=,;=26<2681!3cm3<0(8jk:79~yx{?6=4+26;957c<^;=i62wvqp5f15794?"5?00:>h5Y26`95~J5?m0:w[j=:3y'5fe=9=?0Z<<8:3y'1ac=>2.>hi49;|~y>o6<>0;6)<89;31a>P5?k0:wA<8d;3xRa4=:r.:on4>469U571=:r.>hh49;%7g`?0288n7[<8b;3xH71c28q]h?4={%3`g?7302\:>:4={%7ga?0<,:751b78R71e28qG>:j51zTg6?4|,8ih6{zut1vn876:187>5<7s-8<57?l4:J12a=n9;l1<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm513d8R4402;q/9ik56:&6`a<13twvq6g>3183>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6;91]=?952z&6``<13-?oh784}|~?l74j3:1(?96:00f?S40j3;p@?9k:0yU`7<5s-;ho7?3=zutw0c74e23_;9;73=#=mn1:6sr}|9~ff7=8381<7>t$35:>g?<@;288n76a>c483>!4013;h96X=7c82I40l3;pZi<52z&2gf<6k<1]=?952z&6``<13-?oh784}|~?xdd=3:1>7>50z&13<h54o0a6>5<#:>31=n;4V35a>4}K:>n1=vXk2;0x 4ed28i>7[?=7;0x 0bb2h1/9ij5a:~yx=zjj81<7=50;2x 71>2;==7E<9d:k26c<72-8<57?=e:T13g<6sE8k5Y13596~"2ll0=7);kd;48yx{z3`;8<7>5$35:>44b3_84}Ql;09w)?lc;304>P6:>09w);ke;48 0bc2?1vqps4o0a6>5<#:>31=n;4V35a>4}K:>n1=vXk2;0x 4ed28i>7[?=7;0x 0bb2?1/9ij56:~yx=zjj91<7?50;2x 71>2;2;7E<9d:m2g0<72-8<57?l5:9~ff2=83;1<7>t$35:>4e43A8=h6a>c483>!4013;h965rb261>5<5290;w)<89;`:?M41l2c:>h4?:%04=?75m21d=n;50;&13<<6k<1]>:l51zN13a<6s_n96?u+1ba95f3<^88<6?u+5eg92>"2lm0=7psr}:a717=8381<7>t$35:>g?<@;288n76a>c483>!4013;h96X=7c82I40l3;pZi<52z&2gf<6k<1]=?952z&6``0;6>4?:1y'62?=:><0D?8k;h31b?6=,;=26<2681!3cm3<0(8jk:79~yx{2wvqp5`1b794?"5?00:o85Y26`95~J5?m0:w[j=:3y'5fe=9j?0Z<<8:3y'1ac=>2.>hi49;|~y>{e;:21<7?50;2x 71>2;2;7E<9d:m2g0<72-8<57?l5:9~f65f29086=4?{%04=?173A8=h6g>2g83>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6:o1]=?952z&6``<13-?oh784}|~?l7483:1(?96:00f?S40j3;p@?9k:0yU`7<5s-;ho7?<0:T262<5s-?oi784$4fg>3=zutw0c74e23_;9;73=#=mn1:6sr}|9~f65e29086=4?{%04=?173A8=h6g>2g83>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6:o1]=?952z&6``<13-?oh784}|~?l7483:1(?96:00f?S40j3;p@?9k:0yU`7<5s-;ho7?<0:T262<5s-?oi784$4fg>3=zutw0c74e23_;9;73=#=mn1:6sr}|9~f65d290?6=4?{%04=?173A8=h6g>2g83>!4013;9i6F=799U62d=9rF9;i4>{Wf1>7}#9ji1=?h4V004>7}#=mo1=<5+5ef954=zutw0e<=?:18'62?=9;o0Z?9m:0yO62b=9r\o>74573_;9;747<,;%7g`?763twvq6a>c483>!4013;h96X=7c82I40l3;pZi<52z&2gf<6k<1]=?952z&6``<13-?oh784}|~?xd4;m0;62>:0D?8k;h31b?6=,;=26<P5?k0:wA<8d;3xRa4=:r.:on4>2g9U571=:r.>hh4>1:&6`a<692wvqp5f12294?"5?00:>h5Y26`95~J5?m0:w[j=:3y'5fe=9::0Z<<8:3y'1ac=981/9ij5109~yx{:4={%7ga?763-?oh7?>;|~y>o6N5?11]>:l51zN13a<6s_n96?u+1ba9510<^88<6?u+5eg954=#=mn1=<5r}|8m42>290/>:7513g8L71?3_84}Ql;09w)?lc;37=>P6:>09w);ke;32?!3cl3;:7psr}:k20d<72-8<57?=e:J13==Q:>h1=vB=7e82Sb52;q/=nm515c8R4402;q/9ik5109'1ab=981vqps4i06a>5<#:>31=?k4H35;?S40j3;p@?9k:0yU`7<5s-;ho7?;b:T262<5s-?oi7?>;%7g`?763twvq6g>4b83>!4013;9i6F=799U62d=9rF9;i4>{Wf1>7}#9ji1=9m4V004>7}#=mo1=<5+5ef954=zutw0e<:k:18'62?=9;o0D?97;W04f?7|D;=o62681!3cm3;:7);kd;32?x{zu2c:8h4?:%04=?75m2B9;55Y26`95~J5?m0:w[j=:3y'5fe=9=o0Z<<8:3y'1ac=981/9ij5109~yx{:4={%7ga?763-?oh7?>;|~y>o6;;0;6)<89;31a>N5?11]>:l51zN13a<6s_n96?u+1ba9564<^88<6?u+5eg954=#=mn1=<5r}|8m454290/>:7513g8L71?3_84}Ql;09w)?lc;307>P6:>09w);ke;32?!3cl3;:7psr}:k271<72-8<57?=e:J13==Q:>h1=vB=7e82Sb52;q/=nm51268R4402;q/9ik5109'1ab=981vqps4i016>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed289>7[?=7;0x 0bb2?1/9ij56:~yx=n9:<1<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm51248R4402;q/9ik56:&6`a<13twvq6a>c483>!4013;h96X=7c82I40l3;pZi<52z&2gf<6k<1]=?952z&6``<13-?oh784}|~?xd4;l0;6??50;2x 71>2>:0D?8k;h31b?6=,;=26<P5?k0:wA<8d;3xRa4=:r.:on4>2g9U571=:r.>hh4m;%7g`?d288n7E<88:T13g<6sE85$35:>44b3_84}Ql;09w)?lc;30f>P6:>09w);ke;`8 0bc2k1vqps4i065>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed28>=7[?=7;0x 0bb2k1/9ij5b:~yx=n9=31<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm515;8R4402;q/9ik5b:&6`a4`83>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6g=zutw0e<:l:18'62?=9;o0Z?9m:0yO62b=9r\o>742d3_;9;7g=#=mn1n6sr}|9j51b=83.9;44>2d9U62d=9rF9;i4>{Wf1>7}#9ji1=9j4V004>7}#=mo1n6*:de8a?x{zu2c:8h4?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb820`=Q9;=1>v*:dd8a?!3cl3h0qpsr;h305?6=,;=26<2681!3cm3h0(8jk:c9~yx{h5Y26`95~J5?m0:w[j=:3y'5fe=9:90Z<<8:3y'1ac=j2.>hi4m;|~y>o6;=0;6)<89;31a>P5?k0:wA<8d;3xRa4=:r.:on4>359U571=:r.>hh4m;%7g`?d288n7E<88:T13g<6sE85$35:>44b3A8<46X=7c82I40l3;pZi<52z&2gf<6;?1]=?952z&6``g=zutw0e<=7:18'62?=9;o0Z?9m:0yO62b=9r\o>745?3_;9;747<,{M04`?7|^m81>v*>cb827<=Q9;=1>v*:dd825>"2lm0:=6sr}|9j56g=83.9;44>2d9U62d=9rF9;i4>{Wf1>7}#9ji1=>o4V004>7}#=mo1:6*:de85?x{zu2c:?n4?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb827f=Q9;=1>v*:dd85?!3cl3<0qpsr;h30`?6=,;=26<2681!3cm3<0(8jk:79~yx{2wvqp5f12d94?"5?00:>h5Y26`95~J5?m0:w[j=:3y'5fe=9:l0Z<<8:3y'1ac=>2.>hi49;|~y>o6<90;6)<89;31a>P5?k0:wA<8d;3xRa4=:r.:on4>419U571=:r.>hh49;%7g`?0288n7[<8b;3xH71c28q]h?4={%3`g?7392\:>:4={%7ga?0<,:7513g8R71e28qG>:j51zTg6?4|,8ih6<:=;W313?4|,{zut1b=9=50;&13<<6:l1]>:l51zN13a<6s_n96?u+1ba9515<^88<6?u+5eg92>"2lm0=7psr}:k201<72-8<57?=e:T13g<6sE85$35:>44b3_84}Ql;09w)?lc;371>P6:>09w);ke;48 0bc2?1vqps4i064>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed28><7[?=7;0x 0bb2?1/9ij56:~yx=n9=21<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm515:8R4402;q/9ik56:&6`a<13twvq6a>c483>!4013;h96X=7c82I40l3;pZi<52z&2gf<6k<1]=?952z&6``<13-?oh784}|~?xd4;o0;6??50;2x 71>2>:0D?8k;h31b?6=,;=26<P5?k0:wA<8d;3xRa4=:r.:on4>2g9U571=:r.>hh4m;%7g`?d288n7E<88:T13g<6sE85$35:>44b3_84}Ql;09w)?lc;30f>P6:>09w);ke;`8 0bc2k1vqps4i065>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed28>=7[?=7;0x 0bb2k1/9ij5b:~yx=n9=31<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm515;8R4402;q/9ik5b:&6`a4`83>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6g=zutw0e<:l:18'62?=9;o0Z?9m:0yO62b=9r\o>742d3_;9;7g=#=mn1n6sr}|9j51b=83.9;44>2d9U62d=9rF9;i4>{Wf1>7}#9ji1=9j4V004>7}#=mo1n6*:de8a?x{zu2c:8h4?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb820`=Q9;=1>v*:dd8a?!3cl3h0qpsr;h305?6=,;=26<2681!3cm3h0(8jk:c9~yx{h5Y26`95~J5?m0:w[j=:3y'5fe=9:90Z<<8:3y'1ac=j2.>hi4m;|~y>o6;=0;6)<89;31a>P5?k0:wA<8d;3xRa4=:r.:on4>359U571=:r.>hh4m;%7g`?d288n7E<88:T13g<6sE85$35:>44b3A8<46X=7c82I40l3;pZi<52z&2gf<6;?1]=?952z&6``g=zutw0e<=7:18'62?=9;o0Z?9m:0yO62b=9r\o>745?3_;9;747<,{M04`?7|^m81>v*>cb827<=Q9;=1>v*:dd825>"2lm0:=6sr}|9j56g=83.9;44>2d9U62d=9rF9;i4>{Wf1>7}#9ji1=>o4V004>7}#=mo1:6*:de85?x{zu2c:?n4?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb827f=Q9;=1>v*:dd85?!3cl3<0qpsr;h30`?6=,;=26<2681!3cm3<0(8jk:79~yx{2wvqp5f12d94?"5?00:>h5Y26`95~J5?m0:w[j=:3y'5fe=9:l0Z<<8:3y'1ac=>2.>hi49;|~y>o6<90;6)<89;31a>P5?k0:wA<8d;3xRa4=:r.:on4>419U571=:r.>hh49;%7g`?0288n7[<8b;3xH71c28q]h?4={%3`g?7392\:>:4={%7ga?0<,:7513g8R71e28qG>:j51zTg6?4|,8ih6<:=;W313?4|,{zut1b=9=50;&13<<6:l1]>:l51zN13a<6s_n96?u+1ba9515<^88<6?u+5eg92>"2lm0=7psr}:k201<72-8<57?=e:T13g<6sE85$35:>44b3_84}Ql;09w)?lc;371>P6:>09w);ke;48 0bc2?1vqps4i064>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed28><7[?=7;0x 0bb2?1/9ij56:~yx=n9=21<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm515:8R4402;q/9ik56:&6`a<13twvq6a>c483>!4013;h96X=7c82I40l3;pZi<52z&2gf<6k<1]=?952z&6``<13-?oh784}|~?xd4<90;6??50;2x 71>2>:0D?8k;h31b?6=,;=26<P5?k0:wA<8d;3xRa4=:r.:on4>2g9U571=:r.>hh4m;%7g`?d288n7E<88:T13g<6sE85$35:>44b3_84}Ql;09w)?lc;30f>P6:>09w);ke;`8 0bc2k1vqps4i065>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed28>=7[?=7;0x 0bb2k1/9ij5b:~yx=n9=31<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm515;8R4402;q/9ik5b:&6`a4`83>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6g=zutw0e<:l:18'62?=9;o0Z?9m:0yO62b=9r\o>742d3_;9;7g=#=mn1n6sr}|9j51b=83.9;44>2d9U62d=9rF9;i4>{Wf1>7}#9ji1=9j4V004>7}#=mo1n6*:de8a?x{zu2c:8h4?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb820`=Q9;=1>v*:dd8a?!3cl3h0qpsr;h305?6=,;=26<P5?k0:wA<8d;3xRa4=:r.:on4>309U571=:r.>hh4m;%7g`?d288n7E<88:T13g<6sE85$35:>44b3A8<46X=7c82I40l3;pZi<52z&2gf<6;:1]=?952z&6``{M04`?7|^m81>v*>cb8271=Q9;=1>v*:dd8a?!3cl3h0qpsr;h301?6=,;=26<P5?k0:wA<8d;3xRa4=:r.:on4>349U571=:r.>hh4m;%7g`?d288n7E<88:T13g<6sE85$35:>44b3_84}Ql;09w)?lc;303>P6:>09w);ke;`8 0bc2k1vqps4i01;>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed28937[?=7;0x 0bb28;0(8jk:038yx{z3`;857>5$35:>44b3A8<46X=7c82I40l3;pZi<52z&2gf<6;01]=?952z&6``<692.>hi4>1:~yx=n9:k1<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm512c8R4402;q/9ik56:&6`a<13twvq6g>3b83>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6;j1]=?952z&6``<13-?oh784}|~?l74l3:1(?96:00f?S40j3;p@?9k:0yU`7<5s-;ho7?3=zutw0e<=j:18'62?=9;o0Z?9m:0yO62b=9r\o>745b3_;9;73=#=mn1:6sr}|9j56`=83.9;44>2d9U62d=9rF9;i4>{Wf1>7}#9ji1=>h4V004>7}#=mo1:6*:de85?x{zu2c:8=4?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb8205=Q9;=1>v*:dd85?!3cl3<0qpsr;h375?6=,;=26<2681!3cm3<0(8jk:79~yx{96=4+26;957c<^;=i62wvqp5f15194?"5?00:>h5Y26`95~J5?m0:w[j=:3y'5fe=9=90Z<<8:3y'1ac=>2.>hi49;|~y>o6<=0;6)<89;31a>P5?k0:wA<8d;3xRa4=:r.:on4>459U571=:r.>hh49;%7g`?0288n7[<8b;3xH71c28q]h?4={%3`g?73=2\:>:4={%7ga?0<,:7513g8R71e28qG>:j51zTg6?4|,8ih6<:8;W313?4|,{zut1b=9650;&13<<6:l1]>:l51zN13a<6s_n96?u+1ba951><^88<6?u+5eg92>"2lm0=7psr}:m2g0<72-8<57?l5:T13g<6sE85}#:>31=n:4H34g?l75n3:1(?96:00f?S40j3;p@?9k:0yU`7<5s-;ho7?=f:T262<5s-?oi784$4fg>3=zutw0e<=?:18'62?=9;o0Z?9m:0yO62b=9r\o>74573_;9;73=#=mn1:6sr}|9j56d=83.9;44>2d9U62d=9rF9;i4>{Wf1>7}#9ji1=>l4V004>7}#=mo1:6*:de85?x{zu2c:8;4?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb8203=Q9;=1>v*:dd85?!3cl3<0qpsr;h37=?6=,;=26<2681!3cm3<0(8jk:79~yx{j6=4+26;957c<^;=i62wvqp5f15`94?"5?00:>h5Y26`95~J5?m0:w[j=:3y'5fe=9=h0Z<<8:3y'1ac=>2.>hi49;|~y>i6k<0;6)<89;3`1>P5?k0:wA<8d;3xRa4=:r.:on4>c49U571=:r.>hh49;%7g`?05<7s-8<57l6;I05`>o6:l0;6)<89;31a>=h9j?1<7*=7882g0=Q:>h1=vB=7e82Sb52;q/=nm51b78R4402;q/9ik56:&6`a<13twvq6sm3;296?6=8r.9;44m9:J12a=n9;o1<7*=78826`=6=4+26;95f3<^;=i66<729q/>:752648L70c3`;9j7>5$35:>44b3_84}Ql;09w)?lc;31b>P6:>09w);ke;48 0bc2?1vqps4i013>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed289;7[?=7;0x 0bb2?1/9ij56:~yx=h9j?1<7*=7882g0=Q:>h1=vB=7e82Sb52;q/=nm51b78R4402;q/9ik56:&6`a<13twvq6sm1;295?6=8r.9;44=819K63b6=4+26;95f3<3th96=4<:183!4013=;7E<9d:k26c<72-8<57?=e:T13g<6sE8k5Y13596~"2ll0i7);kd;`8yx{z3`;8<7>5$35:>44b3A8<46X=7c82I40l3;pZi<52z&2gf<6;91]=?952z&6``<692.>hi4>1:~yx=h9j?1<7*=7882g0=Q:>h1=vB=7e82Sb52;q/=nm51b78R4402;q/9ik56:&6`a<13twvq6smd483>7<729q/>:75b89K63b5$35:>4e23_84}Ql;09w)?lc;3`1>P6:>09w);ke;48 0bc2?1vqps4}cf5>5<3290;w)<89;53?M41l2c:>k4?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb826c=Q9;=1>v*:dd826>"2lm0:>6sr}|9j566=83.9;44>2d9K62><^;=i62681!3cm3;97);kd;31?x{zu2e:o84?:%04=?7d=2\9;o4>{M04`?7|^m81>v*>cb82g0=Q9;=1>v*:dd85?!3cl3<0qpsr;|`g3?6=>3:1N5>m1b=?h50;&13<<6:l1]>:l51zN13a<6s_n96?u+1ba957`<^88<6?u+5eg957=#=mn1=?5r}|8m457290/>:7513g8R71e28qG>:j51zTg6?4|,8ih6<=?;W313?4|,{zut1b=>l50;&13<<6:l1C>:64V35a>4}K:>n1=vXk2;0x 4ed289i7[?=7;0x 0bb2880(8jk:008yx{z3`;?:7>5$35:>44b3_84}Ql;09w)?lc;372>P6:>09w);ke;31?!3cl3;97psr}:k20<<72-8<57?=e:J13==Q:>h1=vB=7e82Sb52;q/=nm515;8R4402;q/9ik5139'1ab=9;1vqps4o0a6>5<#:>31=n;4V35a>4}K:>n1=vXk2;0x 4ed28i>7[?=7;0x 0bb2?1/9ij56:~yx=zjm21<7850;2x 71>2>:0D?8k;h31b?6=,;=26<2681!3cm3i0(8jk:b9~yx{:4={%7ga?753-?oh7?=;|~y>o6;k0;6)<89;31a>P5?k0:wA<8d;3xRa4=:r.:on4>3c9U571=:r.>hh4>2:&6`a<6:2wvqp5f15494?"5?00:>h5G26:8R71e28qG>:j51zTg6?4|,8ih6<:9;W313?4|,44288n7[<8b;3xH71c28q]h?4={%3`g?7312\:>:4={%7ga?753-?oh7?=;|~y>i6k<0;6)<89;3`1>P5?k0:wA<8d;3xRa4=:r.:on4>c49U571=:r.>hh49;%7g`?020Z?9m:0yO62b=9r\o>744a3_;9;7f=#=mn1o6sr}|9j566=83.9;44>2d9K62><^;=i6h5G26:8R71e28qG>:j51zTg6?4|,8ih6<=m;W313?4|,{zut1b=9850;&13<<6:l1]>:l51zN13a<6s_n96?u+1ba9510<^88<6?u+5eg957=#=mn1=?5r}|8m42>290/>:7513g8R71e28qG>:j51zTg6?4|,8ih6<:6;W313?4|,44288n7[<8b;3xH71c28q]h?4={%3`g?73i2\:>:4={%7ga?753-?oh7?=;|~y>i6k<0;6)<89;3`1>P5?k0:wA<8d;3xRa4=:r.:on4>c49U571=:r.>hh49;%7g`?020Z?9m:0yO62b=9r\o>744a3_;9;7f=#=mn1o6sr}|9j566=83.9;44>2d9K62><^;=i6h5Y26`95~J5?m0:w[j=:3y'5fe=9:h0Z<<8:3y'1ac=9;1/9ij5139~yx{=6=4+26;957c<^;=i62681!3cm3;97);kd;31?x{zu2c:8l4?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb820d=Q9;=1>v*:dd826>"2lm0:>6sr}|9l5f3=83.9;44>c49U62d=9rF9;i4>{Wf1>7}#9ji1=n;4V004>7}#=mo1:6*:de85?x{zu2wiho4?:783>5}#:>31=n:4H34g?l75n3:1(?96:00f?S40j3;p@?9k:0yU`7<5s-;ho7?=f:T262<5s-?oi784$4fg>3=zutw0e<=?:18'62?=9;o0Z?9m:0yO62b=9r\o>74573_;9;73=#=mn1:6sr}|9j56d=83.9;44>2d9U62d=9rF9;i4>{Wf1>7}#9ji1=>l4V004>7}#=mo1:6*:de85?x{zu2c:8;4?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb8203=Q9;=1>v*:dd85?!3cl3<0qpsr;h37=?6=,;=26<2681!3cm3<0(8jk:79~yx{6=4+26;95f3<^;=i62wvqp5rbea94?4=83:p(?96:c;8L70c3`;9i7>5$35:>44b32e:o84?:%04=?7d=2\9;o4>{M04`?7|^m81>v*>cb82g0=Q9;=1>v*:dd85?!3cl3<0qpsr;|`g`?6=03:1N5>m1b=?h50;&13<<6:l1]>:l51zN13a<6s_n96?u+1ba957`<^88<6?u+5eg9g>"2lm0h7psr}:k275<72-8<57?=e:T13g<6sE85$35:>44b3A8<46X=7c82I40l3;pZi<52z&2gf<6;k1]=?952z&6``<6:2.>hi4>2:~yx=n9=<1<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm51548R4402;q/9ik5139'1ab=9;1vqps4i06:>5<#:>31=?k4H35;?S40j3;p@?9k:0yU`7<5s-;ho7?;9:T262<5s-?oi7?=;%7g`?753twvq6g>4`83>!4013;9i6F=799U62d=9rF9;i4>{Wf1>7}#9ji1=9o4V004>7}#=mo1=?5+5ef957=zutw0e<:m:18'62?=9;o0Z?9m:0yO62b=9r\o>742e3_;9;744<,3=zutw0qojj:18;>5<7s-8<579?;I05`>o6:o0;6)<89;31a>P5?k0:wA<8d;3xRa4=:r.:on4>2g9U571=:r.>hh4l;%7g`?e288n7[<8b;3xH71c28q]h?4={%3`g?7482\:>:4={%7ga?e<,:7513g8L71?3_84}Ql;09w)?lc;30f>P6:>09w);ke;31?!3cl3;97psr}:k203<72-8<57?=e:T13g<6sE86*:de826>{zut1b=9750;&13<<6:l1C>:64V35a>4}K:>n1=vXk2;0x 4ed28>27[?=7;0x 0bb2880(8jk:008yx{z3`;?m7>5$35:>44b3A8<46X=7c82I40l3;pZi<52z&2gf<6hi4>2:~yx=n9=h1<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm515`8R4402;q/9ik5139'1ab=9;1vqps4o0a6>5<#:>31=n;4V35a>4}K:>n1=vXk2;0x 4ed28i>7[?=7;0x 0bb2?1/9ij56:~yx=zjml1<7650;2x 71>2>:0D?8k;h31b?6=,;=26<2681!3cm3i0(8jk:b9~yx{:4={%7ga?e<,:7513g8L71?3_84}Ql;09w)?lc;30f>P6:>09w);ke;31?!3cl3;97psr}:k203<72-8<57?=e:J13==Q:>h1=vB=7e82Sb52;q/=nm51548R4402;q/9ik5139'1ab=9;1vqps4i06:>5<#:>31=?k4H35;?S40j3;p@?9k:0yU`7<5s-;ho7?;9:T262<5s-?oi7?=;%7g`?753twvq6g>4`83>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6hi4>2:~yx=n9=h1<7*=78826`=O:>20Z?9m:0yO62b=9r\o>742e3_;9;744<,3=zutw0qok?:18;>5<7s-8<579?;I05`>o6:o0;6)<89;31a>P5?k0:wA<8d;3xRa4=:r.:on4>2g9U571=:r.>hh4l;%7g`?e288n7E<88:T13g<6sE86*:de826>{zut1b=>l50;&13<<6:l1]>:l51zN13a<6s_n96?u+1ba956d<^88<6?u+5eg957=#=mn1=?5r}|8m421290/>:7513g8L71?3_84}Ql;09w)?lc;372>P6:>09w);ke;31?!3cl3;97psr}:k20<<72-8<57?=e:J13==Q:>h1=vB=7e82Sb52;q/=nm515;8R4402;q/9ik5139'1ab=9;1vqps4i06b>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed28>j7[?=7;0x 0bb2880(8jk:008yx{z3`;?n7>5$35:>44b3A8<46X=7c82I40l3;pZi<52z&2gf<6hi4>2:~yx=h9j?1<7*=7882g0=Q:>h1=vB=7e82Sb52;q/=nm51b78R4402;q/9ik56:&6`a<13twvq6sme083>47=83:p(?96:628L70c3`;9j7>5$35:>44b3A8<46X=7c82I40l3;pZi<52z&2gf<6:o1]=?952z&6``{M04`?7|^m81>v*>cb8275=Q9;=1>v*:dd8`?!3cl3i0qpsr;h30f?6=,;=26<2681!3cm3i0(8jk:b9~yx{=6=4+26;957c<^;=i6h5Y26`95~J5?m0:w[j=:3y'5fe=9=30Z<<8:3y'1ac=k2.>hi4l;|~y>o6P5?k0:wA<8d;3xRa4=:r.:on4>4`9U571=:r.>hh4l;%7g`?e288n7[<8b;3xH71c28q]h?4={%3`g?73j2\:>:4={%7ga?e<,:7513g8R71e28qG>:j51zTg6?4|,8ih6<:l;W313?4|,{zut1b=9j50;&13<<6:l1]>:l51zN13a<6s_n96?u+1ba951b<^88<6?u+5eg9g>"2lm0h7psr}:k20`<72-8<57?=e:J13==Q:>h1=vB=7e82Sb52;q/=nm515g8R4402;q/9ik5c:&6`a3083>!4013;9i6F=799U62d=9rF9;i4>{Wf1>7}#9ji1=>?4V004>7}#=mo1o6*:de8`?x{zu2c:??4?:%04=?75m2B9;55Y26`95~J5?m0:w[j=:3y'5fe=9:80Z<<8:3y'1ac=9;1/9ij5139~yx{2681!3cm3<0(8jk:79~yx{6=4+26;957c<^;=i62wvqp5f12494?"5?00:>h5Y26`95~J5?m0:w[j=:3y'5fe=9:<0Z<<8:3y'1ac=>2.>hi49;|~y>i6k<0;6)<89;3`1>P5?k0:wA<8d;3xRa4=:r.:on4>c49U571=:r.>hh49;%7g`?0c59K63b2wvqp5f12294?"5?00:>h5Y26`95~J5?m0:w[j=:3y'5fe=9::0Z<<8:3y'1ac=>2.>hi49;|~y>o6;k0;6)<89;31a>P5?k0:wA<8d;3xRa4=:r.:on4>3c9U571=:r.>hh49;%7g`?0288n7[<8b;3xH71c28q]h?4={%3`g?73>2\:>:4={%7ga?0<,290/>:7513g8R71e28qG>:j51zTg6?4|,8ih6<:6;W313?4|,{zut1d=n;50;&13<<6k<1]>:l51zN13a<6s_n96?u+1ba95f3<^88<6?u+5eg92>"2lm0=7psr}:aa6<72;0;6=u+26;9f<=O:?n0e<28i>7[<8b;3xH71c28q]h?4={%3`g?7d=2\:>:4={%7ga?0<,2g83>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6:o1]=?952z&6``<682.>hi4>0:~yx=n9::1<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm51228R4402;q/9ik5119'1ab=991vqps4o0a6>5<#:>31=n;4V35a>4}K:>n1=vXk2;0x 4ed28i>7[?=7;0x 0bb2?1/9ij56:~yx=zjl?1<7:50;2x 71>2>:0D?8k;h31b?6=,;=26<2681!3cm3;97);kd;31?x{zu2c:?=4?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb8275=Q9;=1>v*:dd826>"2lm0:>6sr}|9j56d=83.9;44>2d9U62d=9rF9;i4>{Wf1>7}#9ji1=>l4V004>7}#=mo1=?5+5ef957=zutw0c74e23_;9;73=#=mn1:6sr}|9~f`0=838:6=4?{%04=?173A8=h6g>2g83>!4013;9i6F=799U62d=9rF9;i4>{Wf1>7}#9ji1=?h4V004>7}#=mo1o6*:de8`?x{zu2c:?=4?:%04=?75m2B9;55Y26`95~J5?m0:w[j=:3y'5fe=9::0Z<<8:3y'1ac=k2.>hi4l;|~y>o6;k0;6)<89;31a>P5?k0:wA<8d;3xRa4=:r.:on4>3c9U571=:r.>hh4l;%7g`?e288n7[<8b;3xH71c28q]h?4={%3`g?73>2\:>:4={%7ga?e<,290/>:7513g8R71e28qG>:j51zTg6?4|,8ih6<:6;W313?4|,{zut1b=9o50;&13<<6:l1]>:l51zN13a<6s_n96?u+1ba951g<^88<6?u+5eg9g>"2lm0h7psr}:k20g<72-8<57?=e:T13g<6sE85$35:>44b3_84}Ql;09w)?lc;37g>P6:>09w);ke;a8 0bc2j1vqps4i06g>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed28>o7[?=7;0x 0bb2j1/9ij5c:~yx=n9=o1<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm515g8R4402;q/9ik5c:&6`a3083>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6;81]=?952z&6``f=zutw0e<=<:18'62?=9;o0Z?9m:0yO62b=9r\o>74543_;9;7f=#=mn1o6sr}|9j562=83.9;44>2d9U62d=9rF9;i4>{Wf1>7}#9ji1=>:4V004>7}#=mo1o6*:de8`?x{zu2c:?84?:%04=?75m2B9;55Y26`95~J5?m0:w[j=:3y'5fe=9:?0Z<<8:3y'1ac=k2.>hi4l;|~y>o6;?0;6)<89;31a>N5?11]>:l51zN13a<6s_n96?u+1ba9560<^88<6?u+5eg9g>"2lm0h7psr}:k272<72-8<57?=e:T13g<6sE85$35:>44b3_84}Ql;09w)?lc;30<>P6:>09w);ke;31?!3cl3;97psr}:k27<<72-8<57?=e:T13g<6sE86*:de826>{zut1b=>o50;&13<<6:l1C>:64V35a>4}K:>n1=vXk2;0x 4ed289j7[?=7;0x 0bb2880(8jk:008yx{z3`;8o7>5$35:>44b3_84}Ql;09w)?lc;30g>P6:>09w);ke;48 0bc2?1vqps4i01g>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed289o7[?=7;0x 0bb2?1/9ij56:~yx=n9:o1<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm512g8R4402;q/9ik56:&6`a<13twvq6g>3g83>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6;o1]=?952z&6``<13-?oh784}|~?l7383:1(?96:00f?S40j3;p@?9k:0yU`7<5s-;ho7?;0:T262<5s-?oi784$4fg>3=zutw0e<:>:18'62?=9;o0Z?9m:0yO62b=9r\o>74263_;9;73=#=mn1:6sr}|9j514=83.9;44>2d9U62d=9rF9;i4>{Wf1>7}#9ji1=9<4V004>7}#=mo1:6*:de85?x{zu2c:8>4?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb8206=Q9;=1>v*:dd85?!3cl3<0qpsr;h370?6=,;=26<2681!3cm3<0(8jk:79~yx{>6=4+26;957c<^;=i62wvqp5f15594?"5?00:>h5Y26`95~J5?m0:w[j=:3y'5fe=9==0Z<<8:3y'1ac=>2.>hi49;|~y>o6<10;6)<89;31a>P5?k0:wA<8d;3xRa4=:r.:on4>499U571=:r.>hh49;%7g`?028i>7[<8b;3xH71c28q]h?4={%3`g?7d=2\:>:4={%7ga?0<,50z&13<<082B9:i5f13d94?"5?00:>h5G26:8R71e28qG>:j51zTg6?4|,8ih6<{zut1b=>>50;&13<<6:l1C>:64V35a>4}K:>n1=vXk2;0x 4ed289;7[?=7;0x 0bb2j1/9ij5c:~yx=n9:h1<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm512`8R4402;q/9ik5c:&6`a4783>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6f=zutw0e<:n:18'62?=9;o0Z?9m:0yO62b=9r\o>742f3_;9;7f=#=mn1o6sr}|9j51d=83.9;44>2d9U62d=9rF9;i4>{Wf1>7}#9ji1=9l4V004>7}#=mo1o6*:de8`?x{zu2c:8n4?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb820f=Q9;=1>v*:dd8`?!3cl3i0qpsr;h37`?6=,;=26<2681!3cm3i0(8jk:b9~yx{n6=4+26;957c<^;=i6h5Y26`95~J5?m0:w[j=:3y'5fe=9:;0Z<<8:3y'1ac=k2.>hi4l;|~y>o6;;0;6)<89;31a>P5?k0:wA<8d;3xRa4=:r.:on4>339U571=:r.>hh4l;%7g`?e288n7[<8b;3xH71c28q]h?4={%3`g?74;2\:>:4={%7ga?e<,:7513g8R71e28qG>:j51zTg6?4|,8ih6<=;;W313?4|,{zut1b=>;50;&13<<6:l1C>:64V35a>4}K:>n1=vXk2;0x 4ed289>7[?=7;0x 0bb2j1/9ij5c:~yx=n9:<1<7*=78826`=O:>20Z?9m:0yO62b=9r\o>74513_;9;7f=#=mn1o6sr}|9j561=83.9;44>2d9U62d=9rF9;i4>{Wf1>7}#9ji1=>94V004>7}#=mo1o6*:de8`?x{zu2c:?54?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb827==Q9;=1>v*:dd826>"2lm0:>6sr}|9j56?=83.9;44>2d9U62d=9rF9;i4>{Wf1>7}#9ji1=>74V004>7}#=mo1=?5+5ef957=zutw0e<=n:18'62?=9;o0D?97;W04f?7|D;=o62681!3cm3;97);kd;31?x{zu2c:?n4?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb827f=Q9;=1>v*:dd85?!3cl3<0qpsr;h30`?6=,;=26<2681!3cm3<0(8jk:79~yx{2wvqp5f12d94?"5?00:>h5Y26`95~J5?m0:w[j=:3y'5fe=9:l0Z<<8:3y'1ac=>2.>hi49;|~y>o6<90;6)<89;31a>P5?k0:wA<8d;3xRa4=:r.:on4>419U571=:r.>hh49;%7g`?0288n7[<8b;3xH71c28q]h?4={%3`g?7392\:>:4={%7ga?0<,:7513g8R71e28qG>:j51zTg6?4|,8ih6<:=;W313?4|,{zut1b=9=50;&13<<6:l1]>:l51zN13a<6s_n96?u+1ba9515<^88<6?u+5eg92>"2lm0=7psr}:k201<72-8<57?=e:T13g<6sE85$35:>44b3_84}Ql;09w)?lc;371>P6:>09w);ke;48 0bc2?1vqps4i064>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed28><7[?=7;0x 0bb2?1/9ij56:~yx=n9=21<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm515:8R4402;q/9ik56:&6`a<13twvq6a>c483>!4013;h96X=7c82I40l3;pZi<52z&2gf<6k<1]=?952z&6``<13-?oh784}|~?xdb03:1><4?:1y'62?=?91C>;j4i00e>5<#:>31=?k4H35;?S40j3;p@?9k:0yU`7<5s-;ho7?=f:T262<5s-?oi7m4$4fg>f=zutw0e<=?:18'62?=9;o0D?97;W04f?7|D;=o62681!3cm3i0(8jk:b9~yx{h5Y26`95~J5?m0:w[j=:3y'5fe=9=<0Z<<8:3y'1ac=k2.>hi4l;|~y>o6<00;6)<89;31a>P5?k0:wA<8d;3xRa4=:r.:on4>489U571=:r.>hh4l;%7g`?e288n7[<8b;3xH71c28q]h?4={%3`g?73i2\:>:4={%7ga?e<,:7513g8R71e28qG>:j51zTg6?4|,8ih6<:m;W313?4|,{zut1b=9m50;&13<<6:l1]>:l51zN13a<6s_n96?u+1ba951e<^88<6?u+5eg9g>"2lm0h7psr}:k20a<72-8<57?=e:T13g<6sE85$35:>44b3_84}Ql;09w)?lc;37a>P6:>09w);ke;a8 0bc2j1vqps4i012>5<#:>31=?k4H35;?S40j3;p@?9k:0yU`7<5s-;ho7?<1:T262<5s-?oi7m4$4fg>f=zutw0e<==:18'62?=9;o0D?97;W04f?7|D;=o66X>2681!3cm3i0(8jk:b9~yx{:4={%7ga?e<,:7513g8L71?3_84}Ql;09w)?lc;300>P6:>09w);ke;a8 0bc2j1vqps4i016>5<#:>31=?k4H35;?S40j3;p@?9k:0yU`7<5s-;ho7?<5:T262<5s-?oi7m4$4fg>f=zutw0e<=9:18'62?=9;o0D?97;W04f?7|D;=o62681!3cm3i0(8jk:b9~yx{h5Y26`95~J5?m0:w[j=:3y'5fe=9:20Z<<8:3y'1ac=9;1/9ij5139~yx{P5?k0:wA<8d;3xRa4=:r.:on4>3`9U571=:r.>hh4>2:&6`a<6:2wvqp5f12a94?"5?00:>h5Y26`95~J5?m0:w[j=:3y'5fe=9:i0Z<<8:3y'1ac=>2.>hi49;|~y>o6;m0;6)<89;31a>P5?k0:wA<8d;3xRa4=:r.:on4>3e9U571=:r.>hh49;%7g`?0288n7[<8b;3xH71c28q]h?4={%3`g?74m2\:>:4={%7ga?0<,:7513g8R71e28qG>:j51zTg6?4|,8ih6<=i;W313?4|,{zut1b=9>50;&13<<6:l1]>:l51zN13a<6s_n96?u+1ba9516<^88<6?u+5eg92>"2lm0=7psr}:k204<72-8<57?=e:T13g<6sE87>5$35:>44b3_84}Ql;09w)?lc;376>P6:>09w);ke;48 0bc2?1vqps4i060>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed28>87[?=7;0x 0bb2?1/9ij56:~yx=n9=>1<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm51568R4402;q/9ik56:&6`a<13twvq6g>4483>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6<<1]=?952z&6``<13-?oh784}|~?l73?3:1(?96:00f?S40j3;p@?9k:0yU`7<5s-;ho7?;7:T262<5s-?oi784$4fg>3=zutw0e<:7:18'62?=9;o0Z?9m:0yO62b=9r\o>742?3_;9;73=#=mn1:6sr}|9l5f3=83.9;44>c49U62d=9rF9;i4>{Wf1>7}#9ji1=n;4V004>7}#=mo1:6*:de85?x{zu2wii44?:3394?6|,;=26:>4H34g?l75n3:1(?96:00f?M4002\9;o4>{M04`?7|^m81>v*>cb826c=Q9;=1>v*:dd8`?!3cl3i0qpsr;h304?6=,;=26<P5?k0:wA<8d;3xRa4=:r.:on4>319U571=:r.>hh4l;%7g`?e288n7[<8b;3xH71c28q]h?4={%3`g?74j2\:>:4={%7ga?e<,:7513g8R71e28qG>:j51zTg6?4|,8ih6<:9;W313?4|,{zut1b=9750;&13<<6:l1]>:l51zN13a<6s_n96?u+1ba951?<^88<6?u+5eg9g>"2lm0h7psr}:k20d<72-8<57?=e:T13g<6sE85$35:>44b3_84}Ql;09w)?lc;37f>P6:>09w);ke;a8 0bc2j1vqps4i06`>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed28>h7[?=7;0x 0bb2j1/9ij5c:~yx=n9=n1<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm515f8R4402;q/9ik5c:&6`a4d83>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6{M04`?7|^m81>v*>cb8274=Q9;=1>v*:dd8`?!3cl3i0qpsr;h306?6=,;=26<P5?k0:wA<8d;3xRa4=:r.:on4>339U571=:r.>hh4l;%7g`?e288n7E<88:T13g<6sE85Y13596~"2ll0h7);kd;a8yx{z3`;887>5$35:>44b3A8<46X=7c82I40l3;pZi<52z&2gf<6;=1]=?952z&6``{M04`?7|^m81>v*>cb8270=Q9;=1>v*:dd8`?!3cl3i0qpsr;h302?6=,;=26<P5?k0:wA<8d;3xRa4=:r.:on4>379U571=:r.>hh4l;%7g`?e288n7[<8b;3xH71c28q]h?4={%3`g?74?2\:>:4={%7ga?e<,:7513g8R71e28qG>:j51zTg6?4|,8ih6<=7;W313?4|,44288n7[<8b;3xH71c28q]h?4={%3`g?7412\:>:4={%7ga?753-?oh7?=;|~y>o6;h0;6)<89;31a>N5?11]>:l51zN13a<6s_n96?u+1ba956g<^88<6?u+5eg957=#=mn1=?5r}|8m45d290/>:7513g8R71e28qG>:j51zTg6?4|,8ih6<=l;W313?4|,{zut1b=>j50;&13<<6:l1]>:l51zN13a<6s_n96?u+1ba956b<^88<6?u+5eg92>"2lm0=7psr}:k27`<72-8<57?=e:T13g<6sE85$35:>44b3_84}Ql;09w)?lc;30b>P6:>09w);ke;48 0bc2?1vqps4i063>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed28>;7[?=7;0x 0bb2?1/9ij56:~yx=n9=;1<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm51538R4402;q/9ik56:&6`a<13twvq6g>4383>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6<;1]=?952z&6``<13-?oh784}|~?l73;3:1(?96:00f?S40j3;p@?9k:0yU`7<5s-;ho7?;3:T262<5s-?oi784$4fg>3=zutw0e<:;:18'62?=9;o0Z?9m:0yO62b=9r\o>74233_;9;73=#=mn1:6sr}|9j513=83.9;44>2d9U62d=9rF9;i4>{Wf1>7}#9ji1=9;4V004>7}#=mo1:6*:de85?x{zu2c:8:4?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb8202=Q9;=1>v*:dd85?!3cl3<0qpsr;h372681!3cm3<0(8jk:79~yx{6=4+26;95f3<^;=i62wvqp5rbdc94?1=83:p(?96:0a7?M41l2c:>k4?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb826c=Q9;=1>v*:dd85?!3cl3<0qpsr;h304?6=,;=26<2681!3cm3<0(8jk:79~yx{2wvqp5f15494?"5?00:>h5Y26`95~J5?m0:w[j=:3y'5fe=9=<0Z<<8:3y'1ac=>2.>hi49;|~y>o6<00;6)<89;31a>P5?k0:wA<8d;3xRa4=:r.:on4>489U571=:r.>hh49;%7g`?0288n7[<8b;3xH71c28q]h?4={%3`g?73i2\:>:4={%7ga?0<,:751b78R71e28qG>:j51zTg6?4|,8ih6{zut1vnhl50;094?6|,;=26o74H34g?l75m3:1(?96:00f?>i6k<0;6)<89;3`1>P5?k0:wA<8d;3xRa4=:r.:on4>c49U571=:r.>hh49;%7g`?0h1=vB=7e82Sb52;q/=nm513d8R4402;q/9ik5119'1ab=991vqps4i013>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed289;7[?=7;0x 0bb28:0(8jk:028yx{z3f;h97>5$35:>4e23_84}Ql;09w)?lc;3`1>P6:>09w);ke;48 0bc2?1vqps4}cgg>5<3290;w)<89;53?M41l2c:>k4?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb826c=Q9;=1>v*:dd8`?!3cl3i0qpsr;h304?6=,;=26<2681!3cm3i0(8jk:b9~yx{:4={%7ga?753-?oh7?=;|~y>i6k<0;6)<89;3`1>P5?k0:wA<8d;3xRa4=:r.:on4>c49U571=:r.>hh49;%7g`?0h1=vB=7e82Sb52;q/=nm513d8R4402;q/9ik5c:&6`a3183>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6;91]=?952z&6``{M04`?7|^m81>v*>cb827g=Q9;=1>v*:dd826>"2lm0:>6sr}|9l5f3=83.9;44>c49U62d=9rF9;i4>{Wf1>7}#9ji1=n;4V004>7}#=mo1:6*:de85?x{zu2wiik4?:583>5}#:>31;=5G27f8m44a290/>:7513g8R71e28qG>:j51zTg6?4|,8ih6<{zut1b=>>50;&13<<6:l1]>:l51zN13a<6s_n96?u+1ba9566<^88<6?u+5eg9g>"2lm0h7psr}:k27g<72-8<57?=e:J13==Q:>h1=vB=7e82Sb52;q/=nm512`8R4402;q/9ik5139'1ab=9;1vqps4o0a6>5<#:>31=n;4V35a>4}K:>n1=vXk2;0x 4ed28i>7[?=7;0x 0bb2?1/9ij56:~yx=zjo:1<7:50;2x 71>2>:0D?8k;h31b?6=,;=26<2681!3cm3i0(8jk:b9~yx{h5G26:8R71e28qG>:j51zTg6?4|,8ih6<=m;W313?4|,4428i>7[<8b;3xH71c28q]h?4={%3`g?7d=2\:>:4={%7ga?0<,2g83>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6:o1]=?952z&6``f=zutw0e<=m:18'62?=9;o0D?97;W04f?7|D;=o62681!3cm3;97);kd;31?x{zu2e:o84?:%04=?7d=2\9;o4>{M04`?7|^m81>v*>cb82g0=Q9;=1>v*:dd85?!3cl3<0qpsr;|`e6?6=?3:1744a3_;9;73=#=mn1:6sr}|9j566=83.9;44>2d9U62d=9rF9;i4>{Wf1>7}#9ji1=>>4V004>7}#=mo1:6*:de85?x{zu2c:?o4?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb827g=Q9;=1>v*:dd85?!3cl3<0qpsr;h372?6=,;=26<2681!3cm3<0(8jk:79~yx{26=4+26;957c<^;=i62wvqp5f15c94?"5?00:>h5Y26`95~J5?m0:w[j=:3y'5fe=9=k0Z<<8:3y'1ac=>2.>hi49;|~y>i6k<0;6)<89;3`1>P5?k0:wA<8d;3xRa4=:r.:on4>c49U571=:r.>hh49;%7g`?06=4+26;95f3<^;=i62wvqp5rbg694?5=83:p(?96:628L70c3`;9j7>5$35:>44b3_84}Ql;09w)?lc;31b>P6:>09w);ke;33?!3cl3;;7psr}:k275<72-8<57?=e:T13g<6sE8{zut1d=n;50;&13<<6k<1]>:l51zN13a<6s_n96?u+1ba95f3<^88<6?u+5eg92>"2lm0=7psr}:ab0<72=0;6=u+26;935=O:?n0e<2681!3cm3;97);kd;31?x{zu2c:?=4?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb8275=Q9;=1>v*:dd826>"2lm0:>6sr}|9j56d=83.9;44>2d9U62d=9rF9;i4>{Wf1>7}#9ji1=>l4V004>7}#=mo1=?5+5ef957=zutw0c74e23_;9;73=#=mn1:6sr}|9~fc0=83>1<7>t$35:>26<@;288n7E<88:T13g<6sE8k5Y13596~"2ll0:>6*:de826>{zut1b=>>50;&13<<6:l1]>:l51zN13a<6s_n96?u+1ba9566<^88<6?u+5eg957=#=mn1=?5r}|8m45e290/>:7513g8R71e28qG>:j51zTg6?4|,8ih6<=m;W313?4|,4428i>7[<8b;3xH71c28q]h?4={%3`g?7d=2\:>:4={%7ga?0<,2g83>!4013;9i6F=799U62d=9rF9;i4>{Wf1>7}#9ji1=?h4V004>7}#=mo1=?5+5ef957=zutw0e<=?:18'62?=9;o0Z?9m:0yO62b=9r\o>74573_;9;744<,c483>!4013;h96X=7c82I40l3;pZi<52z&2gf<6k<1]=?952z&6``<13-?oh784}|~?xda03:187>50z&13<<082B9:i5f13d94?"5?00:>h5G26:8R71e28qG>:j51zTg6?4|,8ih6<44288n7[<8b;3xH71c28q]h?4={%3`g?7482\:>:4={%7ga?753-?oh7?=;|~y>o6;k0;6)<89;31a>P5?k0:wA<8d;3xRa4=:r.:on4>3c9U571=:r.>hh4>2:&6`a<6:2wvqp5`1b794?"5?00:o85Y26`95~J5?m0:w[j=:3y'5fe=9j?0Z<<8:3y'1ac=>2.>hi49;|~y>{en00;6??50;2x 71>2>:0D?8k;h31b?6=,;=26<P5?k0:wA<8d;3xRa4=:r.:on4>2g9U571=:r.>hh4l;%7g`?e288n7E<88:T13g<6sE85$35:>44b3_84}Ql;09w)?lc;30f>P6:>09w);ke;a8 0bc2j1vqps4i065>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed28>=7[?=7;0x 0bb2j1/9ij5c:~yx=n9=31<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm515;8R4402;q/9ik5c:&6`a4`83>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6f=zutw0e<:l:18'62?=9;o0Z?9m:0yO62b=9r\o>742d3_;9;7f=#=mn1o6sr}|9j51b=83.9;44>2d9U62d=9rF9;i4>{Wf1>7}#9ji1=9j4V004>7}#=mo1o6*:de8`?x{zu2c:8h4?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb820`=Q9;=1>v*:dd8`?!3cl3i0qpsr;h305?6=,;=26<2681!3cm3i0(8jk:b9~yx{h5Y26`95~J5?m0:w[j=:3y'5fe=9:90Z<<8:3y'1ac=k2.>hi4l;|~y>o6;=0;6)<89;31a>P5?k0:wA<8d;3xRa4=:r.:on4>359U571=:r.>hh4l;%7g`?e288n7E<88:T13g<6sE85$35:>44b3A8<46X=7c82I40l3;pZi<52z&2gf<6;?1]=?952z&6``f=zutw0e<=7:18'62?=9;o0Z?9m:0yO62b=9r\o>745?3_;9;744<,3`83>!4013;9i6F=799U62d=9rF9;i4>{Wf1>7}#9ji1=>o4V004>7}#=mo1=?5+5ef957=zutw0e<=l:18'62?=9;o0Z?9m:0yO62b=9r\o>745d3_;9;73=#=mn1:6sr}|9j56b=83.9;44>2d9U62d=9rF9;i4>{Wf1>7}#9ji1=>j4V004>7}#=mo1:6*:de85?x{zu2c:?h4?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb827`=Q9;=1>v*:dd85?!3cl3<0qpsr;h30b?6=,;=26<2681!3cm3<0(8jk:79~yx{;6=4+26;957c<^;=i62wvqp5f15394?"5?00:>h5Y26`95~J5?m0:w[j=:3y'5fe=9=;0Z<<8:3y'1ac=>2.>hi49;|~y>o6<;0;6)<89;31a>P5?k0:wA<8d;3xRa4=:r.:on4>439U571=:r.>hh49;%7g`?0288n7[<8b;3xH71c28q]h?4={%3`g?73;2\:>:4={%7ga?0<,:7513g8R71e28qG>:j51zTg6?4|,8ih6<:;;W313?4|,{zut1b=9;50;&13<<6:l1]>:l51zN13a<6s_n96?u+1ba9513<^88<6?u+5eg92>"2lm0=7psr}:k202<72-8<57?=e:T13g<6sE85$35:>44b3_84}Ql;09w)?lc;37<>P6:>09w);ke;48 0bc2?1vqps4o0a6>5<#:>31=n;4V35a>4}K:>n1=vXk2;0x 4ed28i>7[?=7;0x 0bb2?1/9ij56:~yx=zjok1<7950;2x 71>28i?7E<9d:k26c<72-8<57?=e:T13g<6sE8k5Y13596~"2ll0=7);kd;48yx{z3`;8<7>5$35:>44b3_84}Ql;09w)?lc;304>P6:>09w);ke;48 0bc2?1vqps4i01a>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed289i7[?=7;0x 0bb2?1/9ij56:~yx=n9=<1<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm51548R4402;q/9ik56:&6`a<13twvq6g>4883>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6<01]=?952z&6``<13-?oh784}|~?l73i3:1(?96:00f?S40j3;p@?9k:0yU`7<5s-;ho7?;a:T262<5s-?oi784$4fg>3=zutw0c74e23_;9;73=#=mn1:6sr}|9~fcd=8381<7>t$35:>g?<@;288n76a>c483>!4013;h96X=7c82I40l3;pZi<52z&2gf<6k<1]=?952z&6``<13-?oh784}|~?xdak3:1?7>50z&13<<082B9:i5f13d94?"5?00:>h5Y26`95~J5?m0:w[j=:3y'5fe=9;l0Z<<8:3y'1ac=991/9ij5119~yx{2681!3cm3<0(8jk:79~yx{h1=vB=7e82Sb52;q/=nm513d8R4402;q/9ik5139'1ab=9;1vqps4i013>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed289;7[?=7;0x 0bb2880(8jk:008yx{z3`;8n7>5$35:>44b3_84}Ql;09w)?lc;30f>P6:>09w);ke;31?!3cl3;97psr}:m2g0<72-8<57?l5:T13g<6sE854;294~"5?00<<6F=6e9j57`=83.9;44>2d9K62><^;=i62681!3cm3;97);kd;31?x{zu2c:?o4?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb827g=Q9;=1>v*:dd826>"2lm0:>6sr}|9l5f3=83.9;44>c49U62d=9rF9;i4>{Wf1>7}#9ji1=n;4V004>7}#=mo1:6*:de85?x{zu2wijk4?:583>5}#:>31;=5G27f8m44a290/>:7513g8L71?3_84}Ql;09w)?lc;31b>P6:>09w);ke;31?!3cl3;97psr}:k275<72-8<57?=e:T13g<6sE86*:de826>{zut1b=>l50;&13<<6:l1]>:l51zN13a<6s_n96?u+1ba956d<^88<6?u+5eg957=#=mn1=?5r}|8k4e2290/>:751b78R71e28qG>:j51zTg6?4|,8ih6{zut1vn<>?:187>5<7s-8<579?;I05`>o6:o0;6)<89;31a>N5?11]>:l51zN13a<6s_n96?u+1ba957`<^88<6?u+5eg957=#=mn1=?5r}|8m457290/>:7513g8R71e28qG>:j51zTg6?4|,8ih6<=?;W313?4|,44288n7[<8b;3xH71c28q]h?4={%3`g?74j2\:>:4={%7ga?753-?oh7?=;|~y>i6k<0;6)<89;3`1>P5?k0:wA<8d;3xRa4=:r.:on4>c49U571=:r.>hh49;%7g`?00083>1<729q/>:75719K63b:4={%7ga?753-?oh7?=;|~y>o6;90;6)<89;31a>P5?k0:wA<8d;3xRa4=:r.:on4>319U571=:r.>hh4>2:&6`a<6:2wvqp5f12`94?"5?00:>h5Y26`95~J5?m0:w[j=:3y'5fe=9:h0Z<<8:3y'1ac=9;1/9ij5139~yx{6=4+26;95f3<^;=i62wvqp5rb021>5<0290;w)<89;3`0>N5>m1b=?h50;&13<<6:l1]>:l51zN13a<6s_n96?u+1ba957`<^88<6?u+5eg92>"2lm0=7psr}:k275<72-8<57?=e:T13g<6sE85$35:>44b3_84}Ql;09w)?lc;30f>P6:>09w);ke;48 0bc2?1vqps4i065>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed28>=7[?=7;0x 0bb2?1/9ij56:~yx=n9=31<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm515;8R4402;q/9ik56:&6`a<13twvq6g>4`83>!4013;9i6X=7c82I40l3;pZi<52z&2gf<63=zutw0qo??3;296?6=8r.9;44m9:J12a=n9;o1<7*=78826`=6=4+26;95f3<^;=i62wvqp5rb027>5<4290;w)<89;53?M41l2c:>k4?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb826c=Q9;=1>v*:dd824>"2lm0:<6sr}|9j566=83.9;44>2d9U62d=9rF9;i4>{Wf1>7}#9ji1=>>4V004>7}#=mo1==5+5ef955=zutw0c74e23_;9;73=#=mn1:6sr}|9~f462290>6=4?{%04=?173A8=h6g>2g83>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6:o1]=?952z&6``{M04`?7|^m81>v*>cb8275=Q9;=1>v*:dd826>"2lm0:>6sr}|9j56d=83.9;44>2d9K62><^;=i62681!3cm3;97);kd;31?x{zu2e:o84?:%04=?7d=2\9;o4>{M04`?7|^m81>v*>cb82g0=Q9;=1>v*:dd85?!3cl3<0qpsr;|`243<72?0;6=u+26;935=O:?n0e<2681!3cm3i0(8jk:b9~yx{:4={%7ga?e<,:7513g8L71?3_84}Ql;09w)?lc;30f>P6:>09w);ke;a8 0bc2j1vqps4i065>5<#:>31=?k4H35;?S40j3;p@?9k:0yU`7<5s-;ho7?;6:T262<5s-?oi7?=;%7g`?753twvq6g>4883>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6<01]=?952z&6``<6:2.>hi4>2:~yx=h9j?1<7*=7882g0=Q:>h1=vB=7e82Sb52;q/=nm51b78R4402;q/9ik56:&6`a<13twvq6sm11594?0=83:p(?96:628L70c3`;9j7>5$35:>44b3A8<46X=7c82I40l3;pZi<52z&2gf<6:o1]=?952z&6``{M04`?7|^m81>v*>cb8275=Q9;=1>v*:dd8`?!3cl3i0qpsr;h30f?6=,;=26<P5?k0:wA<8d;3xRa4=:r.:on4>3c9U571=:r.>hh4l;%7g`?e288n7E<88:T13g<6sE86*:de826>{zut1b=9750;&13<<6:l1]>:l51zN13a<6s_n96?u+1ba951?<^88<6?u+5eg957=#=mn1=?5r}|8k4e2290/>:751b78R71e28qG>:j51zTg6?4|,8ih6{zut1vn<>7:185>5<7s-8<579?;I05`>o6:o0;6)<89;31a>N5?11]>:l51zN13a<6s_n96?u+1ba957`<^88<6?u+5eg9g>"2lm0h7psr}:k275<72-8<57?=e:J13==Q:>h1=vB=7e82Sb52;q/=nm51228R4402;q/9ik5c:&6`a3c83>!4013;9i6F=799U62d=9rF9;i4>{Wf1>7}#9ji1=>l4V004>7}#=mo1o6*:de8`?x{zu2c:8;4?:%04=?75m2B9;55Y26`95~J5?m0:w[j=:3y'5fe=9=<0Z<<8:3y'1ac=9;1/9ij5139~yx{26=4+26;957c<^;=i62681!3cm3<0(8jk:79~yx{56;294~"5?00<<6F=6e9j57`=83.9;44>2d9K62><^;=i6h5G26:8R71e28qG>:j51zTg6?4|,8ih6<=?;W313?4|,{zut1b=>l50;&13<<6:l1]>:l51zN13a<6s_n96?u+1ba956d<^88<6?u+5eg9g>"2lm0h7psr}:k203<72-8<57?=e:J13==Q:>h1=vB=7e82Sb52;q/=nm51548R4402;q/9ik5139'1ab=9;1vqps4i06:>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed28>27[?=7;0x 0bb2880(8jk:008yx{z3f;h97>5$35:>4e23_84}Ql;09w)?lc;3`1>P6:>09w);ke;48 0bc2?1vqps4}c33e?6=?3:1744a3_;9;73=#=mn1:6sr}|9j566=83.9;44>2d9U62d=9rF9;i4>{Wf1>7}#9ji1=>>4V004>7}#=mo1:6*:de85?x{zu2c:?o4?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb827g=Q9;=1>v*:dd85?!3cl3<0qpsr;h372?6=,;=26<2681!3cm3<0(8jk:79~yx{26=4+26;957c<^;=i62wvqp5f15c94?"5?00:>h5Y26`95~J5?m0:w[j=:3y'5fe=9=k0Z<<8:3y'1ac=>2.>hi49;|~y>i6k<0;6)<89;3`1>P5?k0:wA<8d;3xRa4=:r.:on4>c49U571=:r.>hh49;%7g`?00c83>7<729q/>:75b89K63b5$35:>4e23_84}Ql;09w)?lc;3`1>P6:>09w);ke;48 0bc2?1vqps4}c33g?6==3:1N5>m1b=?h50;&13<<6:l1C>:64V35a>4}K:>n1=vXk2;0x 4ed288m7[?=7;0x 0bb2j1/9ij5c:~yx=n9::1<7*=78826`=O:>20Z?9m:0yO62b=9r\o>74573_;9;7f=#=mn1o6sr}|9j56d=83.9;44>2d9U62d=9rF9;i4>{Wf1>7}#9ji1=>l4V004>7}#=mo1=?5+5ef957=zutw0e<:9:18'62?=9;o0D?97;W04f?7|D;=o62681!3cm3i0(8jk:b9~yx{6=4+26;95f3<^;=i62wvqp5rb02g>5<5290;w)<89;`:?M41l2c:>h4?:%04=?75m21d=n;50;&13<<6k<1]>:l51zN13a<6s_n96?u+1ba95f3<^88<6?u+5eg92>"2lm0=7psr}:a55c=8331<7>t$35:>26<@;288n7[<8b;3xH71c28q]h?4={%3`g?75n2\:>:4={%7ga?e<,:7513g8L71?3_84}Ql;09w)?lc;304>P6:>09w);ke;a8 0bc2j1vqps4i01a>5<#:>31=?k4H35;?S40j3;p@?9k:0yU`7<5s-;ho7?4783>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6hi4>2:~yx=n9=31<7*=78826`=O:>20Z?9m:0yO62b=9r\o>742>3_;9;744<,{M04`?7|^m81>v*>cb820d=Q9;=1>v*:dd826>"2lm0:>6sr}|9j51d=83.9;44>2d9U62d=9rF9;i4>{Wf1>7}#9ji1=9l4V004>7}#=mo1=?5+5ef957=zutw0e<:l:18'62?=9;o0D?97;W04f?7|D;=o62681!3cm3;97);kd;31?x{zu2e:o84?:%04=?7d=2\9;o4>{M04`?7|^m81>v*>cb82g0=Q9;=1>v*:dd85?!3cl3<0qpsr;|`24c<728;1<7>t$35:>26<@;288n7[<8b;3xH71c28q]h?4={%3`g?75n2\:>:4={%7ga?e<,:7513g8R71e28qG>:j51zTg6?4|,8ih6<=?;W313?4|,{zut1b=>l50;&13<<6:l1]>:l51zN13a<6s_n96?u+1ba956d<^88<6?u+5eg9g>"2lm0h7psr}:k203<72-8<57?=e:T13g<6sE85$35:>44b3_84}Ql;09w)?lc;37=>P6:>09w);ke;a8 0bc2j1vqps4i06b>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed28>j7[?=7;0x 0bb2j1/9ij5c:~yx=n9=h1<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm515`8R4402;q/9ik5c:&6`a4b83>!4013;9i6F=799U62d=9rF9;i4>{Wf1>7}#9ji1=9m4V004>7}#=mo1o6*:de8`?x{zu2c:8i4?:%04=?75m2B9;55Y26`95~J5?m0:w[j=:3y'5fe=9=n0Z<<8:3y'1ac=k2.>hi4l;|~y>o6N5?11]>:l51zN13a<6s_n96?u+1ba951c<^88<6?u+5eg957=#=mn1=?5r}|8m456290/>:7513g8L71?3_84}Ql;09w)?lc;305>P6:>09w);ke;31?!3cl3;97psr}:k277<72-8<57?=e:J13==Q:>h1=vB=7e82Sb52;q/=nm51208R4402;q/9ik5139'1ab=9;1vqps4i010>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed28987[?=7;0x 0bb2880(8jk:008yx{z3`;887>5$35:>44b3_84}Ql;09w)?lc;300>P6:>09w);ke;48 0bc2?1vqps4i016>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed289>7[?=7;0x 0bb2?1/9ij56:~yx=n9:<1<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm51248R4402;q/9ik56:&6`a<13twvq6a>c483>!4013;h96X=7c82I40l3;pZi<52z&2gf<6k<1]=?952z&6``<13-?oh784}|~?xd6990;62>:0D?8k;h31b?6=,;=26<2681!3cm3i0(8jk:b9~yx{h5Y26`95~J5?m0:w[j=:3y'5fe=9:h0Z<<8:3y'1ac=k2.>hi4l;|~y>o6P5?k0:wA<8d;3xRa4=:r.:on4>479U571=:r.>hh4l;%7g`?e288n7[<8b;3xH71c28q]h?4={%3`g?7312\:>:4={%7ga?e<,:7513g8R71e28qG>:j51zTg6?4|,8ih6<:n;W313?4|,{zut1b=9l50;&13<<6:l1]>:l51zN13a<6s_n96?u+1ba951d<^88<6?u+5eg9g>"2lm0h7psr}:k20f<72-8<57?=e:J13==Q:>h1=vB=7e82Sb52;q/=nm515a8R4402;q/9ik5c:&6`a4e83>!4013;9i6F=799U62d=9rF9;i4>{Wf1>7}#9ji1=9j4V004>7}#=mo1=?5+5ef957=zutw0e<:j:18'62?=9;o0Z?9m:0yO62b=9r\o>742b3_;9;744<,{M04`?7|^m81>v*>cb8274=Q9;=1>v*:dd826>"2lm0:>6sr}|9j564=83.9;44>2d9K62><^;=i62681!3cm3;97);kd;31?x{zu2c:?94?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb8271=Q9;=1>v*:dd85?!3cl3<0qpsr;h301?6=,;=26<2681!3cm3<0(8jk:79~yx{2wvqp5`1b794?"5?00:o85Y26`95~J5?m0:w[j=:3y'5fe=9j?0Z<<8:3y'1ac=>2.>hi49;|~y>{e98;1<7?>:183!4013=;7E<9d:k26c<72-8<57?=e:T13g<6sE8k5Y13596~"2ll0h7);kd;a8yx{z3`;8<7>5$35:>44b3_84}Ql;09w)?lc;304>P6:>09w);ke;a8 0bc2j1vqps4i01a>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed289i7[?=7;0x 0bb2j1/9ij5c:~yx=n9=<1<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm51548R4402;q/9ik5c:&6`a4883>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6<01]=?952z&6``f=zutw0e<:m:18'62?=9;o0Z?9m:0yO62b=9r\o>742e3_;9;7f=#=mn1o6sr}|9j51e=83.9;44>2d9K62><^;=i6h5G26:8R71e28qG>:j51zTg6?4|,8ih6<:k;W313?4|,{zut1b=9k50;&13<<6:l1C>:64V35a>4}K:>n1=vXk2;0x 4ed28>n7[?=7;0x 0bb2880(8jk:008yx{z3`;8=7>5$35:>44b3A8<46X=7c82I40l3;pZi<52z&2gf<6;81]=?952z&6``<6:2.>hi4>2:~yx=n9:81<7*=78826`=O:>20Z?9m:0yO62b=9r\o>74553_;9;744<,3583>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6;=1]=?952z&6``<13-?oh784}|~?l74=3:1(?96:00f?S40j3;p@?9k:0yU`7<5s-;ho7?<5:T262<5s-?oi784$4fg>3=zutw0e<=9:18'62?=9;o0Z?9m:0yO62b=9r\o>74513_;9;73=#=mn1:6sr}|9l5f3=83.9;44>c49U62d=9rF9;i4>{Wf1>7}#9ji1=n;4V004>7}#=mo1:6*:de85?x{zu2wi=<<50;32>5<7s-8<579?;I05`>o6:o0;6)<89;31a>P5?k0:wA<8d;3xRa4=:r.:on4>2g9U571=:r.>hh4l;%7g`?e288n7[<8b;3xH71c28q]h?4={%3`g?7482\:>:4={%7ga?e<,:7513g8R71e28qG>:j51zTg6?4|,8ih6<=m;W313?4|,{zut1b=9850;&13<<6:l1]>:l51zN13a<6s_n96?u+1ba9510<^88<6?u+5eg9g>"2lm0h7psr}:k20<<72-8<57?=e:T13g<6sE85$35:>44b3_84}Ql;09w)?lc;37e>P6:>09w);ke;a8 0bc2j1vqps4i06a>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed28>i7[?=7;0x 0bb2j1/9ij5c:~yx=n9=i1<7*=78826`=O:>20Z?9m:0yO62b=9r\o>742d3_;9;7f=#=mn1o6sr}|9j51b=83.9;44>2d9K62><^;=i62681!3cm3;97);kd;31?x{zu2c:?<4?:%04=?75m2B9;55Y26`95~J5?m0:w[j=:3y'5fe=9:;0Z<<8:3y'1ac=9;1/9ij5139~yx{:4={%7ga?753-?oh7?=;|~y>o6;:0;6)<89;31a>P5?k0:wA<8d;3xRa4=:r.:on4>329U571=:r.>hh4>2:&6`a<6:2wvqp5f12694?"5?00:>h5Y26`95~J5?m0:w[j=:3y'5fe=9:>0Z<<8:3y'1ac=>2.>hi49;|~y>o6;<0;6)<89;31a>P5?k0:wA<8d;3xRa4=:r.:on4>349U571=:r.>hh49;%7g`?0288n7[<8b;3xH71c28q]h?4={%3`g?74>2\:>:4={%7ga?0<,:751b78R71e28qG>:j51zTg6?4|,8ih6{zut1vn5<7s-8<57?l4:J12a=n9;l1<7*=78826`=Q:>h1=vB=7e82Sb52;q/=nm513d8R4402;q/9ik56:&6`a<13twvq6g>3183>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6;91]=?952z&6``<13-?oh784}|~?l74j3:1(?96:00f?S40j3;p@?9k:0yU`7<5s-;ho7?3=zutw0e<:9:18'62?=9;o0Z?9m:0yO62b=9r\o>74213_;9;73=#=mn1:6sr}|9j51?=83.9;44>2d9U62d=9rF9;i4>{Wf1>7}#9ji1=974V004>7}#=mo1:6*:de85?x{zu2e:o84?:%04=?7d=2\9;o4>{M04`?7|^m81>v*>cb82g0=Q9;=1>v*:dd85?!3cl3<0qpsr;|`251<72;0;6=u+26;9f<=O:?n0e<28i>7[<8b;3xH71c28q]h?4={%3`g?7d=2\:>:4={%7ga?0<,50z&13<<082B9:i5f13d94?"5?00:>h5G26:8R71e28qG>:j51zTg6?4|,8ih6<44288n7[<8b;3xH71c28q]h?4={%3`g?7482\:>:4={%7ga?753-?oh7?=;|~y>i6k<0;6)<89;3`1>P5?k0:wA<8d;3xRa4=:r.:on4>c49U571=:r.>hh49;%7g`?01783>7<729q/>:75b89K63b5$35:>4e23_84}Ql;09w)?lc;3`1>P6:>09w);ke;48 0bc2?1vqps4}c323?6=;3:1N5>m1b=?h50;&13<<6:l1]>:l51zN13a<6s_n96?u+1ba957`<^88<6?u+5eg955=#=mn1==5r}|8m457290/>:7513g8R71e28qG>:j51zTg6?4|,8ih6<=?;W313?4|,4$4fg>4628i>7[<8b;3xH71c28q]h?4={%3`g?7d=2\:>:4={%7ga?0<,50z&13<<082B9:i5f13d94?"5?00:>h5G26:8R71e28qG>:j51zTg6?4|,8ih6<44288n7E<88:T13g<6sE86*:de826>{zut1b=>l50;&13<<6:l1C>:64V35a>4}K:>n1=vXk2;0x 4ed289i7[?=7;0x 0bb2880(8jk:008yx{z3f;h97>5$35:>4e23_84}Ql;09w)?lc;3`1>P6:>09w);ke;48 0bc2?1vqps4}c32=?6==3:1N5>m1b=?h50;&13<<6:l1]>:l51zN13a<6s_n96?u+1ba957`<^88<6?u+5eg9g>"2lm0h7psr}:k275<72-8<57?=e:J13==Q:>h1=vB=7e82Sb52;q/=nm51228R4402;q/9ik5139'1ab=9;1vqps4i01a>5<#:>31=?k4H35;?S40j3;p@?9k:0yU`7<5s-;ho7?4783>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6hi4>2:~yx=h9j?1<7*=7882g0=Q:>h1=vB=7e82Sb52;q/=nm51b78R4402;q/9ik56:&6`a<13twvq6sm10c94?0=83:p(?96:628L70c3`;9j7>5$35:>44b3A8<46X=7c82I40l3;pZi<52z&2gf<6:o1]=?952z&6``{M04`?7|^m81>v*>cb8275=Q9;=1>v*:dd8`?!3cl3i0qpsr;h30f?6=,;=26<P5?k0:wA<8d;3xRa4=:r.:on4>3c9U571=:r.>hh4l;%7g`?e288n7E<88:T13g<6sE86*:de826>{zut1b=9750;&13<<6:l1]>:l51zN13a<6s_n96?u+1ba951?<^88<6?u+5eg957=#=mn1=?5r}|8k4e2290/>:751b78R71e28qG>:j51zTg6?4|,8ih6{zut1vn5<7s-8<579?;I05`>o6:o0;6)<89;31a>N5?11]>:l51zN13a<6s_n96?u+1ba957`<^88<6?u+5eg9g>"2lm0h7psr}:k275<72-8<57?=e:J13==Q:>h1=vB=7e82Sb52;q/=nm51228R4402;q/9ik5c:&6`a3c83>!4013;9i6F=799U62d=9rF9;i4>{Wf1>7}#9ji1=>l4V004>7}#=mo1o6*:de8`?x{zu2c:8;4?:%04=?75m2B9;55Y26`95~J5?m0:w[j=:3y'5fe=9=<0Z<<8:3y'1ac=9;1/9ij5139~yx{26=4+26;957c<^;=i62681!3cm3<0(8jk:79~yx{56;294~"5?00<<6F=6e9j57`=83.9;44>2d9K62><^;=i6h5G26:8R71e28qG>:j51zTg6?4|,8ih6<=?;W313?4|,{zut1b=>l50;&13<<6:l1]>:l51zN13a<6s_n96?u+1ba956d<^88<6?u+5eg9g>"2lm0h7psr}:k203<72-8<57?=e:J13==Q:>h1=vB=7e82Sb52;q/=nm51548R4402;q/9ik5139'1ab=9;1vqps4i06:>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed28>27[?=7;0x 0bb2880(8jk:008yx{z3f;h97>5$35:>4e23_84}Ql;09w)?lc;3`1>P6:>09w);ke;48 0bc2?1vqps4}c32`?6=?3:1744a3_;9;73=#=mn1:6sr}|9j566=83.9;44>2d9U62d=9rF9;i4>{Wf1>7}#9ji1=>>4V004>7}#=mo1:6*:de85?x{zu2c:?o4?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb827g=Q9;=1>v*:dd85?!3cl3<0qpsr;h372?6=,;=26<2681!3cm3<0(8jk:79~yx{26=4+26;957c<^;=i62wvqp5f15c94?"5?00:>h5Y26`95~J5?m0:w[j=:3y'5fe=9=k0Z<<8:3y'1ac=>2.>hi49;|~y>i6k<0;6)<89;3`1>P5?k0:wA<8d;3xRa4=:r.:on4>c49U571=:r.>hh49;%7g`?01d83>7<729q/>:75b89K63b5$35:>4e23_84}Ql;09w)?lc;3`1>P6:>09w);ke;48 0bc2?1vqps4}c32b?6=;3:1N5>m1b=?h50;&13<<6:l1]>:l51zN13a<6s_n96?u+1ba957`<^88<6?u+5eg955=#=mn1==5r}|8m457290/>:7513g8R71e28qG>:j51zTg6?4|,8ih6<=?;W313?4|,4$4fg>4628i>7[<8b;3xH71c28q]h?4={%3`g?7d=2\:>:4={%7ga?0<,50z&13<<082B9:i5f13d94?"5?00:>h5G26:8R71e28qG>:j51zTg6?4|,8ih6<44288n7E<88:T13g<6sE86*:de826>{zut1b=>l50;&13<<6:l1C>:64V35a>4}K:>n1=vXk2;0x 4ed289i7[?=7;0x 0bb2880(8jk:008yx{z3f;h97>5$35:>4e23_84}Ql;09w)?lc;3`1>P6:>09w);ke;48 0bc2?1vqps4}c315?6==3:1N5>m1b=?h50;&13<<6:l1]>:l51zN13a<6s_n96?u+1ba957`<^88<6?u+5eg9g>"2lm0h7psr}:k275<72-8<57?=e:J13==Q:>h1=vB=7e82Sb52;q/=nm51228R4402;q/9ik5139'1ab=9;1vqps4i01a>5<#:>31=?k4H35;?S40j3;p@?9k:0yU`7<5s-;ho7?4783>!4013;9i6X=7c82I40l3;pZi<52z&2gf<6hi4>2:~yx=h9j?1<7*=7882g0=Q:>h1=vB=7e82Sb52;q/=nm51b78R4402;q/9ik56:&6`a<13twvq6sm13094?0=83:p(?96:628L70c3`;9j7>5$35:>44b3A8<46X=7c82I40l3;pZi<52z&2gf<6:o1]=?952z&6``{M04`?7|^m81>v*>cb8275=Q9;=1>v*:dd8`?!3cl3i0qpsr;h30f?6=,;=26<P5?k0:wA<8d;3xRa4=:r.:on4>3c9U571=:r.>hh4l;%7g`?e288n7E<88:T13g<6sE86*:de826>{zut1b=9750;&13<<6:l1]>:l51zN13a<6s_n96?u+1ba951?<^88<6?u+5eg957=#=mn1=?5r}|8k4e2290/>:751b78R71e28qG>:j51zTg6?4|,8ih6{zut1vn<<<:185>5<7s-8<579?;I05`>o6:o0;6)<89;31a>N5?11]>:l51zN13a<6s_n96?u+1ba957`<^88<6?u+5eg9g>"2lm0h7psr}:k275<72-8<57?=e:J13==Q:>h1=vB=7e82Sb52;q/=nm51228R4402;q/9ik5c:&6`a3c83>!4013;9i6F=799U62d=9rF9;i4>{Wf1>7}#9ji1=>l4V004>7}#=mo1o6*:de8`?x{zu2c:8;4?:%04=?75m2B9;55Y26`95~J5?m0:w[j=:3y'5fe=9=<0Z<<8:3y'1ac=9;1/9ij5139~yx{26=4+26;957c<^;=i62681!3cm3<0(8jk:79~yx{56;294~"5?00<<6F=6e9j57`=83.9;44>2d9K62><^;=i6h5G26:8R71e28qG>:j51zTg6?4|,8ih6<=?;W313?4|,{zut1b=>l50;&13<<6:l1]>:l51zN13a<6s_n96?u+1ba956d<^88<6?u+5eg9g>"2lm0h7psr}:k203<72-8<57?=e:J13==Q:>h1=vB=7e82Sb52;q/=nm51548R4402;q/9ik5139'1ab=9;1vqps4i06:>5<#:>31=?k4V35a>4}K:>n1=vXk2;0x 4ed28>27[?=7;0x 0bb2880(8jk:008yx{z3f;h97>5$35:>4e23_84}Ql;09w)?lc;3`1>P6:>09w);ke;48 0bc2?1vqps4}c311?6=?3:1744a3_;9;73=#=mn1:6sr}|9j566=83.9;44>2d9U62d=9rF9;i4>{Wf1>7}#9ji1=>>4V004>7}#=mo1:6*:de85?x{zu2c:?o4?:%04=?75m2\9;o4>{M04`?7|^m81>v*>cb827g=Q9;=1>v*:dd85?!3cl3<0qpsr;h372?6=,;=26<2681!3cm3<0(8jk:79~yx{26=4+26;957c<^;=i62wvqp5f15c94?"5?00:>h5Y26`95~J5?m0:w[j=:3y'5fe=9=k0Z<<8:3y'1ac=>2.>hi49;|~y>i6k<0;6)<89;3`1>P5?k0:wA<8d;3xRa4=:r.:on4>c49U571=:r.>hh49;%7g`?083;h:6P7f:?bb?75m2wxmk4?:75x9d`=9j?0196j:00e?82?n3;9j63=b9826c=::k31=?h4=207>44a349997?=f:?063<6:o16?l9513d8910e288m70:9c;31b>;3>m0:>k5247g957`<5==;6<2g9>5=1=9;l01<67:00e?87?13;9j63:f3826c=:=o91=?h4=4d6>44a34?m:7?=f:?7a2<6:o168h6513d891c>288m70;k1;31b>;2l;0:>k5256c957`<5<=i6<;i4>2g9>12c=9;l019hm:00e?82ak3;9j63;fe826c=:>9i1=?h4=72g>44a34<>57?=f:?1=7<6:o16>4=513d897?3288m70<65;31b>;1k5265g957`<5=2;6<2g9>0=5=9;l0196;:00e?850l3;9j63>b1826c=:9k;1=?h4=0f0>44a34;mo7?=f:?075<6:o16?>?513d89655288m70=<3;31b>;3k5245g957`<5=>m6<i54>2g9>1`?=9;l01;?l:00e?806l3;9j63:a2826c=:=h?1=?h4=432>44a348:>7?=f:?156<6:o16><:513d89772288m70<>6;31b>;2:l0:>k52570957`<5<386<5;4>2g9>1<1=9;l01877:00e?854m3;9j63<3g826c=:;=:1=?h4=e;957`<5mk1=?h4=d3957`<5l<1=?h4=d5957`<5l21=?h4=d;957`<5o31=?h4=025>44a34;;;7?=f:?24=<6:o16==7513d8947f288m70?>b;31b>;69j0:>k52130957`<58886<4e2348o<7?=f:?1`4<6:o1684>513d891?6288m70;5j00:?=523369566<5:8>6<=?;<112?748278m;4>2g9>7d1=9::0198m:013?821k3;8<63;6e8275=:>4=553>45734;387?<0:?2<3<6;916=595122894>?289;70?79;304>;2n;0:?=525g19566<56<=?;<7e2?74827?i:4>319>0`>=9::018j>:013?83c:3;8<63:7`8275=:=>h1=>>4=45`>45734?;18m0:?=5264;9566<5;396<=?;<0:7?748279594>319>6<3=9::01;:k:013?803m3;8<63;818275=:<1;1=>>4=5:1>45734>3?7?<0:?7<1<6;916?:j5122894b0288m70?ic;304>;4;90:?=523239566<5:996<=?;<107?74827?8i4>319>01c=9::019:i:013?83b?3;8<63:e98275=:=l31=>>4=73`>45734<:h7?<0:?6e6<6;9169<:513d89072288m70<>2;304>;59:0:?=522069566<5;;>6<=?;<6g1?75n27?h;4>2g9>0a1=9;l019j7:00e?82c13;9j63:2g826c=:=::1=?h4=441>45734?=o7?=f:?62a<6:o169;k513d8900a288m70;80;31b>;21:0:?=525849566<5<3<6<=?;<7:319>76`=9::01>:?:013?8b>289;70jn:013?8bc288m70jj:00e?8ba288m70k?:00e?8c6289;70k9:013?8c0289;70k7:013?8c>289;70kk:00e?8cb288m70ki:00e?8`7288m70h>:00e?8`>289;70??6;304>;68>0:?=5211:9566<58:26<=?;<33a?75n27:=l4>319>54d=9::01228275=:9;>1=>>4}r:b>5<4s42i694>3c9>773=9:h01><9:01a?85f?3;8n6345e34>=o7?3289i70?76;30f>;60>0:?o5219:956d<58226<=m;<7e1?74j27>j;4>3c9>0`?=9::018j>:01a?83c:3;8n63:7d8275=::081=>l4=3;0>45e348287?512`891>6289i70:72;30f>;30:0:?o52496956d<5:=o6<=m;<104?74j278?<4>3c9>764=9:h01>=<:01a?823l3;8n63;4d827g=:<=l1=>l4=4g4>45e34?n47?8>513d890g2289;70;>4;304>;59?0:?=5253d9566<5<5>4>3c9>1<2=9::01879:01a?83>?3;8n63:99827g=:;:o1=>l4=21e>45e349?<7?1;31b>;69;0:>k5210c956d<58896<=m;|q;;fk3;9i6s|ab83>1e|5hi1=n;4=5;2>457348i47?;6:?1f<<6=70==6;372>;4i>0:8;523`:9566<5:k26<=?;<65f?73>27?:n4>479>03b=9=<0198j:065?87?<3;?:63>878203=:91=1=984=0:;>42134;357?;6:?6b0<6289i70;k1;372>;2l;0:8;5256g956d<5;;o6<479>6<5=9=<01?7;:065?84>=3;?:63;818203=:<1;1=984=5:1>42134>3?7?;6:?7<1<6;4;80:8;523209510<5:986<:9;<67`?73>27?8h4>479>01`=9=<018k8:065?83b03;?:63:e88203=:=h?1=>l4=436>457348::7?;21=0:?o525849510<5<3<6<:9;<7:278?h4>479>76`=9=<01>:?:065?8bb289;70k>:065?8c128>=70k8:065?8c?28>=70k6:065?8`>28>=70??7;30f>;68o0:?=521029566<58;:6<=?;<326?74827:=o4>3c9>575=9:h0q~6;:1808>228i=7S6;;44b3tyjm7>53dy>ed<6k<16>o6515;897d>28>270==4;37=>;4:<0:8452334951?<5:k<6<:6;<1b3c9>03d=9=30198l:06:?821l3;?563;6d820<=:91>1=974=0:5>42>34;3;7?;9:?2<=<6<016=57515;891c0289i70;k1;37=>;2l;0:845256c956d<5;;n6<489>6<5=9=301?7;:06:?84>=3;?563;81820<=:<1;1=974=5:1>42>34>3?7?;9:?7<1<6<016?:j515;8965728>270=<1;37=>;4;;0:8452321951?<5=>o6<:6;<67a?73127?8k4>489>1`1=9=3018k7:06:?83b13;?563=13827g=:=0<1=974=4;4>42>34?247?;9:?07`<6<016?>h515;8962728>270k>:06:?8c128>270k8:06:?8c?28>270k6:06:?8cb289;70h6:06:?877n3;8n63>11827g=:98;1=>l4=031>45e3ty3>7>53z?;7?7d>2T3>63n9;31a>{ti00;6>jt=`;95f3<5;h36<:n;<0a=?73i278>94>4`9>773=9=k01><9:06b?85f?3;?m6342f34>=o7?;a:?72a<6328>j70?76;37e>;60>0:8l5219:951g<58226<:n;<7g5?73i27>h?4>4`9>12d=9:h019hm:01a?84>:3;?m63=92820d=::0>1=9o4=3;6>42f34>3<7?;a:?7<4<6428>j70:74;37e>;4?m0:8l52322951g<5:9:6<:n;<106?73i278?>4>4`9>01b=9=k019:j:06b?823n3;?m63:e6820d=:=l21=9o4=4g:>42f348>=7?=f:?156<6;k16948515c890?028>j70;68;37e>;4;l0:8l5232d951g<5:>;6<:n;42f34o=6<:n;42f34o36<:n;42f34om6<=?;42f34;;j7?;6:?255<6=7p}70;297~;?93;h:6P70:?b=9j?01?l7:06a?84e13;?n63<25820g=:;;?1=9l4=205>42e349j;7?;b:?0e=<6<016?l7515;8910e28>i70:9c;37f>;3>m0:8o5247g951d<582?6<:m;<3;2?73j27:4:4>4c9>5=>=9=h01<66:06a?83c93;?n63:d3820g=:=>i1=>l4=5d`>45e3482>7?;b:?1=6<64:515`897?228>i70:70;37f>;3080:8o52490951d<5=286<:m;<6;0?73j278;i4>4c9>766=9=h01>=>:06a?854:3;?n63<32820g=:<=n1=9l4=56f>42e34>?j7?;b:?6a2<628>i70;59=0:?o524e79566<5<3=6<:m;<7:3?73j27>554>4c9>76c=9=h01>=i:06a?85383;?n63j1;37f>;b>3;?n63j7;37f>;b03;?n63j9;37f>;a13;?n63>0g820<=:98:1=974=032>42>34;:>7?;9:p3`<72:q6;k4>c79]3`=:i>0:>h5rs`594?5cs4k<64b9>772=9=i01><::06`?855>3;?o6342f34>=n7?;c:?72f<6h70?74;37g>;60?0:8n52195951e<58236<:l;<3;=?73k27>h<4>4b9>1a4=9=i019hk:01a?84>:3;?o63=92820f=::0>1=9m4=3;6>42d34>3<7?;c:?7<4<6428>h70:74;37g>;4?m0:8n52322951e<5:9:6<:l;<106?73k278?>4>4b9>01b=9=i019:j:06`?823n3;?o63:e6820f=:=l21=9m4=4g:>42d3489h7?=f:?150<6;k168i85122890?128>h70;67;37g>;2110:8n5232g951e<5:9m6<:l;<174?73k27n=7?;c:?f2?73k27n;7?;c:?f3c9>55`=9=k0113820d=z{>i1<7=t=6f95f0i01l8513g8yvg1290:nv3n6;3`1>;5j10:8i522c;951b<5:8?6<:k;<111?73l278>;4>4e9>5=0=9=n01<68:06g?87?03;?h63>88820a=:;::1=9j4=212>42c3498>7?;d:?076<689513d890?128>o70;67;37`>;2110:8i5232g951b<5:9m6<:k;<174?73l27n:7?;d:?f3?73l27n47?;d:?f=?73l27m<7?<0:?e=?73l2wx;54?:2y>3<<6k?1U;552a5826`=z{h>1<74e2348i47?;e:?1f<<6n70==6;37a>;4i10:8o5247`951b<5=4d9>5=1=9=o01<67:06f?87?13;?i63;e9827g=:=>n1=>l4=3;1>42c3482?7?;d:?7<5<6o70=<0;37a>;4;80:8h52320951c<5:986<:j;<67`?73l27?8h4>4e9>1`1=9=n018k7:06g?843:3;9j63;d68275=:=0<1=9k4=4;4>42b34?247?;e:?07`<6h515g8962728>n70k9:06f?8c028>n70k7:06f?8c>28>n70h6:06f?877n3;?n63>11820g=z{><1<7=t=6595f0<01l=513g8yvg4290:nv3n3;3`1>;5j10:?<522c;9567<5:8?6<=>;<111?749278>;4>309>5=0=9:;01<68:012?87?03;8=63>888274=:;::1=>?4=212>4563498>7?<1:?076<6;816>>l513d890?1289:70;67;305>;2110:?<5232g9567<5:9m6<=>;<174?74927n:7?<1:?f3?74927n47?<1:?f=?74927m=7?<0:?e=?7492wxo;4?:4y>g2<6k?1Uo;52c9826`=:k00:>h52c`826`=z{j21<7=t=b:95f3<5:h36<g<<6k<169n65cb9~wfg=833p1no51b7894062ji01<8j:ba896b72ji01>k;:ba896be2ji01>ki:ba896`12ji019:8:ba8yvde290?w0ll:0a5?[de34ho6<44b3tyih7>5fz?a`?7d=27??o4lc:?75`l4lc:?777;;7ml;<675?ed3tyii7>55z?aa?7d=27?on4lc:?615nl4lc:?6623g<6k?1U;l52a4826`=z{h?1<74e2348i47?<2:?1f<<6;;16??:512089642289970==6;306>;4i00:8o5247f951b<5=27:494>4e9>5=0=9:801<68:011?87?03;8>63>888277=:421348287?;d:?1=0<6428>o70:74;37`>;4;90:??523239564<5:996<==;<107?74:27?8k4>4e9>1`?=9=n01?:6:00e?82c03;8<63:978277=:=0=1=><4=4;;>4553498i7?<2:?07c<6;;16?9>512089`7=9=n01h8512089`1=9:801h6512089`?=9:801k751208947628>i70?>2;37f>{t?=0;6>u27482g3=Y?=16m?4>2d9~wd4=83;iw0o=:0a6?84c83;8<63=b98276=::k31=>=4=207>454349997?<3:?063<6;:16=585121894>0289870?78;307>;6000:?>523229565<5:9:6<=<;<106?74;278?>4>329>662=9;l01879:010?83>?3;8?63:998276=:;:o1=>=4=21e>454349?<7?<3:?f2?74;27n;7?<3:?f36<6k?1U;?52a0826`=z{h;1<7?m{4e2348i47?<4:?1f<<6;=16??:512689642289?70==6;300>;60?0:?9521959562<58236<=;;<3;=?74<278?=4>359>767=9:>01>==:017?854;3;8863=25826c=:>4=4;5>45334?2;7?<4:?6==<6;=16?>k51268965a289?70=;0;300>;b>3;8863j7;300>;b03;8863j9;300>;a13;886s|13:94?2|58826;6:h0:>h5213`957c544y>57g=9j?01?87:ba897ga2ji01?j::ba8962>2ji0197<:ba897dd2ji01?jj:ba897c?2ji01?h=:ba897`d2ji01>>9:ba896772ji01>?n:ba8964>2ji01>;;:ba8963a2ji01;>9:ba893022ji01?8>:ba897e12ji01>8n:ba896gd2ji0199=:ba894>d2ji0198::ba8911f2ji018h6:ba891cd2ji018j;:ba890672ji01;>i:ba891?d2ji019on:ba8933c2ji01?77:ba897g62ji01;;?:ba896162ji019;7:ba891>12ji01<9k:ba894g22ji01>6?:ba896>c2ji01;=>:ba8935>2ji01;=i:ba8932?2ji01<77:ba894d42ji017j:ba8934e2ji01;k5cb9>6=e=kj16?>85cb9>004=kj1688k5cb9>1=e=kj169hm5cb9>24`=kj16:?;5cb9>141=kj168ol5cb9>0ae=kj1v<;19<0ho63:088`g>{t=m31<7=t=4fb>4e13W?o563:dc826`=z{o65127897d>289>70==4;301>;4:<0:?8523349563<5:k36<:l;<1b=?73k27?:o4>4d9>03e=9=o0198k:06f?821m3;?i63>85820`=:91<1=>;4=0:4>45234;347?<5:?2<<<6;<16>4<515g897?428>n70<64;37a>;51<0:8h52492951c<5=2:6<:j;<6;6?73m27?4>4>4d9>0=2=9=o01>9k:06f?87>=3;9j63>b18275=:9k;1=>>4=0f7>44a3498<7?<5:?074<6;<16?><512789654289>70:;d;37a>;3i44>4d9>101=9;l018o?:00e?835m3;8<63:2g827g=:=::1=>l4=47f>44a34?=o7?;21?0:?8525859563<5<336<=:;<10a?74=278?k4>349>716=9:?01h?515g89`0=9:?01h9512789`>=9:?01h7512789c?=9:?01<>6:01a?877k3;9j63>0d8275=:99l1=9m4=033>42d34;:=7?;c:?257<66}:ii7?l5:?7;5j00:?;52613957`<5?:?6<309>03e=9:;0198k:012?821m3;8=63:f0826c=:=o81=>l4=4d6>42>34>n87?=f:?6`4<6;1=>0:?=5264:957`<5??26<=m;<46f?74827=8i4>3c9>73`=9;l0196?:012?82?93;8=63;838274=:<191=>?4=25;>44a349;6j90:?o521g:957`<58l26<=?;<3ee?75n2785>4>2g9>7<2=9;l01>=?:015?854:3;8:63<328273=:;k81=?h4=2`1>45734>?h7?<1:?55f<6;k169895122890g7289;70;n4;31b>;29;0:>k5253g956d<5<8m6<:9;<704?73>27>9h4>319>134=9:h0188l:065?831m3;?:63:71827g=:;:o1=>84=21e>451349?<7?<6:?1>44a34n<6<=?;44a34n26<=m;45734o:6<=>;45134o<6<=9;45134o26<=9;45134;;97?=f:?24f<6;916==h515f8947628>o70?>9;31b>;6:80:>k5rs8f94?5|50o1=n84^8f89g0=9;o0q~l9:18e8d128i>70;5j10:?;523379560<582=6<=9;<3;278?<4>379>764=9:=01?;7:00e?83>?3;8:63<3d8272=:;=:1=>94=d49561<5l21=>94=g;95614e13W3m70l8:00f?xue?3:1jv3m7;3`1>;5l80:?o522c;9561<5:8?6<=9;<112?74>27:4:4>379>5=?=9:<01>=?:014?854;3;8;63=02826c=:=0<1=>84=4;;>4513498j7?<7:?f3?74?27n57?<7:p0`c=839p19ki:0a5?[2bm27?j=4>2d9~w1`72908w0:i0;3`1>;6=o0:>k5214d956654z?2g4<6:l1695<51b48Z0>634?3=7?l5:p5f7=83>p1:0a6?87103;9j63>698275=:;h>1=>l4}r72=44>c79]14><5<;j6<288m70?99;304>{t=:o1<7=t=41e>4e13W?8i63:41826`=z{<>;6=49{<774?7d=27?:>4>2g9>035=9::01<97:01a?870i3;8n63:5d8203=z{2T>m5525`c957c56z?6ed<6k<168:6513d8911?289;70?n0;30f>;6i;0:?o5211a95104e13Wn870?=6;31a>{t9;<1<7mt=005>4e2349ho7?<0:?0ga<6<016?h>512`896c5289;70=k8;31b>;4mh0:?=523d`9510<5;2?6<2g9>7c2=9::0q~;6}:=:n1=n;4=2;`>44a3492o7?<0:p1a0=839p18j8:0a5?[3c>27>h54>2d9~w0b?2908w0;k8;3`1>;3<<0:>k5245795664e13W2=70om:00f?xufj3:1>v3nb;3`1>;58=0:?=5rs8`94?5|50i1=n84^8`89g3=9;o0q~l::1808d228i>70;5:l0:?=5rs8394?5|5081=n84^8389g6=9;o0q~l?:1818d728i>70<=5;304>{t1:0;6>u29582g3=Y1:16n<4>2d9~wg7=838p1o?51b789752289;7p}65;297~;>>3;h:6P65:?a6?75m2wxn?4?:3y>f7<6k<16>>m51228yv?02908w077:0a5?[?034h86<4e2348?m7?<0:p1ge=839p18lk:0a5?[3ek27>nn4>c49~w0e72909w0;mc;31a>;2k90:o85rs4`e>5<5s4?io7mi;<7ab?7d=2wx8oh50;1x91e728i=7S:mf:?7fc<6k<1v9m<:18182en3;9i63;c282g0=z{=i96=4={<6ab?ea34>h>7?l5:p117=839p18:=:0a5?[33927>8<4>c49~w0222909w0;;1;31a>;2<<0:o85rs467>5<5s4??=7mi;<770?7d=2wx9ll50;1x90gd28i=7S;nb:?6eg<6k<1v8oi:18183fj3;9i63:ag82g0=z{c49~w1ba2909w0:kd;31a>;3lo0:o85rs4aa>5<4s4?ho7?l6:\6gg=:=jh1=n;4}r7``?6=:r7>oo4>2d9>1fb=9j?0q~;83;297~;2?=0:o;5Q5618901428i>7p}:7483>7}:=>91=?k4=456>4e23ty?j<4?:2y>0c4=9j<0R9h>;<6e5?7d=2wx8k:50;0x91`6288n70:i4;3`1>{t9l?1<7=t=0g5>4e13W;n963>e482g0=z{;;26=4={<3f1?75m279=44>c49~w4bf2908w0?kb;3`2>X6lh16=io51b78yv7an3:1>v3>d`826`=:9ol1=n;4}r3gg?6=;r7:hi4>c79]5ae<58nh67p}>e083>6}:9l81=n84^0g2?87b93;h96s|23294?4|58o:6<2T:i:521d595f352z?2a2<6:l16>>>51b78yv7b13:1?v3>e`82g3=Y9l3010;6?u21d;957c<5;9<6;6mm0:o85rs366>5<5s4;nh7?=e:?100<6k<1veg9>5``=9j?0q~<;c;296~;6mo0:>h5225a95f353z?2b7<6k?1U=k?4=0d2>4e23ty99>4?:3y>5c7=9;o01?;<:0a6?xu2=;0;6>u254195f0{t=<>1<744b34?>87?l5:p1j27>5o4>c49~w0?c2909w0;6b;31a>;21m0:o85rs5ca>5<4s4>jo7?l6:\7eg=:2d9>0db=9j?0q~;?2;297~;28:0:o;5Q5108906528i>7p}:0583>7}:=981=?k4=427>4e23ty>15d=9j<0R8>n;<73e?7d=2wx9=m50;0x906f288n70;?c;3`1>{t=8h1<7=t=43`>4e13W?:n63:1c82g0=z{<;o6=4={<72f?75m27>=i4>c49~w4d>2909w0;71;31a>;6j00:o85rs0`b>5<5s4?3=7mi;<3ae?7d=2wx=ih50;1x94c728i=7S?kf:?2`c<6k<1v?>k:18187cn3;9i63=0e82g0=z{=h96=4<{<6a7?7d>2T?n?524c095f3i87>52z?7f7<6:l168o:51b78yv2dm3:1?v3;cg82g3=Y;2;;0:o85rs417>5<5s4?8>7?=e:?671<6k<1v8;6:180832i3;h:6P:589>10?=9j?0q~;:b;296~;2=00:>h5254`95f353z?623<6k?1U9;;4=446>4e23ty>::4?:3y>133=9;o01888:0a6?xu20m0;6>u259g95f0{t=1l1<744b34?3j7?l5:pfc<72:q6o=4>c79]fc=:jo0:o85rsb394?4|5kl1=?k4=b395f354z?6g5<6:l169ok513g890ef289;70;l8;3`1>{t=ko1<7:t=4`f>4e234?h97?=f:?6g3<6:o16=;o513d8yv3d93:1>v3:bg826`=:=j;1=n;4}r7`=?6=:r7>o<4>2d9>1f?=9j?0q~;l2;296~;2kh0:>k525b095f352z?6gd<6k<169n6513g8yv75l3:1o9u213f95f3<50fe=:m63:b`812<=:=kk1>8o4=34;>70>348=47<:a:?1ec<5>016>lh524c897b22;<270;4<009:45235;960g<5=386?86;<6:7?42i27::>4>319>537=:?301<9?:013?871m38=563=bb812<=::ki1>8o4=3ff>70>348oi7<:a:?1a=<5>016>h6524c897`52;<270;5nj09:4522ga960g<5::=6?86;<132?42i278==4=689>746=:?n:34:?856i38>m63<28812<=:;;31>8o4=277>70>349>87<:a:?01c<5>016?8h524c893612;<2708?6;06e>;1><09:452677960g<5;<:6?86;<055?42i279o;4=689>6f0=:8n:34:?851i38>m638o4=551>70>34><>7<:a:?2016=5m524c891022;<270:95;06e>;3?h09:45246c960g<50`e=:9l1>;74=72e>73f34>2o7<99:?7=f<5=h168lo527;891gf2;?j70:;3;k099l5240g963?<5=;n6?;n;<1ae?411278nl4=5`9>7a6=:?301>j?:37b?825<38=563;25811d=:>;74=77g>73f349n87<99:?0a1<5=h168?o527;8914f2;?j70:<2;05=>;3;;099l5228:963?<5;336?;n;<0b5?411279m<4=5`9>7ad=:?301>jm:37b?85bn38=563<:1>;74=773>73f34;>n7<99:?21g<5=h16?:?527;896162;?j70:?d;05=>;38m099l52407963?<5=;>6?;n;<660=0=:?301969:37b?870l38=563>7e811d=:9h?1>;74=0c6>73f3493<7<99:?0<5<5=h16?5j527;896>c2;?j70=ic;05=>;4nj099l52623963?<5?9:6?;n;<40=?41127=?44=5`9>26`=:?301;=i:37b?803038=563949811d=:>109:45269811d=:9021>;74=0;;>73f34;i?7<99:?2f6<5=h16=i7527;894b>2;?j70?ie;05=>;6nl099l52294963?<5;2=6?;n;<1:3?4112785:4=5`9>77j:37b?85d>38=563;74=2d5>73f34>;;7<99:?742<5=h1689?527;891262;?j70:;7;05=>;3<>099l5263`963?<5?8i6?;n;<4f>70>34760=:?301>=9:37b?85e<38=563;74=571>73f34>>i7<99:?71`<5=h1695m527;890>d2;?j70;jc;05=>;2mj099l52607963?<5?;>6?;n;<42b?41127==k4=5`9>273=:?301;<::37b?837138=563:08811d=:=8=1>;74=434>73f34?9;7<99:?662<5=h168ol527;891de2;?j70:kc;05=>;3lj099l5rs34e>5<59:q6>;h51b7890e?2jh019ml:b`890372jh018ln:b`897ga2jh01?j::b`891?42jh01<8>:b`8940b2jh01?ll:b`897d2289>70;5j?0:?8522c49560<5;h36<=n;<0a3e9>6g>=9:o01?l7:01e?84e03;?<63=b98204=::k21=9<4=3`;>424348i47?;4:?1f=<6<<16>o65155897d?28>370;5j00:?n522c;956b<5;h26<=j;<0a=?74n279n44>419>6g?=9=;01?l6:061?84e13;??63=b88201=::k31=9;4=3`:>420348i57?;8:?1``k75123897`>289970;5n00:?9522g;9563<5;l26<=9;<0ee?73m279jl4>309>6cg=9:801?hn:010?84ai3;8863=f`8270=::ok1=>84=225>fd<5::86<=>;<137?74:278<>4>329>755=9:>01>><:016?857;3;8:63<058274=:;9>1=><4=227>454349;87?<4:?041<6;<16?=:5124896772jh01>>k:011?857l3;8?63<0e8271=:;9n1=>;4=22g>451349;i7?<2:?04`<6;:16?=k51268966b289>70=?e;302>;49h0hn63<168276=:;8=1=>:4=234>452349:;7?<6:?05=<6;:16?<651268967?289>70=>8;302>;4:00hn63<238271=:;;81=>;4=201>451349987?;4:=0:8=523369517<5:8?6<:=;<110?73;278>94>459>772=9=?01><;:064?855<3;?463<24827d=:;;?1=>m4=206>45c349997?:70==5;376>;4:<0:8>523379512<5:8>6<::;<111?73?278>84>499>770=9:k01><9:01`?855>3;8h63<27827`=:;;<1=>h4=205>4273499:7?;1:?063<6<;16??851518964128>?70==6;371>;4:?0:8:52334951><5?:=6nl4=746>fd<5;i=6nl4=3a0>451348h87?<6:?0ef309>7d>=9:801>o7:010?85f03;886384=2c:>456349j57?<2:?0e<<6;:16?l75126896g>289>70=n9;302>;3?;0hn63;6c8273=:84=54g>45134>=i7?<6:?2339>5=2=9:901<6;:017?87?<3;8963>858273=:91<1=>m4=0:5>45c34;3:7?128>:70?76;376>;60?0:8>521949512<582=6<::;<3;2?73?27:4;4>499>5=1=9:i01<68:01g?87??3;8i63>86827c=:91=1=9>4=0:4>42634;3;7?;2:?2<2<6<:16=595156894>028>>70?77;373>;60>0:855219:956e<58236<=k;<3;3g9>5=>=9=:01<67:062?87?03;?>63>898206=:9121=9:4=0:;>42234;347?;7:?2<=<6<116=57512a894>>289o70?79;30a>;6000:?k5219;9516<58226<:>;<3;=?73:27:444>429>5=?=9=>01<66:066?87?13;?;63>88820==:=o31oo524da9gg=:=m>1oo525e39567<5h<4>359>1a7=9:?018j>:015?83c:3;8=63:d38277=:=m81=>=4=4f1>45334?o>7?<5:?6`7<6;?169=>5cc9>25`=kk1684m5cc9>0dg=kk168>l5cc9>04c=kk16?oo5cc9>7a6=kk168?:5cc9>20b=kk16?h:5cc9>07g=kk168><5cc9>6<>=kk16>4<5124897?4289=70<64;302>;51<0:?;522`39gg=:;mh1oo523dd9gg=:><:1oo5214`9gg=:;>;1oo5241f9gg=:<8?1oo5244:9gg=:<1<1oo524929563<5=2;6<=9;<6;5?74=27?4<4>379>0=4=9:?0196=:015?82?;3;8963;828273=:<1>1=>;4=5:7>45134;329>72b=9:>01>9k:016?850l3;8:63<8e8`f>;4nj0hn639308`f>;1;00hn6393g8`f>;1<10hn6398;aa?87>03ii70?m3;aa?87c13ii70?ie;aa?84?>3ii70=67;aa?85>m3ii70=l6;aa?85a>3ii70:?7;aa?82393ii70:;7;aa?805j3ii708j:b`897>d2jh01>=9:b`8964b289870==e;300>;4:l0:?85233g9560<5:9;6<=l;<104?74l278?=4>3d9>766=9:l01>=?:063?85483;?=63<318207=:;::1=9=4=213>4233498<7?;5:?075<6<>16?>>515:89656289h70=<1;30`>;4;80:?h52323956`<5:9:6<:?;<105?739278?<4>439>767=9=901>=>:067?85493;?963<308202=:;:;1=964=211>45d3498>7?<512d8965528>;70=<2;375>;4;;0:8?523209515<5:996<:;;<106?73=278??4>469>764=9=201>=<:01`?854;3;8h63<32827`=:;:91=>h4=210>4273498?7?;1:?076<6<;16?>=51518965428>?70=<3;371>;4;:0:8:52321951><5:h?6nl4=571>fd<5=>o6<=;;<67`?74=27?8i4>379>01c=9:>019:j:016?823m3;8:63;4g8271=:<=l1=>;4=56e>45134>>i7mm;<7;g?ee34?no7mm;<7f3?74=27>i:4>379>1`>=9:?018k7:015?83b13;8963:e88273=:>8?1oo5260d9gg=:>;?1oo522359562<5;8<6<=:;<013?74>27><44lb:?652>:4lb:?7fg;21?0:8=525849517<5<3=6<:=;<7:2?73;27>5;4>459>1<0=9=?01879:064?83>>3;?463:96827f=:=0=1=>j4=4;4>45b34?2;7?970;67;377>;21>0:89525859513<5<3<6<:8;<7:3?73027>554>3b9>1<>=9:n01877:01f?83>03;8j63:998205=:=021=9?4=4;;>42534?247?;3:?6==<6<=169465157890??28><70;68;37<>;4;m0:?85232f9560<5:9n6<=n;<10a?74k278?h4>3e9>76c=9:o01>=j:01e?854m3;?<63<3d8204=:;:o1=9<4=21f>4243498i7?;4:?07`<6<<16?>k51558965b28>370=;4;o0:?n5232d956b<5:9m6<=j;<10b?74n278?k4>419>76`=9=;01>=i:061?854n3;??63<3g8201=:;:l1=9;4=21e>4203498j7?;8:?005<6;h16?9>512a89627289o70=;0;30a>;4<90:?k523529516<5:>;6<:>;<174?73:2788=4>429>716=9=>01>:?:066?85383;?;63<41820==:m80:?952e08270=:m80:?;52e7827f=:m?0:?i52e7827`=:m?0:?k52e78205=:m?0:8<52e78207=:m?0:8>52e78201=:m?0:8852e78202=:m?0:8552e6827f=:m>0:?i52e6827`=:m>0:?k52e68205=:m>0:8<52e68207=:m>0:8>52e68201=:m>0:8852e68202=:m>0:8552e9827f=:m10:?i52e9827`=:m10:?k52e98205=:m10:8<52e98207=:m10:8>52e98201=:m10:8852e98202=:m10:8552e8827f=:m00:?i52e8827`=:m00:?k52e88205=:m00:8<52e88207=:m00:8>52e88201=:m00:8852e88202=:m00:8552f8827f=:n00:?i52f8827`=:n00:?k52f88205=:n00:8<52f88207=:n00:8>52f88201=:n00:8852f88202=:n00:855211d9562<58:m6<=:;<33b?74>27:==4>359>546=9:?01108270=:98;1=>84=031>45334;:>7?<5:?257<6;?1v8m<:18183d:3;9j63:c282g0=z{o94>c49~w6e?290mw0;l5;304>;2k?0:?=5217c9566<5:i362g9>7fc=9;l01>k?:00e?85b93;9j6344a349nn7?=f:?0af<6:o16?hj513d8yv5ck3:1nv3:c4827g=:=j<1=>l4=04b>45e349hh7?<0:?0g`<6;916?im51b7896c5288m70=k9;304>;4mk0:?=523da9566<5:oo6<=?;|q0`7<72mq69n;5154890e128>=70?9a;372>;4km0:?o523bg956d<5:o;6<=?;<1f5?748278h?4>c49>7a0=9::01>j8:013?85bj3;8n63l4}r1f2?6=1r7>o84>489>1f0=9=301<8n:06:?85dl3;?:63l4=2g5>4e2349nm7?=f:?0aa<6c49>000=9;l019;9:013?835<3;9j6s|45094?4|570;l4;304>{tj10;6<:t=4a:>44a34?h57?<0:?7ga<6:o168nj512289036288m70;:1;304>;2jk0:>k525c`9566<5=936<k4>2g9>066=9;l01<;6:00e?805288m70:?2;31b>;2010:>k52530957`<5k21=n;4=41;>44a34?857?=f:p0fd=839p19m<:00f?82d93;9i63;cb82g0=z{=i:6=4:{<6`5?7d=27::?4>2g9>534=9::01<8i:00e?871n3;8<6s|4b694?4|5=i96<{t44b34>h97?l5:p0f0=838p19m::00e?82d>3;h96s|4b594?4|5=i>6<=?;<6`3?7d=2wx8<850;3482d03;9j63;c8826c=:44a34?i97?=f:?753<6k<168;39;0:>k52401957`<5?81=>>4=71957`<5?>1=?h4=77957`<5?<1=?h4=2a3>44a349h=7?=f:?0g7<6:o168=;513d89047288m70;=3;31b>;2:=0:?=5rs2`6>5h47?<0:?22f<6:o168>651228915>289;70:>b;304>;4j<0:o85243d9566<5=9;6<=?;<36319>045=9::01>li:00e?85d83;8<63289;70:la;304>;2k525c4957`<5=;j6<c49>502=9;l01<;;:013?805289i708<:013?803289;708::013?801289;70=l0;30f>;4k80:?=523b09566<5=:>6<=?;<714?7482wx8?;50;3082d03;?:63;c8827g=:l4=46g>44a34?i;7?=f:?767<6:o168?<51228914228i>70?:5;31b>;6=<0:?=52638203=:>:0:?o5265827g=:><0:?o5267827g=:;j:1=984=2a2>45e349h>7?n54>2g9>07>=9;l019<7:013?825j3;h963>57826c=:9<<1=>>4=70951?<5?91=984=769510<5??1=984=749510<5:i;6<:6;<1`5?73>278o?4>479>053=9=<0q~:l8;296~;3k10:o8524b5957`8?7>514y>0f?=9=301<8l:013?824;3;h963;36826c=:<;l1=>l4=513>45e34;>57?<0:?56?73i27=?7?;9:?50?73127=97?;9:?52?731278nk4>319>7f7=9=301>m;:013?827:3;8<63;028275=:<9>1=?h4=526>42>34?9>7?<0:?661<6;k1v9m6:18182d13;h963;c68275=z{:l<6=4n{<6`e?73127::n4>3c9>06>=9:h019?0:8l523b6956d<5=:?6<=?;<70=?7482wx8>m50;cx91ef28>j70:<9;30f>;3;90:8;5267820g=:;kl1=>l4=2a1>42>34>;87?289i7p};c`83>7}:45e3ty>8k4?:2y>113=9;o018:<:00f?83283;h96s|55194?4|5<>86{t=<;1<744b34?>=7?l5:p111=838p18;?:00f?833?3;h96s|55:94?4|5<><6<{t;j91<7=t=46b>44a34??m7?<0:?0g6<6k<1v8:n:181833i3;h963:48826c=z{8=:6=4n{<77f?74827>8n4>319>11b=9::018:j:013?87093;h963>79826c=:9>31=?h4=05b>44a349h87?;6:?265<6:o1v8:m:181833j3;h963:488275=z{<>h6=4={<77g?7d=27>844>3c9~w02c2909w0;;d;3`1>;2<00:8;5rs46f>5<5s4??i7?l5:?60<<6<01v8l6:18083fn3;9i63:ae826`=:=kk1=n;4}r7b`?6=:r7>mi4>c49>1g>=9:h0q~;m0;296~;2il0:>h525c295f352z?6f5<6:l169ol51b78yv3e93:1>v3:b`826`=:=k;1=n;4}r7a6?6=:r7>n<4>2g9>1g4=9j?0q~;m3;296~;2j80:?=525c195f353z?6f1<6:o169o:51228940e28i>7p}:b583>7}:=k>1=n;4=4`0>44a3ty:544?:`y>1g3=9::018l9:013?83e?3;8<63:b98275=:9?i1=984=0;:>4e234;j<7?=f:?2e4<6:o16=l<513d8947?288m7p}:b483>7}:=k?1=n;4=4`0>4573ty>n;4?:3y>1g0=9j?018l<:01a?xu2j>0;6?u25c595f3<527p}=6683>7}::?81=?k4=34;>4e23ty9:?4?:35x970528i>70=;7;372>;5j<0:?o522c4956d<5;ni6<=m;<0gg?74j279i84>3c9>6`0=9:h01?ki:01a?84a83;8n63=f8827g=::ok1=>l4=220>45e349;87?8;30f>;4:;0:?o52340956d<5:?o6<=m;<456?75n279o>4>3c9>6f2=9:h01>88:065?85103;?:63956826c=:>45e3482i7?9513d89323288m708;5;31b>;6n00:>k52235956d<5:9h6<=m;44a34n<6<;6513g8970328i>7p}=6283>7}::?21oo5227195f352z?121<6:o16>;;51b78yv41>3:1>v3=658275=::?<1=n;4}r177?6=jr79:;4>2g9>715=9j?01>:8:00e?85283;9j63<50826c=:;44a348>j7?=f:?020<6:o16?;8513d89600288m7p}<4c83>d}::?<1=>>4=26a>4e2349><7?<0:?014<6;916?8l513d8963d289;70<:f;304>;4><0:?=523749566<5:<<6<=?;|q010<720q6>;8512`8963228i>70=:a;304>;4=k0:?=5234a956d<5;?m6<=m;<151?74j278:;4>3c9>731=9:h0q~=90;290~;5>?0:8;5224d9510<5:<;65?50;05841;3;9j63<468275=:;=>1=?h4=3`6>44a348i:7?=f:?1`g<6:o16>im513d897c2288m70;5mo0:>k522g2957`<5;l26<4>2g9>752=9;l01>>k:00e?857m3;9j63<16826c=:;821=?h4=201>44a349>>7?=f:?00f<6:o16?8j513d89631288m70<:c;31b>;5k:0:>k522b6957`<5:<>6<:9;<152g9>6<6=9;l01?7j:00e?84>n3;9j63=8082g0=::1k1=?h4=3:b>4573489;7?=f:?07f<6:o1v?68:1810~;5>:0:?=52355956d<5:>?6<=?;<0a1?748279n;4>319>6ad=9::01?jl:013?84b=3;8<63=e78275=::ll1=>>4=3d3>457348m57?<0:?1bd<6;916?==512289663289;70=?d;304>;48l0:?=523059566<5:;36<=?;<116?7482789?4>319>71e=9::01>;k:013?852>3;8<63=5b8275=::j91=>>4=3a7>457349=:7?;6:?02=<6;k16?;?5122897?7289;70<6e;304>;51o0:?=5229595f3<5;8<6<=?;<10g?7482wx>lk50;0x97g4288n70{t:h91<7<7{<0b7?7d=279m44>2g9>6dg=9;l01?om:00e?84fk3;9j63=ae826c=::jn1=?h4=3`6>421348i:7?;6:?1`g<6im5154897c228>=70;5mo0:8;522g29510<5;l26<:9;<0ee?73>278<>4>479>752=9=<01>>k:065?857m3;?:63<168203=:;821=984=201>421348h?7?;6:?1g1<6;4;m0:>k52e4826c=:n<0:>k52f7826c=:n>0:>k52f9826c=:nm0:>k52fd826c=:no0:>k52112957`<58::6<lh513g897g328i>7p}=a483>7}::h>1=?h4=3c6>4e23ty9m;4?:3y>6d2=9::01?o9:0a6?xua;3:1?v3=a6826c=::h=1=>>4=g195f352z?1e2<6k<16>l8513d8yv`e2908w0;5i10:?=52fc82g0=z{;k36=4={<0b319~w6252909=v3=a88275=::hk1=>>4=3ca>457348jo7?<0:?1ea<6;916>nk513d894>1289<70?77;303>;6010:?:5219;9561<5:9;6<=7;<105?74?278??4>399>765=9:201879:014?83>?3;8;63:998272=:;=81=n;4=d79566<5l<1=>64=d5956><5l21=>64=d;956><5o?1=>>4=g49566<5o=1=>>4=g:9566<5o31=>64=gf9566<5oo1=>>4=gd9566<58:;6<=?;<335?7482wx>l750;0x97g>28i>70{t:1n1<7=8{<0be?74j279n94>2g9>6g3=9=301?l7:014?84e13;8463=d`826c=::mh1=974=3g7>44a348n97?;9:?1a`<6:o16>hh515;897`?288m70;48;0:>k52311951?<5::h6<2g9>741=9=301><>:00e?855:3;?563<258272=:;;?1=>94=205>450348h>7?=f:?1g6<6<016=58512:894>0289370?78;30<>;6000:?5524g:957`<5=l26<479>674=210>45>34?3:7?=f:?6<=<6;916957513d890?1289370;67;30<>;2110:?55232f9566<5:9n6<=7;<10b?7402788=4>399>a3<6;016i:4>389>a=<6;016i44>389>b<<6;01v?on:18184fi3;h963=a78203=z{;3j6=4<7z?1eg<6;k16>o:5122897d128>270;5j00:?4522ec9566<5;nh6<:6;<0f0?748279i;4>489>6`c=9::01?h?:06:?84a03;8<63=f`820<=:;981=>>4=227>42>349;o7?<0:?04`<6<016?<851228967?28>270==1;304>;4:=0:?552337956><5:8=6<=7;<0`6?748279o94>489>5=0=9:301<68:01:?87?03;8563>88827<=:>4=5d:>4573482<7?;9:?1=d<6k<16>4k515;897?a28>270=<0;30e>;4;80:?452320956g<5:986<=n;<7;2?74827>454>3c9>1=?=9::01?<8:06:?83>>3;8563:96827<=:=021=>74=21g>45e3498i7?<9:?07c<6;016?9>512;89`0=9:k01h9512c89`>=9:k01h7512c89c?=9:k0q~51by>6de=9:h01?m7:0a6?84dl3;8<63=cd8275=::k?1=9o4=3`5>42f348on7?;a:?1`f<6h;515c897c128>j70;5n90:8l522g;951g<5;lj6<:n;<137?73i278<94>4`9>75b=9=k01>>j:06b?856?3;?m63<19820d=:;;81=9o4=3a0>42f348h87?;a:?2`0<6;916??k51228974028>j70=;b=3;8n6s|2`a94?4|5;kh6i850;3484fl3;8n63=b4820g=::k<1=9l4=3f5>4e2348om7?h8515`897ca28>i70;5n00:8o522gc951d<5::86<:m;<130?73j2784c9>75c=9=h01>?8:06a?85603;?n63<23820g=::j91=9l4=3a7>42e3499i7?j515;8yv4fl3:1>v3=ae82g0=::h<1=9l4}r0g0?6=:r79o54>2d9>6a3=9j?0q~h522e195f353z?1gc<6k<16in4>2g9>af<6;91v?m6:18184c=3;9i63=c882g0=z{;ij6=4={<0`=?75n279ol4>c49~w7ee2909w0;5kk0:o85rsd194?5|5;ih6<nk50;0x97eb28i>70{t<3:1=?u22e2956d<5;n:6<:9;<0g6?75n27:h>4>319>5a2=9::01l4=5f4>45e34>o47?i>50;0x97b728i>70{t:m;1<74e2348o?7?<0:p73e=833p1?j=:013?851k3;h963<6g8275=:;1<1=>>4=2:4>44a349357?=f:?0=}::m81=>l4=250>4e23493:7?f289;70=64;30f>;41<0:?=5rs2:2>5<2s48o>7?;6:?0<4<6k<16?5;5122896?328>=70=65;30f>{t;1l1<7=t=3f1>42>3493j7?l5:?0=6<6;91v?j=:18184c:3;h963=d2827g=z{:>36=4<{<177?75m2788l4>319>71?=9j?0q~=;5;296~;4k5235795f352z?00d<6k<16?97513g8yv53<3:1>v3<488`f>;4<=0:o85rs265>5<5s49?97?=f:?003<6k<1v>:8:181853=3;8<63<4682g0=z{=396=4<{<6;3?75m27?594>319>0<5=9j?0q~:77;2975}:<1=1=n;4=5:g>45734>3i7?5154891?628>=70:9b;306>;3>j0:??5247f9564<5=2g9>6<4=9:;01?7<:012?84><3;8=63=948274=:<1:1=><4=5:2>45534>3>7?<2:?7<6<6;;1685:51238941e288m70?n3;31b>;4?k0:>k52184957`<58h;6<:9;<3a5?74j27?8i4>339>01c=9:;019:i:012?83b?3;8=63:e98274=:=l31=>?4=44`>42>34?=h7?;6:?62`<6<0169;h51548901728>=70jk:01a?8bb289i70ji:01a?8c7289;70k>:011?877m3;8n63>0g820`=:98:1=9j4=032>42b34;:>7?;d:p0=>=838p197;:00e?82?03;h96s|48694?4|5=3?6?288m70:79;3`1>{t<1k1<745734>3m7?l5:p01e=839p196m:00e?82?j3;8<63;4b82g0=z{=2i6=4={<6;f?7d=27?4l4>2g9~wae=839p196l:00e?82?k3;8<63kc;3`1>{t<1i1<74e234>3m7?<0:p7d`=83>=w0:7d;30f>;30o0:?o522c:956?<5:8?6<=6;<111?741278>;4>389>254=9;l01;>;:013?85f<3;8<63>87827d=:91=1=>o4=0:;>45f34;357?270:j4;304>;2l;0:8i5261f956d<5??<6<=m;<463c9>6<4=9:801?7<:011?84><3;8>63=948277=:>=o1=>l4=24e>45e34>387?<2:?03=<6;916?:o5122896>2289i70=77;30f>;1<<0:?o526549566<58h:6<:9;<3e3c9>5cg=9::01;3;8n63<948203=:;:;1=>o4=2ce>4e234>?i7?<2:?70c<6;;169h95120890c?289970;j9;306>;19m0:?o525`69566<5<;96<=?;<75`?73127>:k4>489>1<0=9:k01878:01b?83>03;8m63=:013?8b0289i70j7:013?8bf289i70jk:065?8bb28>=70k?:01a?877=3;8<63>0d8203=:98:1=9k4=031>42b34;:57?<0:?264<6;91v96k:18182?l3;h963;8`827g=z{=3>6=4=9z?7<`<6270:61;37=>;3>k0:?>5247f9565<5=3>62g9>0d5=9;l01?7=:010?84><3;8?63;818276=:<181=>=4=056>44a34;<97?<0:?2=a<6:o16=4j5122894?5288m70?63;31b>;6im0:>k521`d957`<58h;6<:6;<3a5?73127?8o4>2g9>1`1=9:9018k6:010?831k3;?m63:6e820d=:=?o1=9o4=44e>42f34?<<7?;9:?g`?73127oi7?;9:?gb?73>27n<7?;6:?24`<6<016==h512389477289:70?>1;305>;69;0:?<5rs5;g>5<3nr7?4h4>489>0=`=9=30197?:06b?82>93;?m63;6b8276=:=4=553>42>34>n;7?;6:?7a=<6<0168h751548901f28>=70;8b;372>;2?j0:8;5256f951?<5<=n6<:9;<6ef?73>27?jn4>479>0cb=9=<01976:00e?82>13;8<63;9e82g0=:>4=5c7>4573482?7?<3:?1=0<6;:1685?5121891>4289870:74;307>;6?k0:?=521`19566<58396<=?;<3:0?75n27:584>319>5<0=9::01ag8275=:9k:1=9o4=0`2>42f3445734>?n7?<0:?6a=<6;:169l<513d890g4289i70;n4;30f>;2i<0:8;522009510<5;;86<:9;<020?73>279=84>479>640=9=<0188l:06a?831l3;?n63:6d820g=:=?l1=9l4=453>42f34no6<:n;42f34nm6<:6;42>34;;97?=70??9;372>;68l0:8l5211d9564<58;;6<==;<325?74:27:=?4>339>54?=9:h011b8203=:9;;1=>l4=001>42134;9?7?;6:?261<642e349j47?;d:?0e<<6;3>l0:?952462951g<5=o<6<:6;<6f489>12g=9=30189m:06:?830k3;?563:7e820d=:=>o1=974=5da>42>34>mo7?;9:?7ba<6<0168l:512`897?5289?70<63;300>;51=0:?9522879562<5=2;6<=;;<6;5?74<27?4?4>359>0=5=9:>0196;:017?87003;8<63>788275=:9>k1=>>4=05a>45e34;j<7?<0:?2e4<6;916=l<5122894g4289i70?65;30f>;61?0:?o521`d956d<58h;6<:m;<3a5?73j27?8i4>329>01c=9:9019:i:010?83b?3;8863:e98271=:=l31=>:4=4c1>45734?j?7?;6:?6e1<6270<>3;37=>;59=0:8452207951?<5;;=6<:6;<4e>4e234>i57?=f:?62f<6h70;9f;37g>;2?90:8o52de820g=:ll0:8o52dg820d=:m90:8l52e08276=:99?1=984=025>42>34;;;7?;9:?24=<6<016==7515;8946b28>i70??f;307>;6990:?>521039565<58;96<=<;<32479>54g=9=301218275=:9;;1=984=001>42>34;9?7?;9:?261<6<01v96j:18182?m3;h963;8`8203=z{=2m6=4={<6;b?7d=27?4l4>489~w1?72909w0:60;3`1>;30h0:8l5rs5;2>5<5s4>2=7?l5:?76082g0=z{8?h6=4:{<36g?7d=27?=n4>319>044=9:h018<<:013?876=3;9j6s|17094?4|58<86<70?91;06e>{t944b34;>h7?l5:p50c=838p1<;k:00e?872m3;h96s|14d94?4|58?o6<=?;<36b?7d=2wx=;j50;0x9403288n70?9e;3`1>{t9?>1<78t=047>4e234>:o7?{t9?i1<744b34;=o7?l5:p53`=838p1<9?:00e?871n3;h96s|16294?4|58=;6{t9?<1<744a34;=:7?l5:p531=838p1<8::013?871?3;h96s|17:94?4|58<3628i>70?97;304>{t9?k1<74e234;=;7?3c9>6f5=9=i01?m;:06`?855m3;?:63<3e820d=:n<0:?o5rs3`b>5<5s48i;7?=e:?1fd<6k<1v?l8:18084e?3;h963<3`826c=:;:k1=>>4}r0a5?6=:r79ni4>2g9>6g7=9j?0q~7>52z?1f4<6:o16>o<51b78yv4e;3:1>v3=b08275=::k91=n;4}r0a0?6=:r79n94>c49>6g5=9;l0q~42d348n<7?l5:?1a1<6;k16>hh515a897`728>h70;5nh0:8n52311951e<5::?6<:l;<13`?73k278

4b9>741=9=i01>?7:06`?855:3;?o63=c2820a=::j>1=9j4=20f>42>3489;7?;c:?07a<63c9~w7cf290:?v3=b4820a=::k<1=9j4=3gb>4e2348ni7?ko515f8966428>o70=?4;37`>;48m0:8i5231g951b<5:;<6<:k;<12?4>4e9>6f5=9=o01?m;:06f?855m3;?m63=26820a=:;:n1=9m4=gg956d510y>6g3=9=o01?l9:06f?84a<3;h963=f9827g=:;991=9k4=227>42b349;h7?;e:?04`<6n70==2;37a>;5k:0:?<522b69567<5:8n6<:m;<013?73m278?i4>4e9>bc<6;k1v?hj:18e84e=3;8=63=b78274=::oo1=n;4=221>45e349;h7?<1:?04`<6;816?<951238967?289:70==2;305>;5k:0:??522b69564<5:8n6<:l;<013?749278?i4>4d9>556=9:h0q~=?8;29`~;5j<0:??522c49564<5::36339>74>=9:801><=:011?84d;3;8?63=c58276=:;;o1=9j4=304>4553498h7?<1:?244<6;k1v>?=:18a84e=3;8?63=b78276=:;881=n;4=235>45e3499>7?<3:?1g6<6;=16>n:51268964b28>n70<=7;307>;4;m0:??52f7827g=z{:;h6=46{<0a1?74<279n;4>359>74e=9j?01><>:01a?84d;3;8963=c58270=:;;o1=>?4=21g>45434l<6<=m;|q1f0<72;q6>o;51b7897d4289;7p}=b783>7}::k<1=n;4=3`0>45e3ty9n54?:3y>6g>=9j?01?ln:00e?xu5j00;6?u22c;95f3<5;hj6<=?;|q1`a<72:q6>i8513g897ba289;70{t:m=1<744a348o;7?l5:p6a`=838p1?ji:0a6?84cm3;9i6s|2e:94?4|5;n<6<i750;0x97b0289;70{t:mk1<74e2348o57?=f:p6ad=838p1?jm:0a6?84c13;8<6s|2ea94?4|5;nh6h950;1x97c7288n70;5m10:o85rs3g2>5<5s48n57?=f:?1a4<6k<1v?k6:18184b13;h963=e9826`=z{;o96=4={<0f5?75n279i?4>c49~w7c42909w0;5m:0:o85rs3g7>5<5s48n87?l5:?1a6<6:o1v?k::18184b=3;h963=e28275=z{;o=6=4={<0f2?7d=279i>4>3c9~w7`62908w0;5n:0:?=522g095f352z?1b6<6:o16>hl51b78yv4a;3:1>v3=f282g0=::o81=?k4}r0fg?6=:r79io4>2g9>6`e=9j?0q~52z?1a`<6k<16>hj513d8yv4bn3:1>v3=eg82g0=::ln1=>>4}r0e4?6=:r79j=4>c49>6`b=9:h0q~h522gf9566<5;lh6kj513d897`228i>7p}=fe83>7}::on1=n;4=3d`>44b3ty9j;4?:3y>6c3=9;l01?h9:0a6?xu5n>0;6?u22g79566<5;l<6k651b7897`0288m7p}=f883>7}::o31=n;4=3d4>4573ty9jl4?:3y>6cg=9j?01?h8:01a?xu48<0;6>u22gg957c<5::<6<=?;<132?7d=2wx>kh50;0x9660288m70{t;9=1<74e2349;:7?=e:p756=838p1?hi:00e?85783;h96s|31394?4|5;lm6<=?;<135?7d=2wx?=<50;0x966528i>70=?1;31b>{t;991<74e2349;=7?<0:p752=838p1>>;:0a6?85793;8n6s|31d94?5|5::36<c49~w66>2909w0=>1;31b>;4800:o85rs232>5<5s49:=7?l5:?055<6:l1v>>n:18185713;9j63<0`82g0=z{::i6=4={<13=?748278c49~w66d2909w0=?c;3`1>;48k0:>k5rs22g>5<5s49;h7?l5:?04g<6;91v>>j:181857m3;h963<0c827g=z{:;26=4<{<126?75m278=o4>319>74g=9j?0q~=>3;296~;49k0:>k5230195f352z?05g<6k<16?v3<12826c=:;8>1=n;4}r121?6=:r78=>4>319>743=9j?0q~=>6;296~;49?0:o852307957`52z?052<6k<16?<;51228yv5603:1>v3<1982g0=:;8?1=>l4}r112d9>77g=9::01><6:0a6?xu4:>0;6?u2331957c<5:8<6{t;8n1<744a349:h7?l5:p77g=838p1>f;3`1>{t:;<1<7=t=203>44a3499<7?<0:?163<6k<1v>319~w6452909w0==2;3`1>;49o0:?o5rs207>5<5s49987?l5:?062<6:o1v><::181855=3;h963<268275=z{:8=6=4={<112?7d=278>:4>3c9~w6342909w0=;b;31a>;4==0:o85rs26g>5<5s49>87?=e:?00a<6k<1v>:l:181852<3ii70=;c;3`1>{t;=o1<744a349?i7?l5:p71`=838p1>:k:013?853n3;h96s|34294?4|5:?;670=;f;304>{t;<81<74e2349?j7?;::00f?852n3;h96s|34594?4|5:?m6<;9:0a6?xu4=10;6?u2345957`<5:?3628i>7p}<5`83>7}:;44a3ty89o4?:3y>70d=9j?01>;6:013?xu4=j0;6?u234a95f3<5:?26<=m;|q01a<72;q6?8j51b78963>28>=7p}90483>7}:=oh1=?k4=725>4e23ty>jo4?:01x90`e28i>708?1;304>;18;0:?=52611957`<527=479>25b=9=<01;;6:065?803l3;?:6394d8203=:9oi1=984=73`>42134<:h7?;6:?61`<6;k169;<515489a?=9=<01io51548946d289i7p}:fb83>7}:>9<1=?k4=4d`>4e23ty>ji4?:3y>1ce=9;l018hk:0a6?xu2nl0;6?u25ga9566<5;18k0:?=5265;95f3<5?9o6<i>4>2g9>1`5=9::01;?<:00e?806;3;8<6s|5gd94?4|5;1=h0:o85rs723>5<5s4<;<7?l5:?6b`<6;91v8kk:18580793;8n63903827g=:=ln1=n;4=4d2>45e34?m>7?;9:?6b6<6<01v;>>:18180793;h963:fd827g=z{?:96=4={<436?7d=27>jh4>479~w336290:9v39028275=:>9>1=>l4=4d1>42f34?m?7?;a:?54f<6<016:=j515;8933628i>708:6;31b>;1=k0:8;5265f951?<5?>n6<:6;<471?73>27=8;4>3c9>5c1=9;l010:8;52d9827g=:l00:8452d`820<=z{?996=4m{<437?74j27=9;4>319>201=9=<01;;7:01a?80213;?56393382g0=:9o=1=>>4=0d;>42134;m57?;6:?2bd<6;k16=km515;8yv07;3:1>v390282g0=:=oo1=974}r46a?6=nr7=<94>479>20c=9j?01;8<:00e?802?3;?5639598203=:>4573428>270?ia;372>;c>3;8<63k7;37=>;c03;?:6s|24`94?1|5?:?6<:6;<456?7482799o4>c49>20>=9=301;:9:06:?87ai3;?563k8;37=>{t>9>1<74e234?mi7?;a:p232=838p1;;j:00f?801=3;h96s|64d94?4|5?<>6<50;0x933a288m70890;3`1>{t>?;1<745734<==7?l5:p234=838p1;8=:0a6?80193;9j6s|63a94?0|5?<86<=?;<41g?7d=27=>44>2g9>27?=9::01;?n:00e?806i3;8<6s|63494?2|5?<86<=m;<412?7d=27=>>4>2g9>275=9::0q~893;296~;1>:0:o852673956652z?11g<6:l16>;?51b78yv42l3:1>v3=60826`=::c49~w7e22908w0;5k>0:?=522b495f355z?1f`<6k<16>n<512`8964b289970=;a03;8n6s|2cd94?4|5;i<6<n950;0x97e028i>70{t:j:1<744a348h<7?l5:p6f7=838p1?li:013?84d93;h96s|2b094?4|5;i96n=50;0x97e428i>70{t:j>1<74e2348h=7?8?:00f?851j3;8<63<6`82g0=z{:<96=4={<15f?75n278:?4>c49~w60e2909w0=9b;3`1>;4>h0:>h5rs242>5<5s49=m7mm;<155?7d=2wx?;=50;0x9605288m70=93;3`1>{t;?>1<7457349=87?l5:p733=838p1>8::0a6?851<3;9j6s|37494?4|5:<=670=94;30f>{t;?21<74e2349=87?;6:p7dd=839p1>7i:00f?85fl3;8<63az?0=c<6k<16?l:5154890`528>i70;i3;37f>;2n<0:8l525g4951g<5=o26<:n;<74a?73i27=4`9>25b=9=k01;;6:06b?803l3;?m6394d820d=:9oi1=9o4=73`>42f34<:h7?;a:?6e0<6<8515c8900528>270;80;37g>;21=0:8;52d8820d=:lh0:8l52dg820g=:m90:8o5211g951e52z?0e0<6:l16?lo51b78yv5f=3:1?v34573ty8m=4?:3y>7db=9;l01>o?:0a6?xu4im0;6?u23`f95f3<5:kh6<513d896g628i>7p}7}:;h:1=>>4=2c1>4e23ty>j94?:2y>7d5=9;l01>o<:013?83a<3;h96s|3`194?4|5:k8670=n2;304>{t9>l1<7kt=2c5>457349j47?;e:?0e<<63289:70;k1;37a>;2l;0:8h5236`9566<5:=h6<=<4>319>145=9;l018?;:01a?836=3;8n6s|1g194?5|5:k=6<=m;<3e7?7d=27:j:4>3c9~w6g12909w0=n6;3`1>;4ih0:>k5rs2c4>5<5s49j;7?l5:?0ed<6;91v>o7:18185f03;h963479~w1162908w0:96;31a>;3?:0:?=5246095f3=:7>5bz?723<6k<168;l51278910d289>70:9d;301>;3>l0:?8522809563<5;386<=:;<0:0?74=279584>349>5d`=9=<018o=:01a?xu3?90;6?u247d957c<5==;6f;304>{t44a34>=;7?l5:p025=838p199<:0a6?820:3;9i6s|47:94?4|5=<<6<{t:0;1<7=t=54b>44a34>=m7?<0:?1=4<6k<1v98n:181821i3;h963;68826c=z{=319~w10d2909w0:9c;3`1>;3>00:?o5rs54g>5<5s4>=h7?l5:?72<<6c49~w4>f2909w0?75;31a>;60h0:o85rs0:6>5<4s4;397?l5:?f0?75n27n87?<0:p5=6=838p1<6l:00f?87?83;h96s|19394?4|582;6<7289;70?72;3`1>{t;>31<7=t=0:0>44a34;3?7?<0:?03<<6k<1v<6<:18187?;3;h963>83826c=z{82?6=4={<3;0?7d=27:4?4>319~w4>12909w0?76;3`1>;60h0:>k5rs0:4>5<5s4;3;7?l5:?28`827g=z{8226=4={<3;=?7d=27:4l4>479~w1032909w0::f;31a>;3><0:o85rs57e>5<4s4>>j7?l5:?23<<6;k16=?>512`8yv2193:1>v3;64826`=:4>c49~w11e290;3>90:?=52467957`<5==>6<=?;<64f?7d=27?n54>2g9>0g>=9::0q~:89;296~;3?=0:>h5246c95f3<87>53z?731<6k<16=l?512`8947?289i7p};7783>7}:<>k1=?k4=555>4e23ty?;84?:3y>02g=kk168:;51b78yv20?3:1>v3;77826c=:<>=1=n;4}r64319>02>=9j?0q~;i8;297~;2mm0:>h525gc9566<57p}:ed83>7}:=ok1=?h4=4gf>4e23ty>jl4?:3y>1cg=9j?018h6:00f?xu2mo0;6?u25dg957`<57p}:f083>7}:=o;1=n;4=4d3>44a3ty>j?4?:3y>1c4=9j?018h?:013?xu2n:0;6?u25g195f3<57}:=o<1=n;4=4d4>4573ty?io4?:2y>0a`=9;o019kk:00f?82bk3;h96s|4df94?5|5=oo6319~w1cf2909w0:j6;31a>;3mh0:o85rs5g5>5<4s4>n:7?l5:?252<6:o16=<951228yv2b83:1>v3;eb826`=:2g9>0`7=9j?0q~:j2;296~;3m90:?=524d095f353z?7a6<6:o168h=51228947328i>7p};e283>7}:44a3ty?i94?:3y>0`2=9j?019k=:013?xu3m<0;6?u24d795f3<5=o96<=m;|q7a2<72;q68h951b7891cf288m7p};e983>7}:4573ty?i44?:3y>0`?=9j?019kn:01a?xu2l:0;6?u25bf957c<57p}:d483>7}:=m>1>;74=4f6>4e23ty>ok4?:3y>1fc=9;l018mi:0a6?xu2l90;6?u25bg9566<57}:=m81=n;4=4f3>4573ty>4=4?:3y>123=9;o0186?:0a6?xu2?o0;6?u256;957c<5<=m6{t=><1<744b34?<:7?l5:p121=838p1899:00e?830?3;h96s|56:94?4|5<==6<=?;<74;2=o0:o85rs45b>5<5s4?;k4>3c9~w01c2909w0;8d;3`1>;2?o0:8;5rs45f>5<5s4?>4=423>4e23ty?j>4?:5y>0c5=9j?019h7:01a?82a13;8n63:88827g=z{=ln6=4={<6ee?75m27?jh4>c49~w1`f2908w0:ia;3`1>;61l0:>k5218g9566m97>52z?644<6:o168k;51b78yv3793:1>v3:0082g0=:=9:1=?k4}r6e2?6=:r7?j84>2g9>0c0=9j?0q~:i7;296~;3n<0:?=524g595f3m47>52z?7b=<6k<168k9513d8yv5>13:1?v3;f88203=::0:1=9o4=2;:>4e23ty?j44?:3y>0c?=9j?019h8:013?xu3nk0;6?u24g`95f3<5=ln6<7}:45e3ty9=k4?:3y>64?=9;o01??i:0a6?xu59h0;6?u220d957c<5;;j67p}=1b83>7}::8k1=>>4=33`>4e23ty=<:4?:0;x977c289;70<>e;304>;18>0:o8524`49566<5=k<6<=?;<037?748279<94>2g9>65g=9::01?>m:00e?845<3;8<63=24826c=::;n1=>>4=30f>44a348887?<0:?170<6:o16>>l51228975d288m70<;2;304>;5<:0:>k5225;9566<5;>j6<319>601=9::01?;7:013?xu59m0;6?u220f95f3<5;;h6<7}:>9=1=?k4=72e>4e23ty=<54?:3y>25`=9;o01;>7:0a6?xu1800;6?u261:957`<5?:267p}90c83>7}:>9h1=n;4=72b>44a3ty=25e=9j?01;>n:013?xu18m0;6?u261f95f3<5?:j6<=m;|q7=g<72;q684;513g891?d28i>7p};9783>7}:<0i1=?k4=5;5>4e23ty?5:4?:3y>0<0=9;l01978:0a6?xu3110;6?u24849566<5=3360}:<0k1=>>4=5c0>45734>>?7?l5:?2=6<6;916=lk51228yv2>i3:1>v3;9`82g0=:<021=>>4}r6b=?6=:r7?5i4>2d9>0dg=9j?0q~:n8;296~;3i<0:>h524`:95f3j97>53z?7e0<6k<16><>513d89777289;7p};9d83>7}:4e23ty?5k4?:3y>0{t4e234>j<7?=f:p0d4=838p19o=:0a6?82f83;8<6s|4`194?4|5=k8670:n0;372>{t4e234>j47?=f:p0d1=838p19o8:0a6?82f03;8<6s|42c94?4|5=986<:50;0x915e288n70:<4;3`1>{t<:?1<744a34>897?l5:p060=838p19=;:013?824>3;h96s|41:94?>|5=9<6<=?;<6027??44>479>05>=9j?01;=515c89166288m70:?1;304>;2:=0:8;5rs514>5<5s4>8;7?l5:?773<6:o1v9=7:18182403;h963;378275=z{=926=4={<60=?7d=27??;4>3c9~w17c2909w0:>6;31a>;39l0:o85rs534>5<5s4>:i7?=e:?752<6k<1v9?7:181826?3;9j63;1982g0=z{=;26=4={<623?74827?=44>c49~w17f2909w0:>a;3`1>;3900:>k5rs53a>5<5s4>:n7?l5:?75<<6;91v9?l:181826k3;h963;18827g=z{:h26=4={<1a1?75m278nl4>c49~w6d12909w0=ma;31a>;4j?0:o85rs2`4>5<5s49i:7?=f:?0f2<6k<1v>l7:18185e>3;8<63319>7a6=9j?0q~=l9;296~;4l80:>k523b;95f352z?0`4<6k<16?i>513g8yv5di3:1>v3319>7fd=9j?0q~=i1;29<~;4kj0:?o523bg951?<5:o:6<=m;<1f6?74j278h54>319>7`g=9:h01>kl:065?85a93;h96s|3ba94?4|5:ih670=lb;304>{t;jo1<74e2349hn7?{t<;81<745734>9>7?l5:p20e=838p1;;>:00f?802l3;h96s|64`94?4|5??j6<{t><91<744a34<>?7?l5:p202=838p1;;=:013?802<3;h96s|1g`94?5|5??>6<c49~w3322909w08:5;3`1>;1==0:>k5rs775>5<5s4<>:7?l5:?511<6;91v;;8:181802?3;h963955827g=z{??36=4={<46479~w33>2909w08:9;3`1>;1==0:845rs2g0>5<4s49oo7?=e:?0a0<6;916?h:51b78yv5cl3:1>v3c49>7`2=9;o0q~=ke;296~;4lm0:>k523eg95f352z?0`a<6;916?ih51b78yv5b83:1>v3c49>7a`=9::0q~=j2;296~;4m;0:o8523ed956d957>52z?760<6:l168?o51b78yv25>3:1>v3;2`826`=:<;<1=n;4}r613?6=:r7?>;4>2g9>071=9j?0q~:=8;296~;3:?0:?=5243:95f38=7>52z?76g<6:l168><51b78yv25k3:1>v3;33826`=:<;i1=n;4}r61`?6=:r7?>n4>2g9>07b=9j?0q~:=e;296~;3:j0:?=5243g95f39j7>52z?76c<6k<168?k513d8yv2483:1>v3;3182g0=:<;o1=>>4}r0:3?6=;r794i4>2d9>647513d897>b28i>7p}=9883>7}::031=n;4=3;;>44b3ty94k4?:3y>6=c=9;l01?6i:0a6?xu5190;6?u229g9566<5;3;64>515`897?b28>j70<6f;37e>;4:k0:o85rs3;1>5<5s482>7?l5:?1=3<6:o1v?7<:18184>;3;h963=978275=z{;3?6=4={<0:0?7d=2795;4>3c9~w7?22909w0<65;3`1>;51?0:8;5rs3c3>5<4s482m7?=e:?1e7<6;916>l?51b78yv4>j3:1>v3=a3826c=::0h1=n;4}r0b6?6=:r79m?4>c49>6d7=9;o0q~<6c;296~;51k0:>k5228a95f352z?1=g<6;916>4j51b78yv4>m3:1>v3=9d82g0=::0n1=?h4}r0:b?6=:r795k4>c49>6h523e`95f352z?0`g<6:l16?i=51b78yv5c<3:1>v31=n;4}r1g1?6=:r78h>4>319>7a3=9j?0q~=k6;296~;4l?0:o8523e7957`52z?0`2<6k<16?i;51228yv5c03:1>v3l4}r1g=?6=:r78h44>c49>7a3=9=<0q~=je;297~;4m?0:>h523g29566<5:om6513d896c028i>7p}7}:;o:1=n;4=2ge>44b3ty8i54?:3y>7`1=9;l01>k7:0a6?xu4m00;6?u23d59566<5:o26288m7p}7}:;lh1=n;4=2g:>4573ty8in4?:3y>7`e=9j?01>k6:01a?xu4mm0;6?u23df95f3<5:o26<:9;|q50c<72;q6:97513g8933728i>7p}94`83>7}:><:1=?k4=76b>4e23ty=8o4?:3y>21g=9;l01;:m:0a6?xu1h67}:>=o1=n;4=76`>4573ty:9l4?:3y>506=9;o01<;m:0a6?xu6=80;6?u214`957c<58?:67p}>5283>7}:9<;1=>>4=070>4e23ty:994?:3y>502=9j?01<;<:00e?xu6=<0;6?u214795f3<58?86<=?;|q213<72;q6=8851b789434289i7p}:2083>6}:9<=1=?h4=074>45734?9=7?l5:p501=838p1<;8:0a6?872;3;?:6s|14:94?4|58?3628i>70?:3;37e>{t;>:1<7=t=24`>44b349<>7?<0:?034<6k<1v>8k:181850:3;9j63<6e82g0=z{:=96=4={<146?7d=278;<4>2d9~w60b2909w0=9d;31b>;4>l0:o85rs24e>5<5s49=h7?<0:?02c<6k<1v9>l:18182703;9i63;0e82g0=z{=:26=4={<63`?75m27?<44>c49~w16f2909w0:?9;31b>;38h0:o85rs52a>5<5s4>;57?<0:?74g<6k<1v9>j:185827j3;9j63;0c8275=:<9o1=n;4=76951g<5=:>6<:n;<710?7312wx8<:50;0x916b288n70:>5;3`1>{t<9l1<744b34>;j7?l5:p046=838p19>i:00e?82683;h96s|40394?4|5=:m6<=?;<625?7d=2wx8<<50;0x917528i>70:>1;31b>{t<891<74e234>:=7?<0:p001=838p19;<:00f?82203;h96s|44694?4|5=?36<{t<<<1<745734>>:7?l5:p0=3=838p199m:00f?82?>3;h96s|46a94?4|5=2=6<{t<>o1<745734>2g9~w1>72909w0:70;3`1>;3?l0:?=5rs5:2>5<5s4>3=7?l5:?73`<6;k1v96=:18182?:3;h963;7d8203=z{=286=4={<6;7?7d=27?;h4>489~w1>32909w0:74;3`1>;3?l0:8l5rs05`>5<4s4;<=7?=e:?23`<6;916=:j51b78yv70:3:1>v3>7d826c=:9>81=n;4}r34a?6=:r7:;h4>c49>52b=9;o0q~?83;296~;6?;0:>k5216195f352z?237<6;916=::51b78yv70=3:1>v3>7482g0=:9>>1=?h4}r025?6=;r7:;;4>2g9>520=9::01??>:0a6?xu6??0;6?u216495f3<58=?6<=?;|q25`<72:q6=:9513d89410289;70?>e;3`1>{t9>=1<74e234;<87?=838p1<97:0a6?870<3;?:6s|16;94?4|58=2670?84;37e>{t9>h1<74e234;<87?;b:p5d2=839p1<76:00f?87f>3;8<63>a482g0=z{83j6=4={<3b2?75n27:5l4>c49~w4g12909w0?n6;3`1>;6i<0:>h5rs0;a>5<5s4;2m7?=f:?2=g<6k<1v<7l:18187>i3;8<63>9b82g0=z{83o6=4={<3:`?7d=27:5n4>2g9~w4?b2909w0?6e;3`1>;61j0:?=5rs035>5<4s4;2j7?=f:?2=c<6;916=<851b78yv7>n3:1>v3>9g82g0=:90i1=>l4}r3b4?6=:r7:m=4>c49>57>52z?2e7<6k<16=4m515c8yv7f;3:1>v3>a282g0=:90i1=9l4}r14b?6=:r78;>4>2d9>7=6=9j?0q~=8e;296~;4?00:>h5236g95f352z?0<5<6:l16?::51b78yv50=3:1>v3<75826c=:;>?1=n;4}r142?6=:r78;94>319>720=9j?0q~=78;297~;4?>0:>k523659566<5:2367}:;>21=n;4=255>4573ty8;l4?:3y>72g=9j?01>9j:00e?xu4?k0;6?u236`95f3<5:=n6<=?;|q71<<72;q6?:m51228913>28i>7p}<7b83>7}:;>i1=n;4=25f>45e3ty8;i4?:3y>72b=9j?01>9j:065?xu40j0;6>u2393957c<5:2n6<=?;<1;`?7d=2wx?5l50;0x96>?288n70=7b;3`1>{t;181<744a3493>7?l5:p7=c=838p1>6j:0a6?85?l3;9i6s|39194?4|5:296<5289;70=74;3`1>{t;1?1<74e2349387?=f:p7=0=838p1>69:0a6?85?<3;8<6s|39594?4|5:2<6>28i>70=7b;31b>{t;1k1<74e23493n7?<0:p7cd=838p1>h8:00f?85ak3;h96s|3g:94?4|5:lh6<{t;ok1<7457349mm7?l5:p5=b=83>p1>hn:00e?85ai3;8<63>8e82g0=:90>1=>>4}r404?6=:r7=>n4>2d9>267=9j?0q~8=d;296~;1;80:>h5263f95f352z?56a<6:o16:?k51b78yv05n3:1>v392e8275=:>;l1=n;4}r474?6=?r7=>k4>2g9>27`=9::01;:?:0a6?83b<3;9j63:e58275=:>8h1=?h4=73a>4573ty=?54?:3y>264=9;o01;=6:0a6?xu1;:0;6?u262;957c<5?986=513d8935328i>7p}93483>7}:>:91=>>4=716>4e23ty=?l4?:5y>260=9;l01;=n:0a6?803<3;8n63k6;30f>{t>;:1<7=t=715>45734<8;7?3;h963934826c=z{?9<6=4={<403?7d=27=?84>319~w35b2909w08;1;o0:o85rs71a>5<5s4<8j7?=e:?57g<6k<1v;=l:181804j3;9j6393b82g0=z{?9o6=4={<40f?74827=?i4>c49~w3202909w08;0;31a>;1<10:o85rs762>5<5s486=4={<475?74827=8>4>c49~w3232909w08;4;3`1>;1<:0:>k5rs766>5<5s43;h963942827g=z{?=1<75<4s4?n642f34>i<7?=f:p1c<72;q6:54>2d9>1c<6k<1v;>50;0x90`=9;l01;>51b78yv062909w0;i:013?80628i>7p}92;296~;1:3;h96391;31b>{t>:0;6?u26282g0=:>80:?=5rs7694?4|5?>1=n;4=73956d6=4={<46>4e234<:6<:9;|q52?6=:r7=:7?l5:?55?7312wx=4950;0x94>c288n70?68;3`1>{t91o1<744b34;3i7?l5:p5=`=838p1<6j:00e?87?n3;h96s|18294?4|582n6<=?;<3:4?7d=2wx9l?50;1x94?6288m70?61;304>;2i80:o85rs0;2>5<5s4;2=7?l5:?2=5<6:o1v<7=:18187>:3;h963>918275=z{8386=4={<3:7?7d=27:5=4>3c9~w4?32909w0?64;3`1>;6190:8;5rs0;6>5<5s4;297?l5:?2=5<6<01v<79:18187>>3;h963>91820d=z{8h96=4={<3b3?75m27:n>4>c49~w4g0290>w0?n7;3`1>;6im0:?o521`g956d<5=9m6<{t9h31<744a34;j57?l5:p5dg=838p1:o4>c49~w4ge2909w0?nb;3`1>;6ih0:>k5rs02g>5<4s4;jo7?=f:?2ef<6;916==j51b78yv7fk3:1>v3>ab82g0=:9hk1=>>4}r3b`?6=:r7:mi4>c49>5dg=9:h0q~?ne;296~;6il0:o8521`c951052z?2ec<6k<16=lo515;8yv7e83:1>v3>b182g0=:9hk1=9o4}r3a5?6=:r7:n<4>c49>5dg=9=h0q~?k8;296~;6km0:>h521e;95f353z?2ga<6k<16=i8512289074289;7p}>cd83>7}:9m31=?k4=0af>4e23ty:ok4?:3y>5fc=9;l01{t9m;1<74e234;o<7?=f:pag<72:q6=i<513d894b5289;70km:0a6?xu6l;0;6?u21e095f3<58n;6<=?;|q2`6<72;q6=i=51b7894b7289i7p}>d583>7}:9m>1=n;4=0f3>4213ty:h84?:3y>5a3=9j?01i7p}>fe83>7}:9o91=?k4=0df>4e23ty:jn4?:3y>5cd=9;o017p}>f783>7}:9o>1=>>4=0d5>4e23ty:j:4?:3y>5c1=9j?01f`83>7}:9ok1=n;4=0d5>4213ty9484?:3y>6=7=9;o01?69:0a6?xu50;0;6?u2294957c<5;2965<513d897>428i>7p}=8583>7}::181=>>4=3:7>4e23ty85;4?:2y>7=`=9;o01>77:013?85>?3;h96s|38294?4|5:336<70=67;31a>{t;0;1<744a3492=7?l5:p7<4=838p1>7?:013?85>:3;h96s|38194?4|5:38670=62;304>{t;0?1<74e23492>7?76:00f?85>m3;h96s|38c94?4|5:3n6<{t;0i1<74573492o7?l5:p7f3=839p1>lm:00f?85d?3;8<63479>7f6=9=k01>m>:06b?85d:3;?m63:398275=z{:i?6=4={<1`7?75m278o94>c49~w6dd2909w0=l7;31b>;4jj0:o85rs2a4>5<5s49h;7?l5:?0g3<6:l1v>lk:18185ek3;9j63c49~w6da2909w0=mf;3`1>;4jl0:>k5rs2a3>5<5s49h<7?l5:?0f`<6;91v>m>:18185d93;h963479~w6`22909w0=i1;31a>;4n?0:o85rs2d1>5<5s49m:7?=e:?0b7<6k<1v>h<:18185a:3;9j63c49~w1612909w0=id;31a>;38>0:o85rs2dg>5<4s49mh7?l5:?740<6751548yv5am3:1>v3;06826`=:;oo1=n;4}r1eb?6=:r78jh4>2g9>7c`=9j?0q~:?0;296~;4nl0:?=5241295f3;=7>52z?744<6k<168=>513d8yv27:3:1>v3;0382g0=:<9:1=>>4}r637?6=:r7?<>4>c49>056=9:h0q~:?4;296~;38=0:o8524129510;97>52z?740<6k<168=>515;8yv2383:1>v3;3b826`=:<=;1=n;4}r60`?6=:r7?8<4>2d9>06b=9j?0q~:k5242g95f38j7>52z?77a<6;9168>h51b78yv23>3:1>v3;43826`=:<==1=n;4}r677?6=:r7?8:4>2d9>015=9j?0q~:;4;296~;3<:0:>k5245695f3?97>52z?706<6;91689;51b78yv05i3:1>v3927826`=:>;h1=n;4}r413?6=:r7=>o4>2d9>271=9j?0q~8=8;296~;1:>0:>k5263:95f352z?562<6;916:?751b78yv0c2909w086:00f?80b28i>7p}99;296~;113;h963;b18275=z{?k1<75<5s44e23ty=o7>52z?5e?74827=o7?l5:p6=d=838p1?68:00f?84?k3;h96s|29:94?4|5;2h6<5750;0x97>?288m70<79;3`1>{t:1k1<74573483m7?l5:p763=838p1>3;h96s|32694?4|5:8m6<70hl:00e?8`d289;7p}<2b83>7}:;:<1=?k4=20`>4e23ty8>i4?:3y>77e=9;l01>>51b789653288m7p}<3083>7}:;:;1=n;4=217>4573ty8??4?:3y>764=9j?01>=;:01a?xu4;:0;6?u232195f3<5:9?6<:9;|q0f6<72;q6?lh513g896d328i>7p}7}:;k>1=?k4=2`3>4e23ty8n<4?:3y>7g6=9;l01>l>:0a6?xu4j;0;6?u23c29566<5:h967p};4983>7}:<=21=n;4=5`:>4573ty?9=4?:3y>01e=9;o019;?:0a6?xu3<00;6?u2440957c<5=>267p};4c83>7}:<=31=>>4=56a>4e23ty?8i4?:3y>01b=9j?019;?:00e?xu37}:<<31=?k4=57f>4e23ty?9l4?:3y>00c=9;o019;n:0a6?xu3=k0;6?u244c957`<5=?i67p}:8c83>7}:=191=?k4=4:`>4e23ty>4>4?:2y>1=5=9j?01028i>70:k3;31b>;3l:0:?=5rs4:7>5<5s4?3o7?=e:?6<1<6k<1v86::18183?<3;9j63:8482g0=z{<2=6=4={<7;0?74827>4;4>c49~w0>?2909w0;78;3`1>;20h0:>k5rs4::>5<5s4?357?l5:?6<:4>2g9>151=9::0q~;ja;296~;2m?0:>h525dc95f352z?6af<6:l169h>51b78yv3b93:1>v3:e1826c=:=l;1=n;4}r7f6?6=:r7>i=4>319>1`4=9j?0q~;j3;296~;2m:0:o8525d0957`52z?6a1<6k<169h<51228yvb22908w0;j5;31b>;2m<0:?=52d482g0=z{6=4={<7f1?7d=27>i?4>3c9~w0c02909w0;j7;3`1>;2mh0:>k5rs4g;>5<5s4?n47?l5:?6ad<6;91v8k6:18183b13;h963:e`827g=z{?;?6=4={<424?75m27==84>c49~w3772908w08>0;3`1>;2>90:>k52572956652z?550<6:l16:v3910826c=:>881=n;4}r427?6=:r7==<4>319>245=9j?0q~8>e;296~;19?0:>h5260d95f353z?553<6k<169;?513d89006289;7p}91683>7}:>8l1=?k4=734>4e23ty==54?:3y>241=9;l01;?7:0a6?xu1900;6?u26059566<5?;26288m7p}91c83>7}:>8h1=n;4=73:>4573ty==n4?:3y>24e=9j?01;?6:01a?xu19m0;6?u260f95f3<5?;26<:9;|q561<72;q6:?>513g8934228i>7p}92083>7}:>;?1=?k4=702>4e23ty=>?4?:3y>277=9;l01;<=:0a6?xu1::0;6?u26339566<5?8867p}=0183>7}::9?1=?k4=323>4e23ty9<<4?:3y>656=9;l01?>>:0a6?xu58;0;6?u22129566<5;:96==51b789765288m7p}=0583>7}::9>1=n;4=321>4573ty9650=9;o01?>l:0a6?xu58>0;6?u221a957c<5;:<6=9513d8976?28i>7p}=0883>7}::9=1=>>4=32:>4e23ty965g=9j?01?>6:00e?xu58k0;6?u221`95f3<5;:26<=?;|q16=<72;q6>?>513g8974?28i>7p}=2683>7}::;<1=?k4=304>4e23ty9><4?:3y>67>=9;o01?<>:0a6?xu5:;0;6?u2233957`<5;896??51228974428i>7p}=2583>7}::;>1=n;4=300>44a3ty9>84?:3y>673=9j?01?<<:013?xu5:o0;6?u223;957c<5;8m6?h513g8974f28i>7p}=2c83>7}::;k1=?h4=30a>4e23ty9>n4?:3y>67g=9::01??k51b78974d289;7p}=3783>7}::::1=?k4=315>4e23ty9?<4?:3y>660=9;o01?=>:0a6?xu5;;0;6?u2223957`<5;996>?51228975428i>7p}=3583>7}:::>1=n;4=310>44a3ty9?84?:3y>663=9j?01?=<:013?xu5;m0;6?u2225957c<5;9o6>j513g8975?28i>7p}=3883>7}:::21=?h4=31:>4e23ty9?l4?:3y>66>=9::01?=n:0a6?xu5;k0;6?u222`95f3<5;9j6<>m51b78975f289;7p}=4583>7}:::o1=?k4=367>4e23ty9?k4?:3y>612=9;o01?=i:0a6?xu5<90;6?u222d957`<5;>;6>h51228972628i>7p}=4383>7}::=81=n;4=362>44a3ty98>4?:3y>615=9j?01?:>:013?xu5i69l513g8972128i>7p}=4683>7}::=<1=?h4=364>4e23ty9854?:3y>610=9::01?:7:0a6?xu5<00;6?u225;95f3<5;>36<9o51b78972?289;7p}=5383>7}::=i1=?k4=371>4e23ty98i4?:3y>604=9;o01?:k:0a6?xu5n69j51228972a28i>7p}=5183>7}::<:1=n;4=36e>44a3ty99<4?:3y>607=9j?01?:i:013?xu5=00;6?u2241957c<5;?2687513g8973328i>7p}=5483>7}::<>1=?h4=376>4e23ty99;4?:3y>602=9::01?;9:0a6?xu5=>0;6?u224595f3<5;?=6<8651b789731289;7p}:5983>7}:=<>1=?k4=47;>4e23ty>984?:3y>10>=9;o018;::0a6?xu2=?0;6?u2547957`<57p}:a683>7}:=0n1=?k4=4c4>4e23ty>m;4?:3y>1d7=9;o018o9:0a6?xu21l0;6?u25`5957c<5<3n67p}:a183>7}:=0o1=>>4=4c3>4e23ty>m?4?:3y>1d4=9j?018o9:00e?xu2i:0;6?u25`195f3<57}:=h?1=n;4=4c5>4213ty?n<4?:3y>0db=9;o019l>:0a6?xu3il0;6?u24c3957c<5=kn67p};b183>7}:>4=5`3>4e23ty><54?:3y>152=9;o018>6:0a6?xu28<0;6?u251;957c<5<:>67p}:0683>7}:=9?1=>>4=424>4e23ty>=;4?:3y>15e=9;o018?8:0a6?xu28m0;6?u2505957c<5<:o67p}:0g83>7}:=9n1=>>4=42e>4e23ty>==4?:3y>146=9j?018>i:00e?xu2980;6?u250395f3<5<:m6<=?;|q657<72;q69<<51b78906a289i7p}:1283>7}:=891=n;4=42e>4213ty>=94?:3y>142=9j?018>i:06:?xu29<0;6?u250795f3<5<:m6<:n;|q663<72;q697p}:2483>7}:=;;1=?k4=406>4e23ty>=h4?:3y>171=9;o018?j:0a6?xu29o0;6?u250g957`<5<;m67p}:2383>7}:=;81=n;4=406>44a3ty>>>4?:3y>175=9j?018<::013?xu2:=0;6?u253695f3<5<8>6<=m;|q2fc<72;q6=o7513g894da28i>7p}>bc83>7}:9kk1=?k4=0`a>4e23ty:o=4?:3y>5gd=9;o017p}>bd83>7}:9ki1=>>4=0`f>4e23ty9=54?:3y>65b=9;o01??7:0a6?xu59>0;6?u2203957c<5;;<6<6513g8976b28i>7p}=0g83>7}::9o1=?h4=32e>4e23ty9==4?:3y>65c=9::01???:0a6?xu59;0;6?u220095f3<5;;<6<<=51b789770289;7p}=1583>7}::8>1=n;4=334>45e3ty9=84?:3y>643=9j?01??8:065?xu59?0;6?u220495f3<5;;<6<:6;|q7fd<72:q68o:513g893`=9;o019lm:0a6?xu3j<0;6?u24c`957c<5=h>67p};b683>7}:>4=5`4>4e23ty?n54?:3y>0g>=9j?019l8:00e?xu3j00;6?u24c;95f3<5=h<6<=?;|q7`g<72:q68i>513g89g>=9;o019jl:0a6?xu3lh0;6?u24e6957c<5=nj67p};d383>7}:4e23ty?h>4?:3y>0a7=9::019j<:0a6?xu3l<0;6?u24e795f3<5=nj6<7}:45e3ty?h54?:3y>0a>=9j?019jn:065?xu3l00;6?u24e;95f3<5=nj6<:6;|q674<72;q69?o513g8905628i>7p}:2c83>7}:=:;1=?k4=40a>4e23ty>>n4?:3y>17d=9;l0187}:=;l1=n;4=40g>4573ty>?=4?:3y>166=9j?018o513g8905228i>7p}:3783>7}:=:?1=?h4=415>4e23ty>?:4?:3y>163=9::018=8:0a6?xu2;10;6?u252:95f3<5<9<6<751b789050289;7p}:6583>7}:=4e23ty>:>4?:3y>10`=9;o0188<:0a6?xu2=j0;6?u2576957c<57p}:5d83>7}:=>4=47f>4e23ty>:=4?:3y>136=9j?0188<:00e?xu2>80;6?u257395f3<5<<86<=?;|q627<72;q69;<51b789004289i7p}:7383>7}:=?=1=?k4=451>4e23ty>;<4?:3y>13d=9;o0189>:0a6?xu2>10;6?u2560957c<5<<3628i>7p}:6`83>7}:=?21=>>4=44b>4e23ty:13g=9;l0188n:013?877j3;h96s|57a94?4|5<70;81;304>{t=?o1<74e234?<=7?a288n70;6a;3`1>{t=031<744b34?257?l5:p1<3=839p187::0a6?8`3288m70h;:013?xu2190;6?u258c957c<5<3;6513d890?628i>7p}:9383>7}:=0:1=>>4=4;1>4e23ty>5>4?:3y>1<5=9j?0187=:00e?xu21=0;6?u258695f3<5<396<=?;|q6=3<72;q694851b7890?>288m7p}:9683>7}:=0=1=n;4=4;:>4573ty>554?:3y>1<>=9j?01876:01a?xud=3:1>v3l1;31a>;d=3;h96s|c383>7}:k<0:>h52c382g0=z{j91<75<5s4i96<=?;4e23ty88<4?:3y>714=9;o01>:>:0a6?xu4;>0;6?u2353957c<5:9<69513d8965?28i>7p}<3883>7}:;:=1=>>4=21:>4e23ty8?l4?:3y>76g=9j?01>=6:00e?xu4;k0;6?u232`95f3<5:926<=?;|q07f<72;q6?>m51b78965>289i7p}<3e83>7}:;:n1=n;4=21:>4213ty8?h4?:3y>76c=9j?01>=6:06:?xu4;o0;6?u232d95f3<5:926<:n;|q005<72;q6?9>51b78965>28>i7p}<:18182=9;o01>4>c49~w5<72;q6?7?=e:?3>4e23ty:6=4={<2957`<580:o85rs383>7}:83;8<63=:0a6?xucj3:1>v3k5;31a>;cj3;h96s|d783>7}:l?0:o852dc826c=z{m=1<7>4}rf;>5<5s4n3645e3tyo57>52z?g=?7d=27on7?;6:p`d<72;q6hl4>c49>`g<6<01vh<50;0x9ae=9;o01h<51b78yvbc2909w0jk:0a6?8c5288m7p}ke;296~;cm3;h963j2;304>{tlo0;6?u2dg82g0=:m;0:?o5rsd294?4|5l:1=n;4=d095104e234o96<:6;|qfe?6=:r7n?7?=e:?fe?7d=2wxi94?:3y>a1<6k<16il4>2g9~w`3=838p1h;51b789`g=9::0q~k9:1818c128i>70kn:01a?xub?3:1>v3j7;3`1>;bi3;?:6s|e983>7}:m10:o852e`820<=z{l31<75<5s4oi6<4e23tyno7>52z?fg?7d=27m>7?=f:paa<72;q6ii4>c49>b7<6;91vhk50;0x9`c=9j?01k<512`8yvca2909w0ki:0a6?8`528>=7p}i0;296~;a83;h963i2;37=>{tn80;6?u2f082g0=:n;0:8l5rsgc94?4|5o91=?k4=gc95f34e234lj6<b3<6k<16jl4>3c9~wc1=838p1k951b789cg=9=<0q~h7:1818`?28i>70hn:06:?xua13:1>v3i9;3`1>;ai3;?m6s|11094?4|5oh1=?k4=021>4e23tymo7>52z?eg?7d=27:2g9~wcb=838p1kj51b789465289;7p}ie;296~;am3;h963>03827g=z{ol1<751b78946528>27p}>0083>7}:99;1=n;4=021>42f3ty:555=9;o01<>n:0a6?xu68=0;6?u211695f3<58:j6<0783>7}:99<1=n;4=02b>45e3ty:<:4?:3y>551=9j?01<>n:065?xu6810;6?u211:95f3<58:j6<:6;|q24<<72;q6==751b78946f28>j7p}>0b83>7}:99h1=?k4=02`>4e23ty:=>4?:3y>55b=9;o011183>7}:98:1=n;4=030>45e3ty:=<4?:3y>547=9j?017p}>1e83>7}:98<1=?k4=03g>4e23ty:=:4?:3y>541=9j?011`83>7}:98k1=n;4=03g>4213ty:=o4?:3y>54d=9j?017p}>1g83>7}:98l1=n;4=006>44a3ty:>=4?:3y>576=9j?01<<::013?xu6:80;6?u213395f3<588>6<=m;|q267<72;q6=?<51b78944228>=7p}>2283>7}:9;91=n;4=006>42>3ty:>94?:3y>572=9j?01<<::06b?x{i?;2:6=4>{|l46=4=83;pqc9=8283>4}zf>8387>51zm37>2290:wp`829494?7|ug=94:4?:0y~j24?03:1=vsa73::>5<6std<>5o50;3xyk150k0;6{|l46<4=83;pqc9=9283>4}zf>8287>51zm37?2290:wp`828494?7|ug=95:4?:0y~j24>03:1=vsa73;:>5<6std<>4o50;3xyk151k0;6{|l46d4=83;pqc9=a283>4}zf>8j87>51zm37g2290:wp`82`494?7|ug=9m:4?:0y~j24f03:1=vsa73c:>5<6std<>lo50;3xyk15ik0;6{|l46g4=83;pqc9=b283>4}zf>8i87>51zm37d2290:wp`82c494?7|ug=9n:4?:0y~j24e03:1=vsa73`:>5<6std<>oo50;3xyk15jk0;6{|l46f4=83;pqc9=c283>4}zf>8h87>51zm37e2290:wp`82b494?7|ug=9o:4?:0y~j24d03:1=vsa73a:>5<6std<>no50;3xyk15kk0;6{|l46a4=83;pqc9=d283>4}zf>8o87>51zm37b2290:wp`82e494?7|ug=9h:4?:0y~j24c03:1=vsa73f:>5<6std<>io50;3xyk15lk0;6{|l46`4=83;pqc9=e283>4}zf>8n87>51zm37c2290:wp`82d494?7|ug=9i:4?:0y~j24b03:1=vsa73g:>5<6std<>ho50;3xyk15mk0;6{|l46c4=83;pqc9=f283>4}zf>8m87>51zm37`2290:wp`82g494?7|ug=9j:4?:0y~j24a03:1=vsa73d:>5<6std<>ko50;3xyk15nk0;6{|l4754=83;pqc9<0283>4}zf>9;87>51zm3662290:wp`831494?7|ug=8<:4?:0y~j25703:1=vsa722:>5<6std>k:182xh0;9o1<7?t}o504c<728qvb:=>0;295~{i?:;:6=4>{|l4744=83;pqc9<1283>4}zf>9:87>51zm3672290:wp`830494?7|ug=8=:4?:0y~j25603:1=vsa723:>5<6std?k:182xh0;8o1<7?t}o505c<728qvb:==0;295~{i?:8:6=4>{|l4774=83;pqc9<2283>4}zf>9987>51zm3642290:wp`833494?7|ug=8>:4?:0y~j25503:1=vsa720:>5<6std{|l4764=83;pqc9<3283>4}zf>9887>51zm3652290:wp`832494?7|ug=8?:4?:0y~j25403:1=vsa721:>5<6stdo50;3xyk14;k0;6=k:182xh0;:o1<7?t}o507c<728qvb:=;0;295~{i?:>:6=4>{|l4714=83;pqc9<4283>4}zf>9?87>51zm3622290:wp`835494?7|ug=88:4?:0y~j25303:1=vsa726:>5<6std:k:182xh0;=o1<7?t}o500c<728qvb:=:0;295~{i?:?:6=4>{|l4704=83;pqc9<5283>4}zf>9>87>51zm3632290:wp`834494?7|ug=89:4?:0y~j25203:1=vsa727:>5<6std;k:182xh0;{|l4734=83;pqc9<6283>4}zf>9=87>51zm3602290:wp`837494?7|ug=8::4?:0y~j25103:1=vsa724:>5<6stdk0;68k:182xh0;?o1<7?t}o502c<728qvb:=80;295~{i?:=:6=4>{|l4724=83;pqc9<7283>4}zf>9<87>51zm3612290:wp`836494?7|ug=8;:4?:0y~j25003:1=vsa725:>5<6std9k:182xh0;>o1<7?t}o503c<728qvb:=70;295~{i?:2:6=4>{|l47=4=83;pqc9<8283>4}zf>9387>51zm36>2290:wp`839494?7|ug=84:4?:0y~j25?03:1=vsa72::>5<6std6k:182xh0;1o1<7?t}o50{|l47<4=83;pqc9<9283>4}zf>9287>51zm36?2290:wp`838494?7|ug=85:4?:0y~j25>03:1=vsa72;:>5<6std7k:182xh0;0o1<7?t}o50=c<728qvb:=n0;295~{i?:k:6=4>{|l47d4=83;pqc94}zf>9j87>51zm36g2290:wp`83`494?7|ug=8m:4?:0y~j25f03:1=vsa72c:>5<6stdok:182xh0;ho1<7?t}o50ec<728qvb:=m0;295~{i?:h:6=4>{|l47g4=83;pqc94}zf>9i87>51zm36d2290:wp`83c494?7|ug=8n:4?:0y~j25e03:1=vsa72`:>5<6stdlk:182xh0;ko1<7?t}o50fc<728qvb:=l0;295~{i?:i:6=4>{|l47f4=83;pqc94}zf>9h87>51zm36e2290:wp`83b494?7|ug=8o:4?:0y~j25d03:1=vsa72a:>5<6stdmk:182xh0;jo1<7?t}o50gc<728qvb:=k0;295~{i?:n:6=4>{|l47a4=83;pqc94}zf>9o87>51zm36b2290:wp`83e494?7|ug=8h:4?:0y~j25c03:1=vsa72f:>5<6stdjk:182xh0;mo1<7?t}o50`c<728qvb:=j0;295~{i?:o:6=4>{|l47`4=83;pqc94}zf>9n87>51zm36c2290:wp`83d494?7|ug=8i:4?:0y~j25b03:1=vsa72g:>5<6stdkk:182xh0;lo1<7?t}o50ac<728qvb:=i0;295~{i?:l:6=4>{|l47c4=83;pqc94}zf>9m87>51zm36`2290:wp`83g494?7|ug=8j:4?:0y~j25a03:1=vsa72d:>5<6stdhk:182xh0;oo1<7?t}o50bc<728qvb::?0;295~{i?=::6=4>{|l4054=83;pqc9;0283>4}zf>>;87>51zm3162290:wp`841494?7|ug=?<:4?:0y~j22703:1=vsa752:>5<6std<8=o50;3xyk138k0;6k:182xh0<9o1<7?t}o574c<728qvb::>0;295~{i?=;:6=4>{|l4044=83;pqc9;1283>4}zf>>:87>51zm3172290:wp`840494?7|ug=?=:4?:0y~j22603:1=vsa753:>5<6std<8{|l4074=83;pqc9;2283>4}zf>>987>51zm3142290:wp`843494?7|ug=?>:4?:0y~j22503:1=vsa750:>5<6std<8?o50;3xyk13:k0;6{|l4064=83;pqc9;3283>4}zf>>887>51zm3152290:wp`842494?7|ug=??:4?:0y~j22403:1=vsa751:>5<6std<8>o50;3xyk13;k0;6:6=4>{|l4014=83;pqc9;4283>4}zf>>?87>51zm3122290:wp`845494?7|ug=?8:4?:0y~j22303:1=vsa756:>5<6std<89o50;3xyk13{|l4004=83;pqc9;5283>4}zf>>>87>51zm3132290:wp`844494?7|ug=?9:4?:0y~j22203:1=vsa757:>5<6std<88o50;3xyk13=k0;6{|l4034=83;pqc9;6283>4}zf>>=87>51zm3102290:wp`847494?7|ug=?::4?:0y~j22103:1=vsa754:>5<6std<8;o50;3xyk13>k0;6{|l4024=83;pqc9;7283>4}zf>><87>51zm3112290:wp`846494?7|ug=?;:4?:0y~j22003:1=vsa755:>5<6std<8:o50;3xyk13?k0;6o1<7?t}o573c<728qvb::70;295~{i?=2:6=4>{|l40=4=83;pqc9;8283>4}zf>>387>51zm31>2290:wp`849494?7|ug=?4:4?:0y~j22?03:1=vsa75::>5<6std<85o50;3xyk130k0;6{|l40<4=83;pqc9;9283>4}zf>>287>51zm31?2290:wp`848494?7|ug=?5:4?:0y~j22>03:1=vsa75;:>5<6std<84o50;3xyk131k0;6{|l40d4=83;pqc9;a283>4}zf>>j87>51zm31g2290:wp`84`494?7|ug=?m:4?:0y~j22f03:1=vsa75c:>5<6std<8lo50;3xyk13ik0;6{|l40g4=83;pqc9;b283>4}zf>>i87>51zm31d2290:wp`84c494?7|ug=?n:4?:0y~j22e03:1=vsa75`:>5<6std<8oo50;3xyk13jk0;6{|l40f4=83;pqc9;c283>4}zf>>h87>51zm31e2290:wp`84b494?7|ug=?o:4?:0y~j22d03:1=vsa75a:>5<6std<8no50;3xyk13kk0;6{|l40a4=83;pqc9;d283>4}zf>>o87>51zm31b2290:wp`84e494?7|ug=?h:4?:0y~j22c03:1=vsa75f:>5<6std<8io50;3xyk13lk0;6{|l40`4=83;pqc9;e283>4}zf>>n87>51zm31c2290:wp`84d494?7|ug=?i:4?:0y~j22b03:1=vsa75g:>5<6std<8ho50;3xyk13mk0;6{|l40c4=83;pqc9;f283>4}zf>>m87>51zm31`2290:wp`84g494?7|ug=?j:4?:0y~j22a03:1=vsa75d:>5<6std<8ko50;3xyk13nk0;6{|l4154=83;pqc9:0283>4}zf>?;87>51zm3062290:wp`851494?7|ug=><:4?:0y~j23703:1=vsa742:>5<6std<9=o50;3xyk128k0;6k:182xh0=9o1<7?t}o564c<728qvb:;>0;295~{i?<;:6=4>{|l4144=83;pqc9:1283>4}zf>?:87>51zm3072290:wp`850494?7|ug=>=:4?:0y~j23603:1=vsa743:>5<6std<9{|l4174=83;pqc9:2283>4}zf>?987>51zm3042290:wp`853494?7|ug=>>:4?:0y~j23503:1=vsa740:>5<6std<9?o50;3xyk12:k0;6{|l4164=83;pqc9:3283>4}zf>?887>51zm3052290:wp`852494?7|ug=>?:4?:0y~j23403:1=vsa741:>5<6std<9>o50;3xyk12;k0;6:6=4>{|l4114=83;pqc9:4283>4}zf>??87>51zm3022290:wp`855494?7|ug=>8:4?:0y~j23303:1=vsa746:>5<6std<99o50;3xyk12{|l4104=83;pqc9:5283>4}zf>?>87>51zm3032290:wp`854494?7|ug=>9:4?:0y~j23203:1=vsa747:>5<6std<98o50;3xyk12=k0;6{|l4134=83;pqc9:6283>4}zf>?=87>51zm3002290:wp`857494?7|ug=>::4?:0y~j23103:1=vsa744:>5<6std<9;o50;3xyk12>k0;6{|l4124=83;pqc9:7283>4}zf>?<87>51zm3012290:wp`856494?7|ug=>;:4?:0y~j23003:1=vsa745:>5<6std<9:o50;3xyk12?k0;6o1<7?t}o563c<728qvb:;70;295~{i?<2:6=4>{|l41=4=83;pqc9:8283>4}zf>?387>51zm30>2290:wp`859494?7|ug=>4:4?:0y~j23?03:1=vsa74::>5<6std<95o50;3xyk120k0;6{|l41<4=83;pqc9:9283>4}zf>?287>51zm30?2290:wp`858494?7|ug=>5:4?:0y~j23>03:1=vsa74;:>5<6std<94o50;3xyk121k0;6{|l41d4=83;pqc9:a283>4}zf>?j87>51zm30g2290:wp`85`494?7|ug=>m:4?:0y~j23f03:1=vsa74c:>5<6std<9lo50;3xyk12ik0;6{|l41g4=83;pqc9:b283>4}zf>?i87>51zm30d2290:wp`85c494?7|ug=>n:4?:0y~j23e03:1=vsa74`:>5<6std<9oo50;3xyk12jk0;6{|l41f4=83;pqc9:c283>4}zf>?h87>51zm30e2290:wp`85b494?7|ug=>o:4?:0y~j23d03:1=vsa74a:>5<6std<9no50;3xyk12kk0;6{|l41a4=83;pqc9:d283>4}zf>?o87>51zm30b2290:wp`85e494?7|ug=>h:4?:0y~j23c03:1=vsa74f:>5<6std<9io50;3xyk12lk0;6{|l41`4=83;pqc9:e283>4}zf>?n87>51zm30c2290:wp`85d494?7|ug=>i:4?:0y~j23b03:1=vsa74g:>5<6std<9ho50;3xyk12mk0;6{|l41c4=83;pqc9:f283>4}zf>?m87>51zm30`2290:wp`85g494?7|ug=>j:4?:0y~j23a03:1=vsa74d:>5<6std<9ko50;3xyk12nk0;6{|l4254=83;pqc990283>4}zf><;87>51zm3362290:wp`861494?7|ug==<:4?:0y~j20703:1=vsa772:>5<6std<:=o50;3xyk118k0;6k:182xh0>9o1<7?t}o554c<728qvb:8>0;295~{i??;:6=4>{|l4244=83;pqc991283>4}zf><:87>51zm3372290:wp`860494?7|ug===:4?:0y~j20603:1=vsa773:>5<6std<:8o1<7?t}o555c<728qvb:8=0;295~{i??8:6=4>{|l4274=83;pqc992283>4}zf><987>51zm3342290:wp`863494?7|ug==>:4?:0y~j20503:1=vsa770:>5<6std<:?o50;3xyk11:k0;6;o1<7?t}o556c<728qvb:8<0;295~{i??9:6=4>{|l4264=83;pqc993283>4}zf><887>51zm3352290:wp`862494?7|ug==?:4?:0y~j20403:1=vsa771:>5<6std<:>o50;3xyk11;k0;6:o1<7?t}o557c<728qvb:8;0;295~{i??>:6=4>{|l4214=83;pqc994283>4}zf>51zm3322290:wp`865494?7|ug==8:4?:0y~j20303:1=vsa776:>5<6std<:9o50;3xyk11=o1<7?t}o550c<728qvb:8:0;295~{i???:6=4>{|l4204=83;pqc995283>4}zf><>87>51zm3332290:wp`864494?7|ug==9:4?:0y~j20203:1=vsa777:>5<6std<:8o50;3xyk11=k0;6{|l4234=83;pqc996283>4}zf><=87>51zm3302290:wp`867494?7|ug==::4?:0y~j20103:1=vsa774:>5<6std<:;o50;3xyk11>k0;6?o1<7?t}o552c<728qvb:880;295~{i??=:6=4>{|l4224=83;pqc997283>4}zf><<87>51zm3312290:wp`866494?7|ug==;:4?:0y~j20003:1=vsa775:>5<6std<::o50;3xyk11?k0;6>o1<7?t}o553c<728qvb:870;295~{i??2:6=4>{|l42=4=83;pqc998283>4}zf><387>51zm33>2290:wp`869494?7|ug==4:4?:0y~j20?03:1=vsa77::>5<6std<:5o50;3xyk110k0;61o1<7?t}o55{|l42<4=83;pqc999283>4}zf><287>51zm33?2290:wp`868494?7|ug==5:4?:0y~j20>03:1=vsa77;:>5<6std<:4o50;3xyk111k0;60o1<7?t}o55=c<728qvb:8n0;295~{i??k:6=4>{|l42d4=83;pqc99a283>4}zf>51zm33g2290:wp`86`494?7|ug==m:4?:0y~j20f03:1=vsa77c:>5<6std<:lo50;3xyk11ik0;6ho1<7?t}o55ec<728qvb:8m0;295~{i??h:6=4>{|l42g4=83;pqc99b283>4}zf>51zm33d2290:wp`86c494?7|ug==n:4?:0y~j20e03:1=vsa77`:>5<6std<:oo50;3xyk11jk0;6ko1<7?t}o55fc<728qvb:8l0;295~{i??i:6=4>{|l42f4=83;pqc99c283>4}zf>51zm33e2290:wp`86b494?7|ug==o:4?:0y~j20d03:1=vsa77a:>5<6std<:no50;3xyk11kk0;6jo1<7?t}o55gc<728qvb:8k0;295~{i??n:6=4>{|l42a4=83;pqc99d283>4}zf>51zm33b2290:wp`86e494?7|ug==h:4?:0y~j20c03:1=vsa77f:>5<6std<:io50;3xyk11lk0;6mo1<7?t}o55`c<728qvb:8j0;295~{i??o:6=4>{|l42`4=83;pqc99e283>4}zf>51zm33c2290:wp`86d494?7|ug==i:4?:0y~j20b03:1=vsa77g:>5<6std<:ho50;3xyk11mk0;6lo1<7?t}o55ac<728qvb:8i0;295~{i??l:6=4>{|l42c4=83;pqc99f283>4}zf>51zm33`2290:wp`86g494?7|ug==j:4?:0y~j20a03:1=vsa77d:>5<6std<:ko50;3xyk11nk0;6oo1<7?t}o55bc<728qvb:9?0;295~{i?>::6=4>{|l4354=83;pqc980283>4}zf>=;87>51zm3262290:wp`871494?7|ug=<<:4?:0y~j21703:1=vsa762:>5<6std<;=o50;3xyk108k0;6k:182xh0?9o1<7?t}o544c<728qvb:9>0;295~{i?>;:6=4>{|l4344=83;pqc981283>4}zf>=:87>51zm3272290:wp`870494?7|ug=<=:4?:0y~j21603:1=vsa763:>5<6std<;8:6=4>{|l4374=83;pqc982283>4}zf>=987>51zm3242290:wp`873494?7|ug=<>:4?:0y~j21503:1=vsa760:>5<6std<;?o50;3xyk10:k0;69:6=4>{|l4364=83;pqc983283>4}zf>=887>51zm3252290:wp`872494?7|ug=5<6std<;>o50;3xyk10;k0;6>:6=4>{|l4314=83;pqc984283>4}zf>=?87>51zm3222290:wp`875494?7|ug=<8:4?:0y~j21303:1=vsa766:>5<6std<;9o50;3xyk10?:6=4>{|l4304=83;pqc985283>4}zf>=>87>51zm3232290:wp`874494?7|ug=<9:4?:0y~j21203:1=vsa767:>5<6std<;8o50;3xyk10=k0;6<:6=4>{|l4334=83;pqc986283>4}zf>==87>51zm3202290:wp`877494?7|ug=<::4?:0y~j21103:1=vsa764:>5<6std<;;o50;3xyk10>k0;6=:6=4>{|l4324=83;pqc987283>4}zf>=<87>51zm3212290:wp`876494?7|ug=<;:4?:0y~j21003:1=vsa765:>5<6std<;:o50;3xyk10?k0;6o1<7?t}o543c<728qvb:970;295~{i?>2:6=4>{|l43=4=83;pqc988283>4}zf>=387>51zm32>2290:wp`879494?7|ug=<4:4?:0y~yx{GHJq6?=:NWWTPR=ZH6:=7>111922?OIX\^1M1<<:9<24>462@D[YY4N<3395;733;91EC^ZT;C\BVD;::0:2k5<:HLSQQ49B8439=2K7=;0:;@>23;3G;97?0M169B877=97?0M1<>>49B8749?2K7>>47>49B8759<2K7>3:4A=1=0>G;<7>0M1;14:C?2;2Pmtz345668m1J@H>Pmtz34565l2KGI=Qbuy23455c3HFNGKM9Ufyu>?015g?DJB8Vg~t=>?09f8EIC7Wds<=>?959BH@7d3HFN=RQ`r1235a=FDL;TSb|?01320>GIL;>0MCJ<4:CM@1286OAD668EKB?<2KX~kj4ARpe[FjlW@D]=:5NSsd\J5eGTzoUecy>?003:?DYA[K6:<374A^DPF976601JSK]M<00==>GXNZH7=>06;@]EWG:6<730MRH\B=36:<=FWOYI0<819:C\BVD;9>427LQISC>2<;?99B[CUE48427LQISC>14;?>89B[CUE4;85n6OPFR@?66<6601JSK]M<31=<>GXNZH7>364A^DPF95902KTJ^L34?:8EZ@TJ5?546OPFR@?2;>GXNZHT==QFBTDg?DYA[KU:=RGMUGf8EZ@TJV;9SDLZFe9B[CUEW89TEO[Id:C\BVDX9=UBNXHk;@]EWGY6=VCIYKj4A^DPFZ71W@H^Ji5N_GQA[41XAK_Mh6OPFR@\5=YNJ\Lo7LQISC]2=ZOE]Oi0MRH\B^3\MGSAl2KTJ^LP21]JFP@c3HUM_OQ=1^KAQCbGXNZHT>RGMUGa8EZ@TJV9TEO[Ic:C\BVDXGh}}ENi6O`uuMF[hs89:;j6O`uuMF[hs89:;=k5NotvLAZkrp9:;;f:ClqqIBWds<=>?5g9BkprHMVg~t=>?0768FDES=2HJOY?:;CC@P7>E58DOE:6M=5LGMf>E5=DOESBLZF29@EF[Vg~t=>?02a8GDUXe|r;<=>;c:ABWZkrp9:;<8m4C@Q\ip~789:=o6MNS^ov|5678>i0OL]Pmtz3456?:2IN?6MCK29@HW5>79GkprHMm1Ocxz@E^mq4567m2NdyyAJ_np34566m2NdyyAJ_np34565m2NdyyAJ_np34564m2NdyyAJ_np34563m2NdyyAJ_np34562m2NdyyAJ_np3456192O>7HHnlm48ACgkd8<0IKocl378AV:76<1N_1?15:GP87823LY7?3:4ER]3a>CTW9U;Sca{0123b>CTW9U;Sca{01235a=B[V:TSl`k0123a>CTW9UTmcj?0122a>CTW9UTmcj?0121a>CTW9UTmcj?0120a>CTW9UTmcj?0127a>CTW9UTmcj?0126a>CTW9UTmcj?01250>CTW8n0I^Q>_^cm`5678l1N_R?P_`lg45679l1N_R?P_`lg4567:=1N_RCTW:UTmcj?012f?@UX;VUjbi>?013f?@UX;VUjbi>?0102b>CTWDkohRQnde2345YJpfxT^h}zlu>2:4`?003:?@UXEhnoSRokd1234ZYffm:;<?0^]bja6788;o7H]PQ^3\kw6789o0I^Q^_0]lv56788l0I^Q^_0]lv56788:m7H]PQ^3\kw6789;:i6K\_P]2[jt789:9i6K\_P]2[jt789:8i6K\_P]2[jt789:?i6K\_P]2[jt789:>i6K\_P]2[jt789:=i6K\_P]2[jt789:Cu=2Oy0=0:;Dp?5;2O53@:97D?=;H01?L553@>97D;=;H41?L133@KH_85FABQ20>OE]O30ECG[SUCWA6=NF_80EB;4INC@Pa=NGHI_S`{w0123a>OHIJ^Taxv?0122b>OHIJ^Taxv?01224c=NGHI_S`{w012354`i6G@ABV\ip~789:=i6G@ABV\ip~789:OHJLXYi6G@BDPQ[kis89::j6G@BDPQ[kis89::=95FOCO7?LIE[?1BCO[IE59JKFU23@EH_<84INAP550;4INAP60=NGJY896G@CR66?LID[h5FOEMMAZiu89:;?h5FOEMMAZiu89:;8h5FOEMMAZiu89:;9h5FOEMMAZiu89:;:h5FOEMMAZiu89:;;h5FOEMMAZiu89:;455FOEMMAlh03@EOCCK}4:KLH5eOHD9Ufyu>?013f?LIK8Vg~t=>?002f?LIK8Vg~t=>?003f?LIK8Vg~t=>?000f?LIK8Vg~t=>?001f?LIK8Vg~t=>?006f?LIK8Vg~t=>?007g?LIK8Vg~t=>?03f8MJJ7Wds<=>?3e9JKI6Xe|r;<=>;d:KLH5Yj}q:;<=;k;HMO4Zkrp9:;<;j4INN3[hs89:;;i5FOM2\ip~789:3h6G@L1]nq}67893?7DAC1b9JKI7Xff~;<=?k;HMO5Zhh|9:;=<;4INNGW<=NGGZYiljv109JKKVUmhnrSRa}012357=NGGZYiljv_^mq45679880EB@_Rdcg}ZYhz9:;?01126>OHFYXnmiwP_np345639;1BCC^]e`fz[Ziu89:;9;5FOQU@W<=NGY]Yiljv109JKUQUmhnrSRa}012357=NGY]Yiljv_^mq45679880EB^XRdcg}ZYhz9:;?01126>OHX^XnmiwP_np34563?2CD^I\JQg9JKWBUMXUjbi>?0133?LIUL[OZSl`k01235461:KLVATBYVg~t=>?00225>OHZMXN]Rczx123447692CD^I\JQ^ov|567888:=6G@REPFUZkrp9:;<<=>1:KLVATBYVg~t=>?00624>OHZMXN]Rczx12347773@EYH_K^_lw{4567;8:0EB\KRDS\ip~789:?==5FOSFQATYj}q:;<=;>0:KLVATBYVg~t=>?0733?LIUL[OZS`{w0123346k4INPT5Zgil9:;<9k4INPT5Zgil9:;<8k4INPT5Zgil9:;<;k4INPT5Zgil9:;<::4INQ3g>OH[9Ujbi>?01f8MJU7Whdo<=>?1e9JKV6Xign;<=>=d:KLW5Yffm:;<==l;HMP4Zkrp9:;Pmtz34562l2CD_=Qbuy23450c3@EXOH[VLXARHId0a8MJUXNZGTJKj=f:KLWZ@TEVLMh?#Fn028MJUXNZGTJKj=-Hl255=NGZUM_@QIFe0.Mk4682CD_RH\M^DE`7+Nf:i0EB]PFRO\BCb4n2CD_RH\M^DE`6+Nf8:0EB]PFRO\BCb4%@d:==5FOR]EWHYANm9&Ec<>0:KLWZ@TEVLMh>#Fn233?LITWOYFSKHk3,Km0460:KLWZ@TEVLMh;#Fn533?LITWOYFSKHk6,Km1f=NGZUM_@QIFe5e?LITWOYFSKHk7,Km55=NGZUM_@QIFe5.Mk7682CD_RH\M^DE`2+Nf;;;7DA\_GQN[C@c?$Ce?<>4INQ\BVKXNOnPmtz3456c3@E^OH]9Ufyu>?016g?LIR8Vg~t=>?04f8MJS7Wds<=>?6e9JKP6Xe|r;<=>8d:KLQ5Yj}q:;<=6k;HMV4Zkrp9:;<4:4INW2g>OH]8Uecy>?00f8MJS6Wge<=>>149JKPBT?2CD[_\JQg9JKRTUMXUfyu>?0133?LIPZ[OZS`{w0123547>2038MJQUZL[Taxv?01227474INUQV@WXe|r;<=>=119JKRTUMXUfyu>?01124>OH_[XN]Rczx12341773@E\^_K^_lw{4567=8:0EBY]RDS\ip~789:===5FOVPQATYj}q:;<=9>0:KLSWTBYVg~t=>?0933?LIPZ[OZS`{w0123===NG^XYI\|;;HQWG0=N[]I:n6G\_GQN[C@c9l1B_RH\M^DE`4+Nfo1B_RH\M^DE`4+Nf8l0E^QISL]EBa7*Ag8i7D]PFRO\BCb5m2CXSK]B_GDg6(Oin2CXSK]B_GDg6(Oi9o1B_RH\M^DE`7+Nf;l0E^QISL]EBa4*Ag9m7D]PFRO\BCb5%@d?j6G\_GQN[C@c:$Ce9o5FS^DPIZIr|9i0E^QISL]Lqq66k2CXSK]B_Nww47b3:d=K\`gn~1??>`9OPlkbz5;:245CThofv97912F_e`k}<3<:?IRnelx7?374LUknaw:3601GXdcjr=7==>JSadoy0;06;MVji`t;?730@Ygbes>;:<=K\`gn~1718:NWmhcu\J;87AZfmdpWGZejxVoz<=>?159OPlkbz]ITo`~Pep234576<2F_e`k}TB]`iuYby9:;?01720>JSadoyXNQlmq]fu5678?20@Ygbes]355=K\`gn~R>Pmtz3456692F_e`k}_1]nq}6789;:=6B[ilgq[5Yj}q:;<=<>1:NWmhcuW9Ufyu>?01125>JSadoyS=Qbuy23452692F_e`k}_1]nq}6789?:=6B[ilgq[5Yj}q:;<=8>1:NWmhcuW9Ufyu>?015;?IRnelxT=45CThofvZ779;1GXdcjr^33[Zgil9:;<<=4LUknawY68VUjbi>?01327>JSadoyS<>P_`lg4567:8;0@Ygbes]24ZYhz9:;<<<4LUknawY68VUd~=>?00;8HQojm{U:=<<4LUknawY69VUjbi>?0130?IRnelxT=?00221>JSadoyS?00021>JSadoyS?00621>JSadoyS?0337?IRnelxT=Rmbp^gr4567;8>0@Ygbes]2[fkwWl{;<=>;159OPlkbzV;To`~Pep234536<2F_e`k}_0]`iuYby9:;<;?;;MVji`tX9Vif|Rk~0123342_bos[`w789:3=95CThofvZ7XkdzTi|>?01;24>JSadoyS;MVji`tX9Vg~t=>?0232?IRnelxT=Rczx12341763E^bah|P1^ov|5678<;:7AZfmdp\5Zkrp9:;<;?>;MVji`tX9Vg~t=>?0632?IRnelxT=Rczx1234=773E^bah|P1^llp56798;0@Ygbes]2[kis89::=>2038HQojm{U:Sca{0122747_omw4566<8;0@Ygbes]2[kis89::9>699OPlkbzV8:=6B[ilgq[7YXign;<=>>2:NWmhcuW;UTmcj?012257=K\`gn~RJSadoyS>QPaof34566:2F_e`k}_2]\ekb789::=?5CThofvZ5XWhdo<=>?299OPlkbzV>:=6B[ilgq[1YXign;<=>>2:NWmhcuW=UTmcj?012257=K\`gn~R:P_`lg4567:11GXdcjr^725>JSadoyS8QPaof34566:2F_e`k}_4]\ekb789::=?5CThofvZ3XWhdo<=>?299OPlkbzV<:=6B[ilgq[3YXign;<=>>2:NWmhcuW?UTmcj?012257=K\`gn~R8P_`lg4567:11GXdcjr^525>JSadoyS:QPaof34566:2F_e`k}_6]\ekb789::=?5CThofvZ1XWhdo<=>?299OPlkbzV2:=6B[ilgq[=YXign;<=>>2:NWmhcuW1UTmcj?012257=K\`gn~R6P_`lg4567:11GXdcjr^;25>JSadoyS4QPaof34566:2F_e`k}_8]\ekb789::=?5CThofvZ?XWhdo<=>?249Okdb6m2Fdmi?P_`lg45679j1FmijP_`fg4567WDrd~R\jstnw8486l2GjhiQPaef3457XEqeyS_k|umv?5586l2GjhiQPaef3457XEqeyS_k|umv?5486k2GjhiQPaef3457XEqeyS_k|umv?6;7d3DkohRQnde2344YJpfxT^h}zlu>0:4eKflmUTmij?013\I}iuW[oxyaz36?3`?HgclVUjhi>?00]N|jtXZly~`y28>0a8IdbcWVkoh=>?1^O{kwYUmzgx1611b9NeabXWhno<=>>_LzlvZTb{|f040>6:Ob`aYXimn;<=?P_`lg45679>1FmijP_`fg4566WVkeh=>?0035?HgclVUjhi>?00]\ekb789;:;6Cnde]\eab789;TSl`k0122540?3058IdbcWVkoh=>?1^]bja678:;::6Cnde]\eab789;TSl`k012752=JimnTSljk0122[Zgil9:;8:1048IdbcWVkoh=>?1^]bja678?;<7@okd^]b`a6788UTmcj?014253=JimnTSljk0122[Zgil9:;;<94M`fg[Zgcl9:;=RQnne234276>2GjhiQPaef3457XWhdo<=>7169NeabXWhno<=>>_^cm`56708;=7@okd^]b`a6788UTmcj?01;23>KflmUTmij?013\[dhc89:2=?5A029M441;N68KGSA=2EIYKK:;NF@WwbIikli0Ccmj_^mq4567l2EeohQPos23457c3FdhiRQ`r123477>30227ZJ33YKYXl5_IO]AQVOCPk1[ECQMURLBI@5?013254=VgZXnmiwPos234575981Zc^\jae{\kw6789;8==5^oRPfeaXg{:;<=<>0:SlWWcflpUd~=>?0233?TiTZlkouRa}0123046?8028UjUUmhnrSb|?012:1>TF494=7_O311<;?WG;980;2;5]A=32:0=UI5;596\N<3<6?WG;;7<0^L2<>048VD:46;<0^L2<>248VD:46=?0^L2;>49QE939=2XJ0;0:;SC?3;3TFE<1YM@M\7:PBIFU7P11YM@M\0Y3;?WGJKZ:S>55]ALAP4]513[KFO^?:;SCNAKcTFELDTc>?013g?WGJMGUd~=>?03:8VDKUmhnrj6\NMSgb`|Yhz9:;<<>4R@OQadb~Wfx;<=>>119QEHTbimsTc>?01024>TFE[ojhtQ`r12346773[KF^hoky^mq4567<8:0^LC]e`fz[jt789:>46\NMRvbvq?POCWEg>TFW9Ufyu>?01f8VDY7Wds<=>?1e9QEZ6Xe|r;<=>=d:PB[5Yj}q:;<==k;SC\4Zkrp9:;<9o4R@]24ZIE]On0^LQ>0^ov|5678l1YMR??_lw{45679l1YMR??_lw{4567:l1YMR??_lw{4567;l1YMR??_lw{4567_N@VB<=UIV;TCO[Ic:PB[4Yj}q:;<=j4R@]2[hs89:;=i5]A^3\ip~789:9h6\N_0]nq}67899o7_OP1^ov|5678=30^LQ=_N@VBf=UIV8Taxv?012g?WGX:Vg~t=>?00f8VDY5Wds<=>?2e9QEZ4Xe|r;<=>Taxv?012g?WGX?00f8VDY3Wds<=>?2e9QEZ2Xe|r;<=>S`{w01237a=UIV?Taxv?0127=>TFW?UDNXHl;SC\2Zkrp9:;TFW>Ufyu>?01f8VDY0Wds<=>?1e9QEZ1Xe|r;<=>=d:PB[2Yj}q:;<==k;SC\3Zkrp9:;<974R@];[JDRNj1YMR6Pmtz3456c3[KT4Rczx12344bTFW1Ufyu>?016:?WGX1VEIYKm4R@]:[hs89:;h6\N_8]nq}6789;o7_OP9^ov|5678;n0^LQ6_lw{4567;m1YMR7Pmtz34563=2XDAN]9;SMNGV713[EFO^<9;SMNGV513[EFO^:8;SMNGV2_02XDAN];X0:8VJKD[=R946\@MBQ7\6>2XDAN]96:PLIFU0j2XXSK]B_GDg5g=U[VLXARHId3`8VVYA[DUMJi=m;SQ\BVKXNOn?i6\\_GQN[C@c<$Cej6\\_GQN[C@c<$Ce=k5]S^DPIZ@Al='Bb?h4RR]EWHYANm>&Ec=i;SQ\BVKXNOn?!D`;b:PP[CUJWOLo9o5]S^DPIZ@Al?o0^^QISL]EBa0*Agl0^^QISL]EBa0*Ag;m7_]PFRO\BCb1%@d9j6\\_GQN[C@c>$Ce?k5]S^DPIZ@Al?'Bb9h4RR]EWHYANm<&Ec;i;SQ\BVKXNOn=!D`9f:PP[CUJWOLo: Ga7c9QWZ@TEVLMh:k4RR]EWHYANm=&Ech4RR]EWHYANm=&Ec?m;SQ\BVKXNOn3i6\\_GQN[C@c0$Cej6\\_GQN[C@c0$Ce=k5]S^DPIZ@Al1'Bb?h4RR]EWHYANm2&Ec=i;SQ\BVKXNOn3!D`;5:Pfea13[ojht?9;Sgb`|413[ojht=9;Sgb`|213[ojht;9;Sgb`|013[ojht98;Sge@jhb991YikJ`nd]\ekb789::=6\jfEmmaZYffm:;<=?i;Sge@jhbWVey<=>?119QacBhflUTc>?01324>TbnMeeiRQ`r12347773[omHb`j_^mq4567;8:0^hhKoog\[jt789:?==5]egFlj`YXg{:;<=;n;SgeBwijZZ:i7_kiFsmnVV66j2XnjK|`mSQ36g=UmoLyc`\\02`8V``AzfgY_=:9;SgeV`w?3[om^hFn038V``UmxUha}Qjq1234442:PfbWcvWjg{Sh?012057=UmoXn}Rmbp^gr4567<880^hh]ep]`iuYby9:;<8k4RddQatYig}:;<2:PfbPt`Wjg{Sh?012057=Umo_ykRmbp^gr4567<880^hhZrf]`iuYby9:;<884Rdqvhq0<[F_YOH;4SV>2:0=T_585;6]X<282:0=T_59586]jnua8W`hsWVey<=>?d:QfjqYXg{:;<=?k;RgmpZYhz9:;Uil[KFO^Y]1b9PjaTFEJY\^<>l;RlgVDKD[^X:=n5\nePBIFUPZ88h7^`kR@O@WRT6;j1Xbi\NMBQTV42d3Zdo^LCLSVP21f=TfmXJAN]XR04a?VhcZHGH_Z\=b:Qm`WGJKZ]Y?o5\nePBIFUPZ=h0_cj]ALAPSW3e3Zdo^LCLSVP5f>Uil[KFO^Y]7c9PjaTFEJY\^5l4SofQEHET_[337^`kRNO@W<=TfmXDAN]>a:Qm`WIJKZ;;m6]adSMNGV76i2Yeh_ABCR31e>Uil[EFO^?74SofQKHET<01Xbi\@MBQ6=>Uil[EFO^86;RlgVJKD[>30_cj]OLAP<<=TfmXDAN]64:VQQ@d<\ZUM_@QIFe3f?QUXNZGTJKj>-Hle?QUXNZGTJKj>-Hl2b>RTWOYFSKHk1,Km6g=S[VLXARHId3g8PVYA[DUMJi<"Iod8PVYA[DUMJi<"Io324>RTWOYFSKHk2,Km55`<\ZUM_@QIFe0.Mk4a3]YTJ^CPFGf1)Lh4n2^XSK]B_GDg6(Oi>99Wmhcu4;437Ygbes>0:2=SadoyS=??;UknawY7WVkeh=>?0038PlkbzV:TSl`k0123547<\`gn~R>P_`lg4567:8;0Xdcjr^2\[dhc89:;?:5[ilgq[4773]cfiQ>_^cm`56788;0Xdcjr^3\[dhc89:;=?269WmhcuW;;;7Ygbes]1[Zgil9:;<?1038PlkbzV8TSl`k012362=SadoyS>??;UknawY4WVkeh=>?0038PlkbzV9TSl`k0123547<\`gn~R=P_`lg4567:;80Xdcjr^Ob`aYXimn;<=>PMymq[Wct}e~7=3<=;UknawYJimnTSljk0123[H~hzVXnxb{<3<16>RnelxTAljk_^cg`5678VGscQ]erwop9599j1_e`k}_Lcg`ZYflm:;<=QPaof34566l2^bah|PM`fg[Zgcl9:;3?>;UknawYXimn;<=>33?f8PlkbzVkeh=>?0d9WmhcuWhdo<=>?1b9VW@TX^@YBNAK<;WA@=>PNM^U_U]K;;WRBvf=QXHxTbbz?013g?SVFzVddx=>?10`8RlbUIDIX[_?l;WkgVDKD[^X:Pnl[KFO^Y]5c9UmaTFEJY\^;l4VhfQEHET_[=i7[gkR@O@WRT?j2\bh_OBCRUQ=0=P[5:596Y\<0<6?RU;:7?0[^2<>59TWZ6c3^YT?e:UP[7YXign;<=>>4:UP[6b<_ZU8SRoad1234`=P[V9TSl`k012354`<_ZUFmijP_`fg4567WDrd~R\jstnw8486n2]XS@okd^]b`a6789UFtb|PRdqvhq:568l0[^QBaef\[dbc89:;S@v`r^Pfwpjs4:4:56Y\_Lcg`ZYflm:;<=QPaof34566i2]XS@okd^]b`a6789UTmcj?01225<=P[VGjhiQPaef3456XWhdo<=>>1`9TWZKflmUTmij?012\[dhc89::=h5XS^]b`a67896:2h5XS^]b`a6789692h5XS^]b`a6789682;5XasrPA4b4Xeo\Idlhz_oydaa119[`hYJageyZh||inl5?]i;87;87U}{b:]b`a67896:2n5Paef3457;994h7Rokd12359766k1Tmij?013?6;d><22o5Paef3457;>7h0Sljk0122828e3Vkoh=>?1=:=f>Yflm:;<<26>29`jq4?2038jDBh}}FNa:lB@jssDL;:i6`NDnwwH@7XGK_M?6`NS69mEVYA[Ki0bL]PFR@\MGSA?2dJ_RG@Be9mEVYNGKUDNXHJa:lBWZOHJf:6`NSnww=>hF[fSC>n;oCPkprXF9;n7cO\otv\jjr789;m7cO\otv\jjr789;:==5aARmvpZhh|9:;=<>i;oCPkprXff~;<=?=f:lBWjssWge<=>>3g9mEVir|Vddx=>?15d8jDUh}}Uecy>?007e?kGTg|~Tbbz?0135b>hF[fSca{01223c=iIZe~xR``t1235=`?01325>hEM[XTJ^LP_np34576:2dII_\PFR@\[jt789;:=?5aBDPQ[CUEWVey<=>>2008jGCUZVLXNRQ`r12356??0133?kDUW@EIS`{w0123546?5028jGTXAFHTaxv?012555=iJ[UBCOQbuy2345133gIJ_45aC@Q\KGSAk2dHM^Qaou2344bj;oFjjICXg{:;<=?9;oFjjJC03gNbbBK>b:lGmkIBWFH^Jh5aDhlLAZgil9:;?4g9m@lhHMVkeh=>?0458jAir|FO37cJ`uuMF5f=iLfCHQ@BTDe?kBh}}ENSl`k012255=iLfCHQnne23447682dOcxz@E^cm`5679;;;7cJ`uuMF[dhc89::?85aIQN1e>hNXE8TEO[I6:lJTI4u<2dGH^64nMFP[CUEl2dGH^QISC]JFP@?3gFO_RG@Bd9mHAUXAFHTCO[IEc9mHAUXAFHdyym4nMFP[dhc89:9h6`CDR]bja678;;o7cBKS^cm`567:;n0bAJ\_`lg4565;m1e@I]Paof34543l2dGH^Qnne23473c3gFO_Road12363bhKLZUjbi>?03;`?kJC[Vddx=>?1e9mHAUXff~;<=?>4:lOS@31:lLr`tkipUecy>?00325>hH~lxgmtQaou23444692dDzh|cax]mkq67889:=6`@vdpoe|Yig}:;<<:8;oPBIIQBk2dYM@BXE^MAQC11e^BCLS0`8jWIJKZUDNXH9;oPLIRC03gXDAZK>b:lQKHQBWFH^J95aUEQ;?kSC[VLXNi5aUEQ\BVDXAK_M46`ZDR]JKGc?1e9mQAUXign;<=?>d:lV@VYffm:;<<j4nTFP[dhc89::8i5aUEQ\ekb789;>h6`ZDR]bja6788n0bXJ\_`lg45660m1eYI]Paof3457>k2d^H^Qaou2344b7c[XE0a8jPQBWhdo<=>?d:lVS@Yffm:;<=?k;oWTAZgil9:;hQEHUTc>?011g?kPJIVUd~=>?05f8jSKFWVey<=>?5e9mRHGXWfx;<=>9d:lUIDYXg{:;<=9k;oTNEZYhz9:;<5m4nWOB[dhc89:;h6`YM@]bja6789;o7cXBA^cm`5678;n0b[CN_`lg4567;m1eZ@OPaof34563l2d]ALQnne2345333g\[M55aVQC\BVDc3g\[MRH\B^MAQC>i==751723<>b4lj0;6<4>{R:e>6c12;4078=1}X>:m:182>4<4=mqX4k46127?!5e;3;o<6*=6e87g==e;mi1<7:m:469ef}O;k;0(>6i:2f`?_112:q96849:|&2gc<4?k1 85<50;9j3d<722e???4?::k744<722e?nn4?::k7<`<722e?4l4?::m4f?6=3f>5;h614?6=3f><:7>5;n61`?6=3f>9?7>5;h;f>5<>o003:17b=i7;29?j5al3:17d::4;29?l25:3:17b:77;29?j24k3:17b::6;29?j2f?3:17b:;0;29?j2313:17d:l5;29?j2603:17b<74;29 70a2;287c<9e;28?j4?:3:1(?8i:3:0?k41m3;07b<61;29 70a2;287c<9e;08?j4>83:1(?8i:3:0?k41m3907b<7f;29 70a2;287c<9e;68?j4?m3:1(?8i:3:0?k41m3?07b<7d;29 70a2;287c<9e;48?j4?k3:1(?8i:3:0?k41m3=07b<77;29 70a2;287c<9e;:8?j4?>3:1(?8i:3:0?k41m3307b<75;29 70a2;287c<9e;c8?j4?93:1(?8i:3:0?k41m3h07d:m:18'63`=;k50:9j0<<72-8=j7:n;o05a?7<3`>36=4+27d90d=i:?o1>65f4683>!41n3>j7c<9e;18?l22290/>;h54`9m63c=<21b894?:%05b?2f3g8=i7;4;h60>5<#:?l18l5a27g92>=n<;0;6)<9f;6b?k41m3=07d:>:18'63`=;k58:9j05<72-8=j7:n;o05a??<3`9m6=4+27d90d=i:?o1m65f3d83>!41n3>j7c<9e;`8?l5c290/>;h54`9m63c=k21b?n4?:%05b?2f3g8=i7j4;h70>5<#:?l18l5a27g9a>=n=;0;6)<9f;6b?k41m3l07d;>:18'63`=;k51198m06=83.9:k4;a:l12`<6921b8k4?:%05b?2f3g8=i7?=;:k7a?6=,;45<3`>o6=4+27d90d=i:?o1=954i5a94?"5>o0?m6`=6d821>=n!41n39?i6`=6d83?>o4h5>l0:76g<4b83>!41n39?i6`=6d81?>d4m90;6<4?:1y'7=`=m91C?ih4H2`2?jba2900qo=j1;295?6=8r.84k4e2900c?hj:188k4272900c?7;:188k63f2900e:=50;9j0=4=831d?;l50;9l55g=831b8n:50;9l6<5=831d>k750;9lad<722c:;7>5$34e>40o0::6`=6d82?>o6<3:1(?8i:048j70b2;10e<<50;&12c<6>2d9:h4<;:k24?6=,;1=2d9:h49;:kg>5<#:?l1=;5a27g93>=nk3:1(?8i:048j70b2110eo4?:%05b?713g8=i774;hc94?"5>o0::6`=6d8b?>o>290/>;h5179m63c=j21b47>5$34e>40!41n3;=7c<9e;f8?l7a290/>;h5179m63c=m21b=h4?:%05b?713g8=i7h4;c1f4?6=93:1N4lo1C?o?4oed94?=zj;<:6=4<:183!5?n3nj7E=kf:J0f4=n?>0;66g63;29?j4093:17pl;7183>0<729q/?5h5db9K7a`<@:h:7)kk:19j5;h54>5<6=4=:183!5?n3on7E=kf:J0f4=nm80;66a=5d83>>{e:0<1<7<50;2x 6>a2lo0D>ji;I1a5>ob93:17b<:e;29?xd51>0;6>4?:1y'7=`=;81C?ih4H2`2?lc52900eh=50;9l60c=831vn?77:180>5<7s-93j7=>;I1gb>N4j81bi?4?::kf7?6=3f8>i7>5;|`126<72:0;6=u+39d974=O;ml0D>l>;hg1>5<>{e;9:1<7<50;2x 6>a2lo0D>ji;I1a5>ob93:17b<:e;29?xd4880;6?4?:1y'7=`=ml1C?ih4H2`2?lc62900c?;j:188yg57:3:197>50z&04?::kf0?6=3`o>6=44o37f>5<5;hg;>5<>{e<>91<7<50;2x 6>a2lo0D>ji;I1a5>ob93:17b<:e;29?xd3?=0;6>4?:1y'7=`=:?>0D>ji;I1a5>ob:3:17dk<:188k73b2900qo:85;297?6=8r.84k4<1:J0`c=O;k;0eh<50;9ja6<722e99h4?::a7g1=83?1<7>t$2:e>65<@:nm7E=m1:kf6?6=3`o86=44id694?=nm<0;66a=5d83>>{e;k31<7=50;2x 6>a2:;0D>ji;I1a5>ob:3:17dk<:188k73b2900qo=ma;297?6=8r.84k4=659K7a`<@:h:7dk=:188m`5=831d>8k50;9~f6de29096=4?{%1;b?cb3A9oj6Ft$2:e>`c<@:nm7E=m1:kf5?6=3f8>i7>5;|`0fa<72;0;6=u+39d9a`=O;ml0D>l>;hg2>5<N4lo1C?o?4id094?=nm:0;66a=5d83>>{e;kl1<7=50;2x 6>a2:;0D>ji;I1a5>ob:3:17dk<:188k73b2900qo=l0;297?6=8r.84k4<1:J0`c=O;k;0eh<50;9ja6<722e99h4?::a7g>=83>1<7>t$2:e>64<@:nm7E=m1:kf6?6=3`o86=44id694?=h:5<5290;w)=7f;gf?M5cn2B8n<5fe083>>i5=l0;66sm2g`94?4=83:p(>6i:dg8L6ba3A9i=6gj1;29?j42m3:17pl=fb83>7<729q/?5h5ed9K7a`<@:h:7dk>:188k73b2900qo><:180>5<7s-93j7<94:J0`c=O;k;0eh<50;9ja6<722e99h4?::a752=8391<7>t$2:e>67<@:nm7E=m1:kf6?6=3`o86=44o37f>5<54;294~"40o08>6F>ob<3:17b<:e;29?xd4>j0;684?:1y'7=`=;:1C?ih4H2`2?lc52900eh=50;9ja1<722cn97>5;n06a?6=3th8;>4?:283>5}#;1l1?<5G3ed8L6d63`o96=44id194?=h:5<5290;w)=7f;gf?M5cn2B8n<5fe083>>i5=l0;66sm36794?4=83:p(>6i:dg8L6ba3A9i=6gj1;29?j42m3:17pl<7783>7<729q/?5h5ed9K7a`<@:h:7dk>:188k73b2900qo=87;296?6=8r.84k4je:J0`c=O;k;0eh?50;9l60c=831vn>97:181>5<7s-93j7kj;I1gb>N4j81bi<4?::m11`<722wi?:750;094?6|,:2m6hk4H2fe?M5e92cn=7>5;n06a?6=3th8;l4?:383>5}#;1l1ih5G3ed8L6d63`o:6=44o37f>5<52;294~"40o0ni6F>i5=l0;66sm37d94?>=83:p(>6i:278L6ba3A9i=6gj2;29?lc42900eh:50;9ja0<722cn:7>5;hg4>5<6<729q/?5h5309K7a`<@:h:7dk=:188m`5=831d>8k50;9~f615290?6=4?{%1;b?553A9oj6F5;hg7>5<N4lo1C?o?4id094?=nm:0;66gj4;29?lc22900eh850;9ja2<722cn47>5;hg:>5<l>;hg1>5<8::181>5<7s-93j7kj;I1gb>N4j81bi<4?::m11`<722wi?;850;094?6|,:2m6hk4H2fe?M5e92cn=7>5;n06a?6=3th8::4?:383>5}#;1l1ih5G3ed8L6d63`o:6=44o37f>5<52;294~"40o0ni6F>{e;?k1<7<50;2x 6>a2lo0D>ji;I1a5>ob93:17b<:e;29?xd4=j0;6?4?:1y'7=`=ml1C?ih4H2`2?lc62900c?;j:188yg52l3:197>50z&04?::kf0?6=3`o>6=44o37f>5<i7>53;294~"40o08=6F>i5=l0;66sm34d94?5=83:p(>6i:347?M5cn2B8n<5fe383>>ob;3:17b<:e;29?xd4>90;694?:1y'7=`=;;1C?ih4H2`2?lc52900eh=50;9ja1<722e99h4?::a737=8391<7>t$2:e>67<@:nm7E=m1:kf6?6=3`o86=44o37f>5<7>53;294~"40o08=6F>i5=l0;66sm11`94?5=83:p(>6i:347?M5cn2B8n<5fe383>>ob;3:17b<:e;29?xd6990;6?4?:1y'7=`=ml1C?ih4H2`2?lc62900c?;j:188yg7693:197>50z&04?::kf0?6=3`o>6=44o37f>5<7>53;294~"40o08=6F>i5=l0;66sm10194?5=83:p(>6i:347?M5cn2B8n<5fe383>>ob;3:17b<:e;29?xd69=0;6?4?:1y'7=`=ml1C?ih4H2`2?lc62900c?;j:188yg76=3:1?7>50z&0=1C?ih4H2`2?lc52900eh=50;9l60c=831vn5<7s-93j7=>;I1gb>N4j81bi?4?::kf7?6=3f8>i7>5;|`252<72:0;6=u+39d974=O;ml0D>l>;hg1>5<0e83>6<729q/?5h52768L6ba3A9i=6gj2;29?lc42900c?;j:188yg77m3:1?7>50z&04?::m11`<722wi==h50;194?6|,:2m6?8;;I1gb>N4j81bi?4?::kf7?6=3f8>i7>5;|q4>5<3sW=01>8l:d0896032l801>8::d38yv>=83>pR55237a9a6=:;?>1i>523749a4=z{00;69uQ9:?03055z\b?85ei3o970=9c;g7?852j3o970?>3;g1?xue290=wSl4=2`b>`5<5:`3<5:<36h?4=030>`5720=m816?8l5e29~wa<72?qUh63jb;g1?85e?3o870=83;g1?852j3o?70?>1;g1?xub290=wSk4=d`9a6=:;k=1i?523619a6=:;n7k8;<325?c33ty:<7>56z\24>;bj3o>70=m7;g6?85003o:70=:b;g5?87693o>7p}>2;29=~X6:279jk4j1:?ff?c1349i57k=;<0ef?c6349<57k>;<16f?c?34;;n7k<;<326?c53ty:87>59z\20>;4890n=63jb;g4?85e13o8702;g0?xu6=3:15vP>5:?1=0;<137?c5349<<7k=;<15=?c634;:;7k=;|q23?6=1rT:;63=978f5>;4880n=63jb;g:?85ek3o:70e;297~X6m278:h4j2:?026>1i<523719a6=z{>91<7=t^61897042l90199<:d38yv>e2908wS6m;<055?1034><<798;|qfe?6=:rTnm63jb;06a>{t99k1<7i6s|11`94?4|58:i6?;j;<321?c53ty:55e=:j:d18yv77l3:1>v3>0e811`=:99l1i?5rs02f>5<5s4;;i7<:e:?24c50;0x94772;?n70?>5;g0?xu6980;6?u2103960c<58:n6h<4}r326?6=:r7:=?4=5d9>55e=m;1vi63>178f6>{t98>1<773b34;::7k<;|q250<72;q6=<;524g894702l90q~?>6;296~;69?099h5211a9a6=z{8;<6=4={<323?42m27:8l50;0x96c72ml0199?:9a8yv4193:1>v3=608134=:<>>1i?5rs341>5<5s48==77<;<057?42m2wx>4=50;0xZ7?4348247<:e:p6<2=839pR?7;;<0:3?42m279554j2:p6<3=838p1?7::37f?84>?3o97p}=9783>7}::0<1>8k4=3;4>`552z\1b<=:;9?1>8k4}r0ee?6=:r79jl4=5d9>755=m:1v?hm:18184aj38>i63<048f6>{t:oi1<773b349;87k=;|q1ba<72;q6>kj524g896632l90q~;=5e39>754=:>>:37f?857:3o>7p}<0283>7}:;991>8k4=226>`552z?041<5=l16?=;5e59~w63f2909wS=:a:?027<5=l1v>;m:181852j38>i63<638f6>{t;73b349==7k<;|q01a<72;q6?8j524g8963a2l90q~=:e;296~;4=l099h523729a1=z{:?m6=4={<16b?42m278:=4j2:p736=838p1>8?:37f?85193o97p}<6083>7}:;?;1>8k4=241>`552z?026<5=l16?;>5e29~w6032909w0=94;06a>;4=o0n>6s|37794?4|5:<>6?;j;<16`?c53ty8:;4?:3y>730=:;k:d18yv51?3:1>v3<66811`=:;5<5s49=47<:e:?01a2;?n70=:e;g1?xu4>h0;6?u237c960c<5:?n6h=4}r15f?6=:rT8:o52360960c52z?02f<5=l16?:<5e29~w60c2909w0=9d;06a>;4>o0n46s|37g94?4|5:73`=:9=:d68yv5083:1>v3<71811`=:;>;1i>5rs252>5<5s49<=7<:e:?03773`=m;1v>99:181850>38>i63<6g8f7>{t;>=1<773b349=j7k;;|q03=<72;q6?:6524g8960a2l?0q~=89;296~;4?0099h5237d9a2=z{:=j6=4={<14e?42m278:k4j6:p7g1=838p1>l8:37f?85e03o87p}7}:;k31>8k4=2a3>`452z?0fd<5=l16?ok5e39~w6de2909w0=mb;06a>;4jl0n?6s|3ca94?4|5:hh6?;j;<1ab?c53ty8ni4?:3y>7gb=:li:d18yv5em3:1>v35rs2`e>5<5s49ij7<:e:?0f=50;0x96e72;?n70=m8;g1?xu3?90;69u24629627<5;336h=4=3db>`7<58;;6h?4}r645?6=:r7?;=47a:?730<5=l1v99=:181820:38>i63;758f7>{t<>91<773b34><97k<;|q731<72;q68::524g891122l80q~:72;297~X30;168:>5929>024=m81v9m;:187[2d<278ni4j1:?01f1q)?lf;14f>o?j3:17b:6f;29?l2783:17b:7a;29?j20i3:17b:=d;29?l142900e>:<:188k10d2900e9;<:188m65>2900e9m;:188m1>c2900e?7<:188k1>02900e?h6:188k7g12900c98::188k7>3290/>;h52918j70b2910c?6=:18'63`=:190b?8j:098k7?6290/>;h52918j70b2;10c?7?:18'63`=:190b?8j:298k7>a290/>;h52918j70b2=10c?6j:18'63`=:190b?8j:498k7>c290/>;h52918j70b2?10c?6l:18'63`=:190b?8j:698k7>0290/>;h52918j70b2110c?69:18'63`=:190b?8j:898k7>2290/>;h52918j70b2h10c?6>:18'63`=:190b?8j:c98m42=83.9:k4>3:l12`<732c:>7>5$34e>45o0:?6`=6d81?>oa290/>;h5129m63c=;21bi7>5$34e>45!41n3;87c<9e;78?le=83.9:k4>3:l12`<132ci6=4+27d956=i:?o1;65fa;29 70a2890b?8j:998m<<72-8=j7?<;o05a??<3`21<7*=6g827>h5>l0j76g8:18'63`=9:1e>;k5b:9j5c<72-8=j7?<;o05a?e<3`;n6=4+27d956=i:?o1h65f1e83>!41n3;87c<9e;g8?l7d290/>;h5129m63c=n21b=o4?:%05b?743g8=i7??;:k2e?6=,;47<3`;26=4+27d956=i:?o1=?54i0:94?"5>o0:?6`=6d827>=n980;6)<9f;30?k41m3;?76l4<729q/?5h5e19K7a`<@:h:7bji:188yg41?3:197>50z&05;h:`>5<=1<75f9283>>i5?80;66sm28;94?3=83:p(>6i:ea8L6ba3A9i=6*jd;28m=g=831b4n4?::k43?6=3`386=44o352>5<55;294~"40o0oo6F>o0?3:17d7<:188k7162900qot$2:e>ag<@:nm7E=m1:&f`?6=1<75f9283>>i5?80;66sm8183>6<729q/?5h5d`9K7a`<@:h:7)kk:19j32<722c2?7>5;n045?6=3th9n;4?:283>5}#;1l1hl5G3ed8L6d63-oo6=5f7683>>o>;3:17b<81;29?xd5j:0;6>4?:1y'7=`=lh1C?ih4H2`2?!cc291b;:4?::k:7?6=3f8<=7>5;|`76a<72:0;6=u+39d9`d=O;ml0D>l>;h54>5<:?50;9~f66029086=4?{%1;b?bf3A9oj6F;1<75rb22;>5<4290;w)=7f;fb?M5cn2B8n<5+ee83?l102900e4=50;9l627=831vn>>6:180>5<7s-93j7jn;I1gb>N4j81/ii4?;h54>5<:?50;9~f67729086=4?{%1;b?bf3A9oj6F;1<75rb23:>5<4290;w)=7f;fb?M5cn2B8n<5+ee83?l102900e4=50;9l627=831vn>?l:180>5<7s-93j7jn;I1gb>N4j81/ii4>;h54>5<>{ea2lo0D>ji;I1a5>ob93:17b<:e;29?xd3>?0;6?4?:1y'7=`=ml1C?ih4H2`2?lc62900c?;j:188yg56j3:1?7>50z&04?::m11`<722wi8>>50;094?6|,:2m6hk4H2fe?M5e92cn=7>5;n06a?6=3th9:44?:383>5}#;1l1ih5G3ed8L6d63`o:6=44o37f>5<53;294~"40o09:95G3ed8L6d63`o96=44id194?=h:5<5290;w)=7f;gf?M5cn2B8n<5fe083>>i5=l0;66sm2c794?4=83:p(>6i:dg8L6ba3A9i=6gj1;29?j42m3:17pl;8c83>6<729q/?5h52768L6ba3A9i=6gj2;29?lc42900c?;j:188yg21l3:1>7>50z&08k50;9~f10b29086=4?{%1;b?41<2B8hk5G3c38m`4=831bi>4?::m11`<722wi??m50;194?6|,:2m6?8;;I1gb>N4j81bi?4?::kf7?6=3f8>i7>5;|`1e`<72=0;6=u+39d977=O;ml0D>l>;hg1>5<>i5=l0;66sm2c394?5=83:p(>6i:238L6ba3A9i=6gj2;29?lc42900c?;j:188yg4e:3:1?7>50z&0=1C?ih4H2`2?lc52900eh=50;9l60c=831vn?l7:180>5<7s-93j7<94:J0`c=O;k;0eh<50;9ja6<722e99h4?::a6g?=8391<7>t$2:e>67<@:nm7E=m1:kf6?6=3`o86=44o37f>5<53;294~"40o09:95G3ed8L6d63`o96=44id194?=h:5<5290;w)=7f;gf?M5cn2B8n<5fe083>>i5=l0;66sm4`194?4=83:p(>6i:dg8L6ba3A9i=6gj1;29?j42m3:17pl;a583>7<729q/?5h5ed9K7a`<@:h:7dk>:188k73b2900qo:n5;291?6=8r.84k4<3:J0`c=O;k;0eh<50;9ja6<722cn87>5;hg6>5<N4lo1C?o?4id394?=h:5<5290;w)=7f;gf?M5cn2B8n<5fe083>>i5=l0;66sm47c94?4=83:p(>6i:dg8L6ba3A9i=6gj1;29?j42m3:17pl;6c83>0<729q/?5h5329K7a`<@:h:7dk=:188m`5=831bi94?::kf1?6=3f8>i7>5;|`1fa<72:0;6=u+39d974=O;ml0D>l>;hg1>5<>{e:kl1<7=50;2x 6>a2:;0D>ji;I1a5>ob:3:17dk<:188k73b2900qo8k50;9~f7ef29086=4?{%1;b?563A9oj6F5;n06a?6=3th9oo4?:383>5}#;1l1ih5G3ed8L6d63`o:6=44o37f>5<53;294~"40o08=6F>i5=l0;66sm2bf94?5=83:p(>6i:347?M5cn2B8n<5fe383>>ob;3:17b<:e;29?xd5l>0;6>4?:1y'7=`=;81C?ih4H2`2?lc52900eh=50;9l60c=831vn?j7:181>5<7s-93j7kj;I1gb>N4j81bi<4?::m11`<722wi>i750;194?6|,:2m6>?4H2fe?M5e92cn>7>5;hg0>5<l>;hg1>5<7<729q/?5h5ed9K7a`<@:h:7dk>:188k73b2900qot$2:e>7033A9oj6F5;n06a?6=3th9i>4?:283>5}#;1l1?<5G3ed8L6d63`o96=44id194?=h:5<5290;w)=7f;gf?M5cn2B8n<5fe083>>i5=l0;66sm2d794?5=83:p(>6i:238L6ba3A9i=6gj2;29?lc42900c?;j:188yg4b>3:1?7>50z&0=1C?ih4H2`2?lc52900eh=50;9l60c=831vn?k6:180>5<7s-93j7=>;I1gb>N4j81bi?4?::kf7?6=3f8>i7>5;|`1ad<72;0;6=u+39d9a`=O;ml0D>l>;hg2>5<N4lo1C?o?4id094?=nm:0;66a=5d83>>{e:li1<7=50;2x 6>a2;6<729q/?5h5309K7a`<@:h:7dk=:188m`5=831d>8k50;9~f7`729096=4?{%1;b?cb3A9oj6Ft$2:e>67<@:nm7E=m1:kf6?6=3`o86=44o37f>5<7>53;294~"40o09:95G3ed8L6d63`o96=44id194?=h:5<4290;w)=7f;12?M5cn2B8n<5fe383>>ob;3:17b<:e;29?xd5n?0;6?4?:1y'7=`=ml1C?ih4H2`2?lc62900c?;j:188yg4a?3:1?7>50z&04?::m11`<722wi>k650;194?6|,:2m6?8;;I1gb>N4j81bi?4?::kf7?6=3f8>i7>5;|`1`5<72:0;6=u+39d974=O;ml0D>l>;hg1>5<>{e:m81<7=50;2x 6>a2:;0D>ji;I1a5>ob:3:17dk<:188k73b2900qo8k50;9~f7e429086=4?{%1;b?563A9oj6F5;n06a?6=3th9o94?:383>5}#;1l1ih5G3ed8L6d63`o:6=44o37f>5<53;294~"40o08=6F>i5=l0;66sm2b494?5=83:p(>6i:347?M5cn2B8n<5fe383>>ob;3:17b<:e;29?xd5010;6>4?:1y'7=`=;81C?ih4H2`2?lc52900eh=50;9l60c=831vn?66:181>5<7s-93j7kj;I1gb>N4j81bi<4?::m11`<722wi>5o50;194?6|,:2m6>?4H2fe?M5e92cn>7>5;hg0>5<l>;hg1>5<>{e;8l1<7<50;2x 6>a2lo0D>ji;I1a5>ob93:17b<:e;29?xd4:90;694?:1y'7=`=;;1C?ih4H2`2?lc52900eh=50;9ja1<722e99h4?::a777=8391<7>t$2:e>7033A9oj6F5;n06a?6=3th3>7>53;294~"40o09:95G3ed8L6d63`o96=44id194?=h:6i:347?M5cn2B8n<5fe383>>ob;3:17b<:e;29?xd?<3:1?7>50z&04?::m11`<722wi484?:383>5}#;1l1ih5G3ed8L6d63`o:6=44o37f>5<5<>i5=l0;66sm30094?5=83:p(>6i:238L6ba3A9i=6gj2;29?lc42900c?;j:188yg56;3:1>7>50z&08k50;9~f67329086=4?{%1;b?563A9oj6F5;n06a?6=3th8=84?:383>5}#;1l1ih5G3ed8L6d63`o:6=44o37f>5<53;294~"40o08=6F>i5=l0;66sm30594?5=83:p(>6i:238L6ba3A9i=6gj2;29?lc42900c?;j:188yg5603:1?7>50z&0=1C?ih4H2`2?lc52900eh=50;9l60c=831vn>>m:181>5<7s-93j7kj;I1gb>N4j81bi<4?::m11`<722wi?=m50;194?6|,:2m6?8;;I1gb>N4j81bi?4?::kf7?6=3f8>i7>5;|`04a<72:0;6=u+39d974=O;ml0D>l>;hg1>5<>i5=l0;66sm33g94?5=83:p(>6i:347?M5cn2B8n<5fe383>>ob;3:17b<:e;29?xd4:o0;6?4?:1y'7=`=ml1C?ih4H2`2?lc62900c?;j:188yg5483:1>7>50z&08k50;9~f65629086=4?{%1;b?563A9oj6F5;n06a?6=3th8??4?:283>5}#;1l1>;:4H2fe?M5e92cn>7>5;hg0>5<N4lo1C?o?4id394?=h:5<5290;w)=7f;gf?M5cn2B8n<5fe083>>i5=l0;66sm46f94?4=83:p(>6i:dg8L6ba3A9i=6gj1;29?j42m3:17pl;7d83>7<729q/?5h5ed9K7a`<@:h:7dk>:188k73b2900qo:8f;290?6=8r.84k4=649K7a`<@:h:7dk=:188m`5=831bi94?::m11`<722wi85>50;694?6|,:2m6><4H2fe?M5e92cn>7>5;hg0>5<1<75`24g94?=zj:9?6=4<:183!5?n38=86F>i5=l0;66sm32794?4=83:p(>6i:dg8L6ba3A9i=6gj1;29?j42m3:17pl<3783>7<729q/?5h5ed9K7a`<@:h:7dk>:188k73b2900qo=<7;290?6=8r.84k4<2:J0`c=O;k;0eh<50;9ja6<722cn87>5;n06a?6=3th9m94?:283>5}#;1l1?<5G3ed8L6d63`o96=44id194?=h:5<4290;w)=7f;050>N4lo1C?o?4id094?=nm:0;66a=5d83>>{e:h21<7=50;2x 6>a2:;0D>ji;I1a5>ob:3:17dk<:188k73b2900qo5<7s-93j7<94:J0`c=O;k;0eh<50;9ja6<722e99h4?::a6dd=8391<7>t$2:e>67<@:nm7E=m1:kf6?6=3`o86=44o37f>5<53;294~"40o09:95G3ed8L6d63`o96=44id194?=h:5<4290;w)=7f;050>N4lo1C?o?4id094?=nm:0;66a=5d83>>{e:0o1<7<50;2x 6>a2lo0D>ji;I1a5>ob93:17b<:e;29?xd51o0;6?4?:1y'7=`=ml1C?ih4H2`2?lc62900c?;j:188yg4f83:1?7>50z&0=1C?ih4H2`2?lc52900eh=50;9l60c=831vn?o>:180>5<7s-93j7=>;I1gb>N4j81bi?4?::kf7?6=3f8>i7>5;|`1e7<72:0;6=u+39d9632<@:nm7E=m1:kf6?6=3`o86=44o37f>5<6fe=m;1v54?:3y]<>;5l00n>6s|9;296~X>348oj7k=;|qb>5<5sWk01?k::d08yvd=838pRo522d`9a7=z{j0;6?uQc:?1b252z\g?84c:3o97p}j:181[c<5;i>6h<4}rd94?4|Vo16>k?5e39~w46=838pR<>4=3:b>`42;296~X6:279454j3:p51<72;qU=9522dd9a6=z{821<7348o;7k<;|q2e?6=:rT:m63=de8f7>{t9k0;6?uQ1c9>6`5=m:1v5<4sW;o70e;296~X6m279j84j3:p5c<72;qU=k522cd9a7=z{>91<79t^618970020901?86:d3897gb2l801>=9:d3897g?2l801?7j:d38yv>72909w06?:352?82483o:7p}71;296~;?83387066:37f?xu?:3:1>v372;06a>;?13o97p}73;296~;?;38>i6376;g0?xu?<3:1>v374;06a>;??3o97p}75;296~;?=38>i6377;g0?xu?>3:1>v376;06a>;?03o97p}77;296~;??38>i6378;g0?xu?03:1>v378;06a>;?13o87p}7b;2954}Y0k16>;95769>616??o5769>6d0=?>16>lh5769><5<0?279n;487:?043<0?278<:487:?04=<0?278<4487:?04c<0?278==487:?05<<0?278=n487:?1f08l50;6x96c72ml01?88:9a897?>21i01>v3=668134=::0l1i<5rs34;>5<5s48=;76n;<05e?42m2wx>;750;0x970>2;?n70<9a;g0?xu5080;6?uQ293897e72;?n7p}=8383>7}Y:1801?m9:37f?xu50<0;6?uQ297897ec2;?n7p}=8783>7}Y:1<01?j<:37f?xu50>0;6>uQ2958Z7>33483n7<:e:p6=>=838p1?67:37f?84?j3o97p}=8883>7}::131>8k4=3:b>`552z?15l5e29~w7>d2909wS<7c:?1`d<5=l1v?6k:181[4?l279i=4=5d9~w7>b2909wS<7e:?1a3<5=l1v?6i:181[4?n279in4=5d9~w7?72909wS<60:?1b7<5=l1v?7>:181[4>9279j54=5d9~w7?42908wS<63:?1e`8k4}r0:g?6=:r795447a:?1e7<5=l1v?7k:18184>l38>i63=a08f6>{t:0o1<773b348j<7k=;|q1=c<72;q6>4h524g897g72l90q~7}::h>1>8k4=3c6>`553z\1e3=::h<1>:?4=3c;>`552z?1e3<>;279mn4=5d9~w7g?2909w0;5ih0n?6s|2`;94?4|5;k26?;j;<0bf?c43ty9ml4?:3y>6dg=:v3=ac811`=::hi1i>5rs3cg>5<0s49:n7k<;<0ba?42m279n<4j3:?1f<;|q1ec<728=p1?oi:352?84el3o9708k4}r0a5?6=:r79n<4=5d9>6g4=m:1v?l<:18184e;38<=63;7d8f5>{t:k>1<7=t=3`0>21<5=8o6:94=3`6>73b3ty9n;4?:3y>6g0=:>;0199k:d38yv4e?3:1>v3=b78:7>;5jh099h5rs3`;>5<5s48i47<:e:?1fdo750;0x97d>2;?n706g`=m:1v?lj:18184en38>i63=c18f7>{t:j81<773b348h:7k=;|q1g6<72;q6>n:524g897e22l90q~7}::ji1>8k4=3ag>`552z?1`5<5=l16>i=5e39~w7b72909w0;5l;0n?6s|2e394?4|5;n96?;j;<0g7?c43ty9h;4?:3y>6a1=:v3=d9811`=::m31i>5rs3f;>5<5s48o57<:e:?1`dim50;0x97bc2;?n706`6=m:1v?k=:18184b;38>i63=e78f6>{t:l91<773b348n97k<;|q1a1<72;q6>h;524g897c12l90q~7}::ll1>8k4=3d1>`452z?1b5<5=l16>k?5e29~w7`72909w0;5n;0n?6s|2g694?4|5;l>6?;j;<0e6c0=:3:1>v3=f6811`=::o21i>5rs3d:>5<5sW8m563;898f5>{t;9<1<7716349;i7k=;|q042<72:q6?=85929>751=:>;01>;48109;<522c:9a7=:;;o1i?5rs22:>5<3s49;57<81:?06420901>>j:37f?xu48k0;6?u231`960c<5::h6h=4}r13g?6=:r7875b=m:1v>>k:181857l38>i63<0d8f7>{t;9l1<7:t=22e>716342?6h=4=231>`5<5::o6h<4}r124?6=o65e29><7?7:37f?xu49;0;6?u2300960c<5:;=6h<4}r127?6=:r78=>4=5d9>740=m:1v>?;:181856<38>i63<168f6>{t;8?1<773b349:;7k<;|q053<72;q6?<8524g8967?2l80q~=>7;296~;49>099h5230:9a6=z{:;26=48{<0a7??4349;j77<;<12=?409279n?4j2:?;6?c5348j97k=;<0b6?c53ty8=l4?:2y>74?=1:16?c;29e~;49j09;<5230`9a7=::k;1i?522c;9a7=:;;:1i>52878f6>;49=0n?63=a58f6>;5ik0n>63=9e8f6>{t;8n1<7<5<5:8:6?;j;|q05`<72;q6?f;296~;49o099h523329a1=z{:8;6=4={<114?42m278><4j3:p77g=83?p1>22l;01>?<:d38966d2l801>=::d38yv55j3:1>v3<2`8:7>;4:j099h5rs20g>5<5s499m76n;<106?42m2wx??k50;0x964b2;?n70=<2;g1?xu4:o0;6?u233d960c<5:9:6h<4}r104?6=:r78?=4=5d9>767=m:1v>=>:181854938>i63<338f7>{t;:91<78t=23e>`7<5191i>523069a7=:;:=1>8k4=3c7>`5<5;k26h?4}r100?6=:r78?94=5d9>761=m:1v>=::181854=38>i63<368f6>{t;:<1<773b3498;7k;;|q07<<72=qU?>74=20`>`5<5:8m6h?4=217>`457z\006=:;;i1i?52858f6>;49;0n>63<0c8f5>;4;90n=63<358f7>{t<9:1<78t^523?82?j3o870:9e;g1?82f:3o:70:98;g2?820n3o87p};2e83>7}Y<;n0198k4}r667?6=:rT?9>5247c9a4=z{=<>6=4={_651>;3>?099h5rs544>5<5s4>=:7k>;<65f?42m2wx8;650;0x910?2;?n70:9b;g0?xu3>00;6?u247;960c<5=03d=m<1v98l:181[21k27?:h4=5d9~w10c2909w0:9d;06a>;3>l0n?6s|46c94?4|V==j70:70;06a>{t<>h1<773b34>0q~:8d;296~;3?m099h524929a7=z{==n6=4={<64a?42m27?4=4j4:p02`=838p199i:37f?82?83o87p};8683>7}Y<1=01967:37f?xu30h0;6?uQ49c891>e2;?n7p};8e83>7}Y<1n019o;:d38yv2>n3:1>vP;9g9>0d6=:7}:1>8k4=5c6>`3h87>55z\7g1=:<1h1i?5247f9a4=:5178;1??6sA9i=6*<8g824==#9jl1?:l4i9`94?=n99>1<75f15294?=nn90;66g>2383>>i6<80;66g;0183>>o0;3:17b?;7;29?l22;3:17d??a;29?l2d<3:17d:7d;29?j75<3:17b?nc;29?l4a13:17b:;0;29?j7413:17b?67;29?j472900c?k50;9l04>=831i?h>50;394?6|,:2m6h>4H2fe?M5e92eoj7>5;|`75=<72<0;6=u+39d9`f=O;ml0D>l>;h:b>5<>o>;3:17b<81;29?xd6<80;6>4?:1y'7=`=lh1C?ih4H2`2?l102900e4=50;9l627=831vn>;?:186>5<7s-93j7jl;I1gb>N4j81/ii4?;h:b>5<>o>;3:17b<81;29?xd5m3:197>50z&05<0;66g63;29?j4093:17pl=3`83>6<729q/?5h5d`9K7a`<@:h:7d98:188m<5=831d>:?50;9~f45>290>6=4?{%1;b?bd3A9oj6F5;h54>5<a2mk0D>ji;I1a5>o0?3:17d7<:188k7162900qo?67;297?6=8r.84k4ka:J0`c=O;k;0e:950;9j=6<722e9;<4?::a572=8391<7>t$2:e>ag<@:nm7E=m1:k43?6=3`386=44o352>5<53;294~"40o0om6F=1<75f9283>>i5?80;66sm15594?5=83:p(>6i:ec8L6ba3A9i=6g87;29?l?42900c?9>:188yg75;3:1?7>50z&04?::m134<722wi===50;194?6|,:2m6io4H2fe?M5e92.nh7>4i6594?=n1:0;66a=7083>>{e9h21<7;50;2x 6>a2mi0D>ji;I1a5>o?i3:17d6l:188m21=831b5>4?::m134<722wi=>;50;794?6|,:2m6im4H2fe?M5e92c3m7>5;h:`>5<=1<75f9283>>i5?80;66sm17294?3=83:p(>6i:ea8L6ba3A9i=6g7a;29?l>d2900e:950;9j=6<722e9;<4?::a72e=8391<7>t$2:e>ag<@:nm7E=m1:&f`?6=1<75f9283>>i5?80;66sm39294?5=83:p(>6i:ec8L6ba3A9i=6*jd;28m21=831b5>4?::m134<722wi89?50;094?6|,:2m6hk4H2fe?M5e92cn=7>5;n06a?6=3th:?:4?:383>5}#;1l1ih5G3ed8L6d63`o:6=44o37f>5<52;294~"40o0ni6F>{e;90;6>4?:1y'7=`=:?>0D>ji;I1a5>ob:3:17dk<:188k73b2900qo=7d;296?6=8r.84k4je:J0`c=O;k;0eh?50;9l60c=831vn>6j:180>5<7s-93j7=>;I1gb>N4j81bi?4?::kf7?6=3f8>i7>5;|`701<72:0;6=u+39d974=O;ml0D>l>;hg1>5<>6=4=:183!5?n3on7E=kf:J0f4=nm80;66a=5d83>>{e<=<1<7<50;2x 6>a2lo0D>ji;I1a5>ob93:17b<:e;29?xd3<>0;6>4?:1y'7=`=;81C?ih4H2`2?lc52900eh=50;9l60c=831vn9:7:180>5<7s-93j7=>;I1gb>N4j81bi?4?::kf7?6=3f8>i7>5;|`20<<72:0;6=u+39d974=O;ml0D>l>;hg1>5<j6=4=:183!5?n3on7E=kf:J0f4=nm80;66a=5d83>>{e9=h1<7=50;2x 6>a2:;0D>ji;I1a5>ob:3:17dk<:188k73b2900qo=72;296?6=8r.84k4je:J0`c=O;k;0eh?50;9l60c=831vn>6;:180>5<7s-93j7<94:J0`c=O;k;0eh<50;9ja6<722e99h4?::a7=3=8391<7>t$2:e>67<@:nm7E=m1:kf6?6=3`o86=44o37f>5<52;294~"40o0ni6F>{e;121<7=50;2x 6>a2:;0D>ji;I1a5>ob:3:17dk<:188k73b2900qo=79;297?6=8r.84k4<1:J0`c=O;k;0eh<50;9ja6<722e99h4?::a7=g=8391<7>t$2:e>7033A9oj6F5;n06a?6=3th84o4?:283>5}#;1l1?<5G3ed8L6d63`o96=44id194?=h:5<4290;w)=7f;050>N4lo1C?o?4id094?=nm:0;66a=5d83>>{e;>o1<7=50;2x 6>a2:;0D>ji;I1a5>ob:3:17dk<:188k73b2900qo=8f;297?6=8r.84k4=659K7a`<@:h:7dk=:188m`5=831d>8k50;9~f17c29086=4?{%1;b?563A9oj6F5;n06a?6=3th?=h4?:383>5}#;1l1ih5G3ed8L6d63`o:6=44o37f>5<:j7>53;294~"40o09:95G3ed8L6d63`o96=44id194?=h:5<5290;w)=7f;gf?M5cn2B8n<5fe083>>i5=l0;66sm15694?4=83:p(>6i:dg8L6ba3A9i=6gj1;29?j42m3:17pl>4483>6<729q/?5h5309K7a`<@:h:7dk=:188m`5=831d>8k50;9~f42129086=4?{%1;b?41<2B8hk5G3c38m`4=831bi>4?::m11`<722wi=>l50;194?6|,:2m6>?4H2fe?M5e92cn>7>5;hg0>5<N4lo1C?o?4id394?=h:5<5290;w)=7f;gf?M5cn2B8n<5fe083>>i5=l0;66sm12g94?5=83:p(>6i:238L6ba3A9i=6gj2;29?lc42900c?;j:188yg74n3:1?7>50z&0=1C?ih4H2`2?lc52900eh=50;9l60c=831vn5<7s-93j7=>;I1gb>N4j81bi?4?::kf7?6=3f8>i7>5;|`2f0<72;0;6=u+39d9a`=O;ml0D>l>;hg2>5<N4lo1C?o?4id394?=h:5<5290;w)=7f;gf?M5cn2B8n<5fe083>>i5=l0;66sm1c:94?4=83:p(>6i:dg8L6ba3A9i=6gj1;29?j42m3:17pl>b883>7<729q/?5h5ed9K7a`<@:h:7dk>:188k73b2900qo?ma;296?6=8r.84k4je:J0`c=O;k;0eh?50;9l60c=831vn5<7s-93j7kj;I1gb>N4j81bi<4?::m11`<722wi=om50;194?6|,:2m6?8;;I1gb>N4j81bi?4?::kf7?6=3f8>i7>5;|`2ec<72:0;6=u+39d974=O;ml0D>l>;hg1>5<50z&04?::m11`<722wi=o<50;194?6|,:2m6?8;;I1gb>N4j81bi?4?::kf7?6=3f8>i7>5;|`2f6<72=0;6=u+39d977=O;ml0D>l>;hg1>5<>i5=l0;66sm1c694?5=83:p(>6i:347?M5cn2B8n<5fe383>>ob;3:17b<:e;29?xd64?:1y'7=`=;81C?ih4H2`2?lc52900eh=50;9l60c=831vn<;<:181>5<7s-93j7kj;I1gb>N4j81bi<4?::m11`<722wi=8:50;094?6|,:2m6hk4H2fe?M5e92cn=7>5;n06a?6=3th:984?:283>5}#;1l1?<5G3ed8L6d63`o96=44id194?=h:5<4290;w)=7f;12?M5cn2B8n<5fe383>>ob;3:17b<:e;29?xd6=>0;6?4?:1y'7=`=ml1C?ih4H2`2?lc62900c?;j:188yg7203:1>7>50z&08k50;9~f43>29096=4?{%1;b?cb3A9oj6Ft$2:e>7033A9oj6F5;n06a?6=3th:8h4?:283>5}#;1l1?<5G3ed8L6d63`o96=44id194?=h:5<4290;w)=7f;12?M5cn2B8n<5fe383>>ob;3:17b<:e;29?xd6=90;6>4?:1y'7=`=:?>0D>ji;I1a5>ob:3:17dk<:188k73b2900qo?:1;290?6=8r.84k4<2:J0`c=O;k;0eh<50;9ja6<722cn87>5;n06a?6=3th:9?4?:283>5}#;1l1>;:4H2fe?M5e92cn>7>5;hg0>5<N4lo1C?o?4id094?=nm:0;66a=5d83>>{e9?91<7=50;2x 6>a2;6583>7<729q/?5h5ed9K7a`<@:h:7dk>:188k73b2900qo?95;296?6=8r.84k4je:J0`c=O;k;0eh?50;9l60c=831vn<89:180>5<7s-93j7=>;I1gb>N4j81bi?4?::kf7?6=3f8>i7>5;|`222<72:0;6=u+39d974=O;ml0D>l>;hg1>5<3:1?7>50z&04?::m11`<722wi=?j50;094?6|,:2m6hk4H2fe?M5e92cn=7>5;n06a?6=3th:>h4?:383>5}#;1l1ih5G3ed8L6d63`o:6=44o37f>5<53;294~"40o09:95G3ed8L6d63`o96=44id194?=h:5<5290;w)=7f;gf?M5cn2B8n<5fe083>>i5=l0;66sm12394?4=83:p(>6i:dg8L6ba3A9i=6gj1;29?j42m3:17pl>3383>7<729q/?5h5ed9K7a`<@:h:7dk>:188k73b2900qo?<3;297?6=8r.84k4<1:J0`c=O;k;0eh<50;9ja6<722e99h4?::a562=8381<7>t$2:e>`c<@:nm7E=m1:kf5?6=3f8>i7>5;|`262<72=0;6=u+39d977=O;ml0D>l>;hg1>5<>i5=l0;66sm13:94?2=83:p(>6i:208L6ba3A9i=6gj2;29?lc42900eh:50;9l60c=831vn<<6:180>5<7s-93j7=>;I1gb>N4j81bi?4?::kf7?6=3f8>i7>5;|`26d<72:0;6=u+39d9632<@:nm7E=m1:kf6?6=3`o86=44o37f>5<53;294~"40o08=6F>i5=l0;66sm13a94?2=83:p(>6i:346?M5cn2B8n<5fe383>>ob;3:17dk;:188k73b2900qo?69;297?6=8r.84k4<1:J0`c=O;k;0eh<50;9ja6<722e99h4?::a5d6=8381<7>t$2:e>`c<@:nm7E=m1:kf5?6=3f8>i7>5;|`2e4<72;0;6=u+39d9a`=O;ml0D>l>;hg2>5<l>;hg1>5<>{e9h>1<7<50;2x 6>a2lo0D>ji;I1a5>ob93:17b<:e;29?xd6i<0;6?4?:1y'7=`=ml1C?ih4H2`2?lc62900c?;j:188yg7f>3:1?7>50z&04?::m11`<722wi=l950;094?6|,:2m6hk4H2fe?M5e92cn=7>5;n06a?6=3th:5l4?:583>5}#;1l1??5G3ed8L6d63`o96=44id194?=nm=0;66a=5d83>>{e90h1<7:50;2x 6>a2:80D>ji;I1a5>ob:3:17dk<:188m`2=831d>8k50;9~f4?d29086=4?{%1;b?563A9oj6F5;n06a?6=3th:5i4?:283>5}#;1l1>;:4H2fe?M5e92cn>7>5;hg0>5<N4lo1C?o?4id094?=nm:0;66a=5d83>>{e90l1<7:50;2x 6>a2;<>7E=kf:J0f4=nm;0;66gj3;29?lc32900c?;j:188yg52:3:1>7>50z&08k50;9~f63429096=4?{%1;b?cb3A9oj6Ft$2:e>`c<@:nm7E=m1:kf5?6=3f8>i7>5;|`010<72;0;6=u+39d9a`=O;ml0D>l>;hg2>5<N4lo1C?o?4id094?=nm:0;66a=5d83>>{e;<=1<7=50;2x 6>a2;1<729q/?5h5339K7a`<@:h:7dk=:188m`5=831bi94?::m11`<722wi?8750;194?6|,:2m6?8;;I1gb>N4j81bi?4?::kf7?6=3f8>i7>5;|q14?6=:rT9<63=0;045>{t:l0;6huQ2d9>6`<5?816?5:5e39>512=m816=o65e09>5d`=m;16=8;5e39>501=m816=;:5e09>564=m816=>=5e29>5d3=m816=l85e29>702=m81v?h50;0x97c=0h16?=4=5d9~w25=83kpR:=4=273><5<589264=4=53a>`7<58>26h=4=2:6>`5<5=;n6h?4=01g>`7<58<96h<4=276>`74z\;f>;3910<;63>40843>;4=90<;63=e;54?8?62>=01?=n:658945>2>=01?>5769>5<1=?>16=?:5769>5de=?>16=995769>575=?>16===5769>5d>=?>16=>;5769>536=?>16?:m5769>7=6=?>1v4?50;1x9<7=:>;01>>5e39>510=m;1v4<50;0x9<7=1:16?5k524g8yv`72909wSh?;<337??43ty:<>4?:4y>555=:>;01>6=:d38961b2l901v3>258:7>;6:j099h5rs005>5<5s4;9:7<:e:?26f44=5d9>57e=m=1v<i63>2c8f6>{t9;h1<773b34;9o7k=;|q26a<72;q6=?j524g894402l80q~?=e;296~;6:l099h521359a1=z{88m6=4={<31b?42m27:>54j3:p566=838p1<=?:37f?87503o97p}>3083>7}:9:;1>8k4=00;>`27>52z?277<5=l16=?l5e29~w4542909w0?<3;06a>;6:00n>6s|12694?4|589?6?;j;<31=?c43ty:?84?:3y>563=:>;01<=<:d08yv74>3:1>v3>348:7>;6;>099h5rs01:>5<5sW;8563>388134=z{89j6=4={<30=?>f34;8j7<:e:p56d=838p1<=m:37f?874m3o97p}>3b83>7}:9:i1>8k4=01f>`552z?27a<5=l16=>h5e29~w45b2909w0?;6;o0n>6s|15294?2|V8>;70?3o970=:7;g1?xu6<80;6?uQ153894262;=:7p}>4383>7}:9=;15>52154960c52z?206<5=l16=9;5e39~w4232909w0?;4;06a>;6<<0n?6s|15794?4|58>>6?;j;<372?c43ty:8:4?:2y]511<58><6?9>;<37`?c53ty:854?:6y>51d=:j6=4={<37e?42m27:8o4j3:p51e=838p1<:8:81894352;?n7p}>4e83>7}:9=n1>8k4=06e>`452z?20`<5=l16=8>5e29~w42a2909w0?;f;06a>;6=;0n>6s|14294?4|58?;6?;j;<365?c43ty:9<4?:3y>507=:v3>52811`=:95<5s4;>87<:e:?21dn6h<4}r363?6=:r7:9:4=5d9>51c=m:1v<;7:181872038>i63>508f6>{t9<31<773b34;>=7k;;|q21d<72;q6=8o524g8942a2l90q~?90;297~;6>909;<521c59a4=:95rs042>5<5s4;=>7<:e:?22=:0;6?u2176960c<58<<6h<4}r350?6=:r7::84=5d9>531=m:1v<8::181871>38>i63>698f6>{t9?<1<773b34;=47k;;|q2=2<72=qU=494=0;4>71634;257k=;<3:e?c43ty:554?:3y>5<1=1:16=4h524g8yv7>13:1>v3>98811`=:90l1i>5rs0;b>5<5s4;2m7<:e:?2=a5m38>i63>9g8f6>{t9h:1<773b34;2m7k=;|q2e4<72;q6=l?524g894?f2l>0q~?n2;296~;6i;099h5218`9a6=z{8k86=4={<3b7?42m27:5o4j2:p5d2=838p1j3o?7p}>a483>7}:9h?1>8k4=0;f>`552z?2e3<5=l16=4m5e39~w4g02909w0?n7;06a>;61j0n?6s|1`:94?4|58k36?9>;<3b2?c53ty:m44?:3y>5d>=1:16=lo524g8yv7fk3:1?vP>ab9>5de=:>;01v3>ab8:7>;6j=099h5rs0cf>5<5s4;ji7<:e:?2f45g2=m;1vi63>b28f7>{t9k91<773b34;i87k<;|q2f0<72;q6=o;524g894dd2l80q~?m6;296~;6j?099h521ca9a6=z{8h<6=4={<3a3?42m27:mk4j3:p5g>=838p1b883>7}:9k31>8k4=0`3>`252z?2fd<5=l16=o=5e39~w4de2909w0?mb;06a>;6j:0n86s|1ca94?4|58hh6?;j;<3a5?c43ty9?l4?:7y>6`<>;279?l4=709>5d>=0h16=>;58`9>754j1:p66d=839p1?=n:818940721k01<87:37f?xu5=k0;65u23d29`c=:<8214n523429388;g>;6i103o63>348;g>;6>903o6s|2g;94?4|V;l270:;6;g2?xu4=90;6;u23429627<58>j6h?4=2:5>`7<5=;o6h<4=01a>`5<58<>6h?4}r165?6=:r789=47a:?01<<5=l1v>;=:181852:38>i63<578f6>{t;<91<773b349>:7k<;|q011<72;q6?8:524g8963?2l>0q~=:5;296~;4=<099h5234;9a6=z{:?=6=4={<162?42m2789:4j3:p701=838p1>;8:37f?85203o97p}<5983>7}:;<21>8k4=27:>`4511y>72e=:>;01>6k:d3896>02l;01>9j:d0894d12l;012l901;<:d38yv50l3:1>v3<7b8:7>;4?o099h5rs25f>5<5s4950;3384720901>6?:352?85?m3o970=74;g0?85?03o970=8f;g1?87fm3o870?mb;g2?873l3o870?:9;g2?871;3o970?=d;g2?875n3o970?n1;g2?87f:3o970=:2;g2?xu4080;6?u23929=6=:;191>8k4}r1;6?6=:r784?4=5d9>7=>=m:1v>6;:18185?<38>i63<8`8f6>{t;1?1<773b349357k=;|q0<3<72;q6?58524g896>>2l90q~=77;296~;40>099h5239`9a6=z{:236=4={<1;4j2:p7=?=838p1>66:37f?85?i3o87p}<8`83>7}:;1k1>8k4=2:a>`452z?0d2909w0=7d;06a>;40l0n?6s|41294?4|V=:;70:;5;g2?xu3910;6?uQ40:8917?2;=:7p};1`83>7}:<8215>5240`960c:o7>52z?75=d;06a>;39o0n>6s|40g94?4|5=;n6?;j;<62b?c43ty?8=4?:3y]016<5=>:6?;j;|q706<72;q689?5e09>01>=:>6=4={<671?42m27?8:4j2:p010=838p19:9:37f?823?3o87p};4683>7}:<==1>8k4=56;>`5>?7>53z\716=:9:=1i<521229a4=z{=2o6=4<{_6;`>;6ih0n=63>a28f5>{t1<7;t^5a7?871833870:;4;g0?87e13o:70?:6;g0?x{e;o>1<7j51582a~N4j81/?5h5dd9'5f`=;>h0c9lm:188m4272900c9=>:188m4262900e9>?:188k25=831bh54?::k137<722c95>4?::k1b<<722cnm7>5;h0b2?6=3`;857>5;c605?6=;3:1N4lo1C?o?4i6594?=n1:0;66a=7083>>{e;>0;6>4?:1y'7=`=lh1C?ih4H2`2?!cc291b;:4?::k:7?6=3f8<=7>5;|`7fg<72=0;6=u+39d9`a=O;ml0D>l>;h54>5<;1<75rb6694?4=83:p(>6i:dg8L6ba3A9i=6gj1;29?j42m3:17pl85;297?6=8r.84k4=659K7a`<@:h:7dk=:188m`5=831d>8k50;9~f6d=8381<7>t$2:e>`c<@:nm7E=m1:kf5?6=3f8>i7>5;|`77g<72;0;6=u+39d9a`=O;ml0D>l>;hg2>5<N4lo1C?o?4id394?=h:6i:dg8L6ba3A9i=6gj1;29?j42m3:17pl;3683>6<729q/?5h5309K7a`<@:h:7dk=:188m`5=831d>8k50;9~f15?29096=4?{%1;b?cb3A9oj6Ft$2:e>67<@:nm7E=m1:kf6?6=3`o86=44o37f>5<h=7>54;294~"40o08>6F>ob<3:17b<:e;29?xd4::0;6>4?:1y'7=`=:?>0D>ji;I1a5>ob:3:17dk<:188k73b2900qo==4;297?6=8r.84k4<1:J0`c=O;k;0eh<50;9ja6<722e99h4?::a773=8381<7>t$2:e>`c<@:nm7E=m1:kf5?6=3f8>i7>5;|`063<72:0;6=u+39d9632<@:nm7E=m1:kf6?6=3`o86=44o37f>5<53;294~"40o08=6F>i5=l0;66sm33:94?5=83:p(>6i:347?M5cn2B8n<5fe383>>ob;3:17b<:e;29?xd4:00;6>4?:1y'7=`=;81C?ih4H2`2?lc52900eh=50;9l60c=831v>950;0x961=:>;01:;5e39~w6?=838p1>95769>7=<5=l1v>o50;0x961=1:16?o4=5d9~w25=83>pR:=4=67960c<5=9<6h<4=5a2>`573b34=>6h=4}rf;>5<3sWn370:<1;54?82ej3=<70=7:d38yvcf2908wSkn;<60h=7k;;|q27<<72;qU=>74=200>`552z\205=:;;?1i<5rs062>5<5sW;?=63<278f6>{t:>81<77}Y:0901><;:d08yv4f>3:1>vP=a79>772=m:1v?h6:181[4a1278>>4j2:p774=839p19=8:d1891e62l801><6:37f?xu4::0;6?u2331960c<5:836h<4}r110?6=:r78>94=5d9>771=m;1v><::181855=38>i63<278f7>{t;;<1<773b3499;7k<;|q062<72;q6??9524g8964?2l90q~==8;296~;4:1099h5233;9a6=z{=:;6=4;{_634>;3jk09;=52758f5>;4j3o:7p};3083>7}Y<:;019=>:352?xu3;?0;6?u242`9a4=:<:31>8k4}r603?6=:r7??:4=5d9>06?=m;1v9=7:181824038>i63;388f7>{t<:k1<7<5<5=9i6?;j;|q7fg<72;qU8ol4=5`a>7163ty?o=4?:3y>0f5=m8168n?524g8yv2d:3:1>v3;bc8:7>;3k:099h5r}c1e1?6=9?0i57m:{I1a5>"40o0:<:5+1bd972d5<5<>o30;0;66a>1983>>o0l3:17d?;7;29?l1?2900c9;<:188m45?2900e;l50;9l0=b=831b=ll50;9j5de=831b8<950;9l06e=831d89750;9l65<722e?m;4?::`0a5<7280;6=u+39d9a5=O;ml0D>l>;nfe>5<j:7>55;294~"40o0oo6F>o0?3:17d7<:188k7162900qol7:180>5<7s-93j7jn;I1gb>N4j81b;:4?::k:7?6=3f8<=7>5;|`a0?6=;3:1N4lo1C?o?4i6594?=n1:0;66a=7083>>{ej90;6>4?:1y'7=`=lh1C?ih4H2`2?l102900e4=50;9l627=831vnl850;194?6|,:2m6io4H2fe?M5e92c<;7>5;h;0>5<5<4290;w)=7f;fb?M5cn2B8n<5f7683>>o>;3:17b<81;29?xda83:1?7>50z&04?::m134<722wi=;750;194?6|,:2m6io4H2fe?M5e92c<;7>5;h;0>5<N4lo1C?o?4i6594?=n1:0;66a=7083>>{e9a2mk0D>ji;I1a5>o0?3:17d7<:188k7162900qo9i:180>5<7s-93j7jn;I1gb>N4j81b;:4?::k:7?6=3f8<=7>5;|`7l>;h54>5<0;66g63;29?j4093:17pl;0783>6<729q/?5h5d`9K7a`<@:h:7d98:188m<5=831d>:?50;9~f15d29086=4?{%1;b?bf3A9oj6F5;n045?6=3tho?7>53;294~"40o0om6F=1<75f9283>>i5?80;66sm3c694?5=83:p(>6i:ec8L6ba3A9i=6g87;29?l?42900c?9>:188yg77<3:187>50z&05;|`25=<72=0;6=u+39d9`g=O;ml0D>l>;h:`>5<=1<75f9283>>i5?80;66sm9783>6<729q/?5h5d`9K7a`<@:h:7)kk:19j32<722c2?7>5;n045?6=3th:;44?:283>5}#;1l1hl5G3ed8L6d63-oo6=5f7683>>o>;3:17b<81;29?xd6?h0;6>4?:1y'7=`=lh1C?ih4H2`2?!cc291b;:4?::k:7?6=3f8<=7>5;|`23c<72:0;6=u+39d9`d=O;ml0D>l>;%gg>5=n?>0;66g63;29?j4093:17pl>8683>6<729q/?5h5d`9K7a`<@:h:7)kk:19j32<722c2?7>5;n045?6=3th:454?:283>5}#;1l1hl5G3ed8L6d63-oo6=5f7683>>o>;3:17b<81;29?xd6000;6>4?:1y'7=`=lh1C?ih4H2`2?!cc291b;:4?::k:7?6=3f8<=7>5;|`2=5<72:0;6=u+39d9`d=O;ml0D>l>;%gg>4=n?>0;66g63;29?j4093:17plme;297?7=;rB8n<5+39d95a71<75f9483>>i46<729q/?5h53eg8L6ba3A9i=6gj2;29?lc42900c?;j:188yv?32909wS7;;<1f1?c43ty297>52z\:1>;4m<0n>6s|35c94?4|V:>j70=j5;06a>{zjkn1<7=51;1xL6d63-93j7?k1:k:0?6=3`3>6=44o26b>5<6=4<:183!5?n39oi6F>i5=l0;66s|9583>7}Y1=16?h;5e29~w<3=838pR4;4=2g6>`452z\00d=:;l?1>8k4}|`ag?6=;3;1?vF5<=278i84j2:p71g=838pR>:n;<1f1?42m2wvn9:n:181>5<7s-93j7kj;I1gb>N4j81bi<4?::m11`<722wi=8j50;094?6|,:2m6hk4H2fe?M5e92cn=7>5;n06a?6=3th:9h4?:383>5}#;1l1ih5G3ed8L6d63`o:6=44o37f>5<j7>53;294~"40o08=6F>i5=l0;66sm42d94?5=83:p(>6i:347?M5cn2B8n<5fe383>>ob;3:17b<:e;29?xd4j?0;6?4?:1y'7=`=ml1C?ih4H2`2?lc62900c?;j:188yg77>3:1>7>50z&08k50;9~f10329096=4?{%1;b?cb3A9oj6Ft$2:e>`c<@:nm7E=m1:kf5?6=3f8>i7>5;|`7eg<72;0;6=u+39d9a`=O;ml0D>l>;hg2>5<5<5290;w)=7f;gf?M5cn2B8n<5fe083>>i5=l0;66smbc83>6<729q/?5h5309K7a`<@:h:7dk=:188m`5=831d>8k50;9~fg0=8381<7>t$2:e>`c<@:nm7E=m1:kf5?6=3f8>i7>5;|`a3?6=;3:1N4lo1C?o?4id094?=nm:0;66a=5d83>>{ej;0;6?4?:1y'7=`=ml1C?ih4H2`2?lc62900c?;j:188ygd429086=4?{%1;b?563A9oj6F5;n06a?6=3thj47>52;294~"40o0ni6Fa2:;0D>ji;I1a5>ob:3:17dk<:188k73b2900qom=:180>5<7s-93j7=>;I1gb>N4j81bi?4?::kf7?6=3f8>i7>5;|`74=<72;0;6=u+39d9a`=O;ml0D>l>;hg2>5<N4lo1C?o?4id394?=h:5<5290;w)=7f;gf?M5cn2B8n<5fe083>>i5=l0;66sm17f94?2=83:p(>6i:208L6ba3A9i=6gj2;29?lc42900eh:50;9l60c=831vn<7=:180>5<7s-93j7<94:J0`c=O;k;0eh<50;9ja6<722e99h4?::a5<5=8381<7>t$2:e>`c<@:nm7E=m1:kf5?6=3f8>i7>5;|`2=1<72:0;6=u+39d9632<@:nm7E=m1:kf6?6=3`o86=44o37f>5<53;294~"40o08=6F>i5=l0;66sm18494?5=83:p(>6i:347?M5cn2B8n<5fe383>>ob;3:17b<:e;29?xd60k0;6>4?:1y'7=`=;81C?ih4H2`2?lc52900eh=50;9l60c=831vn<6l:181>5<7s-93j7kj;I1gb>N4j81bi<4?::m11`<722wi=5j50;094?6|,:2m6hk4H2fe?M5e92cn=7>5;n06a?6=3th:4h4?:283>5}#;1l1?<5G3ed8L6d63`o96=44id194?=h:5<4290;w)=7f;12?M5cn2B8n<5fe383>>ob;3:17b<:e;29?xd6080;6?4?:1y'7=`=ml1C?ih4H2`2?lc62900c?;j:188yg7?:3:1>7>50z&08k50;9~f4>429086=4?{%1;b?563A9oj6F5;n06a?6=3th:494?:283>5}#;1l1>;:4H2fe?M5e92cn>7>5;hg0>5<N4lo1C?o?4id094?=nm:0;66a=5d83>>{e91<1<7=50;2x 6>a2;7b83>6<729q/?5h52768L6ba3A9i=6gj2;29?lc42900c?;j:188yg70l3:1>7>50z&08k50;9~f41b290?6=4?{%1;b?553A9oj6F5;hg7>5<N4lo1C?o?4id094?=nm:0;66gj4;29?lc22900c?;j:188yg2e?3:1>7>50z&08k50;9~f1d?29096=4?{%1;b?cb3A9oj6Ft$2:e>67<@:nm7E=m1:kf6?6=3`o86=44o37f>5<im7>53;294~"40o08=6F>i5=l0;66sm9983>0<729q/?5h52748L6ba3A9i=6gj2;29?lc42900eh:50;9ja0<722e99h4?::a=<<72:0;6=u+39d974=O;ml0D>l>;hg1>5<a2lo0D>ji;I1a5>ob93:17b<:e;29?xd>j3:1?7>50z&04?::m11`<722wi5n4?:283>5}#;1l1?<5G3ed8L6d63`o96=44id194?=h:6i:347?M5cn2B8n<5fe383>>ob;3:17b<:e;29?xd3=h0;6>4?:1y'7=`=:?>0D>ji;I1a5>ob:3:17dk<:188k73b2900qo::b;296?6=8r.84k4je:J0`c=O;k;0eh?50;9l60c=831vn9;l:180>5<7s-93j7=>;I1gb>N4j81bi?4?::kf7?6=3f8>i7>5;|`71a<72;0;6=u+39d9a`=O;ml0D>l>;hg2>5<N4lo1C?o?4id094?=nm:0;66a=5d83>>{e<a2:;0D>ji;I1a5>ob:3:17dk<:188k73b2900qo:90;297?6=8r.84k4=659K7a`<@:h:7dk=:188m`5=831d>8k50;9~f106290?6=4?{%1;b?41=2B8hk5G3c38m`4=831bi>4?::kf0?6=3f8>i7>5;|`727<72:0;6=u+39d974=O;ml0D>l>;hg1>5<>i5=l0;66sm48794?4=83:p(>6i:dg8L6ba3A9i=6gj1;29?j42m3:17pl;9783>6<729q/?5h5309K7a`<@:h:7dk=:188m`5=831d>8k50;9~f1?029096=4?{%1;b?cb3A9oj6F=8391<7>t$2:e>67<@:nm7E=m1:kf6?6=3`o86=44o37f>5<257>53;294~"40o08=6F>i5=l0;66sm48c94?5=83:p(>6i:347?M5cn2B8n<5fe383>>ob;3:17b<:e;29?xd31k0;694?:1y'7=`=:??0D>ji;I1a5>ob:3:17dk<:188m`2=831d>8k50;9~f1?d29086=4?{%1;b?563A9oj6F5;n06a?6=3th?mi4?:483>5}#;1l1?>5G3ed8L6d63`o96=44id194?=nm=0;66gj5;29?j42m3:17pl;ad83>7<729q/?5h5ed9K7a`<@:h:7dk>:188k73b2900qo:nf;296?6=8r.84k4je:J0`c=O;k;0eh?50;9l60c=831vn9l?:181>5<7s-93j7kj;I1gb>N4j81bi<4?::m11`<722wi8o?50;094?6|,:2m6hk4H2fe?M5e92cn=7>5;n06a?6=3th?n?4?:283>5}#;1l1?<5G3ed8L6d63`o96=44id194?=h:5<4290;w)=7f;050>N4lo1C?o?4id094?=nm:0;66a=5d83>>{e1<7:50;2x 6>a2:80D>ji;I1a5>ob:3:17dk<:188m`2=831d>8k50;9~f47f29096=4?{%1;b?cb3A9oj6Ft$2:e>`c<@:nm7E=m1:kf5?6=3f8>i7>5;|`25f<72;0;6=u+39d9a`=O;ml0D>l>;hg2>5<N4lo1C?o?4id394?=h:5<5290;w)=7f;gf?M5cn2B8n<5fe083>>i5=l0;66sm10d94?4=83:p(>6i:dg8L6ba3A9i=6gj1;29?j42m3:17pl>2183>3<729q/?5h5359K7a`<@:h:7dk=:188m`5=831bi94?::kf1?6=3`o=6=44o37f>5<54;294~"40o09:85G3ed8L6d63`o96=44id194?=nm=0;66a=5d83>>{e9?l1<7<50;2x 6>a2lo0D>ji;I1a5>ob93:17b<:e;29?xd6?80;6?4?:1y'7=`=ml1C?ih4H2`2?lc62900c?;j:188yg70:3:1>7>50z&08k50;9~f41429096=4?{%1;b?cb3A9oj6Ft$2:e>`c<@:nm7E=m1:kf5?6=3f8>i7>5;|`230<72;0;6=u+39d9a`=O;ml0D>l>;hg2>5<N4lo1C?o?4id394?=h:5<4290;w)=7f;12?M5cn2B8n<5fe383>>ob;3:17b<:e;29?xd6?10;654?:1y'7=`=;<1C?ih4H2`2?lc52900eh=50;9ja1<722cn97>5;hg5>5<>i5=l0;66sm16294?2=83:p(>6i:346?M5cn2B8n<5fe383>>ob;3:17dk;:188k73b2900qo<;:186>5<7s-93j7<96:J0`c=O;k;0eh<50;9ja6<722cn87>5;hg6>5<5<4290;w)=7f;12?M5cn2B8n<5fe383>>ob;3:17b<:e;29?xd5?3:1>7>50z&08k50;9~f7>=8381<7>t$2:e>`c<@:nm7E=m1:kf5?6=3f8>i7>5;|`1=?6=:3:1N4lo1C?o?4id394?=h:6i:dg8L6ba3A9i=6gj1;29?j42m3:17pl=b;297?6=8r.84k4<1:J0`c=O;k;0eh<50;9ja6<722e99h4?::a6f<72<0;6=u+39d976=O;ml0D>l>;hg1>5<>ob=3:17b<:e;29?xd5l3:1?7>50z&0=1C?ih4H2`2?lc52900eh=50;9l60c=831vn?;50;194?6|,:2m6?8;;I1gb>N4j81bi?4?::kf7?6=3f8>i7>5;|`e6?6=;3:1l>;hg1>5<a2lo0D>ji;I1a5>ob93:17b<:e;29?xdak3:1>7>50z&08k50;9~fcb=8391<7>t$2:e>67<@:nm7E=m1:kf6?6=3`o86=44o37f>5<>{eno0;6?4?:1y'7=`=ml1C?ih4H2`2?lc62900c?;j:188yg7783:1>7>50z&08k50;9~f46629096=4?{%1;b?cb3A9oj6Ft$2:e>`c<@:nm7E=m1:kf5?6=3f8>i7>5;|`e7?6=;3:1N4lo1C?o?4id094?=nm:0;66a=5d83>>{en=0;6>4?:1y'7=`=;81C?ih4H2`2?lc52900eh=50;9l60c=831vnk;50;494?6|,:2m6>:4H2fe?M5e92cn>7>5;hg0>5<1<75fe483>>ob>3:17b<:e;29?xda>3:1?7>50z&0=1C?ih4H2`2?lc52900eh=50;9l60c=831vnk950;194?6|,:2m6?8;;I1gb>N4j81bi?4?::kf7?6=3f8>i7>5;|`eN4lo1C?o?4id094?=nm:0;66a=5d83>>{en00;6>4?:1y'7=`=:?>0D>ji;I1a5>ob:3:17dk<:188k73b2900qohn:187>5<7s-93j7<95:J0`c=O;k;0eh<50;9ja6<722cn87>5;n06a?6=3th?5}#;1l1>;:4H2fe?M5e92cn>7>5;hg0>5<N4lo1C?o?4id394?=h:5<5290;w)=7f;gf?M5cn2B8n<5fe083>>i5=l0;66sm41g94?4=83:p(>6i:dg8L6ba3A9i=6gj1;29?j42m3:17pl;0g83>7<729q/?5h5ed9K7a`<@:h:7dk>:188k73b2900qo:>0;296?6=8r.84k4je:J0`c=O;k;0eh?50;9l60c=831vn9?>:180>5<7s-93j7<94:J0`c=O;k;0eh<50;9ja6<722e99h4?::a044=8391<7>t$2:e>67<@:nm7E=m1:kf6?6=3`o86=44o37f>5<:?7>54;294~"40o08>6F>ob<3:17b<:e;29?xd38k0;6>4?:1y'7=`=;81C?ih4H2`2?lc52900eh=50;9l60c=831vn9:k:180>5<7s-93j7=>;I1gb>N4j81bi?4?::kf7?6=3f8>i7>5;|`70`<72:0;6=u+39d9632<@:nm7E=m1:kf6?6=3`o86=44o37f>5<?j7>53;294~"40o08=6F>i5=l0;66smc583>7<729q/?5h5ed9K7a`<@:h:7dk>:188k73b2900qom8:180>5<7s-93j7=>;I1gb>N4j81bi?4?::kf7?6=3f8>i7>5;|``N4lo1C?o?4id394?=h:6i:dg8L6ba3A9i=6gj1;29?j42m3:17plla;296?6=8r.84k4je:J0`c=O;k;0eh?50;9l60c=831vnnl50;094?6|,:2m6hk4H2fe?M5e92cn=7>5;n06a?6=3thho7>53;294~"40o08=6F>i5=l0;66smce83>6<729q/?5h5309K7a`<@:h:7dk=:188m`5=831d>8k50;9~ffc=8391<7>t$2:e>7033A9oj6F5;n06a?6=3thh97>54;294~"40o08>6F>ob<3:17b<:e;29?xdd>3:1?7>50z&0=1C?ih4H2`2?lc52900eh=50;9l60c=831vnlo50;094?6|,:2m6hk4H2fe?M5e92cn=7>5;n06a?6=3thjn7>54;294~"40o09:85G3ed8L6d63`o96=44id194?=nm=0;66a=5d83>>{eij0;6?4?:1y'7=`=ml1C?ih4H2`2?lc62900c?;j:188yggc29086=4?{%1;b?563A9oj6F5;n06a?6=3thji7>53;294~"40o08=6F>i5=l0;66smag83>6<729q/?5h52768L6ba3A9i=6gj2;29?lc42900c?;j:188yv472908wS716348h6h<4}r00>5<5s48;64=4=37960c73b348>6h<4}r05>5<5s48=6?;j;<0a>`473b348i6h=4}r0;>5<5s4836?;j;<0`>`573b348h6h:4}r0b>5<5s48j6?;j;<0`>`373b348o6h<4}r0`>5<5s48h6?;j;<0g>`573b348>6h=4}r4a>5<0sW;3o:70?7b;g0?8412l801kj5e29>01`=m;1v:650;33[1?348;6:94=g2932=:9?31;:521cf932=:9;>>3=<70?89;54?870i3=<70?8f;54?87??3=<70?78;54?87?13=<70?60;54?82703o:7p}8d;290~X0l27?m;487:?4b??434k36h?4}r5e>5;<35f?c634;2>7k<;<3;`?c634;387k=;<34`?c6343j6h?4=57g>`7<5=3<6h?4=g49a7=:<9k1i>5rs8494?5|50<1>:?4=8`9a7=:<=o1i?5rs8594?4|50<15>529e811`=z{021<75rs8;94?4|5031>8k4=8a9a7=z{0k1<75rs8`94?4|50h1>8k4=8f9a7=z{0i1<75rs8g94?2|V0o01i=5929>g1;|qb6?6=ir7j:7<81:?ag??334i;6h=4=5`5>`2<5=ko6h<4=5cf>`7<58;j6h?4=b59a7=:k10n=63nc;g2?xuf?3:15v3n6;54?85e<3=<70?>8;54?877<3=<70j<:6589g>=?>16n9487:?a4?1034k36?;j;|qb=?6=:r7j:77<;73b3tyjm7>52z?be?42m27jh7k<;|qbf?6=:r7jn7<:e:?ba?c53tyjo7>52z?bg?42m27ji7k<;|qb`?6=:r7jh7<:e:?bb?c53tyji7>52z?ba?42m27jj7k<;|qb7?6=0r7i<7<81:?ag??234i;6h<4=5`4>`7<5=h:6h?4=03`>`7<5jh1i<52ac8f6>{tj80;6?u2b18:7>;e;38>i6s|b383>7}:j;099h52b28f7>{ti=0;64u2b58134=:jm02963l2;g1?82e>3o>70:nd;g0?82fn3o:70?>d;g2?8ef2l;01ll5e29~wg3=838p1o:5929>f2<5=l1vo850;0x9g0=:0g6=m816=?>5e29>g2;`2<5<5kh1>8k4}r`b>5<5s4hj6?;j;<`a>`5<2<5j:1>8k4=b09a6=z{j;1<7;e:3o:70m9:37f?xud<3:1>v3l4;06a>;dk3o87p}l5;296~;d=38>i63l6;g0?xud?3:1>v3l7;06a>;dm3o97p}l8;296~;d038>i63ld;g1?xud13:1>v3l9;06a>;dl3o87p}la;296~;di38>i63l5;g1?xudj3:1>v3lb;06a>;d=3o?7p}lc;296~;dk38>i63l6;g1?xudl3:1>v3ld;06a>;dm3o87p}le;296~;dm38>i63l5;g0?xudn3:1>v3mc;17e>;e;3o97p}k0;296~;el39?m63m7;g1?xuc93:1>v3me;17e>;ej3o97p}k3;297~;c;38<=63lc;g1?8gc2l80q~h?:186[`734l;6?9>;<6a2?c434>jh7k;;`5<5<5ok1>8k4}rd1>5<5s4l96?;j;`573b34l=6h=4}rd7>5<5s4l?6?;j;`46=4={73b34l<6h=4}rd5>5<5s4l=6?;j;`573b34lj6h:4}rd;>5<5s4l36?;j;`573b34lj6h<4}rda>5<5s4li6?;j;`473b34l86h=4}rdg>5<5s4lo6?;j;`473b34l?6h=4}rde>5<5s4lm6?;j;`452z?245<5=l16j84j4:p557=838p1<>>:37f?8`22l?0q~??2;296~;68;099h52f48f2>{t99>1<7;t^027?877<38<=63>808f5>;6?j0n>63ib;g2?xu68<0;6?u21169=6=:99<1>8k4}r32`5<5oi1i<5rs03:>5<5s4;:477<;<315?42m2wx=576=m<1vi63>218f2>{t98o1<773b34;9=7k=;|q25c<72;q6=0q~?=0;296~;6:9099h521339a6=z{8936=4={_30<>;3>;0n>6s|15594?4|V8><70?:b;;0?xu6=k0;69u214`9627<58?n6h?4=57`>`4<5=3=6h<4}r36g?6=0r7:9k4=5d9>53e=m816=4<5e39>5=e=m816>:4j1:?ea?c634>;m7k=;<67`?c53ty:9i4?:3y>50b=:v3>5d811`=:95rs04:>5<4s4;=57<81:?23=6c83>7}:9?h1>8k4=04g>`452z?22f<5=l16=;j5e59~w40b2909w0?99;;0?870838>i6s|17d94?4|58527=:v3>73811`=:9>21i95rs050>5<5s4;52>=m11v<98:181870?38>i63>718f6>{t9>21<773b34;<<7k;;|q23<<72w0?89;;0?870i38<=63>758f5>;503o:70??1;g2?xu6?k0;6?u216c9=6=:9>o1>8k4}r34g?6=:r7:;n4=5d9>52c=m;1v<9k:181870l38>i63>7d8f0>{t9>l1<7mt=05e>71634>8j7k=;<3;1?c534;`3<5=<;6h<4=5;b>`4<58=>6h?4=369a7=:99:1i<52f98f6>;3990n=6s|19294?4|58=m64=4=0:5>73b3ty:4<4?:3y>5=7=:v3>83811`=:9191i>5rs0:0>5<5s4;3?7<:e:?2<132;?n70?75;g0?xu60<0;6?u2197960c<582=6h=4}r3;3?6=ir7:4:4=709>06`=m:16=585e39>==4j1:?10?c434l26h<4=52e>`759z?2<2<>;27:454=709>==`759z?2<=<>;27:444=709>==`752z?2<<<>;27:4k4=5d9~w4>e2909w0?7b;06a>;60o0n>6s|19a94?4|582h6?;j;<3;a?c53ty:4i4?:3y>5=b=:v3>8d811`=:91l1i>5rs0;3>5>o7k<;<6:2?c434;<:7k>;<343?c5348=6h=4=3c9a4=:nm0n>63if;g2?827k3o:7p}>9083>7}:90:15>52184960c7>52z?2=7<5=l16=4:5e39~w4?42909w0?63;06a>;61=0n?6s|18694?4|583?6?;j;<3:1?c43ty:584?:3y>5<3=:vP>ac9>0v3;3i?03o6s|3c694?5|5:h?6?9>;<6a2?c534>jh7k:;|q0f0<72;q6?o:5929>7g0=:;30m0<;63;52843>;3;j0<;63;09811`=z{=:26=4={<632??434>;n7<:e:p05g=838p19>n:37f?82693o97p};0b83>7}:<9i1>8k4=532>`5;h7>52z?74a<5=l168<=5e39~w16b2909w0:?e;06a>;39;0n>6s|41d94?4|5=:m6?;j;<626?c43ty?==4?:3y>046=:m:d18yv2693:1>v3;10811`=:<891i>5rs531>5<5s4>:>7<:e:?7560;6?uQ405894612l;0q~:<1;296~X3;816={t<=31<7i6s|45a94?4|5=>j6h?4=56e>73b3ty?8i4?:3y>01b=:v3;4d811`=:<=l1i>5rs570>5<4sW>>?63;528134=:<5<5s4>=87k>;<656?42m2wx88o50;0x913f2;?n70:91;g0?xu3=k0;6?u244`960c<5=?n6h=4}r66g?6=:r7?9n4=5d9>00`=m;1v9;k:181822l38>i63;5g8f7>{t<73b34>=<7k<;|q71c<72;q688h524g891062l>0q~:90;296~;3>9099h524739a7=z{=<:6=4={<655?42m27?:?4j3:p035=838p19;<:81891032;?n7p};8383>7}Y<18010=b=:>;0197::d38yv2>;3:1>v3;9d8f5>;31j099h5rs5;7>5<5s4>287<:e:?7=g0038>i63;9`8f7>{t<031<773b34>2n7k;;|q7=d<72;q684o524g891?e2l80q~:6b;296~;31k099h5248a9a6=z{=3o6=4={<6;`??434>2i7<:e:p0d0=839pR9o9;<6b2?40927:=o4j1:p0dg=838p19o9:81891ge2;?n7p};ab83>7}:jh7>52z?7ea<5=l168o=5e39~w1gb2909w0:ne;06a>;3j;0n>6s|4`d94?4|5=km6?;j;<6a6?c43ty?n=4?:3y>0g6=:v3;b0811`=:1i95rs5`1>5<5s4>i>7<:e:?7f68k4}r6a2?6=:r7?n;4=5d9>0gg=m;1v9l8:18182e?38>i63;b88f6>{t73b34>i57k<;|q7f<<72;q68o7524g891df2l90q~:mb;296~X3jk16?o85e09~yg5a:3:1i7li:b5xL6d63-93j76k;%3`b?50j2e88?4?::k;f?6=3`9>m7>5;n105<k0;66g;c583>>i5?;0;66g=9283>>d4m90;6<4?:1y'7=`=m91C?ih4H2`2?jba2900qo=lf;297?6=8r.84k4ka:J0`c=O;k;0(hj50:k43?6=3`386=44o352>5<53;294~"40o0om6F:188yg5d13:1?7>50z&05;h;0>5<N4lo1C?o?4$df94>o0?3:17d7<:188k7162900qo?i6;291?6=8r.84k4kc:J0`c=O;k;0e5o50;9j5;h;0>5<N4lo1C?o?4i9c94?=n0j0;66g87;29?l?42900c?9>:188yg44>3:197>50z&05<7>55;294~"40o0oo6F>o0?3:17d7<:188k7162900qo<=e;291?6=8r.84k4kc:J0`c=O;k;0e5o50;9j5;h;0>5<N4lo1C?o?4i9c94?=n0j0;66g87;29?l?42900c?9>:188yg45>3:197>50z&05<7>55;294~"40o0oo6F>o0?3:17d7<:188k7162900qo<>e;291?6=8r.84k4kc:J0`c=O;k;0e5o50;9j5;h;0>5<N4lo1C?o?4i9c94?=n0j0;66g87;29?l?42900c?9>:188yg5f93:197>50z&05;h:`>5<=1<75f9283>>i5?80;66sm38f94?3=83:p(>6i:ea8L6ba3A9i=6*jd;28m=g=831b4n4?::k43?6=3`386=44o352>5<55;294~"40o0oo6Fd2900e:950;9j=6<722e9;<4?::a7<2=83?1<7>t$2:e>ae<@:nm7E=m1:&f`?6>o0?3:17d7<:188k7162900qoj8:180>5<7s-93j7jn;I1gb>N4j81b;:4?::k:7?6=3f8<=7>5;|`g2?6=;3:1N4lo1C?o?4i6594?=n1:0;66a=7083>>{e<;91<7=50;2x 6>a2mk0D>ji;I1a5>o0?3:17d7<:188k7162900qo:76;297?6=8r.84k4ka:J0`c=O;k;0e:950;9j=6<722e9;<4?::a2g<72:0;6=u+39d9`d=O;ml0D>l>;h54>5<0;66g63;29?j4093:17pl>dd83>6<729q/?5h5d`9K7a`<@:h:7d98:188m<5=831d>:?50;9~f13529086=4?{%1;b?bf3A9oj6F5;n045?6=3th:i;4?:483>5}#;1l1hn5G3ed8L6d63`2j6=44i9a94?=n?>0;66g63;29?j4093:17pl>eg83>0<729q/?5h5db9K7a`<@:h:7d6n:188m=e=831b;:4?::k:7?6=3f8<=7>5;|`07=<72<0;6=u+39d9`f=O;ml0D>l>;h:b>5<>o>;3:17b<81;29?xd4<;0;684?:1y'7=`=lj1C?ih4H2`2?l>f2900e5m50;9j32<722c2?7>5;n045?6=3th:o=4?:283>5}#;1l1hl5G3ed8L6d63-oo6=5f7683>>o>;3:17b<81;29?xd6k=0;6>4?:1y'7=`=lh1C?ih4H2`2?!cc291b;:4?::k:7?6=3f8<=7>5;|`0e2<72:0:6>uG3c38 6>a28n:7d7;:188m<3=831d?9o50;9a7`3=8391<7>t$2:e>6bb3A9oj6F5;n06a?6=3ty287>52z\:0>;4m<0n?6s|9483>7}Y1<16?h;5e39~w62f2909wS=;a:?0a0<5=l1vqo=n6;297?7=;rB8n<5+39d95a71<75f9483>>i46<729q/?5h53eg8L6ba3A9i=6gj2;29?lc42900c?;j:188yv?32909wS7;;<1f1?c43ty297>52z\:1>;4m<0n>6s|35c94?4|V:>j70=j5;06a>{zj:k>6=4<:080M5e92.84k4>d09j=1<722c297>5;n17e?6=3k9n97>53;294~"40o08hh5G3ed8L6d63`o96=44id194?=h:01>k::d18yv?22909wS7:;<1f1?c53ty88l4?:3y]71g<5:o>6?;j;|a7a2=8391=7=tH2`2?!5?n3;o=6g64;29?l?22900c>:n:188f6c229086=4?{%1;b?5cm2B8hk5G3c38m`4=831bi>4?::m11`<722wx594?:3y]=1=:;l?1i>5rs8794?4|V0?01>k::d08yv53i3:1>vP<4`9>7`3=:6<62:qC?o?4$2:e>4b63`3?6=44i8794?=h;=k1<75m3d794?5=83:p(>6i:2ff?M5cn2B8n<5fe383>>ob;3:17b<:e;29?xu><3:1>vP64:?0a05<5sW9?m637>53;397~N4j81/?5h51e38m<2=831b584?::m00d<722h8i84?:283>5}#;1l1?ik4H2fe?M5e92cn>7>5;hg0>5<5<5sW3?70=j5;g0?xu>=3:1>vP65:?0a0"40o0:h<5f9583>>o>=3:17b=;a;29?g5b=3:1?7>50z&06h=4}r;6>5<5sW3>70=j5;g1?xu4l>;%1;b?7c92c287>5;h;6>5<j6=44b2g6>5<4290;w)=7f;1ga>N4lo1C?o?4id094?=nm:0;66a=5d83>>{t1=0;6?uQ959>7`3=m:1v4;50;0xZ<3<5:o>6h<4}r17e?6=:rT88l523d7960c6}O;k;0(>6i:0f2?l?32900e4;50;9l71g=831i?h;50;194?6|,:2m6>jj;I1gb>N4j81bi?4?::kf7?6=3f8>i7>5;|q:0?6=:rT2863{t1<0;6?uQ949>7`3=m;1v>:n:181[53i278i84=5d9~yg43:3:1?7?53zJ0f4=#;1l1=i?4i8694?=n1<0;66a<4`83>>d4m<0;6>4?:1y'7=`=;mo0D>ji;I1a5>ob:3:17dk<:188k73b2900q~7;:181[?3349n97k<;|q:1?6=:rT2963{t;=k1<7i6srb360>5<42808wE=m1:&05;c1f1?6=;3:1l>;hg1>5<1<773b3twi>9:50;195?5|@:h:7)=7f;3g5>o><3:17d7::188k62f2900n>k::180>5<7s-93j7=ke:J0`c=O;k;0eh<50;9ja6<722e99h4?::p=1<72;qU59523d79a6=z{0?1<74>:2yK7g7<,:2m6;h;7>5<a2:nn7E=kf:J0f4=nm;0;66gj3;29?j42m3:17p}64;296~X><278i84j3:p=0<72;qU58523d79a7=z{:>j6=4={_17e>;4m<099h5r}c072?6=;3;1?vF5<=278i84j2:p71g=838pR>:n;<1f1?42m2wvn?=k:180>4<4sA9i=6*<8g82`4=n1=0;66g65;29?j53i3:17o=j5;297?6=8r.84k48k50;9~w<2=838pR4:4=2g6>`56=4={_;6?85b=3o97p}<4`83>7}Y;=k01>k::37f?x{e::o1<7=51;1xL6d63-93j7?k1:k:0?6=3`3>6=44o26b>5<6=4<:183!5?n39oi6F>i5=l0;66s|9583>7}Y1=16?h;5e29~w<3=838pR4;4=2g6>`452z\00d=:;l?1>8k4}|`17f<72:0:6>uG3c38 6>a28n:7d7;:188m<3=831d?9o50;9a7`3=8391<7>t$2:e>6bb3A9oj6F5;n06a?6=3ty287>52z\:0>;4m<0n?6s|9483>7}Y1<16?h;5e39~w62f2909wS=;a:?0a0<5=l1vqoo?:181>5<7s-93j7kj;I1gb>N4j81bi<4?::m11`<722wim<4?:283>5}#;1l1?<5G3ed8L6d63`o96=44id194?=h:5<4290;w)=7f;12?M5cn2B8n<5fe383>>ob;3:17b<:e;29?xd4<80;6?4?:1y'7=`=ml1C?ih4H2`2?lc62900c?;j:188yg46k3:1>7>50z&08k50;9~f77c29086=4?{%1;b?563A9oj6F5;n06a?6=3th9>=4?:383>5}#;1l1ih5G3ed8L6d63`o:6=44o37f>5<53;294~"40o08=6F>i5=l0;66sm23694?4=83:p(>6i:dg8L6ba3A9i=6gj1;29?j42m3:17pl=2483>6<729q/?5h5309K7a`<@:h:7dk=:188m`5=831d>8k50;9~f74?29096=4?{%1;b?cb3A9oj6Ft$2:e>67<@:nm7E=m1:kf6?6=3`o86=44o37f>5<52;294~"40o0ni6F7<729q/?5h5ed9K7a`<@:h:7dk>:188k73b2900qo<<1;297?6=8r.84k4<1:J0`c=O;k;0eh<50;9ja6<722e99h4?::a662=8381<7>t$2:e>`c<@:nm7E=m1:kf5?6=3f8>i7>5;|`170<72:0;6=u+39d974=O;ml0D>l>;hg1>5<>{e::31<7=50;2x 6>a2:;0D>ji;I1a5>ob:3:17dk<:188k73b2900qo?i5;297?6=8r.84k4=659K7a`<@:h:7dk=:188m`5=831d>8k50;9~f4`529096=4?{%1;b?cb3A9oj6Ft$2:e>67<@:nm7E=m1:kf6?6=3`o86=44o37f>5<52;294~"40o0ni6F6<729q/?5h5309K7a`<@:h:7dk=:188m`5=831d>8k50;9~f73?29086=4?{%1;b?563A9oj6F5;n06a?6=3th99;4?:283>5}#;1l1?<5G3ed8L6d63`o96=44id194?=h:5<4290;w)=7f;12?M5cn2B8n<5fe383>>ob;3:17b<:e;29?xd5=;0;6>4?:1y'7=`=;81C?ih4H2`2?lc52900eh=50;9l60c=831vn?;?:180>5<7s-93j7=>;I1gb>N4j81bi?4?::kf7?6=3f8>i7>5;|`10`<72:0;6=u+39d974=O;ml0D>l>;hg1>5<h6=4<:183!5?n39:7E=kf:J0f4=nm;0;66gj3;29?j42m3:17pl=4`83>6<729q/?5h5309K7a`<@:h:7dk=:188m`5=831d>8k50;9~f72?29086=4?{%1;b?563A9oj6F5;n06a?6=3th8h<4?:283>5}#;1l1?<5G3ed8L6d63`o96=44id194?=h:5<4290;w)=7f;12?M5cn2B8n<5fe383>>ob;3:17b<:e;29?xd4kk0;6>4?:1y'7=`=;81C?ih4H2`2?lc52900eh=50;9l60c=831vn>m8:181>5<7s-93j7kj;I1gb>N4j81bi<4?::m11`<722wi?n650;194?6|,:2m6>?4H2fe?M5e92cn>7>5;hg0>5<N4lo1C?o?4id094?=nm:0;66a=5d83>>{e;m21<7=50;2x 6>a2:;0D>ji;I1a5>ob:3:17dk<:188k73b2900qo=n3;296?6=8r.84k4je:J0`c=O;k;0eh?50;9l60c=831vn>o;:180>5<7s-93j7=>;I1gb>N4j81bi?4?::kf7?6=3f8>i7>5;|`0=c<72;0;6=u+39d9a`=O;ml0D>l>;hg2>5<N4lo1C?o?4id094?=nm:0;66a=5d83>>{e;0h1<7<50;2x 6>a2lo0D>ji;I1a5>ob93:17b<:e;29?xd41j0;6>4?:1y'7=`=;81C?ih4H2`2?lc52900eh=50;9l60c=831vn>79:181>5<7s-93j7kj;I1gb>N4j81bi<4?::m11`<722wi?4950;094?6|,:2m6hk4H2fe?M5e92cn=7>5;n06a?6=3th8554?:283>5}#;1l1?<5G3ed8L6d63`o96=44id194?=h:5<4290;w)=7f;12?M5cn2B8n<5fe383>>ob;3:17b<:e;29?xd4ik0;6>4?:1y'7=`=;81C?ih4H2`2?lc52900eh=50;9l60c=831vn5<7s-93j7kj;I1gb>N4j81bi<4?::m11`<722wi=nj50;194?6|,:2m6>?4H2fe?M5e92cn>7>5;hg0>5<N4lo1C?o?4id094?=nm:0;66gj4;29?j42m3:17pl<4483>6<729q/?5h5309K7a`<@:h:7dk=:188m`5=831d>8k50;9~f62129096=4?{%1;b?cb3A9oj6Ft$2:e>`c<@:nm7E=m1:kf5?6=3f8>i7>5;|`00=<72:0;6=u+39d974=O;ml0D>l>;hg1>5<26=4<:183!5?n39:7E=kf:J0f4=nm;0;66gj3;29?j42m3:17pl>e183><<729q/?5h5379K7a`<@:h:7dk=:188m`5=831bi94?::kf1?6=3`o=6=44id594?=nm10;66gj9;29?j42m3:17pl>e083>6<729q/?5h5309K7a`<@:h:7dk=:188m`5=831d>8k50;9~f4c529096=4?{%1;b?cb3A9oj6Ft$2:e>67<@:nm7E=m1:kf6?6=3`o86=44o37f>5<53;294~"40o08=6F>i5=l0;66sm1d794?5=83:p(>6i:238L6ba3A9i=6gj2;29?lc42900c?;j:188yg54j3:1?7>50z&04?::m11`<722wi?>m50;094?6|,:2m6hk4H2fe?M5e92cn=7>5;n06a?6=3th8?i4?:383>5}#;1l1ih5G3ed8L6d63`o:6=44o37f>5<53;294~"40o08=6F>i5=l0;66sm32d94?5=83:p(>6i:238L6ba3A9i=6gj2;29?lc42900c?;j:188yg7aj3:1>7>50z&08k50;9~f76529096=4?{%1;b?cb3A9oj6Ft$2:e>`c<@:nm7E=m1:kf5?6=3f8>i7>5;|`141<72;0;6=u+39d9a`=O;ml0D>l>;hg2>5<N4lo1C?o?4id394?=h:5<5290;w)=7f;gf?M5cn2B8n<5fe083>>i5=l0;66sm21594?4=83:p(>6i:dg8L6ba3A9i=6gj1;29?j42m3:17pl=0983>7<729q/?5h5ed9K7a`<@:h:7dk>:188k73b2900qo5<7s-93j7kj;I1gb>N4j81bi<4?::m11`<722wi=kj50;094?6|,:2m6hk4H2fe?M5e92cn=7>5;n06a?6=3th:jh4?:383>5}#;1l1ih5G3ed8L6d63`o:6=44o37f>5<59;294~"40o08:6F>ob<3:17dk::188m`0=831bi:4?::kf5<55;294~"40o08?6F>ob<3:17dk::188k73b2900qot$2:e>`c<@:nm7E=m1:kf5?6=3f8>i7>5;|`2g2<72;0;6=u+39d9a`=O;ml0D>l>;hg2>5<N4lo1C?o?4id094?=nm:0;66a=5d83>>{e9j31<7=50;2x 6>a2:;0D>ji;I1a5>ob:3:17dk<:188k73b2900qo?la;297?6=8r.84k4=659K7a`<@:h:7dk=:188m`5=831d>8k50;9~f4e5290?6=4?{%1;b?553A9oj6F5;hg7>5<l>;hg1>5<>{e:9i1<7<50;2x 6>a2lo0D>ji;I1a5>ob93:17b<:e;29?xd58m0;6?4?:1y'7=`=ml1C?ih4H2`2?lc62900c?;j:188yg47m3:1>7>50z&08k50;9~f76a29086=4?{%1;b?41<2B8hk5G3c38m`4=831bi>4?::m11`<722wi><>50;194?6|,:2m6>?4H2fe?M5e92cn>7>5;hg0>5<l>;hg1>5<e983>7<729q/?5h5ed9K7a`<@:h:7dk>:188k73b2900qo?j9;296?6=8r.84k4je:J0`c=O;k;0eh?50;9l60c=831vn5<7s-93j7kj;I1gb>N4j81bi<4?::m11`<722wi=hl50;694?6|,:2m6><4H2fe?M5e92cn>7>5;hg0>5<1<75`24g94?=zj8oh6=4<:183!5?n38=86F>i5=l0;66sm1df94?5=83:p(>6i:238L6ba3A9i=6gj2;29?lc42900c?;j:188yg7bm3:1?7>50z&0=1C?ih4H2`2?lc52900eh=50;9l60c=831vn;j50;094?6|,:2m6hk4H2fe?M5e92cn=7>5;n06a?6=3th=i7>52;294~"40o0ni6Fa2:;0D>ji;I1a5>ob:3:17dk<:188k73b2900qo9?:180>5<7s-93j7=>;I1gb>N4j81bi?4?::kf7?6=3f8>i7>5;|`45?6=;3:1l>;hg1>5<81<7=50;2x 6>a2:;0D>ji;I1a5>ob:3:17dk<:188k73b2900qo:=6;297?6=8r.84k4<1:J0`c=O;k;0eh<50;9ja6<722e99h4?::a071=8381<7>t$2:e>`c<@:nm7E=m1:kf5?6=3f8>i7>5;|`76=<72;0;6=u+39d9a`=O;ml0D>l>;hg2>5<N4lo1C?o?4id094?=nm:0;66a=5d83>>{e<;k1<7=50;2x 6>a2;6<729q/?5h5309K7a`<@:h:7dk=:188m`5=831d>8k50;9~f14d29086=4?{%1;b?41<2B8hk5G3c38m`4=831bi>4?::m11`<722wi>::50;794?6|,:2m6>=4H2fe?M5e92cn>7>5;hg0>5<1<75fe483>>i5=l0;66sm26;94?4=83:p(>6i:dg8L6ba3A9i=6gj1;29?j42m3:17pl=7`83>7<729q/?5h5ed9K7a`<@:h:7dk>:188k73b2900qo<8b;296?6=8r.84k4je:J0`c=O;k;0eh?50;9l60c=831vn?9l:181>5<7s-93j7kj;I1gb>N4j81bi<4?::m11`<722wi>:j50;094?6|,:2m6hk4H2fe?M5e92cn=7>5;n06a?6=3th9;h4?:283>5}#;1l1>;:4H2fe?M5e92cn>7>5;hg0>5<N4lo1C?o?4id094?=nm:0;66a=5d83>>{e:1:1<7=50;2x 6>a2:;0D>ji;I1a5>ob:3:17dk<:188k73b2900qo<85;297?6=8r.84k4=659K7a`<@:h:7dk=:188m`5=831d>8k50;9~f71129086=4?{%1;b?41<2B8hk5G3c38m`4=831bi>4?::m11`<722wi>:950;194?6|,:2m6>?4H2fe?M5e92cn>7>5;hg0>5<l>;hg1>5<6<729q/?5h5309K7a`<@:h:7dk=:188m`5=831d>8k50;9~f77129096=4?{%1;b?cb3A9oj6Ft$2:e>7033A9oj6F5;n06a?6=3th9=54?:583>5}#;1l1??5G3ed8L6d63`o96=44id194?=nm=0;66a=5d83>>{e:831<7=50;2x 6>a2;52z?5f??434=96?;j;|q5`?6=:r7=h7<:e:?5b?c43ty=i7>52z?5a?42m27<<7k<;|q5b?6=:r7=j7<:e:?45?c53ty<<7>52z?44?42m27<=7k<;|q45?6=:r7<=7<:e:?46?c43ty59z\47>;4l80n>63;4kk0n>63;58k0n=63>ec8f6>;5?>0n>63=148f6>{t0k0;6??t^9`896ea2>=01>ml:65896e>2>=01>m::65894`12>=01=01?==:658974b2>=01?=01?<=:658977b2>=01??n:65896g62>=01>7k:65896?>2>=01>7;:6589a1=?>16h;487:?766<0?27?4;487:?5f?10348<>798;<3ga?1034>>>798;<3f2?1034;nj798;<10798;<3`4?1034;h8798;|q:a?6=:rT2i63k6;;0?xu>n3:1mv3<398;e>;4<;03m63<958;e>;4i803m63<9e8;e>;41003m63n1;06a>;4j90n?63>bg8f6>;59=0n>6s|a183>7}:i9099h52a08f7>{tl=0;6>u2d68:7>;c>38<=63n0;g2?xuc=3:1>v3k7;045>;f93o97p}>bd83>1}:9kl1>8k4=0a4>`7<58i36h<4=0a1>`458z?2g5<5?816=nj5e39>5f0=m816=n=5e39>37;4j2:?762c383>7}:9j81>8k4=0a0>`558z?2g1<5?816=nm5e09>5f?=m;16=n<5e59>2a;|q2g0<72;q6=n:5929>5fg=:=838p1c883>7}:9j31>8k4=0ab>`552z?2gf<5=l16=nj5e29~w4eb290:;59j0n=63=218f5>;5:=0n=63=298f5>;5:j0n=63=318f5>;5;=0n=63=398f5>;6n<0n?63>f38f5>;6n10n=63>ce811`=::9o1i<521d:9a4=:9lh1i>5rs0ff>5<5s4;oi7<81:?2fc6h<4}r3f5?6=:r7:i<4=5d9>5`2=m;1vi63>e28f7>{t9l91<773b34;n87k<;|q2a1<72;q6=h:524g894c22l90q~?k2;293~;6m?09;<5222a9=1=::=21i>521d09a4=::981i<521dc9a4=::>i1i<5rs0g4>5<5s4;n:77<;<3fa?42m2wx=h650;0x94c?2;?n70?jc;g1?xu6m00;6?u21d;960c<58oh6h=4}r3fe?6=:r7:il4=5d9>5`b=m:1vi63>ed8f7>{t9li1<773b34;nh7k=;|q2aa<72;q6=hj524g894cb2l80q~?k5;292~;6mo09;<5222a9=0=::=21i?521d29a7=:9oh1i<5226f9a4=z{8n86=4:{<3e4?409279?i465:?11dl47a:?163?47a:?15`;5;l02963>e28f6>;6nl0n=6s|1g594?4|58l=64=4=0d:>73b3ty:j54?:3y>5c>=:5<5s4;mn7<:e:?2bc656=m<1vi63=008f6>{t:9:1<773b348;=7k<;|q147<72;q6>=<524g894`a2l90q~::37f?87an3o=7p}=0783>7}::9<1>8k4=0de>`152z?142<5=l16=kh5e99~w76?2909w0;6no0n56s|21;94?4|5;:26?;j;<034?c53ty95``=1:16><<524g8yv47j3:1>v3=0c811`=::9l1i?5rs32`>5<5s48;o7<:e:?14c=j50;0x976c2;?n70<>0;g0?xu58l0;6?u221g960c<5;;:6h=4}r03b?6=:r79646=m;1v???:181846838>i63=108f6>{t:8;1<773b348:>7k<;|q156<72:q6=h858`9>5``=0h16><7524g8yv46<3:1>v3=15811`=::831i?5rs336>5<5s48:97<:e:?152<850;0x97712;?n70<>8;g7?xu59>0;6?u2205960c<5;;36h<4}r0264?=m:1v;563>e18f7>;58:0n=6s|20`94?4|5;;j64=4=33g>73b3ty9=n4?:3y>64e=:d983>0}::;81>:?4=362><3<5;>n6h<4=0g3>`2<5;:?6h?4}r017?6=:r79>?463:?160<5=l1v?<;:181845<38>i63=248f7>{t9m31<7;t=305>716348?>77:;<064?c534;n<7k9;<032?c63ty9>:4?:3y>670=1:16>?7524g8yv4503:1>v3=29811`=::;31i>5rs0fb>5<2s489m7<81:?106<>=2799?4j2:?2a57}::;i1>8k4=30g>`555z?16`<5?816>9:5949>602=m;16=h>5e69>651=m81v?{t:::1<773b3488=7k<;|q2`f<72><52638972220?01?;9:d0894c72l301?>6:d38yv44;3:1>v3=338:7>;5;<099h5rs317>5<5s48887<:e:?1707}:::<15>5222;960c52z?17=<5=l16>>75e29~w7202908w0<{t:=31<7=t=363><2<5;>h6h=4=36b>73b3ty98o4?:2y>617=1=16>9k5e29>61e=:;55<4s48??77;;<066?c4348><7<:e:p607=839p1?:;:86897332l901?;=:37f?xu5=:0;6>u22579=1=::<<1i>52246960c97>53z?103<><279954j3:?113<5=l1v?;8:180844l33?70<:a;g0?842038>i6s|24;94?4|5;9n64:4=37b>73b3ty99o4?:01x96c72ml01o>:9a896?c21i01>76:9a896?321i01=7:9a8962521i0q~<82;297~X5?;16>:<52638971b2l80q~<83;296~;5?;02?63=79811`=z{;=?6=4={<040?42m279;h4j3:p623=838p1?9::37f?840>3o87p}=7783>7}::><1>8k4=354>`552z?132<5=l16>:65e39~w71>2909w0<89;06a>;5?o0n>6s|26c94?4|5;=j6?;j;<04b?c43ty9;o4?:3y>62d=:v3=7b811`=::1:1i?5rs35g>5<5s48:k50;0x971b2;?n70<86;g1?xu5?o0;6?u226d960c<5;=>6h<4}r0;4?6=:r794=4=5d9>62>=m:1v?7<:181[4>;279;44j1:p76>=838pR>=7;<10o50;0x96262l;01>=i:37f?xu4;k0;6?u232`960c<5:9m6h<4}r10g?6=:r78?n4=5d9>76c=m;1v>=k:181854l38>i63<3d8f7>{t;:o1<773b3498j7k<;|q005<72;q6?>65929>717=:>6=4={<171?42m278844j2:p710=838p1>:9:37f?85303o97p}<4683>7}:;==1>8k4=26;>`552z?00=<5=l16?975e29~w63f290?wS=:a:?14f;|q0=5<72?q6?4:5263896g220>01>78:d3896g>2l901>:::d08965d2l;0q~=65;296~;41=02?63<99811`=z{:3=6=4={<1:2?42m278554j2:p7<1=838p1>78:37f?85>03o87p}<9083>0}:;031>:?4=2c6><3<5:k26h<4=265>`7<5:9i6h<4}r1:e?6=:r7854463:?0=f<5=l1v>7m:18185>j38>i63<9b8f7>{t;081<7;t=2;g>716349j:77:;<1bf?c5349?;7k>;<10`?c63ty85h4?:3y>7524g8yv5>n3:1>v3<9g811`=:;h:1i>5rs2;0>5<3s49j=7<81:?0e2<>=278884j3:?07go;:37f?xu4i:0;6?u23`1960c<5:k?6h=4}r1bi6s|3`a94?4|5:k>6>:n;<1:g?c53ty8mi4?:3y>7d0=;=k01>o?:d08yv5fm3:1>v31i?5rs2ce>5<1s49i<7<:e:?2b04j1:?0=c7}:;j?15>523b:960c52z?0g2<5=l16?n65e29~w6e5290?w0=l9;045>;4l;02963;5?=0n?6s|3bc94?4|5:i264=4=2aa>73b3ty8o>4?:5y>7fe=:>;01>j<:87896b?2l801?9;:d68yv5dl3:1>v3;4kl099h5rs2a7>5<4s49hj7<81:?0`1<>=279;94j5:p7a6=838p1>mi:81896b62;?n7p}6}:;m9159523e4960c<5:n36h=4}r1g3?6=:r78h9464:?0`=<5=l1v>j6:18185c:39?m63{t;mk1<762f349hi7k<;|q0`g<72;q6?i:535c896b62l90q~=j7;296~;5;j088l522009a7=z{:o36=4={<00`?53i27:j>4j2:p7`?=838p1?=j:26b?87a13o97p}7}:::l1?9o4=33g>`452z?105<4??5e39~w6cd2909w0<;1;17e>;5:<0n>6s|3df94?4|5;>96>:n;<01=?c53ty8ih4?:3y>615=;=k01?v3=45800d=:::;1i?5rs2d3>5<5s48?97=;a:?170j70<<9;g1?xu3::0;68uQ431891442;=:708j:d3891412l9019v3;228:7>;3:j099h5rs505>5<5s4>9:7<:e:?76f44=5d9>07g=m:1v9i63;2c8f7>{t<;h1<773b34>9o7k<;|q715<72;qU88>4=571><5>>7>53z?717<5?816=n<5e29>07>=m81v969:181[2?>27?4;4=709~w1e32909wS:l4:?13ghj:181>5<7s-93j7kj;I1gb>N4j81bi<4?::m11`<722wi8<;50;094?6|,:2m6hk4H2fe?M5e92cn=7>5;n06a?6=3th8jl4?:383>5}#;1l1ih5G3ed8L6d63`o:6=44o37f>5<52;294~"40o0ni6F7<729q/?5h5ed9K7a`<@:h:7dk>:188k73b2900qo:?2;296?6=8r.84k4jc:J0`c=O;k;0eh?50;9l60c=831vn96i:181>5<7s-93j7kl;I1gb>N4j81bi<4?::m11`<722wi8??50;094?6|,:2m6hm4H2fe?M5e92cn=7>5;n06a?6=3tho>7>52;294~"40o0no6Fa2li0D>ji;I1a5>ob93:17b<:e;29?xd3;<0;6?4?:1y'7=`=mj1C?ih4H2`2?lc62900c?;j:188yg2293:1>7>50z&08k50;9~f1da29096=4?{%1;b?cd3A9oj6Fl>;hg2>5<5<5290;w)=7f;g`?M5cn2B8n<5fe083>>i5=l0;66sm44794?4=83:p(>6i:da8L6ba3A9i=6gj1;29?j42m3:17pl;c783>7<729q/?5h5eb9K7a`<@:h:7dk>:188k73b2900qo8=:181>5<7s-93j7kl;I1gb>N4j81bi<4?::m11`<722wi:<4?:383>5}#;1l1in5G3ed8L6d63`o:6=44o37f>5<>{e=o0;6?4?:1y'7=`=mj1C?ih4H2`2?lc62900c?;j:188yg3c29096=4?{%1;b?cd3A9oj6Fl>;hg2>5<5<5290;w)=7f;g`?M5cn2B8n<5fe083>>i5=l0;66sm5`83>7<729q/?5h5eb9K7a`<@:h:7dk>:188k73b2900qo;6:181>5<7s-93j7kl;I1gb>N4j81bi<4?::m11`<722wi954?:383>5}#;1l1in5G3ed8L6d63`o:6=44o37f>5<>{e=?0;6?4?:1y'7=`=mj1C?ih4H2`2?lc62900c?;j:188yg3229096=4?{%1;b?cd3A9oj6Fl>;hg2>5<5<5290;w)=7f;g`?M5cn2B8n<5fe083>>i5=l0;66sm6883>7<729q/?5h5eb9K7a`<@:h:7dk>:188k73b2900qo87:181>5<7s-93j7kl;I1gb>N4j81bi<4?::m11`<722wi::4?:383>5}#;1l1in5G3ed8L6d63`o:6=44o37f>5<>{e><0;6?4?:1y'7=`=mj1C?ih4H2`2?lc62900c?;j:188yg0329096=4?{%1;b?cd3A9oj6Fl>;hg2>5<5<5290;w)=7f;g`?M5cn2B8n<5fe083>>i5=l0;66sm49194?2=83:p(>6i:02:?M5cn2B8n<5fe083>>o>m3:17b<:e;29?jca2900qo:<3;296?6=8r.84k4=5g9K7a`<@:h:7dk>:188k73b2900qo:md;296?6=8r.84k4=5g9K7a`<@:h:7dk>:188k73b2900qo:7c;296?6=8r.84k4=5g9K7a`<@:h:7dk>:188k73b2900qo9l:181>5<7s-93j7<:f:J0`c=O;k;0eh?50;9l60c=831vn96>:181>5<7s-93j7<:f:J0`c=O;k;0eh?50;9l60c=831vn998:181>5<7s-93j7<:f:J0`c=O;k;0eh?50;9l60c=831vn95<7s-93j7<:f:J0`c=O;k;0eh?50;9l60c=831vn9<;:181>5<7s-93j7<:f:J0`c=O;k;0eh?50;9l60c=831vn9>;:180>5<7s-93j7<90:J0`c=O;k;0eh?50;9j=`<722e99h4?::a040=8381<7>t$2:e>73a3A9oj6Ft$2:e>73a3A9oj6Ft$2:e>7073A9oj6F5;n06a?6=3th?:k4?:383>5}#;1l1>8h4H2fe?M5e92cn=7>5;n06a?6=3th8j54?:383>5}#;1l1>8h4H2fe?M5e92cn=7>5;n06a?6=3th8jk4?:383>5}#;1l1>8h4H2fe?M5e92cn=7>5;n06a?6=3th?444?:383>5}#;1l1>8h4H2fe?M5e92cn=7>5;n06a?6=3th??i4?:383>5}#;1l1>8h4H2fe?M5e92cn=7>5;n06a?6=3th?9:4?:283>5}#;1l1>;>4H2fe?M5e92cn=7>5;h;f>5<l>;hg2>5<96=4=:183!5?n38>j6Fi6=4=:183!5?n38>j6Fj6Fj6Fj6Fj6Fj6Fj6Fj6Fj6F6=4=:183!5?n38>j6Fj6Fj6Fj6Fj6F76<5:lj6h?4}r01>5<5s49m:752z?0b3<5m278jh4j1:p7f<72;qU?n52558f5>{t;m0;6?uQ3e9>107}Y;o169:4j1:p05<72;qU8=52598f5>{t<80;6?uQ409>1<7}Y<:169o4j1:p01<72;qU89525b8f5>{t<<0;6?uQ449>1a7}Y<>169k4j1:p0=<72;qU8552618f5>{t<00;6?uQ489>24;0n=6s|4b83>7}Y4j1:p0a<72;qU8i52658f5>{t20?0n=6s|5183>7}Y=916::4j1:p14<72;qU9<52698f5>{t=;0;6?uQ539>2<4?:3y]16=:>h0n=6s|5583>6}:;o91;63;c684?8332;?n7p}:5;297~;4n:0370:l7;:8903=:13<5=l1v8950;1x96`42h168n95a:?63?42m2wx954?:2y>7c5=j27?o:4m;<7;>73b3ty>57>53z?0b6h;7m4=4;960c`=:{t=j0;6>u23g19b>;3k>0m70;l:37f?xu2l3:1?v3;3k>0:<63:d;06a>{t=l0;6?u24b5954=:=l099h5rs4d94?5|5:l86<<4=5a4>44<58k4}r43>5<4s49m?7?;;<6`3?7334<;6?;j;|q55?6=:r78j>4>5:?55?42m2wx:?4?:3y>7c5=9>16:?4=5d9~w35=838p19m8:0:8935=:v3;c682e>;1=38>i6s|6783>7}:4e<5?=1>8k4}r4;>5<5s4>h;7?k;<4;>73b3ty=57>53z?0b6<6m27?o:4>e:?5=?42m2wx:l4?:2y>7c5=9o168n951g9>2d<5=l1v;l50;5x96`22?h01>h=:7`891722l;019>;:8g891?620o019;8:8g891g?20o0q~9<:18685a;3=870:l7;50?85a>3=870=i4;50?85a:3=87p}88;296~X0027<57k>;|q4=?6=:r78j8488:?4=?42m2wx;o4?:3y]3g=:?j099h5rs6a94?4|5:o:6?8m;<5`>`7m3:1>vP6e:?g6?c63tyo>7>53z?0b0<>m278j?46e:?g6?42m2wxh54?:3y]`==:l00n=6s|d883>3}:;o914o524b59`g<5:l?6ho4}rd3>5<5s49m:7h?;<1e1?`73ty:<94?:3y>7c0=99>01>h::027?xu68h0;6?u23g1955g<5:l=6<>n;|q25=<72;q6?k85130896`228;37p}>2583>7}:;o<1=?:4=2d6>45?3ty:?44?:3y>7c0=9:301>h;:01:?xu6<90;6>u23g19516<5:l=6<:?;<1e0?7382wx=9?50;0x96`128>:70=i4;375>{t9==1<7420349m97?;7:p5<1=838p1>h9:0;4?85a=3;jn6s|1`a94?4|5:l=68l50;0x96c72ml0196<:d38yv42k3:1?v3;82811`=:;o9185<4=2d6>1>53ty9;?4?:3y>7c2=:>801>h=:351?xu5080;6?uQ293897de2;?n7p}=8383>7}Y:1801?m>:37f?xu50=0;6?uQ296897e02;?n7p}=8483>7}Y:1?01?m7:37f?xu50?0;6?uQ294897eb2;?n7p}=8683>7}Y:1=01?j;:37f?xu50j0;6?uQ29a897b22;?n7p}=8e83>7}Y:1n01?jm:37f?xu50l0;6?uQ29g897c62;?n7p}=8g83>7}Y:1l01?k8:37f?xu5190;6?uQ282897cc2;?n7p}=9083>7}Y:0;01?h<:37f?xu51:0;6?u23g196<5<5=i<6?7<;|q1=1<72:q6?k=5286896`32;3870=i2;0:7>{t:h<1<77g1349m877}:5<4=3a2>`752z?7g2<50=16>n95e09~w7e?2909w0:l7;0;1>;5k10n=6s|2bg94?4|5=i<6?69;<0`a?c63ty9h94?:3y>0f1=:1=01?j;:d38yv4c=3:1>v3;c6815<5s4>h;7<7d:?1`gh?50;0x91e02;2n700;6?u24b596=`<5;o<6h?4}r0f`?6=:r7?o:4=919>6`b=m81v?h<:18182d?382=63=f28f5>{t:o31<7=t=2d0>7`>34>h;7;4n;088?5rs27b>5<5s49m?7=:a:?0b7<4=h1v>8m:18185a;39=n63;4n1099h5rs2d;>5<5s49mo7<:e:?0b=52z?0b`<5=l16?kh5e09~w1662909wS:?1:?747;4n=0?<=52410960c;?7>52z\746=:<9>1>8k4}r631?6=:r78j84;079>052=m81v9?;:181[26<27?=;4=5d9~w1712909w0:>5;06a>;39?0n=6s|40:94?4|V=;370:>9;06a>{t<831<717?34>:57k>;|q765<72;qU8?>4=502>`79=7>52z?0b0<39>168??524g8yv25;3:1>vP;229>072=:>524369a4=z{=8o6=4={_61`>;3:l099h5rs50f>5<5s4>h;7:=d:?76`<50;0xZ15534>8?7<:e:p065=838p1>h;:512?824;3o:7p};3583>7}Y<:>019=::d38yv24=3:1>v38k4}r60g?6=:rT??n5242f960c8h7>52z?0b0<3;j168>j5e09~w1272909wS:;0:?707<5=l1v9:=:18185a>3>?<63;438f5>{t<=31<7i6s|45`94?4|5:l>69:6;<67f?c63ty?9=4?:3y]006<5=?:6h?4}r665?6=:r78j?4;519>007=:;4n?0?9>52447960c>:7>52z\713=:<<=1>8k4}r66001=m81v98l:181[21k27?:k4=5d9~w10a2909w0:l7;65g>;3>o0n=6s|46494?4|V===70:87;06a>{t<>=1<710234><;7k>;|q73=<72;qU8:64=55:>73b3ty?;44?:3y>0f1=<0l01996:d38yv20i3:1>vP;7`9>0=7=:0?;l524939a4=z{=296=4={_6;6>;30:0nj6s|49694?4|5=2>6?;j;<6;7??b3ty?4;4?:3y>7c4=<1<0196::d38yv2??3:1>vP;869>0=?=:0?4:5249;9a4=z{=2j6=4={_6;e>;30j099h5rs5:`>5<5s4>h;7:7a:?7b34>3j7k>;|q7{t<0:1<7938>i6s|48094?4|5:l>696k;<6:5?c63ty?m:4?:3y]0d1<5=k36?;j;|q7e<<72;q6?k;54`4891g?2l;0q~:mc;296~X3jj168oj524g8yv2el3:1>v35<5sW>ii63;bg8f5>{t1de34>ij7<:e:p0f3=838pR9m:;<6`2?c63ty?o;4?:4y>7c5=019m8:5a7?85a>3>h8638k4}|l2553=838pD>l>;|l2550=838pD>l>;|l2551=838pD>l>;|l255>=83;pD>l>;|l255?=83;pD>l>;|l255g=83;pD>l>;|l255d=83;pD>l>;|l255e=83;pD>l>;|l255b=83;pD>l>;|l255c=83;pD>l>;|l255`=83;pD>l>;|l2546=83;pD>l>;|l2547=83;pD>l>;|l2544=83;pD>l>;|l2545=83;pD>l>;|l2542=83;pD>l>;|l2543=83;pD>l>;|l2540=83;pD>l>;|l2541=83;pD>l>;|l254>=83;pD>l>;|l254?=83;pD>l>;|l254g=83;pD>l>;|l254d=83;pD>l>;|l254e=83;pD>l>;|l254b=83;pD>l>;|l254c=83;pD>l>;|l254`=839pD>l>;|l2576=839pD>l>;|l2577=839pD>l>;|l2574=839pD>l>;|l2575=839pD>l>;|l2572=839pD>l>;|l2573=839pD>l>;|l2570=839pD>l>;|l2571=839pD>l>;|l257>=839pD>l>;|l257?=838pD>l>;|l257g=839pD>l>;|l257d=839pD>l>;|l257e=838pD>l>;|l257b=838pD>l>;|l257c=838pD>l>;|l257`=838pD>l>;|l2566=838pD>l>;|l2567=838pD>l>;|l2564=838pD>l>;|l2565=838pD>l>;|l2562=839pD>l>;|l2563=839pD>l>;|l2560=839pD>l>;|l2561=83l>;|l256>=83;pD>l>;|l256?=838pD>l>;|l256g=83;pD>l>;|l256d=83;pD>l>;|l256e=83;pD>l>;|l256b=838pD>l>;|l256c=83;pD>l>;|l256`=839pD>l>;|l2516=83;pD>l>;|l2517=83l>;|l2514=839pD>l>;|l2515=839pD>l>;|l2512=839pD>l>;|l2513=839pD>l>;|l2510=839pD>l>;|l2511=839pD>l>;|l251>=839pD>l>;|l251?=83>pD>l>;|l251g=839pD>l>;|l251d=839pD>l>;|l251e=839pD>l>;|l251b=839pD>l>;|l251c=83;pD>l>;|l251`=839pD>l>;|l2506=839pD>l>;|l2507=83;pD>l>;|l2504=83;pD>l>;|l2505=83;pD>l>;|l2502=83;pD>l>;|l2503=83;pD>l>;|l2500=83;pD>l>;|l2501=83;pD>l>;|l250>=83;pD>l>;|l250?=83;pD>l>;|l250g=83;pD>l>;|l250d=83;pD>l>;|l250e=83;pD>l>;|l250b=839pD>l>;|l250c=83>pD>l>;|l250`=839pD>l>;|l2536=838pD>l>;|l2537=838pD>l>;|l2534=839pD>l>;|l2535=838pD>l>;|l2532=838pD>l>;|l2530=838pD>l>;|l2531=838pD>l>;|l253>=838pD>l>;|l253?=838pD>l>;|l253g=838pD>l>;|l253d=838pD>l>;|l253e=83>pD>l>;|l253b=839pD>l>;|l253c=839pD>l>;|l253`=839pD>l>;|l2526=839pD>l>;|l2527=839pD>l>;|l2524=83;pD>l>;|l2525=83;pD>l>;|l2522=83;pD>l>;|l2523=83;pD>l>;|l2520=83;pD>l>;|l2521=83;pD>l>;|l252>=83;pD>l>;|l252?=83>pD>l>;|l252g=83;pD>l>;|l252d=838pD>l>;|l252e=83;pD>l>;|l252b=83;pD>l>;|l252c=83;pD>l>;|l252`=838pD>l>;|l25=6=83;pD>l>;|l25=7=838pD>l>;|l25=4=83;pD>l>;|l25=5=838pD>l>;|l25=2=83;pD>l>;|l25=3=838pD>l>;|l25=0=83;pD>l>;|l25=1=838pD>l>;|l25=>=83;pD>l>;|l25=?=838pD>l>;|l25=g=83;pD>l>;|l25=d=838pD>l>;|l25=e=83;pD>l>;|l25=b=838pD>l>;|l25=c=83;pD>l>;|l25=`=838pD>l>;|l25<6=83;pD>l>;|l25<7=838pD>l>;|l25<4=83;pD>l>;|l25<5=839pD>l>;|l25<2=83;pD>l>;|l25<3=838pD>l>;|l25<0=83;pD>l>;|l25<1=838pD>l>;|l25<>=83;pD>l>;|l25l>;|l25l>;|l25l>;|l25l>;|l25l>;|l25l>;|l25<`=83;pD>l>;|l25d6=838pD>l>;|l25d7=83;pD>l>;|l25d4=838pD>l>;|l25d5=83;pD>l>;|l25d2=838pD>l>;|l25d3=83;pD>l>;|l25d0=839pD>l>;|l25d1=83;pD>l>;|l25d>=838pD>l>;|l25d?=83;pD>l>;|l25dg=838pD>l>;|l25dd=83;pD>l>;|l25de=838pD>l>;|l25db=83;pD>l>;|l25dc=838pD>l>;|l25d`=83;pD>l>;|l25g6=83?pD>l>;|l2g6<728qC?o?4}o3`0?6=9rB8n<5rn0a6>5<6sA9i=6sa1b494?7|@:h:7p`>c683>4}O;k;0qc?l8;295~N4j81vb51zJ0f4=zf8io6=4>{I1a5>{i9jo1<7?tH2`2?xh6l90;6l>;|l2`3<728qC?o?4}o3g5<6sA9i=6sa1ec94?7|@:h:7p`>dc83>4}O;k;0qc?kd;295~N4j81vb51zJ0f4=zf8o86=4>{I1a5>{i9l>1<7?tH2`2?xh6m<0;63:1=vF=83;pD>l>;|l2a<<728qC?o?4}o3fe?6=9rB8n<5rn0ga>5<6sA9i=6sa1da94?7|@:h:7p`>ee83>4}O;k;0qc?je;295~N4j81vb50;3xL6d63td:j<4?:0yK7g751zJ0f4=zf8l?6=4>{I1a5>{i9o?1<7?tH2`2?xh6n?0;6l>;|l2bd<728qC?o?4}o3ef?6=9rB8n<5rn0d`>5<6sA9i=6sa1gf94?7|@:h:7p`>fd83>4}O;k;0qc?if;295~N4j81vb?>?:182M5e92we>=?50;3xL6d63td951zJ0f4=zf;:?6=4>{I1a5>{i:9?1<7?tH2`2?xh58?0;6l>;|l14d<728qC?o?4}o03f?6=9rB8n<5rn32`>5<6sA9i=6sa21f94?7|@:h:7p`=0d83>4}O;k;0qc:9e;295~N4j81vb98i:182M5e92we8:>50;3xL6d63td?;<4?:0yK7g7<>7>51zJ0f4=zf==86=4>{I1a5>{i<>>1<7?tH2`2?xh3?<0;63:1=vFl>;|l73d<728qC?o?4}o64f?6=9rB8n<5rn5:2>5<6sA9i=6sa49094?7|@:h:7p`;8283>4}O;k;0qc:7b;295~N4j81vb96l:182M5e92we85j50;3xL6d63td?4h4?:0yK7g73j7>51zJ0f4=zf=3;6=4>{I1a5>{i<0;1<7?tH2`2?xh31;0;6;3:1=vFl>;|l7=2<728qC?o?4}o6:5<6sA9i=6sa48c94?7|@:h:7p`;9c83>4}O;k;0qc:6c;295~N4j81vb97k:182M5e92we84k50;3xL6d63td?5k4?:0yK7g7j<7>51zJ0f4=zf=k:6=4>{I1a5>{il>;|l7e2<728qC?o?4}o6b5<6sA9i=6sa4`c94?7|@:h:7p`;ac83>4}O;k;0qc:nc;295~N4j81vb9ok:182M5e92we8lk50;3xL6d63td?mk4?:0yK7g7i<7>51zJ0f4=zf=h:6=4>{I1a5>{il>;|l7f2<728qC?o?4}o6a5<6sA9i=6sa4cc94?7|@:h:7p`;bc83>4}O;k;0qc:mc;295~N4j81vb9lk:182M5e92we8ok50;3xL6d63td?nk4?:0yK7g7h<7>51zJ0f4=zf=i:6=4>{I1a5>{il>;|l7g=<728qC?o?4}o6`=?6=9rB8n<5rn5ab>5<6sA9i=6sa4b`94?7|@:h:7p`;cb83>4}O;k;0qc:ld;295~N4j81vb9mj:182M5e92we8nh50;3xL6d63td?h=4?:0yK7g7o=7>51zJ0f4=zf=n96=4>{I1a5>{il>;|l7`=<728qC?o?4}o6g=?6=9rB8n<5rn5fb>5<6sA9i=6sa4e`94?7|@:h:7p`;db83>4}O;k;0qc:kd;295~N4j81vb9jj:182M5e92we8ih50;3xL6d63td?i=4?:0yK7g7n=7>51zJ0f4=zf=o96=4>{I1a5>{il>;|l7a`<728qC?o?4}o6fb?6=9rB8n<5rn5d3>5<6sA9i=6sa4g394?7|@:h:7p`;f283>4}O;k;0qc:i5;295~N4j81vb9h9:182M5e92we8k950;3xL6d63td?j54?:0yK7g7m57>51zJ0f4=zf=ln6=4>{I1a5>{i290:wE=m1:m34e=83;pD>l>;|l45a<728qC?o?4}o52b?6=9rB8n<5rn600>5<6sA9i=6sa73794?7|@:h:7p`82783>4}O;k;0qc9=7;295~N4j81vb:<7:182M5e92we;?750;3xL6d63td<>l4?:0yK7g751zJ0f4=zf>8h6=4>{I1a5>{i?;n1<7?tH2`2?xh0:l0;6l>;|l477<728qC?o?4}o507?6=9rB8n<5rn617>5<6sA9i=6sa72794?7|@:h:7p`83683>4}O;k;0qc9<8;295~N4j81vb:=6:182M5e92we;>o50;3xL6d63td51zJ0f4=zf>9m6=4>{I1a5>{i?=:1<7?tH2`2?xh0<80;6l>;|l403<728qC?o?4}o573?6=9rB8n<5rn66;>5<6sA9i=6sa75;94?7|@:h:7p`84`83>4}O;k;0qc9;b;295~N4j81vb::l:182M5e92we;9j50;3xL6d63td<8h4?:0yK7g751zJ0f4=zf>?;6=4>{I1a5>{i?<;1<7?tH2`2?xh0=;0;6l>;|l413<728qC?o?4}o563?6=9rB8n<5rn67;>5<6sA9i=6sa74;94?7|@:h:7p`85`83>4}O;k;0qc9:c;295~N4j81vb:;k:182M5e92we;8k50;3xL6d63td<9k4?:0yK7g751zJ0f4=zf><:6=4>{I1a5>{i??81<7?tH2`2?xh0>:0;6l>;|l422<728qC?o?4}o555<6sA9i=6sa77c94?7|@:h:7p`86c83>4}O;k;0qc99c;295~N4j81vb:8j:182M5e92we;;h50;3xL6d63td<;=4?:0yK7g751zJ0f4=zf>=96=4>{I1a5>{i?>91<7?tH2`2?xh0?=0;6l>;|l43=<728qC?o?4}o54=?6=9rB8n<5rn65b>5<6sA9i=6sa76`94?7|@:h:7p`87b83>4}O;k;0qc98d;295~N4j81vb:9j:182M5e92we;:h50;3xL6d63td<4<4?:0yK7g77>51zJ0f4=zf>286=4>{I1a5>{i?1>1<7?tH2`2?xh00<0;63:1=vF0290:wE=m1:m3=>=83;pD>l>;|l4<<<728qC?o?4}o5;e?6=9rB8n<5rn6:a>5<6sA9i=6sa79a94?7|@:h:7p`88e83>4}O;k;0qc97e;295~N4j81vb:6i:182M5e92we;4>50;3xL6d63td<5<4?:0yK7g77>51zJ0f4=zf>386=4>{I1a5>{i?0>1<7?tH2`2?xh01<0;6>3:1=vF=83;pD>l>;|l4=g<728qC?o?4}o5:g?6=9rB8n<5rn6;g>5<6sA9i=6sa78g94?7|@:h:7p`8a183>4}O;k;0qc9n1;295~N4j81vb:o=:182M5e92we;l=50;3xL6d63td51zJ0f4=zf1:96=4>{I1a5>{i0991<7?tH2`2?xh?8<0;67n3:1=vFl>;|l;57<728qC?o?4}o:27?6=9rB8n<5rn937>5<6sA9i=6sa80794?7|@:h:7p`71983>4}O;k;0qc6>9;295~N4j81vb5?n:182M5e92we451zJ0f4=zfk:n6=4>{I1a5>{ij9l1<7?tH2`2?xhe990;6l>;|la51<728qC?o?4}o`21?6=9rB8n<5rnc35>5<6sA9i=6sab0594?7|@:h:7p`m1c83>4}O;k;0qcl>c;295~N4j81vbo?k:182M5e92wen51zJ0f4=zfk8:6=4>{I1a5>{ij;81<7?tH2`2?xhe:=0;6?uG3c38ykd5=3:1=vFl>;|la6=<728qC?o?4}o`1=?6=9rB8n<5rnc0b>5<6sA9i=6sab3`94?7|@:h:7p`m2b83>7}O;k;0qcl=d;295~N4j81vbo51zJ0f4=zfk996=4={I1a5>{ij:91<7?tH2`2?xhe;=0;6vFl>;|la7=<728qC?o?4}o`0=?6=9rB8n<5rnc1b>5<6sA9i=6sab2`94?7|@:h:7p`m3b83>4}O;k;0qclh50;3xL6d63tdi8=4?:0yK7g751zJ0f4=zfk>96=4={I1a5>{ij=91<7=83;pD>l>;|la0<<728qC?o?4}o`7e?6=9rB8n<5rnc6a>5<6sA9i=6sab5a94?7|@:h:7p`m4e83>4}O;k;0qcl;e;295~N4j81vbo:i:182M5e92wen8>50;3xL6d63tdi9<4?:0yK7g7>7>51zJ0f4=zfk?86=4>{I1a5>{ij<>1<7?tH2`2?xhe=<0;63:1=vFl>;|la1g<728qC?o?4}o`6g?6=9rB8n<5rnc7g>5<6sA9i=6sab4g94?7|@:h:7p`m5g83>4}O;k;0qcl90;295~N4j81vbo8>:182M5e92wen;<50;3xL6d63tdi:84?:0yK7g751zJ0f4=zfk<<6=4>{I1a5>{ij?21<7?tH2`2?xhe>00;6l>;|la2a<728qC?o?4}o`5a?6=9rB8n<5rnc4e>5<6sA9i=6sab6294?7|@:h:7p`m7083>4}O;k;0qcl82;295~N4j81vbo9<:182M5e92wen::50;3xL6d63tdi;84?:0yK7g751zJ0f4=zfk=<6=4>{I1a5>{ij>21<7?tH2`2?xhe?00;6l>;|la3a<728qC?o?4}o`4a?6=9rB8n<5rnc5e>5<6sA9i=6sab9294?7|@:h:7p`m8083>4}O;k;0qcl72;295~N4j81vbo6<:182M5e92wen5:50;3xL6d63tdi484?:0yK7g751zJ0f4=zfk2<6=4>{I1a5>{ij121<7?tH2`2?xhe000;6e290:wE=m1:mf=e=83;pD>l>;|la5<6sA9i=6sab8294?7|@:h:7p`m9283>4}O;k;0qcl64;295~N4j81vbo7::182M5e92wen4850;3xL6d63tdi554?:0yK7g751zJ0f4=zfk3j6=4>{I1a5>{ij0h1<7?tH2`2?xhe1j0;6l3:1=vFl>;|lae5<728qC?o?4}o`b5?6=9rB8n<5rncc1>5<6sA9i=6sab`494?7|@:h:7p`ma983>4}O;k;0qcln9;295~N4j81vboon:182M5e92wenlm50;3xL6d63tdimi4?:0yK7g751zJ0f4=zfkkm6=4>{I1a5>{ijk:1<7?tH2`2?xhej80;6l>;|laf0<728qC?o?4}o`a5<6sA9i=6sabcc94?7|@:h:7p`mbc83>4}O;k;0qclmc;295~N4j81vbolk:182M5e92wenok50;3xL6d63tdink4?:0yK7g751zJ0f4=zfki:6=4>{I1a5>{ijj91<7?tH2`2?xhek=0;6l>;|lag=<728qC?o?4}o``=?6=9rB8n<5rncab>5<6sA9i=6sabb`94?7|@:h:7p`mcb83>4}O;k;0qclld;295~N4j81vbomj:182M5e92wennh50;3xL6d63tdih=4?:0yK7g787>51zJ0f4=zfo?>6=4>{I1a5>{in<<1<7?tH2`2?xha=>0;6290:wE=m1:mb0b=83;pD>l>;|le1`<728qC?o?4}od6b?6=9rB8n<5rng43>5<6sA9i=6saf7394?7|@:h:7p`i6383>4}O;k;0qch93;295~N4j81vbk8;:182M5e92wej;;50;3xL6d63tdm:;4?:0yK7g751zJ0f4=zfo<36=4>{I1a5>{in?31<7?tH2`2?xha>h0;6l>;|le2`<728qC?o?4}od5b?6=9rB8n<5rng53>5<6sA9i=6saf6394?7|@:h:7p`i7383>4}O;k;0qch83;295~N4j81vbk9;:181M5e92wej:;50;3xL6d63tdm;;4?:0yK7g751zJ0f4=zfo=36=4>{I1a5>{in>31<7?tH2`2?xha?h0;6l>;|le3`<728qC?o?4}od4b?6=9rB8n<5rng:3>5<6sA9i=6saf9394?4|@:h:7p`i8383>4}O;k;0qch73;295~N4j81vbk6;:182M5e92wej5;50;3xL6d63tdm4;4?:0yK7g751zJ0f4=zfo236=4>{I1a5>{in131<7?tH2`2?xha0h0;6d290:wE=m1:mb=b=83;pD>l>;|le<`<728qC?o?4}od;b?6=9rB8n<5rng;3>5<6sA9i=6saf8394?7|@:h:7p`i9383>4}O;k;0qch63;295~N4j81vbk7;:182M5e92wej4;50;3xL6d63tdm5;4?:0yK7g751zJ0f4=zfo336=4>{I1a5>{in031<7?tH2`2?xha1h0;6j3:1=vFl>;|le=`<728qC?o?4}od:b?6=9rB8n<5rngc3>5<6sA9i=6saf`394?4|@:h:7p`ia383>4}O;k;0qchn3;295~N4j81vbko;:181M5e92wejl;50;3xL6d63tdmm;4?:0yK7g752zJ0f4=zfok36=4>{I1a5>{inh31<7?tH2`2?xhaih0;6?uG3c38yk`fj3:1=vFl>;|lee`<728qC?o?4}odbb?6=9rB8n<5rng`3>5<5sA9i=6safc394?7|@:h:7p`ib383>4}O;k;0qchm3;296~N4j81vbkl;:182M5e92wejo;50;3xL6d63tdmn;4?:3yK7g751zJ0f4=zfoh36=4>{I1a5>{ink31<7vFl>;|lef`<72;qC?o?4}odab?6=:rB8n<5rnga3>5<5sA9i=6safb394?4|@:h:7p`ic383>7}O;k;0qchl3;295~N4j81vbkm::182M5e92wejn850;3xL6d63tdmo:4?:0yK7g751zJ0f4=zfoi26=4>{I1a5>{injk1<7?tH2`2?xhakk0;6l>;|legc<728qC?o?4}odg4?6=9rB8n<5rngf2>5<6sA9i=6safe694?7|@:h:7p`id483>4}O;k;0qchk6;295~N4j81vbkj8:182M5e92weji650;3xL6d63tdmh44?:0yK7g751zJ0f4=zfonh6=4>{I1a5>{inmn1<7?tH2`2?xhall0;6l>;|lea1<728qC?o?4}odf1?6=9rB8n<5rngg5>5<5sA9i=6safd594?7|@:h:7p`ie983>4}O;k;0qchj9;296~N4j81vbkkn:182M5e92wejhl50;3xL6d63tdmin4?:3yK7g751zJ0f4=zfoon6=4>{I1a5>{inll1<7vFl>;|leb1<728qC?o?4}ode1?6=:rB8n<5rngd5>5<6sA9i=6safg594?7|@:h:7p`if983>7}O;k;0qchi9;295~N4j81vbkhn:181M5e92wejkl50;3xL6d63tdmjn4?:3yK7g751zJ0f4=zfoln6=4={I1a5>{inol1<71<71<7?tH2`2?xh688?1<7?tH2`2?xh688=1<7?tH2`2?x{zuIJHw<;?e;g34cd000wKLOu?}ABSxFG \ No newline at end of file +$5a64=792;:>6?=:NWWTPR=ZH6:=7>111922?OIX\^1M1<<:9<24>462@D[YY4N<3395;733;91EC^ZT;C\BVD;::0:2k5<:HLSQQ49B8439=2K7=;0:;@>23;3G;97?0M169B877=97?0M1<>>49B8749?2K7>>47>49B8759<2K7>3:4A=1=0>G;<7>0M1;14:C?2;2Pmtz345668m1J@H>Pmtz34565l2KGI=Qbuy23455c3HFNGKM9Ufyu>?015g?DJB8Vg~t=>?09f8EIC7Wds<=>?959BH@7d3HFN=RQ`r1235a=FDL;TSb|?01320>GIL;>0MCJ<4:CM@1286OAD668EKB?<2KX~kj4ARpe[FjlW@D]=:5NSsd\J5eGTzoUecy>?003:?DYA[K6:<374A^DPF976601JSK]M<00==>GXNZH7=>06;@]EWG:6<730MRH\B=36:<=FWOYI0<819:C\BVD;9>427LQISC>2<;?99B[CUE48427LQISC>14;?>89B[CUE4;85n6OPFR@?66<6601JSK]M<31=<>GXNZH7>364A^DPF95902KTJ^L34?:8EZ@TJ5?546OPFR@?2;>GXNZHT==QFBTDg?DYA[KU:=RGMUGf8EZ@TJV;9SDLZFe9B[CUEW89TEO[Id:C\BVDX9=UBNXHk;@]EWGY6=VCIYKj4A^DPFZ71W@H^Ji5N_GQA[41XAK_Mh6OPFR@\5=YNJ\Lo7LQISC]2=ZOE]Oi0MRH\B^3\MGSAl2KTJ^LP21]JFP@c3HUM_OQ=1^KAQCbGXNZHT>RGMUGa8EZ@TJV9TEO[Ic:C\BVDXGh}}ENi6O`uuMF[hs89:;j6O`uuMF[hs89:;=k5NotvLAZkrp9:;;f:ClqqIBWds<=>?5g9BkprHMVg~t=>?0768FDES=2HJOY?:;CC@P7>E58DOE:6M=5LGMf>E5=DOESBLZF29@EF[Vg~t=>?02a8GDUXe|r;<=>;c:ABWZkrp9:;<8m4C@Q\ip~789:=o6MNS^ov|5678>i0OL]Pmtz3456?:2IN?6MCK29@HW5>79GkprHMm1Ocxz@E^mq4567m2NdyyAJ_np34566m2NdyyAJ_np34565m2NdyyAJ_np34564m2NdyyAJ_np34563m2NdyyAJ_np34562m2NdyyAJ_np3456192O>7HHnlm48ACgkd8<0IKocl378AV:76<1N_1?15:GP87823LY7?3:4ER]3a>CTW9U;Sca{0123b>CTW9U;Sca{01235a=B[V:TSl`k0123a>CTW9UTmcj?0122a>CTW9UTmcj?0121a>CTW9UTmcj?0120a>CTW9UTmcj?0127a>CTW9UTmcj?0126a>CTW9UTmcj?01250>CTW8n0I^Q>_^cm`5678l1N_R?P_`lg45679l1N_R?P_`lg4567:=1N_RCTW:UTmcj?012f?@UX;VUjbi>?013f?@UX;VUjbi>?0102b>CTWDkohRQnde2345YJpfxT^h}zlu>2:4`?003:?@UXEhnoSRokd1234ZYffm:;<?0^]bja6788;o7H]PQ^3\kw6789o0I^Q^_0]lv56788l0I^Q^_0]lv56788:m7H]PQ^3\kw6789;:i6K\_P]2[jt789:9i6K\_P]2[jt789:8i6K\_P]2[jt789:?i6K\_P]2[jt789:>i6K\_P]2[jt789:=i6K\_P]2[jt789:Cu=2Oy0=0:;Dp?5;2O53@:97D?=;H01?L553@>97D;=;H41?L133@KH_85FABQ20>OE]O30ECG[SUCWA6=NF_80EB;4INC@Pa=NGHI_S`{w0123a>OHIJ^Taxv?0122b>OHIJ^Taxv?01224c=NGHI_S`{w012354`i6G@ABV\ip~789:=i6G@ABV\ip~789:OHJLXYi6G@BDPQ[kis89::j6G@BDPQ[kis89::=95FOCO7?LIE[?1BCO[IE59JKFU23@EH_<84INAP550;4INAP60=NGJY896G@CR66?LID[h5FOEMMAZiu89:;?h5FOEMMAZiu89:;8h5FOEMMAZiu89:;9h5FOEMMAZiu89:;:h5FOEMMAZiu89:;;h5FOEMMAZiu89:;455FOEMMAlh03@EOCCK}4:KLH5eOHD9Ufyu>?013f?LIK8Vg~t=>?002f?LIK8Vg~t=>?003f?LIK8Vg~t=>?000f?LIK8Vg~t=>?001f?LIK8Vg~t=>?006f?LIK8Vg~t=>?007g?LIK8Vg~t=>?03f8MJJ7Wds<=>?3e9JKI6Xe|r;<=>;d:KLH5Yj}q:;<=;k;HMO4Zkrp9:;<;j4INN3[hs89:;;i5FOM2\ip~789:3h6G@L1]nq}67893?7DAC1b9JKI7Xff~;<=?k;HMO5Zhh|9:;=<;4INNGW<=NGGZYiljv109JKKVUmhnrSRa}012357=NGGZYiljv_^mq45679880EB@_Rdcg}ZYhz9:;?01126>OHFYXnmiwP_np345639;1BCC^]e`fz[Ziu89:;9;5FOQU@W<=NGY]Yiljva:KLTRTbims:;6G@REPFUc=NG[NYI\Qnne2345773@EYH_K^_`lg456798:0EB\KRDS\ekb789:9==5FOSFQATYffm:;<==i;HMQ@WCVWds<=>?119JKWBUMXUfyu>?01325>OHZMXN]Rczx123446692CD^I\JQ^ov|56788;:=6G@REPFUZkrp9:;<<<>1:KLVATBYVg~t=>?00125>OHZMXN]Rczx123442682CD^I\JQ^ov|5678;;;7DA]DSGR[hs89:;?<>4INPGV@WXe|r;<=>;119JKWBUMXUfyu>?01724>OHZMXN]Rczx12343773@EYH_K^_lw{4567?8:0EB\KRDS\ip~789:3==5FOSFQATYj}q:;<=77;HMQ@WCVz?1BC_K^r69JKWCVz8=0EB\JQs04?LIUMXx896G@RV3g?LIU_8Ujbi>?01g8MJTP9Vkeh=>?00g8MJTP9Vkeh=>?03g8MJTP9Vkeh=>?02g8MJTP9Vkeh=>?05g8MJTP9Vkeh=>?04g8MJTP9Vkeh=>?07g8MJTP9Vkeh=>?0668MJU7k2CD_=Qnne2345bOH[9Ujbi>?011`?LIT8Vg~t=>?0e9JKV6Xe|r;<=>>e:KLW5Yj}q:;<=??d:KLW5Yj}q:;<=j4INQ3[hs89:;8i5FOR2\ip~789:>h6G@S1]nq}6789n0EB]?_lw{45670m1BC^>Pmtz3456>k2CD_RH\M^DE`4eOH[VLXARHId3/Jj46m4INQ\BVKXNOn8j6G@S^DPIZ@Al:'Bb<>4INQ\BVKXNOn8!D`>119JKVYA[DUMJi="Io024>OH[VLXARHId2/Jj6773@EXSK]B_GDg7(Oi<8:0EB]PFRO\BCb4%@d>==5FOR]EWHYANm9&Ec8l;HMP[CUJWOLo8n5FOR]EWHYANm?h7DA\_GQN[C@c>o1BC^QISL]EBa0*Ag;;7DA\_GQN[C@c>$Ce=<>4INQ\BVKXNOn=!D`=119JKVYA[DUMJi8"Io124>OH[VLXARHId7/Jj1773@EXSK]B_GDg2(Oi=j1BC^QISL]EBa1a3@EXSK]B_GDg3(Oi991BC^QISL]EBa1*Ag;:<6G@S^DPIZ@Al>'Bb???;HMP[CUJWOLo; Ga3028MJUXNZGTJKj8-Hl755=NGZUM_@QIFe5.Mk333@E^Pmtz34564l2CDY=Qbuy23452c3@E^OH]9Ufyu>?01:g?LIR8Vg~t=>?0868MJS6k2CDY>1038MJQUZL[Taxv?0122647>5028MJQUZL[Taxv?012155=NG^XYI\Qbuy23455682CD[_\JQ^ov|5678=;;7DAXRSGR[hs89:;9<>4INUQV@WXe|r;<=>9119JKRTUMXUfyu>?01524>OH_[XN]Rczx1234=773@E\^_K^_lw{4567111BCZ\]EPp7?LUSK<1B_YM>b:KP[CUJWOLo=h5FS^DPIZ@Al8'Bbk5FS^DPIZ@Al8'Bb Ga5c9JWZ@TEVE~x=m4IR]EWHYH}}::o6G\_GQN[Jss8;n0E^Qlmq]fu5678l1@IH^PHHGQEWEOQ>1GCJGLAMc8HUKXPFX9S<74LUknaw:76h1GXdcjr=33:d=K\`gn~1?>>89OPlkbz5;556B[ilgq878>3E^bah|33?;8HQojm{6?245CThofv93912F_e`k}<7<:?IRnelx7;374LUknaw:?601GXdcjr=;=<>JSadoyXN?<;MVji`tSKVif|Rk~012351=K\`gn~YMPclr\at6789;:86B[ilgqPFYdeyUn}=>?0337?IRnelx_ORmbp^gr4567;8>0@YgbesV@[fkwWl{;<=>;159OPlkbz]ITo`~Pep234536<2F_e`k}TB]`iuYby9:;<;64LUknawY7991GXdcjr^2\ip~789::=6B[ilgq[5Yj}q:;<=?>1:NWmhcuW9Ufyu>?01025>JSadoyS=Qbuy23455692F_e`k}_1]nq}6789>:=6B[ilgq[5Yj}q:;<=;>1:NWmhcuW9Ufyu>?01425>JSadoyS=Qbuy23451?3E^bah|P189OPlkbzV;;=?5CThofvZ77WVkeh=>?0018HQojm{U:?0008HQojm{U:1008HQojm{U:=RQnne2345743E^bah|P10]\ekb789::=>5CThofvZ76WVkeh=>?0330?IRnelxT=Rmbp^gr45679=1GXdcjr^3\ghvXmx:;<=?>5:NWmhcuW8Uha}Qjq1234466=2F_e`k}_0]`iuYby9:;<5:NWmhcuW8Uha}Qjq1234446=2F_e`k}_0]`iuYby9:;<<=>5:NWmhcuW8Uha}Qjq1234426=2F_e`k}_0]`iuYby9:;<<;>4:NWmhcuW8Uha}Qjq12347733E^bah|P1^antZcv89:;?<:4LUknawY6Wjg{Sh?012751=K\`gn~R?Pclr\at6789?:86B[ilgq[4YdeyUn}=>?0737?IRnelxT=Rmbp^gr4567?8>0@Ygbes]2[fkwWl{;<=>7159OPlkbzV;To`~Pep2345?682F_e`k}_0]nq}6789;:7AZfmdp\5Zkrp9:;<;MVji`tX9Vg~t=>?0332?IRnelxT=Rczx12346763E^bah|P1^ov|5678=;:7AZfmdp\5Zkrp9:;<8?>;MVji`tX9Vg~t=>?0732?IRnelxT=Rczx12342763E^bah|P1^ov|56781;;7AZfmdp\5Zhh|9:;=>1038HQojm{U:Sca{0122647_omw4566;8;0@Ygbes]2[kis89::8>5038HQojm{U:Sca{01222==K\`gn~R<>1:NWmhcuW;UTmcj?01226>JSadoyS?QPaof345669;1GXdcjr^0\[dhc89:;>55CThofvZ5692F_e`k}_2]\ekb789::>6B[ilgq[6YXign;<=>>139OPlkbzV9TSl`k01236==K\`gn~R:>1:NWmhcuW=UTmcj?01226>JSadoyS9QPaof345669;1GXdcjr^6\[dhc89:;>55CThofvZ3692F_e`k}_4]\ekb789::>6B[ilgq[0YXign;<=>>139OPlkbzV?TSl`k01236==K\`gn~R8>1:NWmhcuW?UTmcj?01226>JSadoyS;QPaof345669;1GXdcjr^4\[dhc89:;>55CThofvZ1692F_e`k}_6]\ekb789::>6B[ilgq[2YXign;<=>>139OPlkbzV=TSl`k01236==K\`gn~R6>1:NWmhcuW1UTmcj?01226>JSadoyS5QPaof345669;1GXdcjr^:\[dhc89:;>55CThofvZ?692F_e`k}_8]\ekb789::>6B[ilgq[>139OPlkbzV3TSl`k012360=Kghn:i6B`ae3\[dhc89:;=n5Baef\[dbc89:;S@v`r^Pfwpjs484:h6Cnde]\eab789;TAua}_Sgpqir;994:h6Cnde]\eab789;TAua}_Sgpqir;984:o6Cnde]\eab789;TAua}_Sgpqir;:7;h7@okd^]b`a6788UFtb|PRdqvhq:468i0Aljk_^cg`5679VGscQ]erwop9299j1FmijP_`fg4566WDrd~R\jstnw8086k2GjhiQPaef3457XEqeyS_k|umv?2;7d3DkohRQnde2344YJpfxT^h}zlu>4:4eKflmUTmij?013\[dhc89:;=:5Baef\[dbc89::SRoad12344713DkohRQnde2344YXign;<=?>7:Ob`aYXimn;<=?P_`lg456698<0Aljk_^cg`5679VUjbi>?0334?HgclVUjhi>?00]\ekb7898:=;5Baef\[dbc89::SRoad123741?>6:Ob`aYXimn;<=?P_`lg45639>1FmijP_`fg4566WVkeh=>?4035?HgclVUjhi>?00]\ekb789?:;6Cnde]\eab789;TSl`k0126540?7058IdbcWVkoh=>?1^]bja678>;::6Cnde]\eab789;TSl`k012;52=JimnTSljk0122[Zgil9:;46139M46=I88=0BHZXOSI2?J25@R568Kkebk2EeohQPos2345bVd3Y$9<<=>001\H1=WI[^j7]GA_CWPMA^e3YCESO[\N@OF7>VUM81Z46_`SSgb`|`;PmPV`gcqVey<=>?1032?TiTZlkouRa}012357763XeX^hoky^mq45679:;;7\a\Rdcg}Ziu89:;><>4QnQQadb~Wfx;<=><119RkVTbimsTc>?01624>Wh[[ojhtQ`r12340773XeX^hoky^mq4567>8:0]b]]e`fz[jt789:<==5^oRPfeaXg{:;<=6>0:SlWWcflpUd~=>?0878VD:76?1YM1??>99QE976294=7_O310<6?WG;97?0^L2=>49QE959>2XJ0>0>6:PB8685>2XJ0>0<6:PB8683=2XJ090:;SC?1;3TF414>7_O39?18VDK23[KFO^94R@O@W5^?3[KFO^>W199QEHET8Q837_OBCR2[73=UIDIX=85]ALGMa>TFELDTSl`k0123b>TFELDTSl`k01235a=UIDOESRa}0123a>TFELDTSb|?0122a>TFELDTSb|?0121a>TFELDTSb|?0120a>TFELDTSb|?0127a>TFELDTSb|?0126a>TFELDTSb|?0125g>TFELDTc>?01f8VDKBFVey<=>?1e9QEHCIWfx;<=>=8:PBIWcflpl0^LC]e`fz[jt789::<6\NMSgb`|Yhz9:;<?2028VDKUmhnrSb|?012055=UIDXnmiwPos23452682XJA_kndx]lv5678<20^LC\t`pw=>TFEZ~j~y?:;SCPAIb3[KT?d:PB[5Yj}q:;<=?k;SC\4Zkrp9:;Pmtz3456b3[KT==Qbuy23457b3[KT==Qbuy23454b3[KT==Qbuy23455b3[KT==Qbuy23452f3[KT=_lw{4567;m1YMR?Pmtz3456312XJS?Q@BTD`?WGX:Vg~t=>?0e9QEZ4Xe|r;<=>>d:PB[7Yj}q:;<=j4R@]1[hs89:;845]A^1\KGSA12XJS9Q@BTD`?WGX?0e9QEZ2Xe|r;<=>>d:PB[1Yj}q:;<=j4R@]7[hs89:;845]A^7\KGSAk2XJS8Qbuy2345bS`{w01235a=UIV?Taxv?0121`>TFW?011g?WGX=Vg~t=>?05;8VDY1WFH^Jn5]A^4\ip~789:o7_OP6^ov|56788n0^LQ9_lw{4567:m1YMR8Pmtz34564l2XJS;Qbuy23452>3[KT;RAMUGa8VDY0Wds<=>?d:PB[2Yj}q:;<=?k;SC\3Zkrp9:;TFW1Ufyu>?010g?WGX0Vg~t=>?02f8VDY?Wds<=>?489QEZ?XGK_Mo6\N_8]nq}6789n0^LQ6_lw{45679m1YMR7Pmtz34565l2XJS4Qbuy23455c3[KT5Rczx123413;5]OLAP73=UGDIX8:5]OLAP0]>8:PLIFU3P;20^BCLS5Z0<>THEJY?T984RNO@W00$Ce9k5]S^DPIZ@Al?'Bb;h4RR]EWHYANm<&Ec9m;SQ\BVKXNOn*Agl0^^QISL]EBa>*Ag;m7_]PFRO\BCb?%@d9j6\\_GQN[C@c0$Ce?k5]S^DPIZ@Al1'Bb9;4Rdcg}3=Umhnr=;5]e`fz63=Umhnr?;5]e`fz03=Umhnr9;5]e`fz23=Umhnr;:5]egFlj`773[omHb`j_^cm`56788;0^hhKoog\[dhc89:;=k5]egFlj`YXg{:;<=??;Sge@jhbWVey<=>?1028V``CggoTSb|?012155=UmoNdbhQPos23455682XnjIaae^]lv5678=;;7_kiDnlf[Ziu89:;9l5]egDqkhTT8k1YikH}olPP44d1:PfbWcvWjg{Sh?01226>Tbn[ozSnc_ds345669;1Yik\jq^antZcv89:;><<4RddQatYdeyUn}=>?0231?WcaZl{To`~Pep234526:2Xnj_k~_bos[`w789:>i6\jfSgr[kis89::j6\jfSgr[kis89::=;5]egWqc==Umo_ykD`>1:PfbPt`Wjg{Sh?01226>Tbn\xlSnc_ds345669;1Yik[}g^antZcv89:;><<4RddVvbYdeyUn}=>?0231?Wca]{mTo`~Pep234526:2XnjX|h_bos[`w789:>:6\jstnw2>UH][IN96]X<0<6?VQ;:7=0_Z2<:0<6?VQ;;7>0_h`{c:QfjqYXg{:;<=j4Sdlw[Ziu89:;=i5\eov\[jt789:9h6]jnu]\kw67899o7^kat^]lv5678=n0_h`{_^mq4567=m1XiczP_np34561l2YnbyQPos23451c3ZoexRQ`r1234=g<[gnYM@M\WS`8WkbUIDIX[_?l;RlgVDKD[^X:6c9PjaTFEJY\^?l4SofQEHET_[9i7^`kR@O@WRT3j2Yeh_OBCRUQ1g=TfmXJAN]XR7`8WkbUIDIX[_9m;RlgVDKD[^X3n6]adSCNGVQU111Xbi\@MBQ:?VhcZFGH_o4SofQKHET9=k0_cj]OLAP50?<[gnYC@M\289PjaTHEJY856]adSMNGV2>3Zdo^BCLS4;8WkbUGDIX:45\nePLIFU012Yeh_ABCR::?VhcZFGH_4:4TSWFf>RTWOYFSKHk1d9WWZ@TEVLMh<#Fng9WWZ@TEVLMh<#Fn0d8PVYA[DUMJi?"Io0a?QUXNZGTJKj=e:VP[CUJWOLo> Gaf:VP[CUJWOLo> Ga1028PVYA[DUMJi<"Io33b>RTWOYFSKHk2,Km6c=S[VLXARHId3/Jj6`<\ZUM_@QIFe0.Mk2a3]YTJ^CPFGf1)Lh2n2^XSK]B_GDg6(Oi>o1__RH\M^DE`7+Nf>l0X^QISL]EBa4*Ag2m7Y]PFRO\BCb5%@d2n6Z\_GQN[Jss8j1__RH\M^Mvp57d3]YTJ^CPOtv361=SQYO37Ygbes>3:==Sadoy0<07;Uknaw:5611_e`k}<2<4?Qojm{U;==5[ilgq[5YXign;<=>>1:Vji`tX8VUjbi>?01325>RnelxT1:Vji`tX:VUjbi>?0104?Qojm{U8==5[ilgq[6YXign;<=>>1:Vji`tX;VUjbi>?01325>RnelxT?RQnne234545:2^bah|PM`fg[Zgcl9:;?5[ilgq[HgclVUjhi>?01]N|jtXZly~`y2=>308PlkbzVGjhiQPaef3456XEqeyS_k|umv?7;7d3]cfiQBaef\[dbc89:;SRoad12344b<\`gn~RCnde]\eab789:TSl`k012354e<\`gn~RCnde]\eab789:TSl`k01225a=SadoyS@okd^]b`a6789UTmcj?013254=SadoySRokd1234979981_e`k}_^cg`5678585=<5[ilgq[Zgcl9:;<1=1d:Vji`tXign;<=>j;UknawYffm:;<=?l;TQFVZPN[@HGI>5YCB;8RLCPW]S[I95YP@p`?SVFzVddx=>?1e9UTDtXff~;<=?>b:Tj`WGJKZ]Y=n5YiePBIFUPZ8:i7[gkR@O@WRT5j2\bh_OBCRUQ7g=QamXJAN]XR5`8RlbUIDIX[_;m;WkgVDKD[^X=n6XfdSCNGVQU?k1]ei\NMBQTV=d<^`nYM@M\WS;6?RU;87?0[^2>>49TW949=2]X0>0;;VQ\4a=P[V:TSl`k0123a>QTW9UTmcj?0122a>QTW9UTmcj?01210>QTW8n0[^Q>_^cm`5678l1\_R?P_`lg45679=1\_RQTW:UTmcj?012f?RUX;VUjbi>?0132b>QTWDkohRQnde2345YJpfxT^h}zlu>2:4`<_ZUFmijP_`fg4567WDrd~R\jstnw8786n2]XS@okd^]b`a6789UFtb|PRdqvhq:46830[^QBaef\[dbc89:;SRoad12344g<_ZUFmijP_`fg4567WVkeh=>?003:?RUXEhnoSRokd1234ZYffm:;<?0^]bja6788;n7Z]P_`fg4567484n7Z]P_`fg45674;4n7Z]P_`fg45674:4=7Zo}pRG2`>^ND@DS!UJM 1,2$VRRJ):%=-O\CHK7?]IU:?1S_YBFB69[WQY@FM=0T^ZPVBA5?]beW@n:<6Vkm^ObnjtQm{ybcc??;Yfn[Hoig{\n~~g`n79[k9699:1Syl4_`fg4567484h7Rokd12359776j1Tmij?013?548e3Vkoh=>?1=0=f>Yflm:;<<2<>c9\eab789;783l4_`fg45664<4i7Rokd1235909j2Ujhi>?00>4:g=Ximn;<=?38?`8[dbc89::040<;blw6>et;2lxn95foco7?lie{01eMIaztMG3a>hFLf@H>POCWE55=iIMe~xAK?_np3456692dJHb{{LD2\kw6789;:=6`NDnwwH@6Xg{:;<=<>1:lB@jssDL:Tc>?011:?kGCg|~GI>5g9mEVir|Vddx=>?17d8jDUh}}Uecy>?005e?kGTg|~Tbbz?013;b>hF[fSca{0122=2=iIfCH64n@mvpJC6k2dJcxz@E^MAQC3?1038jGCUZVLXNRQ`r1235442:lAAWTXNZHTSb|?0130=>hEM[XTEBLj;o@FVWYNGKUBNXH8;o@D[LIE?2dI^RG@Bb9mFWYNGKUDNXHi;o@Q[LIEWds<=>?119mFWYNGKUfyu>?01324>hEZVCDNRczx12347773gHYSDAM_lw{4567;8:0bO\PIN@\ip~789:?==5aBS]JKGYj}q:;<=;>0:lAVZOHJVg~t=>?0733?kDUW@EIS`{w012331=iKHY27cMNS^MAQCehDIZUecy>?0035?kBSIJAj7cJ[ABI\BVDa3gN_MNEPFR@\KGSAi2dOXLMD_HMAb>hC\HI@SDAM_H@VB`=iL]KHGRoad1234c=iL]KHGRoad12344`k5aDhlLAZgil9:;<>h4nEkmK@Yffm:;<=:i;oFjjJCXign;<=>:7:lGkprHM11eHb{{OD3`?kBh}}ENSBLZFg9m@jssGLUjbi>?0033?kBh}}ENSl`k01225467cG_L3c8jLVK:VCIYK84nHRO6w2hKLZUjbi>?035g?kJC[Vkeh=>?29f8jIBTWhdo<=>=9b9mHAUXff~;<=?k;oNGWZhh|9:;=<:4nMUF1>hK_L;h7cBXE^cm`5678m1e@ZKPaof34566l2dG[HQnne23454c3gF\IRoad12346b0bBK>4:lLA7>?01324>hH~lxgmtQPos2345763gE}ibny^]lv56788;:7cAyesnb}ZYhz9:;;oMuawjfqVUd~=>?0232?kIqm{fjuRQ`r12341763gE}ibny^]lv5678<=0b_OBLVG`?kTFEE]NSBLZF69mVDKR_Li0b_OBUVG\KGSA<2dYM^;4nSCP50=iZHY996`]AR16?kTF[=?0b_O\549mVDU112dYM^Q@BTD7?kTB[k1e^H]PIN@VB@ehUMZUecy>?0034?kTB[f:6`]OLAP3>hUGDIX=o5aRNO@WZIE]O<0b_ABWD58jWIJ_L;i7c\@MVG\KGSA<2d^H^64nTFP[CUEl2d^H^QISC]JFP@?3g_O_RG@Bd9mQAUXAFHTCO[IEc9mQAUXAFHdyym4nTFP[dhc89::h6`ZDR]bja6788;o7c[KS^cm`5679;n0bXJ\_`lg4566;m1eYI]Paof34573l2d^H^Qnne23443c3g_O_Road12353bhRLZUjbi>?00;`?kSC[Vddx=>?1e9mQAUXff~;<=?>4:lVS@3hQEHUTmcj?012f?kPJIVUjbi>?013`?kPJIVUd~=>?0e9mRHGXWfx;<=>>d:lUIDYXg{:;<=j4nWOB[Ziu89:;8i5aVLC\[jt789:>h6`YM@]\kw6789n0b[CN_^mq45670j1eZ@OPaof3456c3g\FMRoad12344bhQEHUjbi>?016g?kPJIVkeh=>?0468jSVF02d]\LQISCf8jSVFWOYISBLZF99mRUGXAFHo7cX_A^KLFZOE]Oi0b[^N_`lg4567l2d]\LQnne23457d3g\[MR``t1235a=i^YKTbbz?01327>hPM>1e[HQISCa8jRCXNZHTEO[I3:pbi==k0?;a2:nm6?8::017f46f21;>?v`jm:2f5?xU?m39oj7<95;300g77i32:9;5k3e794?7=9rY3j7=kf;051?74529uP623290:6<4<58yP35`24dol:0a`?!41>3>h86l1d===0jovF=;m?0V:853z091?0=u-;hn7=84:)73`<722c5;n61f?6=3`9mm7>5;n6a3m7>5;n6;2?6=3f=i6=44o555>5<5<5<>o3:m0;66a>i38m0;66g;4883>>i3?=0;66g;b`83>>i30j0;66a;6483>>o0l3:17d97:188k6`72900c>h9:188m12c2900e9?m:188k1>42900c9=::188k12a2900c9o<:188k15>2900c9:=:188m1e62900c9?>:188k71c290/>;6526a8j7002910c?9m:18'63>=:>i0b?88:098k7>f290/>;6526a8j7002;10c?66:18'63>=:>i0b?88:298k7>?290/>;6526a8j7002=10c?68:18'63>=:>i0b?88:498k7>1290/>;6526a8j7002?10c?6::18'63>=:>i0b?88:698k7>7290/>;6526a8j7002110c?9i:18'63>=:>i0b?88:898k71b290/>;6526a8j7002h10c?9n:18'63>=:>i0b?88:c98m1d=83.9:54;a:l122<732c?57>5$34;>1g10?m6`=6681?>o3?3:1(?87:5c8j7002:10e9;50;&12=<3i2d9::4;;:k70?6=,;<369o4n344>0=h5>>0=76g;2;29 70?2=k0b?88:698m17=83.9:54;a:l1225$34;>1g10?m6`=668b?>o4m3:1(?87:5c8j7002k10e>j50;&12=<3i2d9::4l;:k0g?6=,;<369o4n344>a=h5>>0n76g:2;29 70?2=k0b?88:g98m07=83.9:54;a:l122<6821b9=4?:%05;:k7b?6=,;<369o4n344>44<3`>n6=4+27:90d=i:?=1=>54i5f94?"5>10?m6`=66820>=n76g;6;29 70?2=k0b?88:048?l5303:1(?87:264?k41?3:07d=;6;29 70?2:><7c<97;38?l53=3:1(?87:264?k41?3807o=k9;295?6=8r.8454j0:J0`==O;hk0cih50;9~f6bf290:6=4?{%1;82P<:7?t3;'5fd=;>>0e5l50;9l6c1=831d=9>50;9l6=b=831d?8=50;9j36<722c?;h4?::m021<722e:5;h34>5<#:?21=;5a27594>=n9<0;6)<98;35?k41?3;07d?;:18'63>=9?1e>;952:9j57<72-8=47?9;o053?5<3`;;6=4+27:953=i:?=1865ff;29 70?28<0b?88:498m`<72-8=47?9;o053?0<3`n1<7*=69822>h5>>0<76gl:18'63>=9?1e>;958:9jf?6=,;<36<84n344><=2d9::4m;:k;>5<#:?21=;5a2759g>=n?3:1(?87:048j7002m10e2d9::4j;:k2a?6=,;<36<84n344>c=:183!5?03o;7E=k8:J0ed=hlo0;66sm47;94?3=83:p(>67:ea8L6b?3A9jm6*jd;28m=g=831b4n4?::k43?6=3`386=44o34b>5<52;294~"4010ni6F>{e:0:1<7=50;2x 6>?2:;0D>j7;I1be>ob:3:17dk<:188k73f2900qo<61;297?6=8r.8454<1:J0`==O;hk0eh<50;9ja6<722e99l4?::a03d=8391<7>t$2:;>73c3A9o46F5;n06e?6=3th9j54?:383>5}#;121ih5G3e:8L6gf3`o:6=44o37b>5<52;294~"4010ni6F>{e:oh1<7;50;2x 6>?2:90D>j7;I1be>ob:3:17dk<:188m`2=831bi84?::m11d<722wiio4?:883>5}#;121?;5G3e:8L6gf3`o96=44id194?=nm=0;66gj5;29?lc12900eh950;9ja=<722cn57>5;n06e?6=3th8n=4?:483>5}#;121?>5G3e:8L6gf3`o96=44id194?=nm=0;66gj5;29?j42i3:17pl6<729q/?565309K7a><@:kj7dk=:188m`5=831d>8o50;9~f6d429086=4?{%1;4?::m11d<722wi?o:50;094?6|,:236hk4H2f;?M5fi2cn=7>5;n06e?6=3th8n84?:383>5}#;121ih5G3e:8L6gf3`o:6=44o37b>5<52;294~"4010ni6F6<729q/?565309K7a><@:kj7dk=:188m`5=831d>8o50;9~f6d>29086=4?{%1;5;n06e?6=3th8n<4?:583>5}#;121??5G3e:8L6gf3`o96=44id194?=nm=0;66a=5`83>>{e:o91<7<50;2x 6>?2lo0D>j7;I1be>ob93:17b<:a;29?xd5n=0;6?4?:1y'7=>=ml1C?i64H2cb?lc62900c?;n:188yg4a=3:1>7>50z&0<=8o50;9~f7`129096=4?{%1;t$2:;>73c3A9o46F5;n06e?6=3th9ji4?:283>5}#;121?<5G3e:8L6gf3`o96=44id194?=h:5<3290;w)=78;11?M5c02B8ml5fe383>>ob;3:17dk;:188k73f2900qo=95;291?6=8r.8454<3:J0`==O;hk0eh<50;9ja6<722cn87>5;hg6>5<N4l11C?lo4id094?=nm:0;66a=5`83>>{e;?n1<7<50;2x 6>?2lo0D>j7;I1be>ob93:17b<:a;29?xd4>l0;6?4?:1y'7=>=ml1C?i64H2cb?lc62900c?;n:188yg51n3:1>7>50z&0<=8o50;9~f61729096=4?{%1;t$2:;>`c<@:n37E=na:kf5?6=3f8>m7>5;|`037<72;0;6=u+39:9a`=O;m20D>on;hg2>5<N4l11C?lo4id394?=h:5<5290;w)=78;gf?M5c02B8ml5fe083>>i5=h0;66sm37594?5=83:p(>67:37g?M5c02B8ml5fe383>>ob;3:17b<:a;29?xd4>10;654?:1y'7=>=;<1C?i64H2cb?lc52900eh=50;9ja1<722cn97>5;hg5>5<>i5=h0;66sm37;94?5=83:p(>67:238L6b?3A9jm6gj2;29?lc42900c?;n:188yg51i3:1?7>50z&0<=<492B8h55G3`c8m`4=831bi>4?::m11d<722wi?;l50;694?6|,:236><4H2f;?M5fi2cn>7>5;hg0>5<1<75`24c94?=zj=7<729q/?565ed9K7a><@:kj7dk>:188k73f2900qo:9f;296?6=8r.8454je:J0`==O;hk0eh?50;9l60g=831vn99?:180>5<7s-9347=>;I1g<>N4ih1bi?4?::kf7?6=3f8>m7>5;|`734<72:0;6=u+39:960b<@:n37E=na:kf6?6=3`o86=44o37b>5<87>59;294~"40108:6F>ob<3:17dk::188m`0=831bi:4?::kf5<o7>53;294~"401099i5G3e:8L6gf3`o96=44id194?=h:5<2290;w)=78;10?M5c02B8ml5fe383>>ob;3:17dk;:188m`3=831d>8o50;9~f63b29096=4?{%1;t$2:;>`c<@:n37E=na:kf5?6=3f8>m7>5;|`025<72;0;6=u+39:9a`=O;m20D>on;hg2>5<N4l11C?lo4id394?=h:5<5290;w)=78;gf?M5c02B8ml5fe083>>i5=h0;66sm37194?4=83:p(>67:dg8L6b?3A9jm6gj1;29?j42i3:17pl<5483>7<729q/?565ed9K7a><@:kj7dk>:188k73f2900qo=:6;291?6=8r.8454<3:J0`==O;hk0eh<50;9ja6<722cn87>5;hg6>5<N4l11C?lo4id094?=nm:0;66a=5`83>>{e;<21<7=50;2x 6>?2;?o7E=k8:J0ed=nm;0;66gj3;29?j42i3:17pl<5883>1<729q/?565339K7a><@:kj7dk=:188m`5=831bi94?::m11d<722wi?8o50;194?6|,:236>?4H2f;?M5fi2cn>7>5;hg0>5<N4l11C?lo4id094?=nm:0;66a=5`83>>{e99h1<7=50;2x 6>?2;?o7E=k8:J0ed=nm;0;66gj3;29?j42i3:17pl>1183>7<729q/?565ed9K7a><@:kj7dk>:188k73f2900qo?>1;291?6=8r.8454<3:J0`==O;hk0eh<50;9ja6<722cn87>5;hg6>5<N4l11C?lo4id094?=nm:0;66a=5`83>>{e9891<7=50;2x 6>?2;?o7E=k8:J0ed=nm;0;66gj3;29?j42i3:17pl>1583>7<729q/?565ed9K7a><@:kj7dk>:188k73f2900qo?>5;297?6=8r.8454=5e9K7a><@:kj7dk=:188m`5=831d>8o50;9~f47129086=4?{%1;5;n06e?6=3th:=:4?:283>5}#;121?<5G3e:8L6gf3`o96=44id194?=h:5<4290;w)=78;12?M5c02B8ml5fe383>>ob;3:17b<:a;29?xd68m0;6>4?:1y'7=>=:j7;I1be>ob:3:17dk<:188k73f2900qo??e;297?6=8r.8454<1:J0`==O;hk0eh<50;9ja6<722e99l4?::a55`=8391<7>t$2:;>73c3A9o46F5;n06e?6=3ty<6=4;{_5896022l801>;k:d08963b2l;0q~650;6xZ==:;??1i>5234f9a6=:;1}Y1278:h4j1:?01a`3<5:?o6h;4=242>`7<58;86h=4}ra94?5|Vj16?;h5e09>702=m:1vi4?:7y]`>;bj3o970=m0;g0?851k3o970=:4;g7?87693o97p}j:185[c<5lh1i>523c29a7=:;?i1i>523469a0=:98;1i>5rsg83>3}Yn27nn7k;;<1a4?c3349<<7k>;<160?c034;:=7k;;|q24?6=>rT:<63jb;g6?85e83o>70=81;g2?852<3o=70?>1;g6?xu6:3:15vP>2:?1b=;<146?c6349>87k7;<33f?c434;:>7k=;|q20?6=1rT:863=f88f5>;bj3o<70=m2;g0?84a=3o:70=83;g2?852<3o270??b;g1?876:3o87p}>5;29=~X6=2794h4j1:?1bg7k>;<323?c53ty:;7>59z\23>;50o0n=63=f`8f5>;bj3o270=m5;g2?84a>3o:70=96;g2?851;3o:70??d;g1?xu6m3:1?vP>e:?0225rs6194?2|V>90198m:d18910c2l80198j:d38yv>e2909wS6m;<65=?103tynm7>52z\fe>;bj38>m6s|11c94?4|V8:j70??f;06e>{t99h1<773f34;:97k=;|q24f<72;q6==m524c8946b2l90q~??d;296~;68m099l5211d9a7=z{8:n6=4={<33a?42i27:1083>7}:98;1>8o4=02f>`47>52z?257<5=h16==m5e39~w4742909w0?>3;06e>;69?0n>6s|10694?4|58;?6?;n;<322?c43ty:=84?:3y>543=:3:1>v3>17811d=:99i1i>5rs034>5<5s4;:;7<:a:?24a50;0xZ427349i=7<:a:p601=838p1>j6:ed8910>21i0q~<7c;296~X50j16>4?524c8yv4?l3:1?vP=8e9>6<6=::d08yv4?m3:1>v3=8d811d=::0:1i?5rs3:e>5<5s483j7<:a:?1=5k<50;0xZ7`5348mi7<:a:p6c5=838p1?h<:37b?84ak3o87p}=f583>7}::o>1>8o4=3df>`452z?1b0<5=h16>kj5e39~w7`12909w0;5nm0n?6s|2g594?5|V;l<70;3>m0n?6s|2g:94?4|5;l36?;n;<0ef?c43ty9j44?:3y>6c?=:v3=f`811d=::oh1i85rs3d`>5<5s48mo7<:a:?1b`kj50;0x97`c2;?j707}:;<>1>8o4=27a>`497>52z?010<5=h16?8o5e29~w6312909w0=:6;06e>;4=10n?6s|34594?4|5:?<6?;n;<16=?c33ty8954?:3y>70>=:;6:d08yv5213:1>v3<58811d=:;5<5s49>m7<:a:?01g700=m;1v>;i:181852n38>m63<578f7>{t;?:1<773f349>:7k;;|q024<72;q6?;?524c896312l?0q~=92;296~;4>;099l523459a7=z{:<86=4={<157?42i2789:4j3:p732=838pR>8;;<15f?42i2wx?;;50;0x96022;?j70=9b;g0?xu4>?0;6?u2374960g<5:<36h64}r153?6=:r78::4=5`9>73?=m:1v>87:181851038>m63<6c8f0>{t;?31<773f349=m7k<;|q02d<72;q6?;o524c8960e2l80q~=9c;296~;4>j099l5237c9a7=z{:8j:37b?85103o97p}<6g83>7}:;?l1>8o4=24;>`552z?035<5=h16?;65e59~w6162909w0=81;06e>;4>10n96s|36094?4|5:=96?;n;<154?:3y>725=:87:d48yv5e83:1>v35rs2`1>5<5s49i>7<:a:?0f<7g>=m;1v>l9:18185e>38>m63{t;k=1<773f349i57k<;|q0f=<72;q6?o6524c896d62l>0q~=m9;296~;4j0099l523c39a7=z{=<26=4;{<65=?41i2795<4j3:?1b67}:=h7>52z?72a<5=h168:?5e39~w10b2909w0:9e;06e>;3?90n>6s|47d94?4|5=026=::d18yv20m3:1?vP;7d9>03d=m;168;h5e09~w1e7290?wS:l0:?0f3d2hhpD>on;%1;j3:17d=i9;29?j2?>3:17b:86;29?j25>3:17d9<:188m65d2900c98::188m12d2900e>==:188m1e72900e966:188m7>d2900c96<:188m7`52900c?7i:188k13b2900c?9k:18'63>=:>i0b?88:198k71e290/>;6526a8j7002810c?6n:18'63>=:>i0b?88:398k7>>290/>;6526a8j7002:10c?67:18'63>=:>i0b?88:598k7>0290/>;6526a8j7002<10c?69:18'63>=:>i0b?88:798k7>2290/>;6526a8j7002>10c?6?:18'63>=:>i0b?88:998k71a290/>;6526a8j7002010c?9j:18'63>=:>i0b?88:`98k71f290/>;6526a8j7002k10e<:50;&12=<6;2d9::4?;:k26?6=,;<36<=4n344>4=h5>>0976gi:18'63>=9:1e>;953:9ja?6=,;<36<=4n344>1=5<#:?21=>5a27593>=ni3:1(?87:018j7002110e44?:%0510:?6`=668b?>o0290/>;65129m631=j21b=k4?:%055<#:?21=>5a2759`>=n9m0;6)<98;30?k41?3o07d?l:18'63>=9:1e>;95f:9j5g<72-8=47?<;o053?7732c:m7>5$34;>455<#:?21=>5a275957=h5>>0:?65f1083>!4103;87c<97;37?>d4l00;6<4?:1y'7=>=m91C?i64H2cb?jba2900qo<90;291?6=8r.8454kc:J0`==O;hk0(hj50:k;e?6=3`2h6=44i6594?=n1:0;66a=6`83>>{e:081<7;50;2x 6>?2mi0D>j7;I1be>"bl3:0e5o50;9j5;h;0>5<N4l11C?lo4i9c94?=n0j0;66g87;29?l?42900c?8n:188yg4>n3:1?7>50z&0<=4?::m12d<722wi>l650;194?6|,:236io4H2f;?M5fi2.nh7>4i6594?=n1:0;66a=6`83>>{e090;6>4?:1y'7=>=lh1C?i64H2cb?!cc291b;:4?::k:7?6=3f8=m7>5;|`1ec<72:0;6=u+39:9`d=O;m20D>on;%gg>5=n?>0;66g63;29?j41i3:17pl=ab83>6<729q/?565d`9K7a><@:kj7)kk:19j32<722c2?7>5;n05e?6=3th?>;4?:283>5}#;121hl5G3e:8L6gf3`=<6=44i8194?=h:?k1<75rb3de>5<4290;w)=78;fb?M5c02B8ml5+ee83?l102900e4=50;9l63g=831vn>>?:180>5<7s-9347jn;I1g<>N4ih1/ii4?;h54>5<;o50;9~f66529086=4?{%1;5<4290;w)=78;fb?M5c02B8ml5+ee83?l102900e4=50;9l63g=831vn>>6:180>5<7s-9347jn;I1g<>N4ih1/ii4?;h54>5<;o50;9~f67229086=4?{%1;5<5290;w)=78;gf?M5c02B8ml5fe083>>i5=h0;66sm48a94?4=83:p(>67:dg8L6b?3A9jm6gj1;29?j42i3:17pl;5g83>7<729q/?565ed9K7a><@:kj7dk>:188k73f2900qo=>4;297?6=8r.8454<1:J0`==O;hk0eh<50;9ja6<722e99l4?::a07?=8381<7>t$2:;>`c<@:n37E=na:kf5?6=3f8>m7>5;|`127<72;0;6=u+39:9a`=O;m20D>on;hg2>5<on;hg1>5<>{e:ho1<7<50;2x 6>?2lo0D>j7;I1be>ob93:17b<:a;29?xd30>0;6>4?:1y'7=>=:j7;I1be>ob:3:17dk<:188k73f2900qo:96;296?6=8r.8454je:J0`==O;hk0eh?50;9l60g=831vn988:180>5<7s-9347<:d:J0`==O;hk0eh<50;9ja6<722e99l4?::a773=8391<7>t$2:;>73c3A9o46F5;n06e?6=3th9m:4?:583>5}#;121??5G3e:8L6gf3`o96=44id194?=nm=0;66a=5`83>>{e:hk1<7=50;2x 6>?2:;0D>j7;I1be>ob:3:17dk<:188k73f2900qo<@:kj7dk=:188m`5=831d>8o50;9~f7d629086=4?{%1;4?::m11d<722wi>o<50;194?6|,:236>?4H2f;?M5fi2cn>7>5;hg0>5<on;hg1>5<>{e<0l1<7<50;2x 6>?2lo0D>j7;I1be>ob93:17b<:a;29?xd3i90;6?4?:1y'7=>=ml1C?i64H2cb?lc62900c?;n:188yg2f93:197>50z&0<=<4;2B8h55G3`c8m`4=831bi>4?::kf0?6=3`o>6=44o37b>5<==7>52;294~"4010ni6F>{e?2lo0D>j7;I1be>ob93:17b<:a;29?xd3>=0;684?:1y'7=>=;:1C?i64H2cb?lc52900eh=50;9ja1<722cn97>5;n06e?6=3th9n;4?:283>5}#;121?<5G3e:8L6gf3`o96=44id194?=h:5<5290;w)=78;gf?M5c02B8ml5fe083>>i5=h0;66sm2c:94?5=83:p(>67:238L6b?3A9jm6gj2;29?lc42900c?;n:188yg4e13:1?7>50z&0<=<5=m1C?i64H2cb?lc52900eh=50;9l60g=831vn?m<:180>5<7s-9347=>;I1g<>N4ih1bi?4?::kf7?6=3f8>m7>5;|`1g1<72;0;6=u+39:9a`=O;m20D>on;hg2>5<N4l11C?lo4id094?=nm:0;66a=5`83>>{e:j<1<7=50;2x 6>?2;?o7E=k8:J0ed=nm;0;66gj3;29?j42i3:17pl=d183>6<729q/?565309K7a><@:kj7dk=:188m`5=831d>8o50;9~f7b629096=4?{%1;t$2:;>67<@:n37E=na:kf6?6=3`o86=44o37b>5<53;294~"401099i5G3e:8L6gf3`o96=44id194?=h:5<4290;w)=78;12?M5c02B8ml5fe383>>ob;3:17b<:a;29?xd5l>0;6?4?:1y'7=>=ml1C?i64H2cb?lc62900c?;n:188yg4c03:1?7>50z&0<=<492B8h55G3`c8m`4=831bi>4?::m11d<722wi>i750;194?6|,:236?;k;I1g<>N4ih1bi?4?::kf7?6=3f8>m7>5;|`1`f<72:0;6=u+39:974=O;m20D>on;hg1>5<>{e:mo1<7=50;2x 6>?2:;0D>j7;I1be>ob:3:17dk<:188k73f2900qo<@:kj7dk=:188m`5=831d>8o50;9~f7c529086=4?{%1;5;n06e?6=3th9i>4?:383>5}#;121ih5G3e:8L6gf3`o:6=44o37b>5<53;294~"40108=6F>i5=h0;66sm2d794?5=83:p(>67:37g?M5c02B8ml5fe383>>ob;3:17b<:a;29?xd5m10;6>4?:1y'7=>=;81C?i64H2cb?lc52900eh=50;9l60g=831vn?k6:181>5<7s-9347kj;I1g<>N4ih1bi<4?::m11d<722wi>ho50;194?6|,:236>?4H2f;?M5fi2cn>7>5;hg0>5<on;hg1>5<7<729q/?565ed9K7a><@:kj7dk>:188k73f2900qot$2:;>73c3A9o46F5;n06e?6=3th9o44?:283>5}#;121?<5G3e:8L6gf3`o96=44id194?=h:5<5290;w)=78;gf?M5c02B8ml5fe083>>i5=h0;66sm2b`94?5=83:p(>67:238L6b?3A9jm6gj2;29?lc42900c?;n:188yg4dk3:1?7>50z&0<=<5=m1C?i64H2cb?lc52900eh=50;9l60g=831vn?ll:180>5<7s-9347=>;I1g<>N4ih1bi?4?::kf7?6=3f8>m7>5;|`1fa<72;0;6=u+39:9a`=O;m20D>on;hg2>5<N4l11C?lo4id094?=nm:0;66a=5`83>>{e:kl1<7=50;2x 6>?2;?o7E=k8:J0ed=nm;0;66gj3;29?j42i3:17pl=8083>6<729q/?565309K7a><@:kj7dk=:188m`5=831d>8o50;9~f7>529096=4?{%1;t$2:;>67<@:n37E=na:kf6?6=3`o86=44o37b>5<53;294~"401099i5G3e:8L6gf3`o96=44id194?=h:5<5290;w)=78;gf?M5c02B8ml5fe083>>i5=h0;66sm30:94?4=83:p(>67:dg8L6b?3A9jm6gj1;29?j42i3:17pl<1883>1<729q/?565339K7a><@:kj7dk=:188m`5=831bi94?::m11d<722wi?N4ih1bi?4?::kf7?6=3f8>m7>5;|`;6?6=;3:1on;hg1>5<?2;?o7E=k8:J0ed=nm;0;66gj3;29?j42i3:17pl74;297?6=8r.8454<1:J0`==O;hk0eh<50;9ja6<722e99l4?::a<0<72;0;6=u+39:9a`=O;m20D>on;hg2>5<5<4290;w)=78;12?M5c02B8ml5fe383>>ob;3:17b<:a;29?xd??3:1?7>50z&0<=<492B8h55G3`c8m`4=831bi>4?::m11d<722wi454?:283>5}#;121>8j4H2f;?M5fi2cn>7>5;hg0>5<5<4290;w)=78;06`>N4l11C?lo4id094?=nm:0;66a=5`83>>{e;9h1<7=50;2x 6>?2:;0D>j7;I1be>ob:3:17dk<:188k73f2900qo=?c;296?6=8r.8454je:J0`==O;hk0eh?50;9l60g=831vn>>k:180>5<7s-9347=>;I1g<>N4ih1bi?4?::kf7?6=3f8>m7>5;|`04`<72;0;6=u+39:9a`=O;m20D>on;hg2>5<N4l11C?lo4id094?=nm:0;66a=5`83>>{e;8:1<7=50;2x 6>?2:;0D>j7;I1be>ob:3:17dk<:188k73f2900qo=>1;297?6=8r.8454=5e9K7a><@:kj7dk=:188m`5=831d>8o50;9~f66329096=4?{%1;t$2:;>73c3A9o46F5;n06e?6=3th8<;4?:283>5}#;121?<5G3e:8L6gf3`o96=44id194?=h:5<4290;w)=78;06`>N4l11C?lo4id094?=nm:0;66a=5`83>>{e;;=1<7=50;2x 6>?2;?o7E=k8:J0ed=nm;0;66gj3;29?j42i3:17pl<2983>7<729q/?565ed9K7a><@:kj7dk>:188k73f2900qo==9;296?6=8r.8454je:J0`==O;hk0eh?50;9l60g=831vn>5<7s-9347=>;I1g<>N4ih1bi?4?::kf7?6=3f8>m7>5;|`06g<72:0;6=u+39:960b<@:n37E=na:kf6?6=3`o86=44o37b>5<<;7>52;294~"4010ni6F>{e<>31<7<50;2x 6>?2lo0D>j7;I1be>ob93:17b<:a;29?xd3?h0;6?4?:1y'7=>=ml1C?i64H2cb?lc62900c?;n:188yg20j3:187>50z&0<=<5=l1C?i64H2cb?lc52900eh=50;9ja1<722e99l4?::a02e=83>1<7>t$2:;>64<@:n37E=na:kf6?6=3`o86=44id694?=h:5<4290;w)=78;06`>N4l11C?lo4id094?=nm:0;66a=5`83>>{e;;o1<7<50;2x 6>?2lo0D>j7;I1be>ob93:17b<:a;29?xd4:o0;6?4?:1y'7=>=ml1C?i64H2cb?lc62900c?;n:188yg5483:187>50z&0<=<4:2B8h55G3`c8m`4=831bi>4?::kf0?6=3f8>m7>5;|`1=a<72:0;6=u+39:974=O;m20D>on;hg1>5<h6F>i5=h0;66sm2`394?5=83:p(>67:238L6b?3A9jm6gj2;29?lc42900c?;n:188yg4f:3:1>7>50z&0<=8o50;9~f7g429086=4?{%1;4?::m11d<722wi>l:50;194?6|,:236>?4H2f;?M5fi2cn>7>5;hg0>5<on;hg1>5<h6F>i5=h0;66sm28594?4=83:p(>67:dg8L6b?3A9jm6gj1;29?j42i3:17pl=9983>7<729q/?565ed9K7a><@:kj7dk>:188k73f2900qo<69;297?6=8r.8454=5e9K7a><@:kj7dk=:188m`5=831d>8o50;9~f7?f29086=4?{%1;5;n06e?6=3th95o4?:283>5}#;121>8j4H2f;?M5fi2cn>7>5;hg0>5<16>n;5e39~w=<72;qU463=d38f6>{t13:1>vP6;<0g1i?5rsb83>7}Yk279j=4j2:p`?6=:rTo70`46`g=m;1v<>50;0xZ46<5;286h<4}r32>5<5sW;:70vP>2:?1<45rs0:94?4|V8201?m<:d18yv7>2909wS?6;<0g4?c43ty:m7>52z\2e>;5l?0n?6s|1c83>7}Y9k16>im5e29~w4e=838pR`5vP>e:?1a`901?8?:81897052l;01?o8:d08964a2l;01?o>:d0897?02l;0q~6?:1818>72;v370;;0?8>>2;?j7p}72;296~;?:38>m6379;g1?xu?;3:1>v373;06e>;?>3o87p}74;296~;?<38>m6377;g1?xu?=3:1>v375;06e>;??3o87p}76;296~;?>38>m6378;g1?xu??3:1>v377;06e>;?03o87p}78;296~;?038>m6379;g0?xu?j3:1=636=?>16>4<5769>775=?>16>4h5769>6d>=?>164=487:?1ec<0?279jk487:?045<0?278<<487:?047<0?278<5487:?04<<0?278=?487:?050<0?279mh4j1:p601=83>p1>j6:ed8970721i01?7=:9a8964421i0q~<90;296~;5>909:l5228:9a4=z{;<:6=4={<054?>f348=?7<:a:p634=838p1?8=:37b?841;3o87p}=7`83>7}Y:>k01?l6:37b?xu5?k0;6?uQ26`897da2;?j7p}=7d83>7}Y:>o01?m9:37b?xu5?o0;6?uQ26d897ed2;?j7p}=8183>6}Y:1:0R?9k;<0;0?42i2wx>5?50;0x97>62;?j70<74;g1?xu50;0;6?u2290960g<5;286h=4}r0;7?6=:r794>4=5`9>6=2=m:1v?6::181[4?=279h>4=5`9~w7>12909wS<76:?1`<<5=h1v?68:181[4??279hk4=5`9~w7>?2909wS<78:?1a0<5=h1v?66:181[4?1279io4=5`9~w7>f2909wS<7a:?1b4<5=h1v?6l:180[4?k279m:4j3:?7324<50;7x97?52;7}::0815>52286960g52z?1=7;51h0n>6s|28594?4|5;3<6?;n;<0:=?c53ty9554?:3y>6<>=:13:1>v3=98811d=::0k1i>5rs3;b>5<5s482m7<:a:?1=g4m50;0x97?32l;01?7j:37b?xu51m0;6?u228f960g<5;3n6h=4}r0:b?6=;rT95k5228d963g<5;k:6h=4}r0b4?6=:r795k463:?1e0<5=h1v?o>:18184f938>m63=a28f7>{t:h81<773f348j87k<;|q1e6<72;q6>l=524c897g22l80q~7}::h215>522``960g52z?1ed<5=h16>ll5e29~w7gd2909w0;3?h0n=6s|2`f94?5|5;kh6:94=505>21<5;kn6?;n;|q1ec<72;q6>lh527c8911>2l;0q~4j2:p6g4=838p1?l=:37b?84e;3o87p}=b483>7}::k<1>8o4=3`:>`452z?1f2<5=h16>o65e29~w7d02909w0;5j00n?6s|2c`94?4|5;hh6?;n;<0ab?c53ty9nn4?:3y>6gb=:v3=bd811d=::kl1i>5rs3a1>5<5s48h?7<:a:?1g3n=50;0x97e32;?j706fe=m;1v?m6:18184di38>m63=cc8f7>{t:jk1<773f348ho7k<;|q1gc<72;q6>i>524c897b42l80q~4j3:p6a3=838p1?j9:37b?84c13o97p}=d783>7}::m=1>8o4=3f;>`552z?1`=<5=h16>i75e29~w7be2909w0;5lo0n>6s|2ea94?4|5;no6?;n;<0ga?c43ty9hi4?:3y>6ac=:v3=e3811d=::l?1i?5rs3g1>5<5s48n?7<:a:?1a1h=50;0x97c32;?j700;6?u22d:960g<5;oi6h<4}r0f6`g=m:1v?k6:18184bi38>m63=ec8f7>{t:ln1<773f348m=7k=;|q1a`<72;q6>hh524c897`72l90q~;30=0n=6s|2gd94?4|5;lm6?8n;<133?c53ty8<=4?:2y>6c`=1:16?=>527c896402l90q~=?1;290~;48902?63<00812d=::k;1i?523359a7=z{::96=4;{<136?41i278=l4j2:?1e6>=:81896602;?j7p}<0583>7}:;9>1>8o4=226>`552z?040<5=h16?=85e29~w6612909w0=?6;06e>;48>0n?6s|31:94?2|5::36?8n;<:7>`5<5::i6h=4=225>`454z?044<>;278<44=6`9>6g7=m:164?4j3:p75g=838p1>>6:81896762;?j7p}<0c83>7}:;9h1>8o4=22e>`452z?04f<5=h16?=h5e29~w66c2909w0=?d;06e>;4990n>6s|31g94?4|5::n6?;n;<124?c43ty875`=:?>:d08yv5683:1>v3<11811d=:;8;1i>5rs231>5<0s48jo77<;<137<9a:?1eg7k=;<0:a?c53482n7k=;|q056<72:q6?<<5929>742=:1i?522`c9a7=::k81i?5230;9a6=:0?0n>63<0e8f7>;51m0n>63=a58f6>;51?0n>6s|30494?4|5:;>64=4=23b>73f3ty8=:4?:3y>741=:?6:d08yv5603:1>v3<19811d=:;831i95rs23:>5<5s49:57<:a:?05d>::d08964b2l;0q~==4;296~;4::02?63<24811d=z{:8=6=4={<117?>f3499n7<:a:p771=838p1><8:37b?855j3o97p}<2983>7}:;;21>8o4=20b>`452z?06<<5=h16??o5e29~w64f2909w0==a;06e>;4:k0n?6s|33a94?0|5:;36h?4=919a6=:;9n1i?52322960g<5;3o6h=4=3c1>`752z?06a<5=h16?>>5e29~w64b2909w0==e;06e>;4;90n>6s|33d94?4|5:8m6?;n;<104?c33ty8??4?:5y]764<5:8>6h=4=20;>`7<5:8o6h<4}r10g?6=?rT8?n523379a7=:0=0n>63<0c8f6>;48=0n=63<288f5>;4:m0n?6s|3g;94?0|V:l270:77;g0?821?3o970:6e;g2?82193o:70:8b;g0?xu3:?0;6?uQ434891412;7}:<;<15>5243;960g?o7>52z\70f=:5<5sW>>i63;5g811d=z{=<;6=4={<66b?c634>=87<:a:p037=838p198>:37b?821<3o87p};6383>7}:8o4=547>`2=?7>52z?726<5=h168;:5e49~w1022909wS:95:?722<5=h1v989:181821>38>m63;668f7>{t<><1<7m6s|46594?4|5==<6?;n;<64f?c53ty?;54?:3y>02>=:v3;78811d=:<>i1i?5rs55b>5<5s4>32;?j7p};8783>7}Y<1<01968:37b?xu3000;6?uQ49;891g72l;0q~:6b;296~X31k1684m524c8yv2>l3:1>v3;9b8f5>;3i8099l5rs5;f>5<5s4>2i7<:a:?7e4"4010:<55+1b`9722>o6:;0;66a>4083>>o4n00;66g83;29?j73;3:17d:;c;29?l77i3:17d:l0;29?l2?13:17b?=4;29?j7f03:17d;3:17bt$2:;>`6<@:n37E=na:mgb?6=3th?=<4?:483>5}#;121hn5G3e:8L6gf3`2j6=44i9a94?=n?>0;66g63;29?j41i3:17pl<4883>0<729q/?565db9K7a><@:kj7)kk:19j5;h54>5<?2mi0D>j7;I1be>o?i3:17d6l:188m21=831b5>4?::m12d<722wi5<4?:283>5}#;121hl5G3e:8L6gf3`=<6=44i8194?=h:?k1<75rb315>5<4290;w)=78;fb?M5c02B8ml5f7683>>o>;3:17b<9a;29?xd6;00;684?:1y'7=>=lj1C?i64H2cb?l>f2900e5m50;9j32<722c2?7>5;n05e?6=3th9<7>53;294~"4010om6F=1<75f9283>>i5>h0;66sm18194?5=83:p(>67:ec8L6b?3A9jm6g87;29?l?42900c?8n:188yg75<3:1?7>50z&0<=4?::m12d<722wi=l650;194?6|,:236io4H2f;?M5fi2c<;7>5;h;0>5<N4l11C?lo4i6594?=n1:0;66a=6`83>>{e9;91<7=50;2x 6>?2mk0D>j7;I1be>o0?3:17d7<:188k70f2900qo??3;297?6=8r.8454ka:J0`==O;hk0(hj50:k43?6=3`386=44o34b>5<55;294~"4010oo6F>o0?3:17d7<:188k70f2900qo?<5;291?6=8r.8454kc:J0`==O;hk0e5o50;9j5;h;0>5<N4l11C?lo4i9c94?=n0j0;66g87;29?l?42900c?8n:188yg50=3:1?7>50z&0<=5;h;0>5<N4l11C?lo4$df94>o0?3:17d7<:188k70f2900qo?;2;296?6=8r.8454je:J0`==O;hk0eh?50;9l60g=831vn9=n:181>5<7s-9347kj;I1g<>N4ih1bi<4?::m11d<722wi=>950;094?6|,:236hk4H2f;?M5fi2cn=7>5;n06e?6=3th:m;4?:383>5}#;121ih5G3e:8L6gf3`o:6=44o37b>5<:87>52;294~"4010ni6F?2;?o7E=k8:J0ed=nm;0;66gj3;29?j42i3:17pl<8783>7<729q/?565ed9K7a><@:kj7dk>:188k73f2900qo=77;297?6=8r.8454<1:J0`==O;hk0eh<50;9ja6<722e99l4?::a06b=8391<7>t$2:;>67<@:n37E=na:kf6?6=3`o86=44o37b>5<8i7>52;294~"4010ni6F>{e<=:1<7=50;2x 6>?2:;0D>j7;I1be>ob:3:17dk<:188k73f2900qo:;1;297?6=8r.8454<1:J0`==O;hk0eh<50;9ja6<722e99l4?::a513=8391<7>t$2:;>67<@:n37E=na:kf6?6=3`o86=44o37b>5<52;294~"4010ni6F<6=4<:183!5?039:7E=k8:J0ed=nm;0;66gj3;29?j42i3:17pl<7c83>7<729q/?565ed9K7a><@:kj7dk>:188k73f2900qo=8d;297?6=8r.8454=5e9K7a><@:kj7dk=:188m`5=831d>8o50;9~f61b29086=4?{%1;5;n06e?6=3th8;k4?:383>5}#;121ih5G3e:8L6gf3`o:6=44o37b>5<52;294~"4010ni6F6<729q/?565309K7a><@:kj7dk=:188m`5=831d>8o50;9~f6>429086=4?{%1;4?::m11d<722wi?5:50;194?6|,:236>?4H2f;?M5fi2cn>7>5;hg0>5<on;hg1>5<6<729q/?56524f8L6b?3A9jm6gj2;29?lc42900c?;n:188yg26>3:1?7>50z&0<=<492B8h55G3`c8m`4=831bi>4?::m11d<722wi8<950;094?6|,:236hk4H2f;?M5fi2cn=7>5;n06e?6=3th?=54?:283>5}#;121>8j4H2f;?M5fi2cn>7>5;hg0>5<N4l11C?lo4id094?=nm:0;66a=5`83>>{e9:i1<7<50;2x 6>?2lo0D>j7;I1be>ob93:17b<:a;29?xd6;m0;6?4?:1y'7=>=ml1C?i64H2cb?lc62900c?;n:188yg74m3:1?7>50z&0<=<492B8h55G3`c8m`4=831bi>4?::m11d<722wi=>h50;194?6|,:236?;k;I1g<>N4ih1bi?4?::kf7?6=3f8>m7>5;|`20<<72:0;6=u+39:974=O;m20D>on;hg1>5<m6=4=:183!5?03on7E=k8:J0ed=nm80;66a=5`83>>{e9<:1<7<50;2x 6>?2lo0D>j7;I1be>ob93:17b<:a;29?xd6=80;6>4?:1y'7=>=;81C?i64H2cb?lc52900eh=50;9l60g=831vn<;=:180>5<7s-9347=>;I1g<>N4ih1bi?4?::kf7?6=3f8>m7>5;|`216<72;0;6=u+39:9a`=O;m20D>on;hg2>5<N4l11C?lo4id394?=h:5<5290;w)=78;gf?M5c02B8ml5fe083>>i5=h0;66sm14494?5=83:p(>67:37g?M5c02B8ml5fe383>>ob;3:17b<:a;29?xd64?:1y'7=>=;81C?i64H2cb?lc52900eh=50;9l60g=831vn<:m:180>5<7s-9347=>;I1g<>N4ih1bi?4?::kf7?6=3f8>m7>5;|`20f<72:0;6=u+39:960b<@:n37E=na:kf6?6=3`o86=44o37b>5<54;294~"40108>6F>ob<3:17b<:a;29?xd64?:1y'7=>=:j7;I1be>ob:3:17dk<:188k73f2900qo?na;297?6=8r.8454<1:J0`==O;hk0eh<50;9ja6<722e99l4?::a5g7=8381<7>t$2:;>`c<@:n37E=na:kf5?6=3f8>m7>5;|`2f7<72;0;6=u+39:9a`=O;m20D>on;hg2>5<N4l11C?lo4id394?=h:5<5290;w)=78;gf?M5c02B8ml5fe083>>i5=h0;66sm1c794?4=83:p(>67:dg8L6b?3A9jm6gj1;29?j42i3:17pl>b783>7<729q/?565ed9K7a><@:kj7dk>:188k73f2900qo?m7;296?6=8r.8454je:J0`==O;hk0eh?50;9l60g=831vn5<7s-9347<:d:J0`==O;hk0eh<50;9ja6<722e99l4?::a5dd=8391<7>t$2:;>67<@:n37E=na:kf6?6=3`o86=44o37b>5<54;294~"40108>6F>ob<3:17b<:a;29?xd6im0;6>4?:1y'7=>=;81C?i64H2cb?lc52900eh=50;9l60g=831vn5<7s-9347<:d:J0`==O;hk0eh<50;9ja6<722e99l4?::a5d`=83>1<7>t$2:;>64<@:n37E=na:kf6?6=3`o86=44id694?=h:5<4290;w)=78;06`>N4l11C?lo4id094?=nm:0;66a=5`83>>{e9?2:;0D>j7;I1be>ob:3:17dk<:188k73f2900qo?:f;297?6=8r.8454=5e9K7a><@:kj7dk=:188m`5=831d>8o50;9~f40729096=4?{%1;t$2:;>`c<@:n37E=na:kf5?6=3f8>m7>5;|`227<72:0;6=u+39:974=O;m20D>on;hg1>5<6583>1<729q/?565339K7a><@:kj7dk=:188m`5=831bi94?::m11d<722wi=4;50;194?6|,:236>?4H2f;?M5fi2cn>7>5;hg0>5<N4l11C?lo4id394?=h:5<5290;w)=78;gf?M5c02B8ml5fe083>>i5=h0;66sm18g94?5=83:p(>67:37g?M5c02B8ml5fe383>>ob;3:17b<:a;29?xd61o0;6?4?:1y'7=>=ml1C?i64H2cb?lc62900c?;n:188yg7f83:1>7>50z&0<=8o50;9~f4g629096=4?{%1;t$2:;>67<@:n37E=na:kf6?6=3`o86=44o37b>5<52;294~"4010ni6F?3:187>50z&0<=<4:2B8h55G3`c8m`4=831bi>4?::kf0?6=3f8>m7>5;|`2==<72:0;6=u+39:974=O;m20D>on;hg1>5<h6F>i5=h0;66sm18c94?5=83:p(>67:238L6b?3A9jm6gj2;29?lc42900c?;n:188yg7>j3:187>50z&0<=<5=l1C?i64H2cb?lc52900eh=50;9ja1<722e99l4?::a570=8391<7>t$2:;>67<@:n37E=na:kf6?6=3`o86=44o37b>5<52;294~"4010ni6F>{e9;l1<7=50;2x 6>?2;?o7E=k8:J0ed=nm;0;66gj3;29?j42i3:17pl>3183>7<729q/?565ed9K7a><@:kj7dk>:188k73f2900qo?<1;296?6=8r.8454je:J0`==O;hk0eh?50;9l60g=831vn<==:181>5<7s-9347kj;I1g<>N4ih1bi<4?::m11d<722wi=>=50;194?6|,:236>?4H2f;?M5fi2cn>7>5;hg0>5<N4l11C?lo4id394?=h:5<3290;w)=78;11?M5c02B8ml5fe383>>ob;3:17dk;:188k73f2900qo?=8;290?6=8r.8454<2:J0`==O;hk0eh<50;9ja6<722cn87>5;n06e?6=3th:>44?:283>5}#;121?<5G3e:8L6gf3`o96=44id194?=h:5<4290;w)=78;06`>N4l11C?lo4id094?=nm:0;66a=5`83>>{e9;h1<7=50;2x 6>?2:;0D>j7;I1be>ob:3:17dk<:188k73f2900qo?=c;290?6=8r.8454=5d9K7a><@:kj7dk=:188m`5=831bi94?::m11d<722wi?9l50;094?6|,:236hk4H2f;?M5fi2cn=7>5;n06e?6=3th88n4?:383>5}#;121ih5G3e:8L6gf3`o:6=44o37b>5<52;294~"4010ni6Fn6=4=:183!5?03on7E=k8:J0ed=nm80;66a=5`83>>{e;=l1<7=50;2x 6>?2:;0D>j7;I1be>ob:3:17dk<:188k73f2900qo=:0;297?6=8r.8454=5e9K7a><@:kj7dk=:188m`5=831d>8o50;9~f636290?6=4?{%1;5;hg7>5<on;hg1>5<?7k>;<3a0?c634;jn7k=;<354?c634;j=7k>;<3b6?c434;8>7k>;<307?c4349?h7k>;|q1b?6=:r79i76n;<13>73f3ty5az\47>;4<002?63>388:7>;39=0n=63>448f7>;4?l0n?63;168f5>;6;m0n=63>5d8f6>;445|V1h019?>:658962>2>=01?k5769>=4<0?279?;487:?27<<0?279<798;<3:7?1034;98798;<3b52z?:5?41i278<7k=;|q:6?6=:r72=77<;<1;3?42i2wxj=4?:3y]b5=:99915>5rs020>5<2s4;;?7<9a:?03g;;<622?c43ty:`4<5:=n6h<4=07f>`5<5:?:6h=4}r316?6=:rT:>?521319=6=z{8886=4={<317?41i27:?o4j2:p572=83>pR<<;;<310?41i27:>;4j2:?262:4=5`9>57g=m;1v<<7:181875038>m63>2`8f7>{t9;31<773f34;9o7k;;|q26d<72;q6=?o524c8944e2l80q~?=b;296~;6:k099l5213a9a7=z{88o6=4={<31`?42i27:>:4j2:p57c=838p1<2g83>7}:9;l1>8o4=00;>`552z?275<5=h16=?65e39~w4562909w0?<1;06e>;6:10n86s|12094?4|58996?;n;<31f?c43ty:?>4?:3y>565=:v3>35811d=:9;31i>5rs016>5<5s4;897<9a:?276850;0x945220901<=8:37b?xu6;00;6?uQ12;8945>2;3`83>7}:9:314l5212d960g52z?27g<5=h16=>k5e39~w45d2909w0?;6;l0n?6s|12f94?4|589o6?;n;<30b?c43ty:?h4?:3y>56c=:419>56e=m816=;<5e39>706=m;1v<:>:181[73927:8?4=5`9~w4242908wS?;3:?206<5>h16=975e39~w423290;6=;0n>63>ab8f7>;61j0n=63>9d8f7>;6:l0n=63>2g8f7>{t9=?1<773f34;?;7k=;|q203<72;q6=98524c894202l90q~?;8;296~;6<:02?63>4d811d=z{8>26=4={<37=?42i27:8o4j2:p51g=838p1<:n:37b?873k3o87p}>4c83>7}:9=h1>8o4=06f>`452z?20f<5=h16=9j5e29~w42c2909w0?;d;06e>;6m6?;n;<362?c53ty:9=4?:3y>506=:v3>50811d=:9=i1i?5rs071>5<5s4;>>7<:a:?20do6h<4}r361?6=:r7:984=5`9>51b=m=1v<;9:181872>38>m63>4c8f7>{t970f34;>=7k<;<3a7?c63ty:9i4?:3y>50c=:v3>5g811d=:9?81i>5rs07e>5<5s4;=<7<:a:?22650;0x94062;?j70?93;g0?xu6>80;6?u2170960g<584=5`9>532=m=1v<7<:187[7>;27:5>4=6`9>5<3=m;16=485e29~w4?32909w0?63;;0?87>j38>m6s|18794?4|583>6?;n;<3:f?c43ty:5;4?:3y>5<0=:?3:1>v3>96811d=:9031i>5rs0;;>5<5s4;247<:a:?2=g2;?j70?6a;g1?xu61h0;6?u218c960g<583i6h<4}r3:g?6=:r7:5n4=5`9>5<0=m;1v<7k:18187>l38>m63>978f0>{t90o1<773f34;2;7k<;|q2=c<72;q6=4h524c894?02l80q~?n0;296~;6i9099l521859a1=z{8k:6=4={<3b5?42i27:5l4j3:p5d4=838p103o97p}>a283>7}:9h91>8o4=0;;>`552z?2e1<5>h16=l<5e39~w4g22909w0?n4;;0?87f>38>m6s|1`:94?5|V8k370?n8;05e>;6ih0n>6s|1`;94?4|58k364=4=0`3>73f3ty:ml4?:3y>5dg=:v3>ac811d=:9ho1i?5rs0c`>5<5s4;jo7<:a:?2e`5g6=m:1v:18187e938>m63>b98f6>{t9k81<773f34;i47k<;|q2f6<72;q6=o=524c894ge2l90q~?m4;296~;6j=099l521`a9a7=z{8h>6=4={<3a1?42i27:mn4j4:p5g0=838p1b683>7}:9k=1>8o4=0ce>`252z?2f=<5=h16=lj5e29~w751290>w0;6=j03m63>65811d=z{;?<6=47{<1g=?ba34>:=76l;<17=?>d348n65m4=01:>=e<58k?65m4=016>=e<58?h65m4}r0e6?6=:rT9j?5242d9a4=z{:>26=49{<17=?41i27:8;4j1:?03c:6:9c896352;?j7p}<4c83>7}:;=h1>8o4=26e>`452z?00f<5=h16?9h5e29~w62c2909w0=;d;06e>;4=80n86s|35g94?4|5:>n6?;n;<166?c43ty88k4?:3y>71`=:;?:d18yv5283:1>v3<51811d=:;<;1i?5rs272>5<5s49>=7<:a:?017;4090n=63<768f6>;6=90n=63>558f5>;6j;0n=63>b78f5>;6=o0n?63>948f7>;6i90n=63>a28f5>;6:?0n?63>308f5>;6;=0n=63<4b8f5>{t;><1<7<5<5:=36?;n;|q032<72;q6?:9524c8961?2l90q~=89;2955}::902?63<78812d=:;1=1i?5236f9a6=:;1;1i?5236:9a7=:9=31i>521479a4=:9hk1i>521c59a4=:99m:37b?85?93o87p}<7e83>7}:;>n1>8o4=2:0>`452z?03`<5=h16?5<5e39~w61a2909w0=8f;06e>;40;0n?6s|39294?4|5:2;6?;n;<1;0?c43ty84<4?:3y>7=7=:9l:d08yv5?:3:1>v3<83811d=:;191i>5rs2:0>5<5s493?7<:a:?0<132;?j70=8c;g0?xu40<0;6?u2394960g<5:2<6h=4}r1e=?6=:rT8j45242g9a4=z{=;:6=4={_625>;39809:l5rs530>5<5s4>:=77<;<620?42i2wx8<;50;0x917621k019?7:37b?xu39?0;6?u2404960g<5=;36h<4}r623?6=:r7?=:4=5`9>04>=m:1v9=6:181[24127??l4=5`9~w15d2909w0:m6s|42f94?4|5=9o6?;n;<675?c53ty??h4?:3y>06c=:v3;3g811d=:<=:1i>5rs563>5<5s4>?<7<:a:?704;<304?c63ty?444?:2y]0=?<58k=6h?4=0;e>`7h<7>55z\7g5=:95242f9a6=:9<81i>521c79a4=zuk9nh7>5d;37>4c|@:kj7)=78;ff?!7dj39<86a;b683>>o6<90;66a;2`83>>o6<80;66g>i0;3:17dj7:188m70e2900e?6l:188m7`52900eho50;9j6<`=831b=>750;9a07g=8391<7>t$2:;>ag<@:n37E=na:k43?6=3`386=44o34b>5<;o50;9~f1d0290?6=4?{%1;5;h05=?6=3f8=m7>5;|`40?6=:3:1N4l11C?lo4id394?=h:67:37g?M5c02B8ml5fe383>>ob;3:17b<:a;29?xd4j3:1>7>50z&0<=8o50;9~f15329096=4?{%1;t$2:;>`c<@:n37E=na:kf5?6=3f8>m7>5;|`0N4l11C?lo4id394?=h:5<4290;w)=78;12?M5c02B8ml5fe383>>ob;3:17b<:a;29?xd3;80;6?4?:1y'7=>=ml1C?i64H2cb?lc62900c?;n:188yg24:3:1?7>50z&0<=<492B8h55G3`c8m`4=831bi>4?::m11d<722wi8oj50;694?6|,:236><4H2f;?M5fi2cn>7>5;hg0>5<1<75`24c94?=zj:;h6=4<:183!5?039:7E=k8:J0ed=nm;0;66gj3;29?j42i3:17pl<1e83>7<729q/?565ed9K7a><@:kj7dk>:188k73f2900qo=>e;297?6=8r.8454=5e9K7a><@:kj7dk=:188m`5=831d>8o50;9~f67a29086=4?{%1;5;n06e?6=3th8>=4?:283>5}#;121>8j4H2f;?M5fi2cn>7>5;hg0>5<N4l11C?lo4id094?=nm:0;66a=5`83>>{e;;81<7=50;2x 6>?2;?o7E=k8:J0ed=nm;0;66gj3;29?j42i3:17p}<7;296~;4?38=m6385;g1?xu413:1>v3<7;54?85?2;?j7p}31<5=h16;84j3:p`=<72=qUh55243c932=:{tmh0;6>uQe`9>067=m8168oj5e59~w45>2909wS?<9:?06750;0xZ427349:h7k>;|q204<72;qU=9?4=23f>`452z\12g=:;;;1i?5rs3:`>5<5sW83o63<1b8f6>{t:0l1<77}Y:o801>;3jm0n>63<23811d=z{:;h6=4={<12g?42i278=k4j2:p74b=838p1>?k:37b?856m3o87p}<1d83>7}:;8o1>8o4=23e>`552z?05c<5=h16??>5e29~w6472909w0==0;06e>;4:80n?6s|33394?4|5:8:6?;n;<116?c43ty8j44?:5y]7c?<5=h<6?86;<57>`7<5:h1i<5rs50b>5<5sW>9m63;2`812d=z{=8m6=4={<600?c634>8>7<:a:p066=838p19=?:37b?824:3o97p};3083>7}:<:;1>8o4=511>`58?7>52z?76d<>;27??94=5`9~w1d02909wS:m7:?7f2<5>h1v9ll:18182en3o:70:md;06e>{t<5<5=hm6?;n;|a7`c=83;=6o75c4yK7dg<,:236<>8;%3`f?50<2e:<94?::k7f2<722e8jk4?::k76d<722em<7>5;h;f>5<5<n1<75f15194?=n?10;66a;4b83>>o6;10;66g9b;29?j2?13:17d?n7;29?l7f03:17d:>0;29?j24=3:17b:;2;29?j472900c9o=:188f6b>290:6=4?{%1;5;h:`>5<=1<75f9283>>i5>h0;66smb983>6<729q/?565d`9K7a><@:kj7d98:188m<5=831d>;o50;9~fg2=8391<7>t$2:;>ag<@:n37E=na:k43?6=3`386=44o34b>5<0;66g63;29?j41i3:17pln6;297?6=8r.8454ka:J0`==O;hk0e:950;9j=6<722e9:l4?::a65<72:0;6=u+39:9`d=O;m20D>on;h54>5<?2mk0D>j7;I1be>o0?3:17d7<:188k70f2900qo?95;297?6=8r.8454ka:J0`==O;hk0e:950;9j=6<722e9:l4?::a5g?=8391<7>t$2:;>ag<@:n37E=na:k43?6=3`386=44o34b>5<;7>53;294~"4010om6F=1<75f9283>>i5>h0;66sm7g83>6<729q/?565d`9K7a><@:kj7d98:188m<5=831d>;o50;9~f1>>29086=4?{%1;5;n05e?6=3th?8n4?:283>5}#;121hl5G3e:8L6gf3`=<6=44i8194?=h:?k1<75rb2de>5<4290;w)=78;fb?M5c02B8ml5f7683>>o>;3:17b<9a;29?xd3;<0;6>4?:1y'7=>=lh1C?i64H2cb?l102900e4=50;9l63g=831vni=50;194?6|,:236io4H2f;?M5fi2c<;7>5;h;0>5<N4l11C?lo4i6594?=n1:0;66a=6`83>>{e99>1<7:50;2x 6>?2mh0D>j7;I1be>o?k3:17d98:188m<5=831d>;o50;9~f47?290?6=4?{%1;5;h;0>5<5<4290;w)=78;fb?M5c02B8ml5+ee83?l102900e4=50;9l63g=831vn<9::180>5<7s-9347jn;I1g<>N4ih1/ii4?;h54>5<;o50;9~f41e29086=4?{%1;5<4290;w)=78;fb?M5c02B8ml5+ee83?l102900e4=50;9l63g=831vn<6;:180>5<7s-9347jn;I1g<>N4ih1/ii4?;h54>5<6=4<:183!5?03nj7E=k8:J0ed=#mm0;7d98:188m<5=831d>;o50;9~f4>d29086=4?{%1;on;%1;5;h;6>5<86=44b2ff>5<4290;w)=78;1g3>N4l11C?lo4id094?=nm:0;66a=5`83>>{t1=0;6?uQ959>7ac=m:1v4;50;0xZ<3<5:nn6h<4}r177?6=:rT88>523eg960g53;397~N4ih1/?5651bf8m<2=831b584?::m006<722h8hh4?:283>5}#;121?i94H2f;?M5fi2cn>7>5;hg0>5<5<5sW3?70=ke;g0?xu>=3:1>vP65:?0``:<:188f6bb29086=4?{%1;4?::m11d<722wx594?:3y]=1=:;mo1i>5rs8794?4|V0?01>jj:d08yv53;3:1>vP<429>7ac=:7<729q/?565ed9K7a><@:kj7dk>:188k73f2900qo?:9;296?6=8r.8454je:J0`==O;hk0eh?50;9l60g=831vn<;n:181>5<7s-9347kj;I1g<>N4ih1bi<4?::m11d<722wi=8l50;194?6|,:236>?4H2f;?M5fi2cn>7>5;hg0>5<on;hg1>5<>{e99<1<7<50;2x 6>?2lo0D>j7;I1be>ob93:17b<:a;29?xd3=m0;6?4?:1y'7=>=ml1C?i64H2cb?lc62900c?;n:188yg2>i3:1>7>50z&0<=8o50;9~f1g029096=4?{%1;on;hg2>5<5<4290;w)=78;12?M5c02B8ml5fe383>>ob;3:17b<:a;29?xde>3:1>7>50z&0<=8o50;9~fg1=8391<7>t$2:;>67<@:n37E=na:kf6?6=3`o86=44o37b>5<>{ej:0;6>4?:1y'7=>=;81C?i64H2cb?lc52900eh=50;9l60g=831vnl650;094?6|,:236hk4H2f;?M5fi2cn=7>5;n06e?6=3thh<7>53;294~"40108=6F>i5=h0;66smc383>6<729q/?565309K7a><@:kj7dk=:188m`5=831d>8o50;9~f16629096=4?{%1;t$2:;>`c<@:n37E=na:kf5?6=3f8>m7>5;|`22=<72;0;6=u+39:9a`=O;m20D>on;hg2>5<N4l11C?lo4id094?=nm:0;66gj4;29?j42i3:17pl>8d83>6<729q/?56524f8L6b?3A9jm6gj2;29?lc42900c?;n:188yg7?n3:1>7>50z&0<=8o50;9~f4?729086=4?{%1;4?::m11d<722wi=4?50;194?6|,:236>?4H2f;?M5fi2cn>7>5;hg0>5<on;hg1>5<8983>7<729q/?565ed9K7a><@:kj7dk>:188k73f2900qo?79;296?6=8r.8454je:J0`==O;hk0eh?50;9l60g=831vn<6n:180>5<7s-9347=>;I1g<>N4ih1bi?4?::kf7?6=3f8>m7>5;|`2on;hg1>5<>{e9>o1<7<50;2x 6>?2lo0D>j7;I1be>ob93:17b<:a;29?xd6?o0;6>4?:1y'7=>=;81C?i64H2cb?lc52900eh=50;9l60g=831vn<6?:180>5<7s-9347<:d:J0`==O;hk0eh<50;9ja6<722e99l4?::a5=7=8391<7>t$2:;>67<@:n37E=na:kf6?6=3`o86=44o37b>5<7>53;294~"401099i5G3e:8L6gf3`o96=44id194?=h:5<4290;w)=78;06`>N4l11C?lo4id094?=nm:0;66a=5`83>>{e9>31<7<50;2x 6>?2lo0D>j7;I1be>ob93:17b<:a;29?xd6?h0;694?:1y'7=>=;;1C?i64H2cb?lc52900eh=50;9ja1<722e99l4?::a0g4=83?1<7>t$2:;>65<@:n37E=na:kf6?6=3`o86=44id694?=nm<0;66a=5`83>>{e?2lo0D>j7;I1be>ob93:17b<:a;29?xd3j=0;6?4?:1y'7=>=ml1C?i64H2cb?lc62900c?;n:188yg2e=3:1?7>50z&0<=<492B8h55G3`c8m`4=831bi>4?::m11d<722wi8o850;194?6|,:236>?4H2f;?M5fi2cn>7>5;hg0>5<5<2290;w)=78;06b>N4l11C?lo4id094?=nm:0;66gj4;29?lc22900c?;n:188yg?>29086=4?{%1;5;n06e?6=3th2m7>52;294~"4010ni6F?2:;0D>j7;I1be>ob:3:17dk<:188k73f2900qo7l:180>5<7s-9347=>;I1g<>N4ih1bi?4?::kf7?6=3f8>m7>5;|`:`?6=;3:1on;hg1>5<h6F>i5=h0;66sm44694?4=83:p(>67:dg8L6b?3A9jm6gj1;29?j42i3:17pl;5483>6<729q/?565309K7a><@:kj7dk=:188m`5=831d>8o50;9~f13129096=4?{%1;t$2:;>67<@:n37E=na:kf6?6=3`o86=44o37b>5<>47>53;294~"40108=6F>i5=h0;66sm44;94?5=83:p(>67:37g?M5c02B8ml5fe383>>ob;3:17b<:a;29?xd3=h0;694?:1y'7=>=:j7;I1be>ob:3:17dk<:188m`2=831d>8o50;9~f13e29086=4?{%1;5;n06e?6=3th?5=4?:283>5}#;121>8j4H2f;?M5fi2cn>7>5;hg0>5<N4l11C?lo4id394?=h:5<4290;w)=78;12?M5c02B8ml5fe383>>ob;3:17b<:a;29?xd31:0;6?4?:1y'7=>=ml1C?i64H2cb?lc62900c?;n:188yg2><3:1?7>50z&0<=<492B8h55G3`c8m`4=831bi>4?::m11d<722wi84;50;194?6|,:236>?4H2f;?M5fi2cn>7>5;hg0>5<on;hg1>5<i6F>ob<3:17b<:a;29?xd3110;6>4?:1y'7=>=;81C?i64H2cb?lc52900eh=50;9l60g=831vn9o6:186>5<7s-9347=<;I1g<>N4ih1bi?4?::kf7?6=3`o?6=44id794?=h:5<5290;w)=78;gf?M5c02B8ml5fe083>>i5=h0;66sm4``94?4=83:p(>67:dg8L6b?3A9jm6gj1;29?j42i3:17pl;ab83>7<729q/?565ed9K7a><@:kj7dk>:188k73f2900qo:nd;296?6=8r.8454je:J0`==O;hk0eh?50;9l60g=831vn9oj:180>5<7s-9347=>;I1g<>N4ih1bi?4?::kf7?6=3f8>m7>5;|`7ec<72:0;6=u+39:960b<@:n37E=na:kf6?6=3`o86=44o37b>5<i<7>54;294~"40108>6F>ob<3:17b<:a;29?xd69h0;6?4?:1y'7=>=ml1C?i64H2cb?lc62900c?;n:188yg76j3:1>7>50z&0<=8o50;9~f47d29096=4?{%1;t$2:;>`c<@:n37E=na:kf5?6=3f8>m7>5;|`25`<72;0;6=u+39:9a`=O;m20D>on;hg2>5<N4l11C?lo4id394?=h:5<1290;w)=78;17?M5c02B8ml5fe383>>ob;3:17dk;:188m`3=831bi;4?::m11d<722wi=??50;694?6|,:236?;j;I1g<>N4ih1bi?4?::kf7?6=3`o?6=44o37b>5<52;294~"4010ni6F>{e9?o1<7<50;2x 6>?2lo0D>j7;I1be>ob93:17b<:a;29?xd6>o0;6?4?:1y'7=>=ml1C?i64H2cb?lc62900c?;n:188yg7083:1>7>50z&0<=8o50;9~f41629096=4?{%1;t$2:;>`c<@:n37E=na:kf5?6=3f8>m7>5;|`236<72:0;6=u+39:974=O;m20D>on;hg1>5<7E=k8:J0ed=nm;0;66gj3;29?lc32900eh;50;9ja3<722cn;7>5;hg;>5<on;hg1>5<>i5=h0;66sm2583>0<729q/?56524d8L6b?3A9jm6gj2;29?lc42900eh:50;9ja0<722e99l4?::a63<72:0;6=u+39:974=O;m20D>on;hg1>5<?2lo0D>j7;I1be>ob93:17b<:a;29?xd503:1>7>50z&0<=8o50;9~f7?=8381<7>t$2:;>`c<@:n37E=na:kf5?6=3f8>m7>5;|`1e?6=:3:1N4l11C?lo4id394?=h:67:238L6b?3A9jm6gj2;29?lc42900c?;n:188yg4d290>6=4?{%1;5;hg7>5<?2;?o7E=k8:J0ed=nm;0;66gj3;29?j42i3:17pl=5;297?6=8r.8454=5e9K7a><@:kj7dk=:188m`5=831d>8o50;9~fc4=8391<7>t$2:;>73c3A9o46F5;n06e?6=3thmn7>52;294~"4010ni6F?2lo0D>j7;I1be>ob93:17b<:a;29?xdal3:1?7>50z&0<=<492B8h55G3`c8m`4=831bi>4?::m11d<722wijh4?:383>5}#;121ih5G3e:8L6gf3`o:6=44o37b>5<>{e99:1<7<50;2x 6>?2lo0D>j7;I1be>ob93:17b<:a;29?xd6880;6?4?:1y'7=>=ml1C?i64H2cb?lc62900c?;n:188yg77:3:1>7>50z&0<=8o50;9~fc5=8391<7>t$2:;>67<@:n37E=na:kf6?6=3`o86=44o37b>5<5;hg6>5<?2;?o7E=k8:J0ed=nm;0;66gj3;29?j42i3:17pli7;297?6=8r.8454=5e9K7a><@:kj7dk=:188m`5=831d>8o50;9~fc>=8391<7>t$2:;>67<@:n37E=na:kf6?6=3`o86=44o37b>5<h6F>i5=h0;66smf`83>1<729q/?56524g8L6b?3A9jm6gj2;29?lc42900eh:50;9l60g=831vn9><:180>5<7s-9347<:d:J0`==O;hk0eh<50;9ja6<722e99l4?::a053=8381<7>t$2:;>`c<@:n37E=na:kf5?6=3f8>m7>5;|`743<72;0;6=u+39:9a`=O;m20D>on;hg2>5<N4l11C?lo4id394?=h:5<5290;w)=78;gf?M5c02B8ml5fe083>>i5=h0;66sm41;94?4=83:p(>67:dg8L6b?3A9jm6gj1;29?j42i3:17pl;0`83>6<729q/?56524f8L6b?3A9jm6gj2;29?lc42900c?;n:188yg27j3:1?7>50z&0<=<492B8h55G3`c8m`4=831bi>4?::m11d<722wi8=m50;694?6|,:236><4H2f;?M5fi2cn>7>5;hg0>5<1<75`24c94?=zj=:?6=4<:183!5?039:7E=k8:J0ed=nm;0;66gj3;29?j42i3:17pl;4783>6<729q/?565309K7a><@:kj7dk=:188m`5=831d>8o50;9~f12029086=4?{%1;4?::m11d<722wi89650;194?6|,:236>?4H2f;?M5fi2cn>7>5;hg0>5<5<5290;w)=78;gf?M5c02B8ml5fe083>>i5=h0;66smc683>6<729q/?565309K7a><@:kj7dk=:188m`5=831d>8o50;9~ff>=8381<7>t$2:;>`c<@:n37E=na:kf5?6=3f8>m7>5;|``=?6=:3:1N4l11C?lo4id394?=h:67:dg8L6b?3A9jm6gj1;29?j42i3:17pllb;296?6=8r.8454je:J0`==O;hk0eh?50;9l60g=831vnnm50;194?6|,:236>?4H2f;?M5fi2cn>7>5;hg0>5<5<4290;w)=78;12?M5c02B8ml5fe383>>ob;3:17b<:a;29?xddm3:1?7>50z&0<=<5=m1C?i64H2cb?lc52900eh=50;9l60g=831vnn;50;694?6|,:236><4H2f;?M5fi2cn>7>5;hg0>5<1<75`24c94?=zjj<1<7=50;2x 6>?2;?o7E=k8:J0ed=nm;0;66gj3;29?j42i3:17plna;296?6=8r.8454je:J0`==O;hk0eh?50;9l60g=831vnll50;694?6|,:236?;j;I1g<>N4ih1bi?4?::kf7?6=3`o?6=44o37b>5<>{eim0;6>4?:1y'7=>=;81C?i64H2cb?lc52900eh=50;9l60g=831vnlk50;194?6|,:236>?4H2f;?M5fi2cn>7>5;hg0>5<5<4290;w)=78;06`>N4l11C?lo4id094?=nm:0;66a=5`83>>{t:90;6>uQ219>65<5>h16>n4j2:p66<72;q6>=463:?11?42i2wx>94?:3y>61<5=h16>84j2:p63<72;q6>;4=5`9>6g:4?:3y>62<5=h16>o4j3:p6=<72;q6>54=5`9>6f44?:3y>6<<5=h16>n4j4:p6d<72;q6>l4=5`9>6fo4?:3y>6g<5=h16>i4j2:p6f<72;q6>n4=5`9>6ai4?:3y>6a<5=h16>84j3:p2g<72>qU:o5217;9a6=:91l1i<521959a6=::?0n>63id;g0?82303o97p}88;2955}Y?116>=487:?e4?1034;=9798;<3a=?1034;>;798;<5e>21<50<1;:52167932=:9><1;:5216`932=:9191;:52196932=:91?1;:5219a932=:<9;1i<5rs6f94?2|V>n019o=:65892`=1:16m54j1:p3c<72kq6;k4=6`9>531=m816=5k5e29>5=?=m816=5>5e39>52?=m8165l4j1:?7134j1:?e2?c534>;?7k<;|q:2?6=;r72:7<9a:?:f?c534>?;7k=;|q:3?6=:r72:77<;<;g>73f3ty247>52z?:52z?:e?42i272o7k<;|q:f?6=:r72n7<:a:?:`?c53ty2o7>52z?:g?42i272h7k<;|q:a?6=1;:52d2843>;e03=<70l;:6589g6=?>16m54=5`9~wd?=838p1l85929>ec<5=h1vlo50;0x9dg=:527c89ge=1<16o=4j2:?7f6;`4<5<5k91>8o4}r`1>5<5s4h96?;n;<`0>`570f34ho64;4=b09a7=:v3m4;;0?8d02;?j7p}m6;296~;e>38>m63m7;g0?xuf=3:14v3m8;05e>;em33>70:m4;g2?82fk3o:70?=0;g0?8e02l901n75e09>egf=<>;27in7<:a:pfd<72;q6nl4=5`9>fgfa<><27h<7<:a:?`6?c43tyh=7>52z?aa??334i96?;n;|q`7?6=;<`5>`7<5k81i<52c7811d=z{j>1<75rsb794?4|5j?1>8o4=b49a6=z{j=1<78o4=bf9a7=z{j31<75rsbc94?4|5jk1>8o4=b79a7=z{jh1<78o4=b49a7=z{jn1<75rsbg94?4|5jo1>8o4=b79a6=z{jl1<7;o4=ba9a7=:im0n>6s|f183>0}Yn916j=4=6`9>0g4=m:168l75e59>b0b5<>;27mm7<:a:pb7<72;q6j?4=5`9>bd4?:3y>b6<5=h16j;4j3:pb1<72;q6j94=5`9>b2b0<5=h16j:4j3:pb3<72;q6j;4=5`9>b=b2<5=h16jl4j4:pb=<72;q6j54=5`9>b<b<<5=h16jl4j2:pbg<72;q6jo4=5`9>b6bf<5=h16j>4j3:pba<72;q6ji4=5`9>b1b`<5=h16j94j3:pbc<72;q6jk4=5`9>b050;0x94672;?j70h::d68yv7793:1>v3>00811d=:n<0n96s|11094?4|58:96?;n;`055z\241=:99>1>;o4=05g>`7<58=36h<4=g`9a4=z{8:>6=4={<330??434;;:7<:a:p54>=83?pR;|q25<<72;q6=<65929>577=:a;296~;69h099l521329a7=z{8;i6=4={<32f?42i27:>=4j4:p54e=838p17p}>1e83>7}:98n1>8o4=003>`052z?25`<5=h16=??5e39~w47a2909w0?>f;06e>;6:80n86s|13294?4|588;6?;n;<315?c43ty:?54?:3y]56><5=?i6h<4}r377?6=:rT:8>521459=6=z{8?<6=4;{<363?41i27:9l4j1:?710=832p1<;m:37b?87103o:70?7e;g1?87?03o:70<8:d389cc=m8168==5e39>010=m;1v<;6:181872138>m63>5c8f6>{t973f34;>n7k<;|q220<72:q6=;;527c894132l8019:9:d18yv71>3:1>v3>68811d=:9?h1i<5rs044>5<5s4;=;7<:a:?22<h0;6?u21779=6=:9?i1>8o4}r35f?6=:r7::o4=5`9>525=m:1v<8k:181871l38>m63>758f7>{t9?o1<773f34;<87k;;|q22c<72;q6=;h524c894132l?0q~?80;296~;6?9099l521669a2=z{8=:6=4={<345?42i27:;94j6:p524=838p1<9=:37b?870<3o37p}>7283>7}:9>91>8o4=04`>`452z?231<5=h16=;m5e59~w412290>w0?85;05e>;61;0n>63>6b8f7>;513o:70??2;g2?xu6??0;68u21679=6=:9><1>;o4=053>`7<5;21i<521139a4=z{8=<6=4={<342??434;=838p1<97:37b?870i3o97p}>7883>7}:9>31>8o4=05b>`25cz?23g<5>h168>65e39>5=7=m;16=:o5e29>==;`4<5=:26h?4}r34g?6=:r7:;o463:?2<7<5=h1v<9k:181870l38>m63>7g8f6>{t9>o1<773f34;72l90q~?70;296~;609099l521939a6=z{82:6=4={<3;5?42i27:4?4j3:p5=5=83kp1<6<:34b?82403o870?72;g1?8??2l>019;<:d0891?72l801<8i:d38972=m:16j44j2:?74=420901<6;:34b?8??2l9019;<:d1891?72l901<8j:d38972=m<16j?4j2:?743320901<6::34b?8??2l8019;8:d0891?32l801<8k:d38972=m=16j?4j3:?742220901<6m:37b?xu60>0;6?u2195960g<582i6h<4}r3;5=g=m;1v<66:18187?138>m63>8`8f7>{t91k1<773f34;3n7k<;|q20<4=m:16=:<5e09>525=m;16>;4j3:?1e?c634lo6h<4=gd9a4=:<9?1i<5rs0:g>5<5s4;3o77<;<3:6?42i2wx=5k50;0x94>b2;?j70?60;g1?xu60o0;6?u219d960g<583;6h=4}r3:4?6=:r7:5=4=5`9>5<7=m:1v<7>:18187>938>m63>938f7>{t9h=1<703o97p}>a983>7}Y9h201b8812d=:9<31i<52988f7>{t:<=1<7a`<5=k965m4}r1b`?6=;r78mi4=6`9>0g4=m;168l75e49~w6gb2909w0=nd;;0?85fn38>m6s|3gd94?2|V:lm70=if;05e>;68=03o63>198;g>{t<9:1<7;t=2de>21<5=226:94=56`>21<5=9>6:94=522>73f3ty?7c`=1:168=:524c8yv27;3:1>v3;02811d=:<9k1i?5rs526>5<5s4>;97<:a:?74d0;6?u2415960g<5=:i6h<4}r6305d=m:1v9>6:181827138>m63;058f7>{t<9k1<773f34>;o7k<;|q74g<72;q68=l524c8916d2l>0q~:?c;296~;38j099l524169a7=z{=;;6=4={_624>;68?0n=6s|43c94?4|V=8j70?>e;g2?xu3;<0;6?uQ427891522;7}:<:?15>5242:960g?>7>52z\707=:<=91>8o4}r671?6=:r7?8>4j1:?70=<5=h1v9:9:181823>38>m63;468f7>{t<==1<773f34>?47k<;|q70f<72:qU89m4=56`>70f34>>87k>;|q717<72;q688j5e09>00d=:7}:<<<1>8o4=57;>`5>;7>52z?712<5=h168875e29~w13?2909w0::8;06e>;3=h0n86s|44;94?4|5=?26?;n;<66e?c53ty?9l4?:3y>00g=:v3;4b8:7>;3=m099l5rs55f>5<5sW>1g8f5>{t<131<7=t^5::?82?138=m63;908f5>{t<1l1<7`7<5=336?;n;|q7=5<72;q684>524c891?02l90q~:61;296~;318099l524869a6=z{=396=4={<6:6?42i27?584j2:p0<5=838p197<:37b?82>=3o87p};9583>7}:<0>1>8o4=5;5>`5297>52z?7=0<5=h168495e59~w1?12909w0:66;06e>;31>0n>6s|48594?4|5=3<6?;n;<6:0=?=1:1684o524c8yv2f:3:1?vP;a39>0d4=:?k013:1>v3;a38:7>;3i>099l5rs5c;>5<5s4>j>76n;<6a4?42i2wx8l750;0x91g>2;?j70:nf;g1?xu3ih0;6?u24`c960g<5=kn6h<4}r6bf?6=:r7?mo4=5`9>0dc=m:1v9ol:18182fk38>m63;b18f6>{t73f34>i<7k;;|q7e`<72;q68lk524c891ga2l90q~:nf;296~;3io099l524c29a6=z{=h:6=4={<6b3?c634>i:7<:a:p0g4=838p19l=:37b?82e>3o97p};b283>7}:8o4=5`6>`4i87>52z?7f1<5=h168o;5e29~w1d22909w0:m5;06e>;3j?0n?6s|4c594?4|V=h<70=nf;g2?x{e;lh1<7k5bg8`3~N4ih1/?5658e9'5fd=;>>0c>=m:188m=d=831b?8=50;9l767=831b;>4?::m75f<722c2i7>5;h150?6=3`>?57>5;n6;6?6=3f5<5<:183!5?03o;7E=k8:J0ed=hlo0;66sm3b:94?5=83:p(>67:ec8L6b?3A9jm6*jd;28m21=831b5>4?::m12d<722wi?n;50;194?6|,:236io4H2f;?M5fi2.nh7>4i6594?=n1:0;66a=6`83>>{e;j81<7=50;2x 6>?2mk0D>j7;I1be>"bl3:0e:950;9j=6<722e9:l4?::a7gc=8391<7>t$2:;>ag<@:n37E=na:&f`?6=1<75f9283>>i5>h0;66sm1g094?3=83:p(>67:ea8L6b?3A9jm6g7a;29?l>d2900e:950;9j=6<722e9:l4?::a5`e=83?1<7>t$2:;>ae<@:n37E=na:k;e?6=3`2h6=44i6594?=n1:0;66a=6`83>>{e::81<7;50;2x 6>?2mi0D>j7;I1be>o?i3:17d6l:188m21=831b5>4?::m12d<722wi>?k50;794?6|,:236im4H2f;?M5fi2c3m7>5;h:`>5<=1<75f9283>>i5>h0;66sm23c94?3=83:p(>67:ea8L6b?3A9jm6g7a;29?l>d2900e:950;9j=6<722e9:l4?::a670=83?1<7>t$2:;>ae<@:n37E=na:k;e?6=3`2h6=44i6594?=n1:0;66a=6`83>>{e:;81<7;50;2x 6>?2mi0D>j7;I1be>o?i3:17d6l:188m21=831b5>4?::m12d<722wi>5;h:`>5<=1<75f9283>>i5>h0;66sm20c94?3=83:p(>67:ea8L6b?3A9jm6g7a;29?l>d2900e:950;9j=6<722e9:l4?::a640=83?1<7>t$2:;>ae<@:n37E=na:k;e?6=3`2h6=44i6594?=n1:0;66a=6`83>>{e;0k1<7;50;2x 6>?2mi0D>j7;I1be>"bl3:0e5o50;9j5;h;0>5<N4l11C?lo4$df94>o?i3:17d6l:188m21=831b5>4?::m12d<722wi?4<50;794?6|,:236im4H2f;?M5fi2.nh7>4i9c94?=n0j0;66g87;29?l?42900c?8n:188yg5?l3:197>50z&0<=5;h:`>5<=1<75f9283>>i5>h0;66smd683>6<729q/?565d`9K7a><@:kj7d98:188m<5=831d>;o50;9~fa0=8391<7>t$2:;>ag<@:n37E=na:k43?6=3`386=44o34b>5<:o7>53;294~"4010om6F=1<75f9283>>i5>h0;66sm49094?5=83:p(>67:ec8L6b?3A9jm6g87;29?l?42900c?8n:188yg0e29086=4?{%1;5;n05e?6=3th9:o4?:283>5}#;121hl5G3e:8L6gf3`=<6=44i8194?=h:?k1<75rb0fb>5<4290;w)=78;fb?M5c02B8ml5f7683>>o>;3:17b<9a;29?xd34?:1y'7=>=lh1C?i64H2cb?l102900e4=50;9l63g=831vn5<7s-9347jl;I1g<>N4ih1b4l4?::k;g?6=3`=<6=44i8194?=h:?k1<75rb0ga>5<2290;w)=78;f`?M5c02B8ml5f8`83>>o?k3:17d98:188m<5=831d>;o50;9~f656290>6=4?{%1;5;h54>5<5<7s-9347jn;I1g<>N4ih1/ii4?;h54>5<;o50;9~f6g729086<4<{I1be>"4010:oi5f9583>>o>=3:17b=;3;29?g5cm3:1?7>50z&0<=<4l>1C?i64H2cb?lc52900eh=50;9l60g=831v4:50;0xZ<2<5:nn6h=4}r;6>5<5sW3>70=ke;g1?xu4<:0;6?uQ351896bb2;?j7psm38d94?5=939pD>on;%1;5;h;6>5<86=44b2ff>5<4290;w)=78;1g3>N4l11C?lo4id094?=nm:0;66a=5`83>>{t1=0;6?uQ959>7ac=m:1v4;50;0xZ<3<5:nn6h<4}r177?6=:rT88>523eg960g6}O;hk0(>67:0ag?l?32900e4;50;9l715=831i?ik50;194?6|,:236>j8;I1g<>N4ih1bi?4?::kf7?6=3f8>m7>5;|q:0?6=:rT2863{t1<0;6?uQ949>7ac=m;1v>:<:181[53;278hh4=5`9~yg5dl3:1?7?53zJ0ed=#;121=nj4i8694?=n1<0;66a<4283>>d4ll0;6>4?:1y'7=>=;m=0D>j7;I1be>ob:3:17dk<:188k73f2900q~7;:181[?3349oi7k<;|q:1?6=:rT2963{t;=91<7m6srb2a`>5<42808wE=na:&0<=<6km1b594?::k:1?6=3f9??7>5;c1ga?6=;3:1on;hg1>5<1<773f3twi?nl50;195?5|@:kj7)=78;3``>o><3:17d7::188k6242900n>jj:180>5<7s-9347=k7:J0`==O;hk0eh<50;9ja6<722e99l4?::p=1<72;qU59523eg9a6=z{0?1<74>:2yK7dg<,:2365<?2:n<7E=k8:J0ed=nm;0;66gj3;29?j42i3:17p}64;296~X><278hh4j3:p=0<72;qU58523eg9a7=z{:>86=4={_177>;4ll099l5r}c00g?6=;3;1?vF=9jn0e4:50;9j=0<722e88>4?::`0``<72:0;6=u+39:97a1<@:n37E=na:kf6?6=3`o86=44o37b>5<=278hh4j2:p715=838pR>:<;<1ga?42i2wvn?=k:180>4<4sA9jm6*<8982ga=n1=0;66g65;29?j53;3:17o=ke;297?6=8r.8454<@:kj7dk=:188m`5=831d>8o50;9~w<2=838pR4:4=2ff>`56=4={_;6?85cm3o97p}<4283>7}Y;=901>jj:37b?x{e::o1<7=51;1xL6gf3-9347?ld:k:0?6=3`3>6=44o260>5<>i5=h0;66s|9583>7}Y1=16?ik5e29~w<3=838pR4;4=2ff>`452z\006=:;mo1>8o4}|`17c<72:0:6>uG3`c8 6>?28io7d7;:188m<3=831d?9=50;9a7ac=8391<7>t$2:;>6b03A9o46F5;n06e?6=3ty287>52z\:0>;4ll0n?6s|9483>7}Y1<16?ik5e39~w6242909wS=;3:?0``<5=h1vqo<;0;297?7=;rB8ml5+39:95fb1<75f9483>>i4<:0;66l6<729q/?5653e58L6b?3A9jm6gj2;29?lc42900c?;n:188yv?32909wS7;;<1ga?c43ty297>52z\:1>;4ll0n>6s|35194?4|V:>870=ke;06e>{zj;>:6=4<:080M5fi2.8454>ce9j=1<722c297>5;n177?6=3k9oi7>53;294~"40108h:5G3e:8L6gf3`o96=44id194?=h:01>jj:d18yv?22909wS7:;<1ga?c53ty88>4?:3y]715<5:nn6?;n;|a614=8391=7=tH2cb?!5?03;hh6g64;29?l?22900c>:<:188f6bb29086=4?{%1;4?::m11d<722wx594?:3y]=1=:;mo1i>5rs8794?4|V0?01>jj:d08yv53;3:1>vP<429>7ac=:6<62:qC?lo4$2:;>4ec3`3?6=44i8794?=h;=91<75m3eg94?5=83:p(>67:2f4?M5c02B8ml5fe383>>ob;3:17b<:a;29?xu><3:1>vP64:?0``5<5sW9??6353;397~N4ih1/?5651bf8m<2=831b584?::m006<722h8hh4?:283>5}#;121?i94H2f;?M5fi2cn>7>5;hg0>5<5<5sW3?70=ke;g0?xu>=3:1>vP65:?0``"4010:oi5f9583>>o>=3:17b=;3;29?g5cm3:1?7>50z&0<=<4l>1C?i64H2cb?lc52900eh=50;9l60g=831v4:50;0xZ<2<5:nn6h=4}r;6>5<5sW3>70=ke;g1?xu4<:0;6?uQ351896bb2;?j7psma183>7<729q/?565ed9K7a><@:kj7dk>:188k73f2900qoo>:180>5<7s-9347=>;I1g<>N4ih1bi?4?::kf7?6=3f8>m7>5;|`0e<<72:0;6=u+39:974=O;m20D>on;hg1>5<>{e:821<7<50;2x 6>?2lo0D>j7;I1be>ob93:17b<:a;29?xd5900;6>4?:1y'7=>=;81C?i64H2cb?lc52900eh=50;9l60g=831vn??l:181>5<7s-9347kj;I1g<>N4ih1bi<4?::m11d<722wi>?4H2f;?M5fi2cn>7>5;hg0>5<N4l11C?lo4id394?=h:5<4290;w)=78;12?M5c02B8ml5fe383>>ob;3:17b<:a;29?xd5:=0;6?4?:1y'7=>=ml1C?i64H2cb?lc62900c?;n:188yg45=3:1?7>50z&0<=<492B8h55G3`c8m`4=831bi>4?::m11d<722wi>?650;094?6|,:236hk4H2f;?M5fi2cn=7>5;n06e?6=3th9>44?:283>5}#;121?<5G3e:8L6gf3`o96=44id194?=h:5<5290;w)=78;gf?M5c02B8ml5fe083>>i5=h0;66sm23f94?5=83:p(>67:238L6b?3A9jm6gj2;29?lc42900c?;n:188yg4483:1>7>50z&0<=8o50;9~f75629086=4?{%1;5;n06e?6=3th9?94?:383>5}#;121ih5G3e:8L6gf3`o:6=44o37b>5<53;294~"40108=6F>i5=h0;66sm1g394?5=83:p(>67:37g?M5c02B8ml5fe383>>ob;3:17b<:a;29?xd6ml0;6?4?:1y'7=>=ml1C?i64H2cb?lc62900c?;n:188yg7bn3:1?7>50z&0<=<492B8h55G3`c8m`4=831bi>4?::m11d<722wi=k:50;094?6|,:236hk4H2f;?M5fi2cn=7>5;n06e?6=3th:j84?:283>5}#;121?<5G3e:8L6gf3`o96=44id194?=h:5<4290;w)=78;12?M5c02B8ml5fe383>>ob;3:17b<:a;29?xd5==0;6>4?:1y'7=>=;81C?i64H2cb?lc52900eh=50;9l60g=831vn?;=:180>5<7s-9347=>;I1g<>N4ih1bi?4?::kf7?6=3f8>m7>5;|`115<72:0;6=u+39:974=O;m20D>on;hg1>5<n6=4<:183!5?039:7E=k8:J0ed=nm;0;66gj3;29?j42i3:17pl=4b83>6<729q/?565309K7a><@:kj7dk=:188m`5=831d>8o50;9~f72f29086=4?{%1;5;n06e?6=3th9854?:283>5}#;121?<5G3e:8L6gf3`o96=44id194?=h:5<4290;w)=78;12?M5c02B8ml5fe383>>ob;3:17b<:a;29?xd5<=0;6>4?:1y'7=>=;81C?i64H2cb?lc52900eh=50;9l60g=831vn>mn:180>5<7s-9347=>;I1g<>N4ih1bi?4?::kf7?6=3f8>m7>5;|`0g2<72:0;6=u+39:974=O;m20D>on;hg1>5<7<729q/?565ed9K7a><@:kj7dk>:188k73f2900qo=l1;297?6=8r.8454<1:J0`==O;hk0eh<50;9ja6<722e99l4?::a7f`=8391<7>t$2:;>67<@:n37E=na:kf6?6=3`o86=44o37b>5<53;294~"40108=6F>i5=h0;66sm38a94?4=83:p(>67:dg8L6b?3A9jm6gj1;29?j42i3:17pl<9e83>6<729q/?565309K7a><@:kj7dk=:188m`5=831d>8o50;9~f6??29096=4?{%1;t$2:;>67<@:n37E=na:kf6?6=3`o86=44o37b>5<52;294~"4010ni6F6=4<:183!5?039:7E=k8:J0ed=nm;0;66gj3;29?j42i3:17pl<8g83>7<729q/?565ed9K7a><@:kj7dk>:188k73f2900qo=60;296?6=8r.8454je:J0`==O;hk0eh?50;9l60g=831vn>7>:180>5<7s-9347=>;I1g<>N4ih1bi?4?::kf7?6=3f8>m7>5;|`0e7<72:0;6=u+39:974=O;m20D>on;hg1>5<c983>7<729q/?565ed9K7a><@:kj7dk>:188k73f2900qo?l9;297?6=8r.8454<1:J0`==O;hk0eh<50;9ja6<722e99l4?::a5gd=83>1<7>t$2:;>64<@:n37E=na:kf6?6=3`o86=44id694?=h:5<4290;w)=78;12?M5c02B8ml5fe383>>ob;3:17b<:a;29?xd4;o0;6?4?:1y'7=>=ml1C?i64H2cb?lc62900c?;n:188yg5383:1>7>50z&0<=8o50;9~f62629086=4?{%1;5;n06e?6=3th88?4?:283>5}#;121?<5G3e:8L6gf3`o96=44id194?=h:5<>290;w)=78;15?M5c02B8ml5fe383>>ob;3:17dk;:188m`3=831bi;4?::kf3?6=3`o36=44id;94?=h:5<4290;w)=78;12?M5c02B8ml5fe383>>ob;3:17b<:a;29?xd6ll0;6?4?:1y'7=>=ml1C?i64H2cb?lc62900c?;n:188yg7cn3:1?7>50z&0<=<492B8h55G3`c8m`4=831bi>4?::m11d<722wi=h>50;194?6|,:236>?4H2f;?M5fi2cn>7>5;hg0>5<N4l11C?lo4id094?=nm:0;66a=5`83>>{e;:>1<7=50;2x 6>?2:;0D>j7;I1be>ob:3:17dk<:188k73f2900qo=<5;296?6=8r.8454je:J0`==O;hk0eh?50;9l60g=831vn>=9:181>5<7s-9347kj;I1g<>N4ih1bi<4?::m11d<722wi?>950;194?6|,:236>?4H2f;?M5fi2cn>7>5;hg0>5<N4l11C?lo4id094?=nm:0;66a=5`83>>{e9o=1<7<50;2x 6>?2lo0D>j7;I1be>ob93:17b<:a;29?xd6nl0;6?4?:1y'7=>=ml1C?i64H2cb?lc62900c?;n:188yg7an3:1>7>50z&0<=8o50;9~f76729096=4?{%1;t$2:;>`c<@:n37E=na:kf5?6=3f8>m7>5;|`147<72;0;6=u+39:9a`=O;m20D>on;hg2>5<N4l11C?lo4id394?=h:5<5290;w)=78;gf?M5c02B8ml5fe083>>i5=h0;66sm21794?4=83:p(>67:dg8L6b?3A9jm6gj1;29?j42i3:17pl>f983>7<729q/?565ed9K7a><@:kj7dk>:188k73f2900qo?i9;296?6=8r.8454je:J0`==O;hk0eh?50;9l60g=831vn5<7s-9347kj;I1g<>N4ih1bi<4?::m11d<722wi=kl50;;94?6|,:236>84H2f;?M5fi2cn>7>5;hg0>5<1<75fe483>>ob>3:17dk8:188m`>=831bi44?::m11d<722wi=km50;794?6|,:236>=4H2f;?M5fi2cn>7>5;hg0>5<1<75fe483>>i5=h0;66sm1gf94?5=83:p(>67:238L6b?3A9jm6gj2;29?lc42900c?;n:188yg7d:3:1>7>50z&0<=8o50;9~f4e429096=4?{%1;t$2:;>67<@:n37E=na:kf6?6=3`o86=44o37b>5<53;294~"40108=6F>i5=h0;66sm1b494?5=83:p(>67:37g?M5c02B8ml5fe383>>ob;3:17b<:a;29?xd6jl0;694?:1y'7=>=;;1C?i64H2cb?lc52900eh=50;9ja1<722e99l4?::a5g`=8391<7>t$2:;>73c3A9o46F5;n06e?6=3th9<:4?:383>5}#;121ih5G3e:8L6gf3`o:6=44o37b>5<52;294~"4010ni6F>{e:9k1<7<50;2x 6>?2lo0D>j7;I1be>ob93:17b<:a;29?xd58k0;6>4?:1y'7=>=:j7;I1be>ob:3:17dk<:188k73f2900qot$2:;>73c3A9o46F5;n06e?6=3th95}#;121?<5G3e:8L6gf3`o96=44id194?=h:5<5290;w)=78;gf?M5c02B8ml5fe083>>i5=h0;66sm1d794?4=83:p(>67:dg8L6b?3A9jm6gj1;29?j42i3:17pl>e783>7<729q/?565ed9K7a><@:kj7dk>:188k73f2900qo?j7;290?6=8r.8454<2:J0`==O;hk0eh<50;9ja6<722cn87>5;n06e?6=3th:i54?:283>5}#;121>8j4H2f;?M5fi2cn>7>5;hg0>5<N4l11C?lo4id094?=nm:0;66a=5`83>>{e9lk1<7=50;2x 6>?2;?o7E=k8:J0ed=nm;0;66gj3;29?j42i3:17pl9d;296?6=8r.8454je:J0`==O;hk0eh?50;9l60g=831vn;k50;094?6|,:236hk4H2f;?M5fi2cn=7>5;n06e?6=3th=j7>53;294~"40108=6F>i5=h0;66sm7183>6<729q/?565309K7a><@:kj7dk=:188m`5=831d>8o50;9~f27=8391<7>t$2:;>73c3A9o46F5;n06e?6=3th<>7>53;294~"40108=6F>i5=h0;66sm40d94?5=83:p(>67:238L6b?3A9jm6gj2;29?lc42900c?;n:188yg2583:1>7>50z&0<=8o50;9~f14629096=4?{%1;t$2:;>67<@:n37E=na:kf6?6=3`o86=44o37b>5<9?7>53;294~"401099i5G3e:8L6gf3`o96=44id194?=h:5<4290;w)=78;12?M5c02B8ml5fe383>>ob;3:17b<:a;29?xd3:<0;6>4?:1y'7=>=:j7;I1be>ob:3:17dk<:188k73f2900qo<9d;291?6=8r.8454<3:J0`==O;hk0eh<50;9ja6<722cn87>5;hg6>5<N4l11C?lo4id394?=h:5<5290;w)=78;gf?M5c02B8ml5fe083>>i5=h0;66sm26694?4=83:p(>67:dg8L6b?3A9jm6gj1;29?j42i3:17pl=7483>7<729q/?565ed9K7a><@:kj7dk>:188k73f2900qo<86;296?6=8r.8454je:J0`==O;hk0eh?50;9l60g=831vn?98:180>5<7s-9347<:d:J0`==O;hk0eh<50;9ja6<722e99l4?::a62>=8391<7>t$2:;>67<@:n37E=na:kf6?6=3`o86=44o37b>5<53;294~"40108=6F>i5=h0;66sm27g94?5=83:p(>67:37g?M5c02B8ml5fe383>>ob;3:17b<:a;29?xd5>o0;6>4?:1y'7=>=:j7;I1be>ob:3:17dk<:188k73f2900qo<80;297?6=8r.8454<1:J0`==O;hk0eh<50;9ja6<722e99l4?::a627=8391<7>t$2:;>73c3A9o46F5;n06e?6=3th9==4?:283>5}#;121?<5G3e:8L6gf3`o96=44id194?=h:5<4290;w)=78;12?M5c02B8ml5fe383>>ob;3:17b<:a;29?xd59;0;6?4?:1y'7=>=ml1C?i64H2cb?lc62900c?;n:188yg46;3:1?7>50z&0<=<5=m1C?i64H2cb?lc52900eh=50;9l60g=831vn??;:187>5<7s-9347==;I1g<>N4ih1bi?4?::kf7?6=3`o?6=44o37b>5<53;294~"401099i5G3e:8L6gf3`o96=44id194?=h:5e29~w3`=838p1;h524c8927=m;1v:>50;0x926=:`4<5:i?6h<4=2a2>`4<5;:<6h?4=0g4>`4<5;=;6h<4=332>`4;4k10<;63;4k;0<;63;6n;0<;63>eb843>;5;;0<;63=2d843>;5:h0<;63=27843>;5:;0<;63=1d843>;59h0<;63=17843>;41h0<;63<97843>;41;0<;63<8e843>;c?3=<70j9:658917d2>=0196=:65893d=?>16>;l5769>5ag=?>1689l5769>5`4=?>16=hl5769>767=?>16?>l5769>5ge=?>16=n>5769~w=g<5:9i65o4=2:g>=g<5:3j65o4=2;5>=g<5:3965o4=`3960g<5:k26h=4=0`a>`4<5;;;6h<4}rc3>5<5s4k;6?;n;`5<5<5m<1>;o4=`29a4=z{m?1<75<3s4;in7<:a:?2g6v3>bb8:7>;6jo099l5rs0`f>5<5s4;ii7<:a:?2fc50;:x94e72;5e39>072=m;16><<5e09~w4e62909w0?l0;;0?87d>38>m6s|1b094?4|58i96?;n;<3`0?c43ty:o>4?:3y>5f5=:v3>c5811d=:9j<1i?5rs0a6>5<5s4;h97<:a:?2g3t=5:1><5<5;;36h?4=33`>`7<5;8;6h?4=307>`7<5;836h?4=30`>`7<5;9;6h?4=317>`7<58l:6h=4=0gf>`7<58l?6h?4=0a:>73f348;m7k>;<3f0?c634;n;7k<;|q2`d<72;q6=io527c894de2l>0q~?kb;296~;6lh02?63>e0811d=z{8nh6=4={<3gg?42i27:i<4j2:p5ab=838p1dd83>7}:9mo1>8o4=0fe>`552z?2`c<5=h16=h>5e29~w4c72909w0?j0;06e>;6m80n?6s|1bg94?1|58o96?8n;<00;<3f2?c6348<97k>;|q2a6<72;q6=h<5929>5`g=:6=4={<3f1?42i27:i54j3:p5`0=838p1e683>7}:9l=1>8o4=0gb>`552z?2a=<5=h16=h75e39~w4c>2909w0?j9;06e>;6mh0n>6s|1e394?0|58oi6?8n;<00;<042?c63ty:ok4?:4y>5`e=:?k01?=6:87897312l801eg811d=z{8on6=4={<3fa?42i27:ik4j3:p5c6=83hp1:37b?xu6l90;69u21g0963g<5;9j64;4=0fe>`4<58lj6h?4}r3e7?6=:r7:j?463:?2b0<5=h1vm63>f48f7>{t9o<1<7:t=0dg>73f348;57k>;<3f1?c6348:?7k=;|q2b2<72;q6=k9524c894`e2l80q~?i8;296~;6n1099l521ga9a6=z{8l26=4={<3e=?42i27:jn4j4:p5cg=838p17p}>fc83>7}:9oh1>8o4=0dg>`452z?2bf<5=h16=kj5e29~w4`b2909w0?ie;06e>;6nk0n?6s|1gd94?4|58lm6?;n;<3ef?c33ty9<=4?:3y>656=:v3=00811d=:9oh1i;5rs321>5<5s48;>7<:a:?2bg==50;0x97642;?j70?ib;g;?xu58=0;6?u2216960g<58li6h74}r031?6=:r79<84=5`9>5ce=m;1v?>9:18187bj33870{t:9=1<773f348;n7k=;|q14=<72;q6>=6524c8976e2l90q~m:37b?847k3o97p}=0b83>7}::9i1>8o4=32g>`452z?14a<5=h16>=k5e29~w76a2908w0?j2;:b?87bj32j70<>5;06e>{t:8:1<773f348:97k=;|q154<72;q6>2;296~;59;099l522069a1=z{;;86=4={<027?42i279=94j2:p642=838p1??;:37b?846=3o87p}>d383>0}::8<1>;o4=31a><3<5;>=6h<4=0f`>`5<58lm6h?4}r023?6=:r79=;463:?15<<5=h1v??7:181846038>m63=188f7>{t9m91<7;t=33b>70f3488o77:;<0764g=1:16>v3=1b811d=::8n1i>5rs0f7>5<2s48:i7<9a:?17a<>=2798l4j2:?2`f7}::;:1>8o4=302>`555z?167<5>h16>>k5949>61e=m;16=im5e79>654=m81v?<<:181845:33870<=5;06e>{t:;>1<773f348997k<;|q2`3<72?8527c8975a20?01?:j:d0894bd2l201?>;:d38yv45?3:1>v3=278:7>;5:0099l5rs30;>5<5s48947<:a:?16<7}::;k15>5223f960g52z?16f<5=h16>?j5e29~w4b?290>w0<=e;05e>;5<802963=538f6>;6lj0n563=048f5>{t:;l1<7<5<5;9:6?;n;|q175<72;q6>>>524c897562l90q~?k9;291~;5;;09:l522509=0=::<>1i?521ef9a6=:9o31i<5rs310>5<5s488>77<;<001?42i2wx>>:50;0x97532;?j70<<5;g0?xu5<:0;6>u222`9=1=::=<1i>52256960g53z?17f<><279854j3:?103<5=h1v?:8:180844l33?70<;a;g0?843038>m6s|25;94?5|5;9n64:4=36`>`5<5;>j6?;n;|q10g<72:q6>>h5959>61c=m:16>9m524c8yv43l3:1?v3=418:0>;5=90n?63=4d811d=z{;>m6=4<{<075??3348>>7k<;<064?42i2wx>8?50;1x972520>01?;;:d1897352;?j7p}=5283>6}:::3159522449a6=::<>1>8o4}r061?6=:r79?l464:?113<5=h1v?;8:1827~;4l00oj63>f38;g>;6mj03o63=338;g>;5:l03o63=2`8;g>;5:?03o63=238;g>;59l03o63=1`8;g>;59?03o63<9`8;g>;41?03o63<938;g>;40m03o63>e38;g>;6mk03o63<308;g>;4;k03o6s|27`94?5|V;;5?>0n>6s|27a94?4|5;73f3ty9:i4?:3y>63b=:v3=6d811d=::?l1i>5rs34e>5<5s48=j7<:a:?135:>50;0x97172;?j70<81;g1?xu5?;0;6?u2260960g<5;=36h<4}r047?6=:r79;>4=5`9>62>=m:1v?9;:181840<38>m63=6d8f7>{t:>?1<773f348<57k=;|q133<72;q6>:8524c8971>2l90q~<87;296~;5?>099l5227d9a7=z{;=36=4={<047}Y:1i01?9=:d38yv5493:1>vP<309>767=:?k0q~=<3;296~;4;h0n=63<39811d=z{:9?6=4={<100?42i278?54j2:p763=838p1>=::37b?854?3o97p}<3783>7}:;:<1>8o4=214>`552z?072<5=h16?>65e29~w65>2909w0=<1;;0?854i38>m6s|32`94?3|V:9i70=;4i00n>63>bc8f7>;5990n?6s|32f94?4|5:9i64=4=261>73f3ty8?h4?:3y>76c=::=:d08yv54n3:1>v3<3g811d=:;=;1i?5rs263>5<5s49?<7<:a:?004=16>:=5e09~w6>>290=w0=7d;05e>;41l02863<918f5>;4i;0n?63<3d8f6>;4;<0n=6s|39g94?4|5:2o64=4=2;2>73f3ty84k4?:3y>7=`=:7>:d08yv5>83:1>v3<91811d=:;0;1i>5rs2:b>5<2s492>7<9a:?0=`<>=278m?4j2:?07c7=:81896?22;?j7p}<9583>7}:;0>1>8o4=2;6>`555z?0=3<5>h16?4h5949>7d2=m;16?9>5e09>760=m81v>78:18185>>33870=69;06e>{t;021<773f349257k<;|q0=j:d1896532l90q~=6b;296~;41h02?63<9e811d=z{:3h6=4={<1:g?42i2785i4j3:p7d7=839p1>7i:86896g52;?j70=n4;g0?xu4i:0;6?u23`29=1=:;h>1>8o4}r1b1?6=:r785h4<429>7<3=m;1v>o9:18185>n39??63<988f6>{t;h=1<76243492h7k=;|q0e=<72?q6?l7524c894`62l801>7l:d3896??2l;01>7;:d3896>a2l;0q~=ma;291~;4jl09:l523b`9=1=:;j:1i<523bd9a6=::?n1i?5rs2`e>5<5s49ii77<;<1`5?42i2wx?n>50;0x96e72;?j70=l1;g0?xu4jk0;69u23b0963g<5:ii64;4=2ae>`4<5;ll:18785d=38=m63;4l80n>63=6e8f0>{t;j<1<7<5<5:i<6?;n;|q0fa<72:q6?n6527c896ec20?01?8k:d78yv5d13:1>v3;4kh099l5rs2af>5<4s49ho77;;<1`b?42i278h<4j3:p7a6=838p1>mk:86896b62;?j7p}7}:;jh1?9=4=2a7>`552z?0gf<4<:16?n95e29~w6b32909w0=ld;177>;4kh0n?6s|3d294?4|5;936>:<;<03a?c53ty8i<4?:3y>66?=;=901v3=3`8006=:9o?1i?5rs2g0>5<5s488n7=;3:?15<870<>d;g1?xu4m<0;6?u222f9715<5;8:6h<4}r1f2?6=:r79?h4<429>673=m;1v>k8:181844n39??63=288f6>{t;l21<76243489h7k=;|q0a<<72;q6>9?5351897562l80q~=ja;296~;5<;088>522279a7=z{=;h6=4:{_62g>;39j09:l526d8f5>;39o0n?63;228f6>{t<8o1<7<5<5=8>6?;n;|q75c<72;q68?4j3:p074=838p19<=:37b?825;3o87p};2283>7}:<;91>8o4=507>`5987>52z?761<5=h168?;5e29~w12>2909wS:;9:?70g<>;2wx89l50;1x912e2;7}Y<180196=:34b?xu3k90;6?uQ4b2897132l;0qpl7<729q/?565ed9K7a><@:kj7dk>:188k73f2900qo:?e;296?6=8r.8454je:J0`==O;hk0eh?50;9l60g=831vn>h<:181>5<7s-9347kj;I1g<>N4ih1bi<4?::m11d<722wi?k:50;094?6|,:236hk4H2f;?M5fi2cn=7>5;n06e?6=3th8j84?:283>5}#;121?<5G3e:8L6gf3`o96=44id194?=h:5<5290;w)=78;gf?M5c02B8ml5fe083>>i5=h0;66sm3g`94?4=83:p(>67:da8L6b?3A9jm6gj1;29?j42i3:17pl;8c83>7<729q/?565eb9K7a><@:kj7dk>:188k73f2900qo:>a;296?6=8r.8454jc:J0`==O;hk0eh?50;9l60g=831vni<50;094?6|,:236hm4H2f;?M5fi2cn=7>5;n06e?6=3tho57>52;294~"4010no6F>{e<=k1<7<50;2x 6>?2li0D>j7;I1be>ob93:17b<:a;29?xd3jk0;6?4?:1y'7=>=mj1C?i64H2cb?lc62900c?;n:188yg1b29096=4?{%1;on;hg2>5<N4l11C?lo4id394?=h:5<5290;w)=78;g`?M5c02B8ml5fe083>>i5=h0;66sm6383>7<729q/?565eb9K7a><@:kj7dk>:188k73f2900qo8>:181>5<7s-9347kl;I1g<>N4ih1bi<4?::m11d<722wi:=4?:383>5}#;121in5G3e:8L6gf3`o:6=44o37b>5<>{e=m0;6?4?:1y'7=>=mj1C?i64H2cb?lc62900c?;n:188yg3d29096=4?{%1;on;hg2>5<5<5290;w)=78;g`?M5c02B8ml5fe083>>i5=h0;66sm5883>7<729q/?565eb9K7a><@:kj7dk>:188k73f2900qo;7:181>5<7s-9347kl;I1g<>N4ih1bi<4?::m11d<722wi9:4?:383>5}#;121in5G3e:8L6gf3`o:6=44o37b>5<>{e=<0;6?4?:1y'7=>=mj1C?i64H2cb?lc62900c?;n:188yg3329096=4?{%1;on;hg2>5<5<5290;w)=78;g`?M5c02B8ml5fe083>>i5=h0;66sm6983>7<729q/?565eb9K7a><@:kj7dk>:188k73f2900qo88:181>5<7s-9347kl;I1g<>N4ih1bi<4?::m11d<722wi:;4?:383>5}#;121in5G3e:8L6gf3`o:6=44o37b>5<6=4=:183!5?03oh7E=k8:J0ed=nm80;66a=5`83>>{e>=0;6?4?:1y'7=>=mj1C?i64H2cb?lc62900c?;n:188yg0429096=4?{%1;on;hg2>5<on;hg2>5<67:37a?M5c02B8ml5fe083>>i5=h0;66sm4c;94?4=83:p(>67:37a?M5c02B8ml5fe083>>i5=h0;66sm49:94?4=83:p(>67:37a?M5c02B8ml5fe083>>i5=h0;66sm7b83>7<729q/?56524`8L6b?3A9jm6gj1;29?j42i3:17pl;7e83>7<729q/?56524`8L6b?3A9jm6gj1;29?j42i3:17pl;7283>7<729q/?56524`8L6b?3A9jm6gj1;29?j42i3:17pl;2683>7<729q/?56524`8L6b?3A9jm6gj1;29?j42i3:17pl;1e83>7<729q/?56524`8L6b?3A9jm6gj1;29?j42i3:17pl6<729q/?56524a8L6b?3A9jm6gj1;29?l?b2900c?;n:188yg27n3:1>7>50z&0<=<5=k1C?i64H2cb?lc62900c?;n:188yg20=3:1>7>50z&0<=<5=k1C?i64H2cb?lc62900c?;n:188yg2?l3:1?7>50z&0<=<5=j1C?i64H2cb?lc62900e4k50;9l60g=831vn987:181>5<7s-9347<:b:J0`==O;hk0eh?50;9l60g=831vn>h>:181>5<7s-9347<:b:J0`==O;hk0eh?50;9l60g=831vn>h7:181>5<7s-9347<:b:J0`==O;hk0eh?50;9l60g=831vn96::181>5<7s-9347<:b:J0`==O;hk0eh?50;9l60g=831vn9=9:181>5<7s-9347<:b:J0`==O;hk0eh?50;9l60g=831vn9;?:180>5<7s-9347<:c:J0`==O;hk0eh?50;9j=`<722e99l4?::a0d2=8391<7>t$2:;>73d3A9o46F5;n06e?6=3th??o4?:383>5}#;121>8l4H2f;?M5fi2cn=7>5;n06e?6=3th?894?:383>5}#;121>8l4H2f;?M5fi2cn=7>5;n06e?6=3th?=?4?:383>5}#;121>8l4H2f;?M5fi2cn=7>5;n06e?6=3th9o=4?:383>5}#;121>8l4H2f;?M5fi2cn=7>5;n06e?6=3th9nl4?:383>5}#;121>8l4H2f;?M5fi2cn=7>5;n06e?6=3th9in4?:383>5}#;121>8l4H2f;?M5fi2cn=7>5;n06e?6=3th9i;4?:383>5}#;121>8l4H2f;?M5fi2cn=7>5;n06e?6=3th9i=4?:383>5}#;121>8l4H2f;?M5fi2cn=7>5;n06e?6=3th9hl4?:383>5}#;121>8l4H2f;?M5fi2cn=7>5;n06e?6=3th9h94?:383>5}#;121>8l4H2f;?M5fi2cn=7>5;n06e?6=3th9oh4?:383>5}#;121>8l4H2f;?M5fi2cn=7>5;n06e?6=3th9oi4?:383>5}#;121>8l4H2f;?M5fi2cn=7>5;n06e?6=3th9o:4?:383>5}#;121>8l4H2f;?M5fi2cn=7>5;n06e?6=3th9o<4?:383>5}#;121>8l4H2f;?M5fi2cn=7>5;n06e?6=3th9n94?:383>5}#;121>8l4H2f;?M5fi2cn=7>5;n06e?6=3ty9=7>52z?0a`<58278j>4j1:p67<72;q6?hh5219>7c2=m81v?k50;0x96ca2;o01>h8:d38yv5d2909wS=l;<77>`75<5sW9m70;8:d38yv272909wS:?;<7;>`7:6=4={_62?83>2l;0q~:=:181[2534?j6h?4}r60>5<5sW>870;m:d38yv232909wS:;;<7`>`7>6=4={_66?83c2l;0q~:9:181[2134?n6h?4}r64>5<5sW><70;i:d38yv2?2909wS:7;<43>`726=4={_6:?8062l;0q~:m:181[2e34<96h?4}r6`>5<5sW>h708<:d38yv2c2909wS:k;<47>`7n6=4={_6f?8022l;0q~:i:181[2a34<=6h?4}r73>5<5sW?;7088:d38yv362909wS;>;<4;>`72l;0q~;<:181[34345<4s49no794=5a0>2=:==099l5rs4794?5|5:oh65524b19<>;2=38>m6s|5783>6}:;li1563;c28:?8312;?j7p}:7;297~;4mj0j70:l3;c8901=:1=<5=h1v8750;1x96cd2j168n=5c:?6=?42i2wx9l4?:2y>7`e=l27?o>4k;<7b>73f3ty>n7>53z?0afh?7k4=4`960g46<5=i86<>4=4f960g2:?7g6<6:27>j7<:a:p25<72:q6?hm5159>0f5=9=16:=4=5`9~w37=838p1>kl:078937=:v3;c282<>;1;38>m6s|6583>7}:4g<5??1>8o4}r45>5<5s4>h?7?m;<45>73f3ty=;7>52z?7g6<6k27=;7<:a:p2=<72;q68n=51e9>2=<5=h1v;750;1x96cd28o019m<:0g893?=:;38l0n=63;30m02i63;518:a>;3i=02i6s|7283>0}:;li1;>524b1936=:;ll1;>523df936=:;lh1;>5rs6:94?4|V>201:75e09~w2?=838p1>kj:6:892?=:3f7}:;lo1;i527d811d=z{0o1<7km:8g89a4=:5<1s49no76m;<6`7?>e349nj76m;<1f`?b?349nn76m;73f3tynm7>52z?0af7`c=n91v<>;:18185bn3;;8630`9~w47?2909w0=jf;316>;4ml0:=55rs007>5<5s49nj7?=4:?0a`<6;11v<=6:18185bn3;8563;6=4<{<1fg?738278ik4>419>7`b=9=:0q~?;1;296~;4mo0:8<523df951752z?0ac<6<:16?hk51518yv7>;3:1>v3a99>7`c=9h20q~<:7;296~;4l00oj63;7g8f5>{t:<21<7=t=55e>73f349no7:8e:?0a`<3?l1v?8m:18185bl38=n63;5j=099l5rs35a>5<5sW8;5k9099l5rs35f>5<5sW8;5k>099l5rs3:3>5<5sW83<63=ce811d=z{;2>6=4={_0;1>;5kl099l5rs3:5>5<5sW83:63=d5811d=z{;2<6=4={_0;3>;5lh099l5rs3:;>5<5sW83463=e1811d=z{;226=4={_0;=>;5m?099l5rs3:b>5<5sW83m63=eb811d=z{;2h6=4={<1fg?4?k27?o>4=8b9~w7>c2908w0=jc;0;`>;4mm094n523d`96=e52z?7g6<51o16?hj528d8yv4e<3:1>v3;c2813d=::k>1i<5rs3`b>5<5s4>h?7<8b:?1fdn>50;0x91e42;=o704=7g9>6f1=m81v?mk:18182d;383<63=ce8f5>{t:jo1<77>2348hi7k>;|q1`1<72;q68n=5294897b32l;0q~3o:7p}=eb83>7}:5o4=3g`>`77>53z?0af<5n;168n=52g0896ca2;l97p}=f683>7}:;li1>k94=2gg>7`53ty8?<4?:3y>0f5=;:801>km:212?xu4;k0;6?u24b1976e<5:oi6>=m;|q016<72;q6?hm5341896ce2:?87p}<6583>7}:;li1?;:4=2ga>6033ty8j=4?:3y]7c6<5:l:6?;n;|q0b4<72;q6?k;524c896`62l;0q~=i2;296~;4n:099l523g79a7=z{:l86=4={<1e0?42i278j84j3:p7c0=838pR>h9;<1eh6;<1ef?42i2wx?km50;0xZ6`d349mh7<:a:p7cc=838p1>kj:2de?85al3o:7p};0e83>7}Y<9n019>i:37b?xu38o0;6?u241g960g<5=:m6h?4}r625?6=:rT?=<52400960g:>7>52z?0ac<398168<<5e09~w17>2909wS:>9:?75da;06e>{t<8i1<7m6s|40f94?4|5:oi69?l;<62`?c63ty?>;4?:3y]070<5=8<6?;n;|q762<72;q68n=5434891402l;0q~:=b;296~X3:k168?m524c8yv25k3:1>v35<5sW>9h63;2d8f5>{t<;o1<714f34>9i7<:a:p063=838pR9=:;<602?42i2wx8>850;0x96cb2=9>70:<6;g2?xu3;00;6?uQ42;8915e2;?j7p};3c83>7}:;ll18>74=51a>`7?>7>52z\707=:<=>1>8o4}r670?6=:r78ih4;439>012=m81v9:6:181[23127?8l4j1:p01g=838p1>km:56:?823i38>m6s|45f94?4|V=>o70:;e;g2?xu3u24b1901e<5:om69:l;<67a?42i2wx89h50;0xZ12a34>><7<:a:p007=838p1>kj:56`?82283o:7p};6483>7}Y10;6?u24b19033<5=<36h?4}r646?6=:rT?;?52461960g52z?7g6<3=l168:=5e09~w1132909wS:84:?730<5=h1v99::18182d;3>2n63;748f5>{t<><1<7m6s|46f94?4|5=i86999;<64`?c63ty?;h4?:3y]02c<5==m6hh4}r6;4?6=:r7?4<4=5`9>02`=1l1v96=:18185bj3>3>63;808f5>{t<191<7m6s|49794?4|5=i8696<;<6;1?c63ty?4;4?:3y]0=0<5=236?;n;|q7<=<72;q68n=5494891>?2l;0q~:7a;296~X30h1685l5e09~w1>e2908w0:l3;6;=>;4mo0?445249`960g3o7>52z\78o4}r6;a?6=:r78ih4;889>0=b=m81v9o<:181[2f;27?m94=5`9~w1g22909w0=je;6b6>;3i=0n=6s|4c:94?4|V=h370:m9;06e>{t1d034>i57k>;|q7fd<72;qU8oo4=5`a>`7in7>52z?0a`<3j>168ol524c8yv2d93:1>vP;c09>0f4=m81v9m=:18685bk3>h<63;c287g5=:;ll18n>4=2ga>1e734>h>7<:a:~j46a:3:1>vFvFvF3:1=vF3:1=vF3:1>vFvFvFvFvFvFvFvFvF3:1>vFvF3:18vF3:1=vFvFvFvFvFvFvFvF3:1>vFvFvF3:18vFvFvFvFvFvFvF3:1>vFvFvFvFvFvFvF3:1>vFvFvFvFvF83:1=vF93:1>vF:3:1=vF;3:1?vF<3:1=vF=3:1>vF>3:1=vF?3:1>vF03:1=vF13:1>vFi3:1=vFj3:1>vFk3:1=vFl3:19vFon;|l2g0<728qC?lo4}o3`2?6=9rB8ml5rn0a4>5<6sA9jm6sa1b:94?7|@:kj7p`>c883>4}O;hk0qc?la;295~N4ih1vb51zJ0ed=zf8n;6=4>{I1be>{i9m91<7?tH2cb?xh6l=0;63:1=vF=83;pD>on;|l2`<<728qC?lo4}o3gf?6=9rB8ml5rn0f`>5<6sA9jm6sa1ef94?7|@:kj7p`>dd83>4}O;hk0qc?kf;295~N4ih1vb:182M5fi2we=h<50;3xL6gf3td:i>4?:0yK7dg51zJ0ed=zf8o>6=4>{I1be>{i9l<1<7?tH2cb?xh6m>0;6290:wE=na:m5`g=83;pD>on;|l2ag<728qC?lo4}o3fg?6=9rB8ml5rn0gg>5<6sA9jm6sa1dg94?7|@:kj7p`>eg83>4}O;hk0qc?i1;295~N4ih1vb51zJ0ed=zf8l=6=4>{I1be>{i9o=1<7?tH2cb?xh6n10;6on;|l2bf<728qC?lo4}o3e`?6=9rB8ml5rn0df>5<6sA9jm6sa1gd94?7|@:kj7p`=0183>4}O;hk0qc=:182M5fi2we>==50;3xL6gf3td9<94?:0yK7dg51zJ0ed=zf;:=6=4>{I1be>{i:9=1<7?tH2cb?xh5810;6on;|l14f<728qC?lo4}o03`?6=9rB8ml5rn32f>5<6sA9jm6sa47g94?7|@:kj7p`;6g83>4}O;hk0qc:80;295~N4ih1vb99>:182M5fi2we8:<50;3xL6gf3td?;>4?:0yK7dg<87>51zJ0ed=zf==>6=4>{I1be>{i<><1<7?tH2cb?xh3?10;6on;|l7<4<728qC?lo4}o6;6?6=9rB8ml5rn5:0>5<6sA9jm6sa49`94?7|@:kj7p`;8b83>4}O;hk0qc:7d;295~N4ih1vb96j:182M5fi2we85h50;3xL6gf3td?5=4?:0yK7dg2=7>51zJ0ed=zf=396=4>{I1be>{i<091<7?tH2cb?xh31=0;6>3:1=vF=83;pD>on;|l7=<<728qC?lo4}o6:e?6=9rB8ml5rn5;a>5<6sA9jm6sa48a94?7|@:kj7p`;9e83>4}O;hk0qc:6e;295~N4ih1vb97i:182M5fi2we8l>50;3xL6gf3td?m<4?:0yK7dgj>7>51zJ0ed=zf=k86=4>{I1be>{i1<7?tH2cb?xh3i<0;63:1=vF=83;pD>on;|l7e<<728qC?lo4}o6be?6=9rB8ml5rn5ca>5<6sA9jm6sa4`a94?7|@:kj7p`;ae83>4}O;hk0qc:ne;295~N4ih1vb9oi:182M5fi2we8o>50;3xL6gf3td?n<4?:0yK7dgi>7>51zJ0ed=zf=h86=4>{I1be>{i1<7?tH2cb?xh3j<0;63:1=vF=83;pD>on;|l7f<<728qC?lo4}o6ae?6=9rB8ml5rn5`a>5<6sA9jm6sa4ca94?7|@:kj7p`;be83>4}O;hk0qc:me;295~N4ih1vb9li:182M5fi2we8n>50;3xL6gf3td?o<4?:0yK7dgh>7>51zJ0ed=zf=i?6=4>{I1be>{ion;|l7gd<728qC?lo4}o6`f?6=9rB8ml5rn5a`>5<6sA9jm6sa4bf94?7|@:kj7p`;cd83>4}O;hk0qc:lf;295~N4ih1vb9j?:182M5fi2we8i?50;3xL6gf3td?h?4?:0yK7dgo?7>51zJ0ed=zf=n?6=4>{I1be>{ion;|l7`d<728qC?lo4}o6gf?6=9rB8ml5rn5f`>5<6sA9jm6sa4ef94?7|@:kj7p`;dd83>4}O;hk0qc:kf;295~N4ih1vb9k?:182M5fi2we8h?50;3xL6gf3td?i?4?:0yK7dgn?7>51zJ0ed=zf=o?6=4>{I1be>{ion;|l7b5<728qC?lo4}o6e5?6=9rB8ml5rn5d0>5<6sA9jm6sa4g794?7|@:kj7p`;f783>4}O;hk0qc:i7;295~N4ih1vb9h7:182M5fi2we8k750;3xL6gf3td?jh4?:0yK7dgmj7>51zJ0ed=zf<:;6=4>{I1be>{i=9;1<7?tH2cb?xh08h0;6on;|l451<728qC?lo4}o522?6=9rB8ml5rn634>5<6sA9jm6sa70:94?7|@:kj7p`81883>4}O;hk0qc9>a;295~N4ih1vb:?m:182M5fi2we;51zJ0ed=zf>;m6=4>{I1be>{i?;:1<7?tH2cb?xh0:80;6on;|l460<728qC?lo4}o512?6=9rB8ml5rn60;>5<6sA9jm6sa73;94?7|@:kj7p`82`83>4}O;hk0qc9=b;295~N4ih1vb:>50;3xL6gf3td7>51zJ0ed=zf>986=4>{I1be>{i?:>1<7?tH2cb?xh0;<0;63:1=vF=83;pD>on;|l47<<728qC?lo4}o50e?6=9rB8ml5rn61a>5<6sA9jm6sa72a94?7|@:kj7p`83e83>4}O;hk0qc950;3xL6gf3td<8<4?:0yK7dg7>51zJ0ed=zf>>86=4>{I1be>{i?=>1<7?tH2cb?xh0<<0;63:1=vFon;|l40d<728qC?lo4}o57f?6=9rB8ml5rn66`>5<6sA9jm6sa75f94?7|@:kj7p`84d83>4}O;hk0qc9;f;295~N4ih1vb:;?:182M5fi2we;8?50;3xL6gf3td<9?4?:0yK7dg?7>51zJ0ed=zf>??6=4>{I1be>{i?on;|l41g<728qC?lo4}o56g?6=9rB8ml5rn67g>5<6sA9jm6sa74g94?7|@:kj7p`85g83>4}O;hk0qc990;295~N4ih1vb:8>:182M5fi2we;;<50;3xL6gf3td<:>4?:0yK7dg51zJ0ed=zf><>6=4>{I1be>{i??<1<7?tH2cb?xh0>>0;6290:wE=na:m33g=83;pD>on;|l42g<728qC?lo4}o55g?6=9rB8ml5rn64f>5<6sA9jm6sa77d94?7|@:kj7p`87183>4}O;hk0qc981;295~N4ih1vb:9=:182M5fi2we;:=50;3xL6gf3td<;94?:0yK7dg51zJ0ed=zf>==6=4>{I1be>{i?>=1<7?tH2cb?xh0?10;6on;|l43f<728qC?lo4}o54`?6=9rB8ml5rn65f>5<6sA9jm6sa76d94?7|@:kj7p`88183>4}O;hk0qc971;295~N4ih1vb:6=:182M5fi2we;5=50;3xL6gf3td<494?:0yK7dg51zJ0ed=zf>236=4>{I1be>{i?131<7?tH2cb?xh00h0;6c290:wE=na:m3=c=83;pD>on;|l45<6sA9jm6sa7dg94?7|@:kj7p`8eg83>4}O;hk0qc9i0;295~N4ih1vb:h=:182M5fi2we;km50;3xL6gf3td51zJ0ed=zf>lm6=4>{I1be>{i09:1<7?tH2cb?xh?880;67:3:1=vFon;|l;42<728qC?lo4}o:35<6sA9jm6sa81`94?7|@:kj7p`nfc83>4}O;hk0qcoic;295~N4ih1vblhk:182M5fi2wemkk50;3xL6gf3tdjjk4?:0yK7dg51zJ0ed=zfk::6=4>{I1be>{ij981<7?tH2cb?xhe8:0;6on;|la4d<728qC?lo4}o`3f?6=9rB8ml5rnc2`>5<6sA9jm6sab1f94?7|@:kj7p`m0d83>4}O;hk0qcl?f;295~N4ih1vbo?>:181M5fi2wen<<50;3xL6gf3tdi=>4?:0yK7dg51zJ0ed=zfk;>6=4>{I1be>{ij8<1<7?tH2cb?xhe9>0;62909wE=na:mf4g=83;pD>on;|la5g<728qC?lo4}o`2g?6=:rB8ml5rnc3g>5<6sA9jm6sab0g94?7|@:kj7p`m1g83>7}O;hk0qcl=0;295~N4ih1vbo<>:182M5fi2wen?<50;0xL6gf3tdi>>4?:3yK7dg51zJ0ed=zfk8>6=4>{I1be>{ij;<1<7?tH2cb?xhe:>0;6290:wE=na:mf7g=83;pD>on;|la6g<728qC?lo4}o`1g?6=9rB8ml5rnc0g>5<6sA9jm6sab3g94?7|@:kj7p`m2g83>7}O;hk0qcl<0;296~N4ih1vbo=>:181M5fi2wen><50;3xL6gf3tdi?94?:0yK7dg51zJ0ed=zfk9=6=4>{I1be>{ij:=1<7?tH2cb?xhe;10;6on;|la7f<728qC?lo4}o`0`?6=9rB8ml5rnc1f>5<6sA9jm6sab2d94?7|@:kj7p`m4183>4}O;hk0qcl;1;295~N4ih1vbo:=:182M5fi2wen9=50;3xL6gf3tdi894?:0yK7dg51zJ0ed=zfk>36=4>{I1be>{ij=31<7?tH2cb?xheon;|la0`<728qC?lo4}o`7b?6=9rB8ml5rnc71>5<6sA9jm6sab4194?7|@:kj7p`m5583>4}O;hk0qcl:5;295~N4ih1vbo;9:182M5fi2wen8950;3xL6gf3tdi954?:0yK7dg57>51zJ0ed=zfk?j6=4>{I1be>{ijon;|la25<728qC?lo4}o`55?6=9rB8ml5rnc41>5<6sA9jm6sab7194?7|@:kj7p`m6583>4}O;hk0qcl95;295~N4ih1vbo89:182M5fi2wen;950;3xL6gf3tdi:54?:0yK7dg51zJ0ed=zfk{I1be>{ij?h1<7?tH2cb?xhe>j0;6on;|la35<728qC?lo4}o`45?6=9rB8ml5rnc51>5<6sA9jm6sab6194?7|@:kj7p`m7583>4}O;hk0qcl85;295~N4ih1vbo99:182M5fi2wen:950;3xL6gf3tdi;54?:0yK7dg51zJ0ed=zfk=j6=4>{I1be>{ij>h1<7?tH2cb?xhe?j0;67290:wE=na:mf=7=83;pD>on;|la<7<728qC?lo4}o`;7?6=9rB8ml5rnc:6>5<6sA9jm6sab9494?7|@:kj7p`m8683>4}O;hk0qcl78;295~N4ih1vbo66:182M5fi2wen5o50;3xL6gf3tdi4o4?:0yK7dg51zJ0ed=zfk2o6=4>{I1be>{ij1o1<7?tH2cb?xhe0o0;6;3:1=vFon;|la=2<728qC?lo4}o`:=?6=9rB8ml5rnc;b>5<6sA9jm6sab8`94?7|@:kj7p`m9b83>4}O;hk0qcl6d;295~N4ih1vbo7j:182M5fi2wen4h50;3xL6gf3tdim=4?:0yK7dg51zJ0ed=zfkk96=4>{I1be>{ijh?1<7?tH2cb?xhei?0;6on;|laed<728qC?lo4}o`bf?6=9rB8ml5rncc`>5<6sA9jm6sab`f94?7|@:kj7p`mad83>4}O;hk0qclm0;295~N4ih1vbol>:182M5fi2weno<50;3xL6gf3tdin>4?:0yK7dg51zJ0ed=zfkh>6=4>{I1be>{ijk<1<7?tH2cb?xhej>0;6290:wE=na:mfgg=83;pD>on;|lafg<728qC?lo4}o`ag?6=9rB8ml5rnc`g>5<6sA9jm6saf5394?7|@:kj7p`i4383>4}O;hk0qch;3;295~N4ih1vbk:;:182M5fi2wej9;50;3xL6gf3tdm8;4?:0yK7dg51zJ0ed=zfo>i6=4>{I1be>{in=i1<7?tH2cb?xhaon;|le14<728qC?lo4}od66?6=9rB8ml5rng70>5<6sA9jm6saf4694?7|@:kj7p`i5483>4}O;hk0qch:6;295~N4ih1vbk;8:182M5fi2wej8650;3xL6gf3tdm944?:0yK7dgm7>51zJ0ed=zfo?i6=4>{I1be>{inon;|le24<72;qC?lo4}od56?6=9rB8ml5rng40>5<6sA9jm6saf7694?7|@:kj7p`i6483>4}O;hk0qch96;295~N4ih1vbk88:182M5fi2wej;650;3xL6gf3tdm:44?:3yK7dg52zJ0ed=zfo{I1be>{in?i1<7?tH2cb?xha>m0;6vFon;|le34<728qC?lo4}od46?6=9rB8ml5rng50>5<6sA9jm6saf6694?7|@:kj7p`i7483>4}O;hk0qch86;295~N4ih1vbk98:182M5fi2wej:650;3xL6gf3tdm;44?:0yK7dg51zJ0ed=zfo=i6=4>{I1be>{in>i1<7?tH2cb?xha?m0;6on;|le<4<728qC?lo4}od;6?6=9rB8ml5rng:0>5<6sA9jm6saf9694?7|@:kj7p`i8483>4}O;hk0qch76;295~N4ih1vbk68:182M5fi2wej5650;3xL6gf3tdm444?:0yK7dg51zJ0ed=zfo2i6=4>{I1be>{in1i1<7?tH2cb?xha0m0;6vFa290:wE=na:mb<6=83;pD>on;|le=4<72;qC?lo4}od:6?6=9rB8ml5rng;0>5<6sA9jm6saf8694?4|@:kj7p`i9483>4}O;hk0qch66;295~N4ih1vbk78:181M5fi2wej4650;3xL6gf3tdm544?:0yK7dg52zJ0ed=zfo3i6=4>{I1be>{in0i1<7?tH2cb?xha1m0;6?uG3`c8yk`>m3:1=vFon;|lee4<728qC?lo4}odb6?6=9rB8ml5rngc0>5<5sA9jm6saf`694?7|@:kj7p`ia483>4}O;hk0qchn6;296~N4ih1vbko8:181M5fi2wejl650;0xL6gf3tdmm44?:3yK7dg52zJ0ed=zfoki6=4={I1be>{inhi1<7vFon;|lef7<728qC?lo4}oda7?6=9rB8ml5rng`7>5<6sA9jm6safc794?7|@:kj7p`ib783>4}O;hk0qchm7;295~N4ih1vbkl7:182M5fi2wejo750;3xL6gf3tdmnl4?:0yK7dg51zJ0ed=zfohh6=4>{I1be>{inkn1<7?tH2cb?xhajl0;6on;|leg1<728qC?lo4}od`1?6=9rB8ml5rnga5>5<6sA9jm6safb:94?7|@:kj7p`ic883>4}O;hk0qchla;295~N4ih1vbkmm:182M5fi2wejnm50;3xL6gf3tdmok4?:3yK7dg51zJ0ed=zfon:6=4>{I1be>{inm81<7?tH2cb?xhal:0;6?uG3`c8yk`c<3:1=vFon;|le`2<728qC?lo4}odg5<5sA9jm6safec94?7|@:kj7p`idc83>4}O;hk0qchkc;296~N4ih1vbkjk:181M5fi2wejik50;0xL6gf3tdmhk4?:3yK7dg52zJ0ed=zfoo:6=4>{I1be>{inl81<7on;|lea2<72;qC?lo4}odf5<5sA9jm6safdc94?7|@:kj7p`iec83>7}O;hk0qchjc;296~N4ih1vbkkk:181M5fi2wejhk50;0xL6gf3tdmik4?:3yK7dg52zJ0ed=zfol:6=4={I1be>{ino81<7vFon;|leb2<72;qC?lo4}ode5<5sA9jm6safgc94?4|@:kj7p`ifb83>4}O;hk0qchid;295~N4ih1vbkhj:182M5fi2wejkh50;3xL6gf3td:<=>50;3xL6gf3td:<=?50;3xL6gf3td:<=<50;3xL6gf3td:<=:50;3xL6gf3twvqMNL{06e3?44;>885?sO@Cy3yEFWstJK \ No newline at end of file diff --git a/cpld/XC95144XL/WarpSE.ngd b/cpld/XC95144XL/WarpSE.ngd index 4c04e64..3da3685 100644 --- a/cpld/XC95144XL/WarpSE.ngd +++ b/cpld/XC95144XL/WarpSE.ngd @@ -1,3 +1,3 @@ XILINX-XDB 0.1 STUB 0.1 ASCII XILINX-XDM V1.6e -$4755=23-;B8<;4$0K770=#9@?>96*>N506?!7I<=?0(<@;8008 Wcqazcdb7.>.37:$!><.V;B8 X9G>9S964&^3M01Y302,T=C:7_53844=69;1:>7AZTQWW>WG;980;2<>4178JJUSS2H69?76111915?OIX\^1M1<>:0<20>442@D[YY4N_GQA875=97l0?7GAPTV9WR:4284>7L2>0?78E9766<1J0<<15:C?56823H6:83;4A=36:0=F48<596O316<6?D:607?0M1?6>59B84823H69<394A=02>4823H69=3;4A=01:2=F4;9143;4A=00:1=F4;4?7L2<>59B81833H6>295N<7<7?D:06=1J050;;@>::1=FDL:>7LBJ0L78EIC7[j1J@H>Pmtz3456c3HFNGKM9Ufyu>?016g?DJB8Vg~t=>?04f8EIC7Wds<=>?6e9BH@6Xe|r;<=>8d:COA5Yj}q:;<=6k;@NF4Zkrp9:;<4:4AMG2g>GKM8UTc>?00f8EIC6WVey<=>>129BJA2GIL1>0M^|id:CPvcYDdbUBB[?8;@QqbZH7k2KX~kQaou2344b19:C\BVD;98427LQISC>26;?89B[CUE48>556OPFR@?508>3HUM_O2>6?;8EZ@TJ5;<245N_GQA84>912KTJ^L318<;?DYA[K6:245N_GQA876912KTJ^L320<:?DYA[K69>3l4A^DPF94428427LQISC>17;>GXNZH79364A^DPF90902KTJ^L37?:8EZ@TJ52546OPFR@?=;bGXNZHT=?QFBTDg?DYA[KU:?RGMUGf8EZ@TJV;?SDLZFe9B[CUEW8?TEO[Id:C\BVDX9?UBNXHk;@]EWGY6?VCIYKj4A^DPFZ7?W@H^Ji5N_GQA[4?XAK_Mo6OPFR@\5ZOE]On0MRH\B^03[LDRNm1JSK]M_33\MGSAl2KTJ^LP23]JFP@c3HUM_OQ=3^KAQCeGXNZHT?RGMUGa8EZ@TJV>TEO[Ic:C\BVDX=VCIYKm4A^DPFZ0XAK_Mo6OPFR@\3ZOE]Oi0MRH\B^:\MGSAk2KTJ^LP9^KAQC0=f:ClqqIBWds<=>?3g9BkprHMVg~t=>?05d8EjssGLUfyu>?017e?Dir|FOTaxv?01250>DFK]?0NLM[149AEFR5;2H^J<>4BTKO@ZRFZNO_M_MG8:@VWZOINF;0O95L17O:?F71EVCIYK84C32NAKd5LAR`8GDUXe|r;<=>l;BCP[hs89:;=n5LAR]nq}67898h7NO\_lw{4567;j1HM^Qbuy23452d3JKXS`{w01231f=DIZUfyu>?014`?FGTWds<=>?7b9@EVYj}q:;<=6=;BG0?FJL;2IG^>5LNU;8GJKJA]^NH:5LRDCWAA4Bf|h6:2;5KotvLAa=Cg|~DIRa}0123a>Bh}}ENSb|?0122a>Bh}}ENSb|?0121a>Bh}}ENSb|?0120a>Bh}}ENSb|?0127a>Bh}}ENSb|?0126a>Bh}}ENSb|?01255>C23LLj`a84EGcoh403:0=B[5;596K\<3<6?@U;;7>0I^Q?e:GP[5Y7Wge<=>?f:GP[5Y7Wge<=>?1e9FWZ6XWhdo<=>?e:GP[5YXign;<=>>e:GP[5YXign;<=>=e:GP[5YXign;<=>;e:GP[5YXign;<=>:e:GP[5YXign;<=>94:GP[4b0I^Qj;DQ\7ZYffm:;<=?j;DQ\7ZYffm:;<=<>f:GP[HgclVUjhi>?01]N|jtXZly~`y2>>0d8AVYJimnTSljk0123[H~hzVXnxb{<3<2b>CTWDkohRQnde2345YJpfxT^h}zlu>0:4??00c8AVYJimnTSljk0123[Zgil9:;<?0^]bja6788;j7H]PM`fg[Zgcl9:;_np34565m2OXS\Q>_np34564m2OXS\Q>_np34563m2OXS\Q>_np34562m2OXS\Q>_np34561m2OXS\Q>_np34560m2OXS\Q>_np3456?m2OXS\Q>_np3456>m2OXSRokd1234979m2OXSRokd1234949m2OXSRokd123495902OS\L@KEE32?@^WIGNNHRLLD^DJH@733LR[MCJJD^ALJVRUI@D__Cu484?7H|P059FvZ733OIGG45ICMI\MGSA:2LO?6HKC59E@FC33ONH\>5IDQ08BC>;F18CKB63@80E=<4I008M74>0ELM\5:KBGV733@H^J?5FN99JJCCUZLN27D@FTRVBP@5h5FO@AW[hs89:;?h5FO@AW[hs89:;8h5FO@AW[hs89:;9h5FO@AW[hs89:;:h5FO@AW[hs89:;;h5FO@AW[hs89:;4h5FO@AW[hs89:;5;5FO@AWv3=NGKOY^h5FOCGQVZhh|9:;=k5FOCGQVZhh|9:;=<:4IN@N0>OHJZ<0EBLZFD48MJEHEY>0EBM\5:KLGV713@EH_<>9;HM@W4713@EH_<<9;HM@W4523@EH_?;4INAP70=NGJY?96G@CR76?LID[??0EBM\749JKFU?=2CDO^79;HMGKKCc3@EOCCKPos2345cl;HMO4Zkrp9:;h6G@L1]nq}67898o7DAC0^ov|5678:n0EBB?_lw{4567Pmtz34562l2CD@=Qbuy23450c3@EGOHD8i0EBB>_omw4566l2CD@?0031?LIIX[ojhtQPos234546:2CDB]\jae{\[jt789:8=?5FOORQadb~WVey<=>?4008MJHWZlkouRQ`r123400?0031?LIW_[ojhtQPos234546:2CD\Z\jae{\[jt789:8=?5FOQUQadb~WVey<=>?469JKWBUMXl0EB\KRDS\ekb789::<6G@REPFUZgil9:;<?2028MJTCZL[Tmcj?0120b>OHZMXN]Rczx123446109JKWBUMXUfyu>?013154=NG[NYI\Qbuy234574981BC_J]EP]nq}6789;?==5FOSFQATYj}q:;<=<>0:KLVATBYVg~t=>?0233?LIUL[OZS`{w0123046?8028MJTCZL[Taxv?012:<>OHZMXN]84INPFUw1Paof34564k2CD_=Qbuy2345bOH[9Ufyu>?011g?LIT8Vg~t=>?05f8MJU7Wds<=>?5e9JKV6Xe|r;<=>9d:KLW5Yj}q:;<=9k;HMP4Zkrp9:;<5j4INQ3[hs89:;5n5FOR]EWHYANm;h7DA\_GQN[C@c:o1BC^QISL]EBa4*Ag;;7DA\_GQN[C@c:$Ce=<>4INQ\BVKXNOn9!D`=119JKVYA[DUMJi<"Io1`?LITWOYFSKHk3g9JKVYA[DUMJi="Io33?LITWOYFSKHk3,Km546OH[VLXARHId5a8MJUXNZGTJKj:c:KLWZ@TEVLMh;h4INQ\BVKXNOn=!D`>0:KLWZ@TEVLMh;#Fn033?LITWOYFSKHk6,Km646:<6G@S^DPIZ@Al?'Bb8m4INQ\BVKXNOn'Bb<>4INQ\BVKXNOn119JKVYA[DUMJi9"Io024>OH[VLXARHId6/Jj6773@EXSK]B_GDg3(Oi<8:0EB]PFRO\BCb0%@d>:6G@TXRF0>OH]9i0EB[?_lw{4567l2CDY=Qbuy23457b3@E^OH]9Ufyu>?017g?LIR8Vg~t=>?07f8MJS7Wds<=>?7e9JKP6Xe|r;<=>7d:KLQ5Yj}q:;<=7;;HMV5f=NG\;Tbbz?013g?LIR9Vddx=>?1078MJSC[>1BCZ\]EPd8MJQUZL[Taxv?01224>OH_[XN]Rczx12344763@E\^_K^_lw{456799;:7DAXRSGR[hs89:;=;HMTVWCVWds<=>?1332?LIPZ[OZS`{w012356763@E\^_K^_lw{45679=;:7DAXRSGR[hs89:;=8??;HMTVWCVWds<=>?2028MJQUZL[Taxv?012055=NG^XYI\Qbuy23452682CD[_\JQ^ov|5678<;;7DAXRSGR[hs89:;:<>4INUQV@WXe|r;<=>8119JKRTUMXUfyu>?01:24>OH_[XN]Rczx1234<>JSadoy0<06;MVji`t;:730@Ygbes>0:<=K\`gn~1:19:NWmhcu4<427AZfmdp?2;?89OPlkbz52556B[ilgq8<8?3E^bah|[C018HQojm{^HSnc_ds34566<2F_e`k}TB]`iuYby9:;<?01620>JSadoyXNQlmq]fu5678<;?7AZfmdpWGZejxVoz<=>?699OPlkbzV::<6B[ilgq[5Yj}q:;<=?>;MVji`tX8Vg~t=>?0032?IRnelxT;MVji`tX8Vg~t=>?0432?IRnelxT20@Ygbes]2=>JSadoyS<>>2:NWmhcuW8:TSl`k012356=K\`gn~R??_^cm`56788;87AZfmdp\55YXign;<=>=109OPlkbzV;;SRa}012357=K\`gn~R??_^mq4567901GXdcjr^3257=K\`gn~R?>_^cm`5678890@Ygbes]25ZYffm:;<=?>3:NWmhcuW8;TSl`k0123645_bos[`w789::86B[ilgq[4YdeyUn}=>?0036?IRnelxT=Rmbp^gr456799;>7AZfmdp\5ZejxVoz<=>?1036?IRnelxT=Rmbp^gr45679;;>7AZfmdp\5ZejxVoz<=>?1236?IRnelxT=Rmbp^gr45679=;>7AZfmdp\5ZejxVoz<=>?1437?IRnelxT=Rmbp^gr4567:8>0@Ygbes]2[fkwWl{;<=><159OPlkbzV;To`~Pep234526<2F_e`k}_0]`iuYby9:;<8?;;MVji`tX9Vif|Rk~0123242_bos[`w789:<=95CThofvZ7XkdzTi|>?01:20>JSadoyS?1038HQojm{U:S`{w0123647_lw{4567;8;0@Ygbes]2[hs89:;8?5038HQojm{U:S`{w0123247_lw{4567?8;0@Ygbes]2[hs89:;4<>4LUknawY6Wge<=>>109OPlkbzV;Tbbz?013254=K\`gn~R?Pnnv34575981GXdcjr^3\jjr789;8=<5CThofvZ7Xff~;<=?;109OPlkbzV;Tbbz?013654=K\`gn~R?Pnnv3457102F_e`k}_332?IRnelxT>RQnne2345753E^bah|P2^]bja6789;:>6B[ilgq[7YXign;<=>=8:NWmhcuW:;:7AZfmdp\7ZYffm:;<=?=;MVji`tX;VUjbi>?01326>JSadoyS>QPaof3456502F_e`k}_532?IRnelxT8RQnne2345753E^bah|P4^]bja6789;:>6B[ilgq[1YXign;<=>=8:NWmhcuW<;:7AZfmdp\1ZYffm:;<=?=;MVji`tX=VUjbi>?01326>JSadoyS8QPaof3456502F_e`k}_732?IRnelxT:RQnne2345753E^bah|P6^]bja6789;:>6B[ilgq[3YXign;<=>=8:NWmhcuW>;:7AZfmdp\3ZYffm:;<=?=;MVji`tX?VUjbi>?01326>JSadoyS:QPaof3456502F_e`k}_932?IRnelxT4RQnne2345753E^bah|P8^]bja6789;:>6B[ilgq[=YXign;<=>=8:NWmhcuW0;:7AZfmdp\=ZYffm:;<=?=;MVji`tX1VUjbi>?01326>JSadoyS4QPaof34565=2Fdmi?j;Mmb`4YXign;<=>>c:Ob`aYXimn;<=>PMymq[Wct}e~7=3?k;Lcg`ZYflm:;<>7:Ob`aYXimn;<=?P_`lg456798<0Aljk_^cg`5679VUjbi>?0034?HgclVUjhi>?00]\ekb789;:=;5Baef\[dbc89::SRoad1236416:Ob`aYXimn;<=?P_`lg45649>1FmijP_`fg4566WVkeh=>?3035?HgclVUjhi>?00]\ekb789>:;6Cnde]\eab789;TSl`k0127540?6058IdbcWVkoh=>?1^]bja678?;::6Cnde]\eab789;TSl`k012452=JimnTSljk0122[Zgil9:;;6?2GjhiQPaef3457XWhdo<=>71048IdbcWVkoh=>?1^]bja6780;<7@okd^]b`a6788UTmcj?01;2g>Kfbfx]i}fooa8Ilhhz_oydaa2:L37>H79>1EIYY@RJ48JJEKAY;0C95@BTD6?JDRNL?0CIM\re9L@FUuWhdo<=>?e:MGGVtXign;<=>>3:MMA7=HZ:1D^?=4OS10?JT3;2E^X95@nbg`?JhdmVUd~=>?0e9LjfcXWfx;<=>>d:Mmg`YXg{:;<=<>;Qa8T+479:;;=>QC3:R246=W9890\<<<;Q307>V6<:1[=8=4P040?U70;2Z:4>5_1808T75V50:1[>4<4P218T6643Y99?6^<329S7155_4718T0643Y?9?6^:329S1155_6518T3343Y<=?6^9739S36=W?990\:<<;Q507>V0<:1[;;=4P650?U1?;2Z<5?5_829S<55V>;2Z2<>5_9018T<443Y38?6^6429S=05VUM81Z46_`SSgb`|`;PmPV`gcqVey<=>?1032?TiTZlkouRa}012357763XeX^hoky^mq45679:;;7\a\Rdcg}Ziu89:;><>4QnQQadb~Wfx;<=><119RkVTbimsTc>?01624>Wh[[ojhtQ`r12340773XeX^hoky^mq4567>8:0]b]]e`fz[jt789:<==5^oRPfeaXg{:;<=6>0:SlWWcflpUd~=>?0878VD:76?1YM1??>99QE976294=7_O310<6?WG;97?0^L2=>49QE959>2XJ0>0>6:PB8685>2XJ0>0<6:PB8683=2XJ090:;SC?1;3TF414>7_O39?18VDK23[KFO^94R@O@W5^?3[KFO^>W199QEHET8Q837_OBCR2[73=UIDIX=85]ALGMa>TFELDTSl`k0123b>TFELDTSl`k01235a=UIDOESRa}0123a>TFELDTSb|?0122a>TFELDTSb|?0121a>TFELDTSb|?0120a>TFELDTSb|?0127a>TFELDTSb|?0126a>TFELDTSb|?0125g>TFELDTc>?01f8VDKBFVey<=>?1e9QEHCIWfx;<=>=8:PBIWcflpl0^LC]e`fz[jt789::<6\NMSgb`|Yhz9:;<?2028VDKUmhnrSb|?012055=UIDXnmiwPos23452682XJA_kndx]lv5678<20^LC\t`pw=>TFEZ~j~y?:;SCPAIb3[KT?d:PB[5Yj}q:;<=?k;SC\4Zkrp9:;Pmtz3456b3[KT==Qbuy23457b3[KT==Qbuy23454b3[KT==Qbuy23455b3[KT==Qbuy23452f3[KT=_lw{4567;m1YMR?Pmtz3456312XJS?Q@BTD`?WGX:Vg~t=>?0e9QEZ4Xe|r;<=>>d:PB[7Yj}q:;<=j4R@]1[hs89:;845]A^1\KGSA12XJS9Q@BTD`?WGX?0e9QEZ2Xe|r;<=>>d:PB[1Yj}q:;<=j4R@]7[hs89:;845]A^7\KGSAk2XJS8Qbuy2345bS`{w01235a=UIV?Taxv?0121`>TFW?011g?WGX=Vg~t=>?05;8VDY1WFH^Jn5]A^4\ip~789:o7_OP6^ov|56788n0^LQ9_lw{4567:m1YMR8Pmtz34564l2XJS;Qbuy23452>3[KT;RAMUGa8VDY0Wds<=>?d:PB[2Yj}q:;<=?k;SC\3Zkrp9:;TFW1Ufyu>?010g?WGX0Vg~t=>?02f8VDY?Wds<=>?489QEZ?XGK_Mo6\N_8]nq}6789n0^LQ6_lw{45679m1YMR7Pmtz34565l2XJS4Qbuy23455c3[KT5Rczx12341eTHEJY=7_ABCR35?WIJKZ8=7_ABCR15?WIJKZ><7_ABCR6[<>THEJY?T<64RNO@W1^502XDAN];X2:8VJKD[=R?:6\@MBQ62>THEJY=:6\@MBQ47>TT\k1Y_RH\M^DE`4d&Ec$Ce>k5]S^DPIZ@Al?'Bb>h4RR]EWHYANm<&Ec:i;SQ\BVKXNOn=!D`:f:PP[CUJWOLo: Ga6g9QWZ@TEVLMh;#Fn6`8VVYA[DUMJi9j;SQ\BVKXNOnb:PP[CUJWOLo4h5]S^DPIZ@Al1'Bbk5]S^DPIZ@Al1'Bb2Xnmiw>6:Pfea5>2Xnmiw<6:Pfea3>2Xnmiw:6:Pfea1>2Xnmiw87:PfbAiim8:0^hhKoog\[dhc89:;=<5]egFlj`YXign;<=>>f:PfbAiimVUd~=>?0028V``CggoTSb|?012255=UmoNdbhQPos23454682XnjIaae^]lv5678:;;7_kiDnlf[Ziu89:;8<>4RddGkkcXWfx;<=>:a:PfbCthe[Y;n6\jfGpliWU79k1YikH}olPP47d;6:PfbWcv02Xnj_k~Io32?WcaZl{To`~Pep2345753[om^hPclr\at6789;:>6\jfSgr[fkwWl{;<=>=139QacTbyVif|Rk~01237446:PfbPt`02XnjX|hIo32?Wca]{mTo`~Pep2345753[omYiPclr\at6789;:>6\jfTpd[fkwWl{;<=>=139QacSuoVif|Rk~01237447^Y33?c8WR:46VCIYK:4Sdlwg>Ubf}UTc>?01f8W`hsWVey<=>?1e9PakrXWfx;<=>=d:QfjqYXg{:;<==k;RgmpZYhz9:;<9j4Sdlw[Ziu89:;9i5\eov\[jt789:=h6]jnu]\kw6789=o7^kat^]lv56781k0_cj]ALAPSWd<[gnYM@M\WS3`?VhcZHGH_Z\>0b9PjaTFEJY\^n5\nePBIFUPZ89h7^`kR@O@WRT63Zdo^BCLS0c8WkbUGDIX==o4SofQKHET98k0_cj]OLAP57g<[gnYC@M\12c8WkbUGDIX=9o4SofQKHET9<30_cj]OLAP6<=TfmXDAN]<9:Qm`WIJKZ>27^`kRNO@W0?<[gnYC@M\689PjaTHEJY<56]adSMNGV>>3Zdo^BCLS838P6=SZ@20X_G\T@VF0>RU]Lh0X^QISL]EBa7b3]YTJ^CPFGf2)Lha3]YTJ^CPFGf2)Lh6n2^XSK]B_GDg5(Oi:k1__RH\M^DE`7c<\ZUM_@QIFe0.Mk`<\ZUM_@QIFe0.Mk7682^XSK]B_GDg6(Oi99l0X^QISL]EBa4*Ag8m7Y]PFRO\BCb5%@d8j6Z\_GQN[C@c:$Ce8k5[S^DPIZ@Al;'Bb8h4TR]EWHYANm8&Ec8i;UQ\BVKXNOn9!D`8f:VP[CUJWOLo> Ga8g9WWZ@TEVLMh?#Fn8`8PVYA[DUDyy>l;UQ\BVKXG|~;=n5[S^DPIZIr|98?7YW_E99Wmhcu49437Ygbes>2:==Sadoy0?07;Uknaw:46>1_e`k}_133?Qojm{U;SRoad123447<\`gn~R>P_`lg456798;0Xdcjr^2\[dhc89:;>?369WmhcuW8;;7Ygbes]2[Zgil9:;<?1038PlkbzV;TSl`k012362=SadoyS???;UknawY5WVkeh=>?0038PlkbzV8TSl`k0123547<\`gn~R1_e`k}_233?Qojm{U8SRoad123447<\`gn~R=P_`lg456798;0Xdcjr^1\[dhc89:;>?<4ThofvZKflmUTmij?012\I}iuW[oxyaz31?01?Qojm{UFmijP_`fg4567WDrd~R\jstnw8785:2^bah|PM`fg[Zgcl9:;?01]\ekb789::h6Zfmdp\IdbcWVkoh=>?0^]bja6789;:o6Zfmdp\IdbcWVkoh=>?0^]bja6788;o7Ygbes]NeabXWhno<=>?_^cm`56798;:7Ygbes]\eab789:7=3?>;UknawYXimn;<=>32?32?Qojm{UTmij?012?7;b<\`gn~Road1234`=SadoySl`k012353=RF\YNHl5ZSDP\EIOF[j1^_H\PVHQJFIC43_IH56XFEV]W]UC33_ZJ~n5YP@p\jjr789;o7[^Nr^llp56798h0Zdj]ALAPSW7d3_co^LCLSVP24g=QamXJAN]XR3`8RlbUIDIX[_=m;WkgVDKD[^X?n6XfdSCNGVQU=k1]ei\NMBQTV3d<^`nYM@M\WS5a?SocZHGH_Z\7b:Tj`WGJKZ]Y585XS=2=1>QT484>7Z]32?78SV:46=1\_R>k;VQ\4ZYffm:;<=k4WR]3[Zgil9:;<QTW8UTmcj?012f?RUX9VUjbi>?0137?RUX:m1\_Rj4WR]0[Zgil9:;?_LzlvZTb{|f0<0>f:UP[HgclVUjhi>?01]N|jtXZly~`y2=>0d8SVYJimnTSljk0123[H~hzVXnxb{<2<2=>QTWDkohRQnde2345YXign;<=>>a:UP[HgclVUjhi>?01]\ekb789::=45XS^Ob`aYXimn;<=>P_`lg45669h1\_RCnde]\eab789:TSl`k01225`=P[VUjhi>?01>2:`=P[VUjhi>?01>1:`=P[VUjhi>?01>0:3=Pi{zXI5WOS68\JT5>2RXXAGM7:ZPPZAIL>1S_YQYCB78\ZIIM<1SSY\F6:Z\^@TH>2RonRGkb:ZglZVuadCezn5Wdi]SvlkSqyom7Ujg_QpjiScu{`ee==5Wdl]Neoiu^lxxeb`>0:ZgiZKnffx]i}foo48\j:76890T~z;;[GQKg=Ximn;<=>31?a8[dbc89::0<>1c:]b`a67886:=3l4_`fg45664;4i7Rokd1235959j2Ujhi>?00>7:g=Ximn;<=?35?`8[dbc89::0;0m;^cg`56795=5n6Qnde2344:?6k1Tmij?013?=;5ohjd>0ebl|9:lB@jssDL:n7cOKotvOA5YHJ\L:<6`NDnwwH@6Xg{:;<=?>;oCGkprKM9Ud~=>?0032?kGCg|~GI=Q`r12347763gKOcxzCE1]lv5678:30bLJ`uuNF5d=iIMe~xAK>1d9mEAir|EO:SBLZF29mEV1hF[fSC>>e:lBWjssWge<=>>f:lBWjssWge<=>>1028jDUh}}Uecy>?0033b>hF[fSca{01226c=iIZe~xR``t12356`o1eM^azt^llp5679>l0bL]`uu]mkq67882m7cO\otv\jjr789;2;6`NotvLA==iIfCH?l;oClqqIBWFH^J85aBDPQ=>hEM[XTJ^Lj;o@FVWYA[KUDNXH>1:lAAWTXNZHTSb|?01226>hEM[XTJ^LP_np34566981eNH\]_GQA[Ziu89::=?5aBDPQ[CUEWVey<=>>1008jGCUZVLXNRQ`r12357753gHN^_QISC]\kw6788927cLJRS]JKGchEZVCDNRczx123446??;o@Q[LIEWds<=>?4028jGTXAFHTaxv?012655=iJ[UBCOQbuy23450682dI^RG@B^ov|5678>>0bNO\9:l@EVYHJ\Lh7cMNS^llp5679m1eOL]Pnnv34576>2dOXLMDa:lGPDELWOYIj6`KT@AH[CUEWFH^Jl5aDUC@OZOHJo1eHYOLK^KLFZOE]Oo0bIZNCJ]bja6789l0bIZNCJ]bja6789;m7cJ[ABI\ekb789:9j6`KT@AH[dhc89:;?h5aDUC@OZhh|9:;=k5aDUC@OZhh|9:;=<84nEkmH@d?01g8jAoiDLUd~=>?0048jAoiGL=0bIgaOD3a?kBnfFOTCO[Ie:lGmkIBWhdo<=>?f:lGmkIBWhdo<=>?1g9m@lhHMVkeh=>?03d8jAoiGLUjbi>?011e?kBnfFOTmcj?0127b>hCagENSl`k012312=iLfCH64nEmvpJC6k2dOcxz@E^MAQC`<>4nEmvpJCXign;<=?<5:lJTI4f3gC[@?QFBTD5?kOWD;x?7cBKS99mHAUXNZHo7cBKS^DPFZOE]O20bAJ\_HMAa>hKLZUBCOQ@BTDFf>hKLZUBCOaztb9mHAUXign;<=i5aLEQ\ekb78988h6`CDR]bja678;>o7cBKS^cm`567:m1e@I]Paof34540l2dGH^Qnne2347>c3gFO_Road1236hKLZUecy>?0037?kJPM<1e@ZK>c:lOS@Yffm:;<=j4nMUF[dhc89:;=i5aLVG\ekb789:9h6`CWD]bja67899o7cBXE^cm`5678=90bBK;;oMF51=iGL837cAJ_N@VB==iGoy`lw>0:lLr`tkipUecy>?0032?kIqm{fjuR``t12354763gE}ibny^llp5679;;:7cAyesnb}Zhh|9:;=>?>;oMuawjfqVddx=>?1558jWGJD^Oh7c\NMMUF[JDRN>1e^LCZWDa8jWGJ]^OTCO[I4:lQEV3hUIZ9>7c\NS578jWGT=<1e^L]99:lQEVYHJ\L?7c\JSc9mV@UXAFH^JHm4nSGP[kis89::h6`]ER]mkq6788;<7c\JSnww2>hUGDIX;6`]OLAP5g=iZFGH_RAMUG48jWIJ_L=0b_ABWD3a?kTHE^OTCO[I4:lV@V>hRLZUjbi>?003g?kSC[Vkeh=>?13f8jPBTWhdo<=>>3e9mQAUXign;<=?;d:lV@VYffm:;<<;k;oWGWZgil9:;=;j4nTFP[dhc89::;i5aUEQ\ekb789;3h6`ZDR]bja67883h7c[KS^llp5679m1eYI]Pnnv34576<2d^[H;4nTUF5f=i]^OTmcj?012g?kSPMVkeh=>?00f8jPQBWhdo<=>?2e9mQRCXign;<=>hQEHUTc>?014g?kPJIVUd~=>?06f8jSKFWVey<=>?8b9mRHGXign;<=>k;oTNEZgil9:;<i5aVLC\ekb789:8h6`YM@]bja6789>o7cXBA^cm`5678<>0b[^N8:lUTDYA[Kn0b[^N_GQA[JDRN11eZ]OPIN@g?kPWIVCDNRGMUGa8jSVFWhdo<=>?d:lUTDYffm:;<=?l;oTSEZhh|9:;=i5aVQC\jjr789;:?6`XE69mS@YA[Ki0bZKPFR@\MGSA02dlho{fle18vdk?3qi29=>wl038|f?29=>s`y>01/24==aecetx`>8:zoj}Yf}}eT:m11;95367;o65f?0<,=<=69;k;|Qb4?21l382:7?<4c33=?718920_2<6;=h:<44>612a?Vg7235`24<<6>9:o7i::c;295?7|[h;18;j52849562e9931=;>?4:&;2?32:2Bj;6x[e783>4<62=;:w^o>:54g>7?1289?n<>6:04341=#<:91=k:4V54:>7}r:>l1=6{=8183?x"5m:0>9>5+e883?!c027)k7:02g?g22k3:18o4n4;`5M2492.?4>4;'5c6=;o30'8>m:188m=d=831d8ol50;9j0=?=831d99;50;9j141=831d9<=50;9l<>4?::k7e=<722e?jk4?::m7f3<722e?mn4?::ka6?6=3`l;6=44i5`g>5<5<5<<6=44o43:>5<6=44i9d94?=n000;66a;7g83>>i30<0;66g;de83>>o3ih0;66a:1183>>i3k<0;66a;dg83>>i2;90;66a;c883>>i3l;0;66g:4d83>>i3i90;66a=f`83>!4b=38m56`=e583?>i5n10;6)h5m=0:76a<0683>!4b=38m56`=e581?>i48?0;6)h5m=0876a<0483>!4b=38m56`=e587?>i48=0;6)h5m=0>76a<0283>!4b=38m56`=e585?>i48;0;6)h5m=0<76a=fe83>!4b=38m56`=e58;?>i5nj0;6)h5m=0276a=fc83>!4b=38m56`=e58b?>i5n>0;6)h5m=0i76g:a;29 7c22<30b?k;:198m0>=83.9i84:9:l1a1<632c>;7>5$3g6>0?56`=e580?>o2<3:1(?k::4;8j7c32=10e8=50;&1a0<212d9i94:;:k66?6=,;o>6874n3g7>3=h5m=0<76g:0;29 7c22<30b?k;:998m1`=83.9i84:9:l1a1<>32c?i7>5$3g6>0?56`=e58a?>o3k3:1(?k::4;8j7c32j10e9l50;&1a0<212d9i94k;:k56?6=,;o>6874n3g7>`=h5m=0m76g90;29 7c22<30b?k;:028?l3a290/>h;5589m6`2=9810e8k50;&1a0<212d9i94>2:9j1a<72-8n97;6;o0f0?7432c>o7>5$3g6>0?5<#:l?1945a2d6950=h5m=0::65f3b;94?"5m<08o55a2d694>=n;j<1<7*=e480g==i:l>1=65f3b694?"5m<08o55a2d696>=e"3>10?:h5+1g39102=>7>51;294~"38m0???5G4728L1563->=47<7b:&2b4<2==1d>4;50;&72=<51910qo:8b;295a<3m3<9wE:<1:&74aj::188m=4=831b9=l50;9l7`0=831d=?o50;9j11b=831d?=750;9l726=831d==850;9j60<72-8n97<;;o0f0?6<3`886=4+2d7961=i:l>1=65f2383>!4b=38?7ch;5259m6`2=;21b=h4?:%0f1?433g8n87:4;h3g>5<#:l?1>95a2d691>=n9j0;6)h:57:9j5d<72-8n97<;;o0f0?><3`;26=4+2d7961=i:l>1565f1983>!4b=38?7ch;5259m6`2=j21b=;4?:%0f1?433g8n87m4;h36>5<#:l?1>95a2d69`>=n:m0;6)h:5f:9a037=83;1<7>t$52g>ce<@=<;7E:<1:&72=<3>l1/=k?55468kcd=83.?:54=9198yg4?03:1?7<54z&74ah950;9a6`1=83?1>78t$52g>c3<,8l:68;;;n0f3?6=3`8n:7>5;hc5>5<>da=3:1:7>50z&72=5$54;>5$54;>6e432c8;i4?:%654>50;&72=<51910qo:93;295?6=8r.?:54=8c9l6<3=83.?:54=9198yvg12909wSo9;46>3ty347>52z\;<>;a=33o7p}6e;296~X>m27m97=8d:p6`0=838pR?k9;6e43ty9i:4?:3y]6`1<5o?1>4>4}r606?6=:r7m977l;<657?4>=2wvn?4?:083>5}#<::156*;0e8eg>"3>10?:h5+1g3910252z\1a2=::l=1>h94}rc5>5<5sWk=70vP78:?1a253z?1a2<5m?16>h959d9>6?`e3->8<7m4}|`7b<<72<096;u+41f9b1=O;%33f?c<,8l:68;;;h;`>5<>of>3:17b50z&72=5$54;>5$54;>6e432c8;i4?:%654>50;&72=<51910qo:95;295?6=8r.?:54;6d9l6<6=83.?:54=9198yv4b?3:1>vP=e69>6`1=:0:0q~o9:181[g1348n;7??9:p=`<72;qU5h522d5972bh953b1891022;3;7psm31`94?4=83:p(9>k:02`?M2182B??<5+47:9<0=#9o;198:4igf94?"3>10:<454o3:6>5<#4>4H544?>{e;9i1<7<50;2x 16c28:h7E:90:J774=#6=4+47:96<6<@=<<76sm31f94?5=83:p(9>k:528L1073A>8=6*;6980b>"6n80>995ffd83>!2103;;565ffg83>!2103;;565`29794?"3>1095=54}c13a?6=;3:1N3>91C8>?4$54;>6`<,8l:68;;;hdf>5<#5<#t$52g>16<@=<;7E:<1:&72=<4n2.:j<4:559jb`<72->=47??9:9jbc<72->=47??9:9l6=3=83.?:54=9198yg50>3:1>7>50z&74a<68j1C8;>4H512?!21032>7)?i1;760>oal3:1(987:02:?>i50<0;6):98;0:4>N3>>10qo=87;296?6=8r.?0b9K036<@=9:7):98;:6?!7a93?>86gid;29 10?28:276a=8483>!210382<6F;6698yg5003:1>7>50z&74a<68j1C8;>4H512?!21032>7)?i1;760>oal3:1(987:02:?>i50<0;6):98;0:4>N3>>10qo=89;291?6=8r.?=99307dhi:18'03>=99307d??0;29 10?28:276g>0083>!2103;;565`29794?"3>1095=54}c333?6=13:1N3>91C8>?4$54;>6`<,8l:68;;;hdf>5<#5<#6;:k244<72->=47??9:9j554=83.?:54>0898m464290/8;6511;8?l77<3:1(987:02:?>o68<0;6):98;33=>=h:1?1<7*;6981=5=mn7>52;294~"38m0:=476:;%3e5?32<2cmh7>5$54;>46>32e9484?:%6582B?::54}c6eg?6=:3:1;%6523-;m=7;:4:ke`?6=,=<36<>6;:m1<0<72->=47<60:J722=mh7>53;294~"38m094i5G4728L1563->=47<7c:&2b4<2==1bjh4?:%655;50;&72=<51910qo:ie;297?6=8r.?=99307dhi:18'03>=99307b<75;29 10?2;3;76sm42594?3=83:p(9>k:508L1073A>8=6*;6980b>"6n80>995ffd83>!2103;;565ffg83>!2103;;565f11294?"3>10:<454i022>5<#t$52g>16<@=<;7E:<1:&72=<4n2.:j<4:559jb`<72->=47??9:9jbc<72->=47??9:9l6=3=83.?:54=9198yg24i3:1?7>50z&74a<50m1C8;>4H512?!210383o6*>f08611=nnl0;6):98;33=>=nno0;6):98;33=>=h:1?1<7*;6981=5=8n7>52;294~"38m0:=476:;%3e5?32<2cmh7>5$54;>46>32e9484?:%6582B?::54}c60g?6=:3:1;%6523-;m=7;:4:ke`?6=,=<36<>6;:m1<0<72->=47<60:J722=8h7>52;294~"38m0:=476:;%3e5?32<2cmh7>5$54;>46>32e9484?:%6582B?::54}c60a?6=;3:1N3>91C8>?4$54;>6`<,8l:68;;;hdf>5<#5<#t$52g>16<@=<;7E:<1:&72=<4n2.:j<4:559jb`<72->=47??9:9jbc<72->=47??9:9l6=3=83.?:54=9198yg2383:1?7>50z&74a<382B?:=5G4238 10?2:l0(:477?l`b290/8;6511;8?l`a290/8;6511;8?j4?=3:1(987:3;3?>{e<:21<7:50;2x 16c2=;0D98?;I605>"3>108j6*>f08611=nnl0;6):98;33=>=nno0;6):98;33=>=n99:1<7*;69824<=6=4+47:96<6<3th8;<4?:383>5}#<9n1==m4H543?M2492.?:5475:&2b4<2==1bji4?:%655;50;&72=<5191C8;94;|`037<72;0;6=u+41f955e<@=<;7E:<1:&72==47??9:9l6=3=83.?:54=919K031<3th8;>4?:383>5}#<9n1==m4H543?M2492.?:5475:&2b4<2==1bji4?:%655;50;&72=<5191C8;94;|`031<72;0;6=u+41f955e<@=<;7E:<1:&72==47??9:9l6=3=83.?:54=919K031<3th8;l4?:283>5}#<9n1>5j4H543?M2492.?:54=8b9'5c7==<>0ekk50;&72=<68010ekh50;&72=<68010c?6::18'03>=:0:07pl<7c83>6<729q/8=j5419K036<@=9:7):98;1e?!7a93?>86gie;29 10?28:276gif;29 10?28:276a=8483>!210382<65rb25`>5<3290;w):?d;62?M2182B??<5+47:97c=#9o;198:4igg94?"3>10:<454igd94?"3>10:<454i023>5<#t$52g>14<@=<;7E:<1:&72=<4n2.:j<4:559jb`<72->=47??9:9jbc<72->=47??9:9j556=83.?:54>0898m466290/8;6511;8?j4?=3:1(987:3;3?>{e;lo1<7=50;2x 16c2=:0D98?;I605>"3>108j6*>f08611=nnl0;6):98;33=>=nno0;6):98;33=>=h:1?1<7*;6981=5=52;294~"38m0:=476:;%3e5?32<2cmh7>5$54;>46>32e9484?:%6582B?::54}c1e4?6=:3:1;%6523-;m=7;:4:ke`?6=,=<36<>6;:m1<0<72->=47<60:J722=52;294~"38m0:=476:;%3e5?32<2cmh7>5$54;>46>32e9484?:%6582B?::54}c1e6?6=:3:1;%6523-;m=7;:4:ke`?6=,=<36<>6;:m1<0<72->=47<60:J722=52;294~"38m0:=476:;%3e5?32<2cmh7>5$54;>46>32e9484?:%6582B?::54}c1e0?6=:3:1;%6523-;m=7;:4:ke`?6=,=<36<>6;:m1<0<72->=47<60:J722=52;294~"38m0:=476:;%3e5?32<2cmh7>5$54;>46>32e9484?:%6582B?::54}c1f;%6523-;m=7;:4:ke`?6=,=<36<>6;:m1<0<72->=47<60:J722=53;294~"38m094i5G4728L1563->=47<7c:&2b4<2==1bjh4?:%655;50;&72=<51910qo=ja;29=99307dhi:18'03>=99307d??0;29 10?28:276g>0083>!2103;;565f11094?"3>10:<454i020>5<#6;:m1<0<72->=47<60:9~f6ce29086=4?{%63`?273A>=<6F;309'03>=;o1/=k?55468mcc=83.?:54>0898mc`=83.?:54>0898k7>2290/8;652828?xd4mj0;6>4?:1y'05b=<91C8;>4H512?!21039m7)?i1;760>oam3:1(987:02:?>oan3:1(987:02:?>i50<0;6):98;0:4>=zj:oo6=4;:183!27l3>:7E:90:J774=#5$54;>7?732wi?i850;;94?6|,=:o69;4H543?M2492.?:5450;&72=<68010e<>>:18'03>=99307d??2;29 10?28:276g>0283>!2103;;565f11694?"3>10:<454i026>5<#t$52g>7>c3A>=<6F;309'03>=:1i0(:477?l`b290/8;6511;8?l`a290/8;6511;8?j4?=3:1(987:3;3?>{e;ml1<7;50;2x 16c2=80D98?;I605>"3>108j6*>f08611=nnl0;6):98;33=>=nno0;6):98;33=>=n99:1<7*;69824<=5$54;>7?732wi?h>50;094?6|,=:o6<>l;I654>N3;81/8;65849'5c7==<>0ekj50;&72=<68010c?6::18'03>=:0:0D988;:a7`7=8381<7>t$52g>46d3A>=<6F;309'03>=0<1/=k?55468mcb=83.?:54>0898k7>2290/8;652828L10032wi?h<50;094?6|,=:o6<>l;I654>N3;81/8;65849'5c7==<>0ekj50;&72=<68010c?6::18'03>=:0:0D988;:a7`5=8381<7>t$52g>46d3A>=<6F;309'03>=0<1/=k?55468mcb=83.?:54>0898k7>2290/8;652828L10032wi?h:50;094?6|,=:o6<>l;I654>N3;81/8;65849'5c7==<>0ekj50;&72=<68010c?6::18'03>=:0:0D988;:a7`3=8381<7>t$52g>46d3A>=<6F;309'03>=0<1/=k?55468mcb=83.?:54>0898k7>2290/8;652828L10032wi?i950;094?6|,=:o6<>l;I654>N3;81/8;65849'5c7==<>0ekj50;&72=<68010c?6::18'03>=:0:0D988;:a7a>=83?1<7>t$52g>14<@=<;7E:<1:&72=<4n2.:j<4:559jb`<72->=47??9:9jbc<72->=47??9:9j556=83.?:54>0898m466290/8;6511;8?j4?=3:1(987:3;3?>{e;m31<7=50;2x 16c2=:0D98?;I605>"3>108j6*>f08611=nnl0;6):98;33=>=nno0;6):98;33=>=h:1?1<7*;6981=5=53;294~"38m094i5G4728L1563->=47<7c:&2b4<2==1bjh4?:%655;50;&72=<51910qo=kb;290?6=8r.?=99307dhi:18'03>=99307d??0;29 10?28:276a=8483>!210382<65rb2f`>5<4290;w):?d;63?M2182B??<5+47:97c=#9o;198:4igg94?"3>10:<454igd94?"3>10:<454o3:6>5<#4>4;|`0`a<72:0;6=u+41f905=O;%656;:keb?6=,=<36<>6;:m1<0<72->=47<60:9~f44e29086=4?{%63`?4?l2B?:=5G4238 10?2;2h7)?i1;760>oam3:1(987:02:?>oan3:1(987:02:?>i50<0;6):98;0:4>=zj89;6=4=:183!27l3;;o6F;619K067<,=<365;4$0d2>0333`lo6=4+47:955?<3f8397>5$54;>7?73A>=;65rb012>5<2290;w):?d;61?M2182B??<5+47:97c=#9o;198:4igg94?"3>10:<454igd94?"3>10:<454i023>5<#6;:m1<0<72->=47<60:9~f45529086=4?{%63`?273A>=<6F;309'03>=;o1/=k?55468mcc=83.?:54>0898mc`=83.?:54>0898k7>2290/8;652828?xd6;:0;6>4?:1y'05b=:1n0D98?;I605>"3>1094n5+1g391026=4+47:96<6<3th:?94?:383>5}#<9n1==m4H543?M2492.?:5475:&2b4<2==1bji4?:%655;50;&72=<5191C8;94;|`270<72:0;6=u+41f96=b<@=<;7E:<1:&72=<50j1/=k?55468mcc=83.?:54>0898mc`=83.?:54>0898k7>2290/8;652828?xd6;?0;6>4?:1y'05b=<91C8;>4H512?!21039m7)?i1;760>oam3:1(987:02:?>oan3:1(987:02:?>i50<0;6):98;0:4>=zj89<6=4<:183!27l3>;7E:90:J774=#6=4+47:96<6<3th:>n4?:283>5}#<9n18=5G4728L1563->=47=i;%3e5?32<2cmi7>5$54;>46>32cmj7>5$54;>46>32e9484?:%65821vn<5<7s->;h7<7d:J725=O<:;0(987:3:`?!7a93?>86gie;29 10?28:276gif;29 10?28:276a=8483>!210382<65rb00f>5<4290;w):?d;63?M2182B??<5+47:97c=#9o;198:4igg94?"3>10:<454igd94?"3>10:<454o3:6>5<#4>4;|`26c<72:0;6=u+41f96=b<@=<;7E:<1:&72=<50j1/=k?55468mcc=83.?:54>0898mc`=83.?:54>0898k7>2290/8;652828?xu6=3:18vP>5:?0a2h?:gf896ba28:;70=j2;dg?xu603:19vP>8:?77d019>7a0=nl16=>=5fd9~w4?=83c`<5:o<6<>>;<1gb?779278i>4id:?276j9:023?87493ln7p}>c;292~X6k27:<:4if:?772?5fg9~w4b=8346734>8;7??0:?0b7029>567=99:0q~?j:185[7b34;;;7??1:?772<68816?k=5fe9>7a0=99801<=>:022?xu583:15vP=0:?033039>06?=nl16?:<5fe9>7c2=nm16?i851168944e2ol01<==:gg8yv452902wS<=;<143?`c34;;;7??3:?77<4id:?0b0049>57d=nl16=><5fg9~w75=833pR?=4=22a>cb<5:=26kk4=024>46334>8n7hk;<14e?`b349nn7hj;<1f0?`c34;8;7hj;|q11?6=1rT9963<0b8e`>;4?10mh63>068240=:<:i1ji523669ba=:;l21ji523d79ba=:9;n1jh5rs3a94?5|V;i01>k6:gg896bb2oo0q~7>53z\;6>;50h0mj63;fb8e`>{t1m0;6>uQ9e9>6=>=01168k75899~w4612909wS??6:?242<50<1v<k4=849~w44e2909w0?=b;0;1>;6;<0mi6s|13a94?4|588h6?6:;<31a?`a3ty:>i4?:3y>57b=:1?01<v3>2d81<0=:9;l1jk5rs013>5<5s4;8<7<75:?270?50;0x94562;2>70?=e;df?xu6;;0;6?u212096=3<588h6kk4}r307?6=:r7:?>4=849>560=nl1v<=;:181874<383963>378eb>{t9:?1<77>234;8;7hi;|q273<72;q6=>852978944d2ol0q~?<7;296~;6;>09485213f9bc=z{8<;6=4={_354>;3;109485rs3:2>5<5s4>==7hm;<6e=??b3ty9454?:3y>6=>=:l=019hk:gg8yv4?13:1>v3=898b2>;50h09485rs22:>5<5sW9;563<0d81<0=z{::j6=4<{_13e>;48m09485231g9b`=z{::i6=4={<13f?4?=278>l:3:6?857l3lm7p}<7183>7}Y;>:01>9l:3:6?xu4?80;6?u236396=3<5:=j6kh4}r146?6=:r78;?4=849>72e=nl1v>9<:181850;383963<7c8ea>{t;>>1<77>2349cc<5:=26?6:;|q033<72;q6?:852978961>2ol0q~=87;296~;4?>09485236;955652z?03=<50<16?:751138yv50i3:1>v3<7`81<0=:;>i1jk5rs25a>5<5s49j::181[5c=278hi4=849~w6b12909w0=k6;0;1>;4lm0mi6s|3e594?4|5:n<6?6:;<1gg?`a3ty8h54?:3y>7a>=:1?01>jn:gd8yv5c13:1>v34}r1ge?6=:r78hl4=849>7ad=nl1v>jm:18185cj383963{t;mi1<77>2349oh7hi;|q0``<72;q6?ik5297896be2ol0q~=kf;296~;4lo0948523ec9b`=z{:o;6=4={<1f4?4?=278h54ie:p7`7=838p1>k>:3:6?85c03lm7p}7}:;l81>5;4=2f;>4673ty8i>4?:3y>7`5=:1?01>j7:022?xu4m=0;6?u23d696=3<5:n26kk4}r1f1?6=:r78i84=849>7a?=no1v>k9:181[5b>278ii4=849~w6c02909w0=j7;0;1>;4mm0mj6s|3d:94?4|5:o36?6:;<1fe?77<2wx?h750;0x96c>2;2>70=jb;de?xu4mh0;6?u23dc96=3<5:oo6<>?;|q0ag<72;q6?hl5297896cd2ol0q~=jc;296~;4mj0948523df9b`=z{:on6=4={<1fa?4?=278in4ie:p7``=838p1>ki:3:6?85b13lm7p}7}:;o:1>5;4=2gb>cc52z?0b4<50<16?ho5fg9~w6`52909w0=i2;0;1>;4mh0:<=5rs2d0>5<5s49m?7<75:?0ad<6881v>h;:18185a<3839636=4={<1e1?4?=278il4>039~w1502909w0:<7;0;1>;3;10mj6s|42;94?4|5=926?6:;<674?`b3ty??l4?:3y>06g=:1?019=j:gg8yv24j3:1>v3;3c81<0=:<:o1jk5rs51`>5<5s4>8o7<75:?77cj50;0x915c2;2>70:;6kh4}r60b?6=:r7??k4=849>06>=99:0q~:;0;296~;3<909485242:9b`=z{=l26=4;{<6e=?4b?2787p};fc83>7}:5;4=5dg>c`mo7>52z?7bf<50<168kk5fg9~w1`c2909w0:id;0;1>;3nl0mi6s|51`94?5|V<:i70:i9;c5?82aj3lo7p}:4e83>1}Y==n019=k:gf896b02on01<=;:gf8yxd2=80;6>=58b8bf~N3;81/8=j531:8^=1=:r;;6<<5}%3e4?5a12c2h7>5;n71347>5;n727?6=3f?;?7>5;n6a2?6=3`296=44i2`a>5<6=44i5f`>5<5<5<5<5<5<#:l?1>k74n3g7>4=5<#:l?1>k74n3g7>6=6=4+2d796c?5<#:l?1>k74n3g7>0=5<#:l?1>k74n3g7>2=5<#:l?1>k74n3g7><=5<#:l?1>k74n3g7>g=h5m=0;76g=0;29 7c22;;0b?k;:098m4c=83.9i84=1:l1a1<532c:h7>5$3g6>7754i0a94?"5m<09=6`=e587?>o6j3:1(?k::338j7c32<10e6??4n3g7>2=h5m=0376g>7;29 7c22;;0b?k;:898m40=83.9i84=1:l1a15$3g6>77o5k3:1(?k::338j7c32m10e?l50;&1a0<592d9i94j;:k1e?6=,;o>6??4n3g7>c=h5m=0:<65f2983>!4b=38:7co5?3:1(?k::338j7c328807d<9:18'6`3=:81e>h:51298m4`=83.9i84=1:l1a1<6<21i8;?50;394?6|,=:o6km4H543?M2492.?:54;6d9'5c7==<>0ckl50;&72=<51910qo<61;291?4=>r.?m:d9'5c7==<>0e4m50;9j=`<722c347>5;hc5>5<5<1290;w):98;d4?l7713:1(987:02:?>o>k3:1(987:8a8?l?c290/8;659e98m6e4290/8;653b18?l50l3:1(987:25g?>i5190;6):98;0:4>=zj=<>6=4>:183!2103>=i6a=9183>!210382<65rs3g4>5<5sW8n;63=e681=5=z{h<1<7m279i:4<7e9~w08o>5247796<63}#<9n1j95G4728L1563-;;n7k4$0d2>0333`3h6=44i8g94?=n010;66gn6;29?j4b?3:17o=47??9:9j=f<72->=477l;:k:`?6=,=<364j4;h1`7?6=,=<36>m<;:k03a<72->=47=8d:9l6<6=83.?:54=9198yg21=3:1=7>50z&72=<3>l1d>4>50;&72=<51910q~16>h952828yvg12909wSo9;<0f3?7712wx5h4?:3y]=`=::l=1?:j4}r;`>5<5sW3h70vP78:?1a2<>l2wxjn4?:3y>6`1=;j90198::3;3?x{e;h81<7;52;4x 16c2o>0D98?;I605>"6n80>995f9b83>>o>m3:17d67:188md0=831d>h950;9a6`1=83<1<7>t$54;>c1=n;j91<7*;6980g6=5$54;>7?732wi8;;50;394?6|,=<3698j;n0:4?6=,=<36?7?;:p6`1=838pR?k8;<0f3?4>82wxm;4?:3y]e3=::l=1==74}r;f>5<5sW3n70{t1j0;6?uQ9b9>6`1=1j1v5650;0xZ=><5;o<64j4}rd`>5<5s48n;7=l3:?720<5191vqo=>c;297?4=:477?l>?2900el850;9l6`1=831i>h950;796?0|,=:o6k;4$0d2>0333f8n;7>5;h0f2?6=3`k=6=44i8g94?=n010;66li5;292?6=8r.?:54i7:k24<<72->=47??9:9j=f<72->=477l;:k:`?6=,=<364j4;h1`7?6=,=<36>m<;:k03a<72->=47=8d:9l6<6=83.?:54=9198yg21;3:1=7>50z&72=<50k1d>4;50;&72=<51910q~o9:181[g134l>6<>6;|q;m3:1>vP6e:?e1?50l2wx>h850;0xZ7c134l>6>m<;|q1a2<72;qU>h94=g796<68>7>52z?e1??d34>=?7<65:~f7<7280;6=u+4229=>"38m0mo6*;69872`=#9o;198:4og`94?"3>1095=54}r0f3?6=:rT9i:522d596`16`1=1l16>7hm;%604?e84?:281>1}#<9n1j?5G4728L1563-;;n7k4$0d2>0333`236=44i`494?=h:l=1<75m2d594?3=:3k:g78 4`623:17do9:188m3:1o6800;6):98;33=>=n1j0;6):98;;`?>o>l3:1(987:8f8?l5d;3:1(987:2a0?>o4?m0;6):98;14`>=h:0:1<7*;6981=5==?7>51;294~"3>1094o5`28794?"3>1095=54}rc5>5<5sWk=70h::02:?xu?03:1>vP78:?e1??c3ty2i7>52z\:a>;a=390;6?uQ2d589c3=:0:0q~:<2;296~;a=33h70:93;0:1>{zj;0;6<4?:1y'066=12.?l1/=k?55468kcd=83.?:54=9198yv4b?3:1>vP=e69>6`1=:l=0q~o9:181[g1348n;7o9;|q;{t=3:1?v3=e681a3=::l=15h522;da?!2483i0qpl62;297?4=m:d9'5c7==<>0e5650;9je3<722e9i:4?::`1a2<72<096;u+41f9b0=#9o;198:4o3g4>5<5$54;>46>32c2o7>5$54;>5$54;>61c32e95=4?:%65821vn98<:182>5<7s->=47<7b:m1=0<72->=47<60:9~wd0=838pRl84=g7955?6>9k;|q1a3<72;qU>h84=g797f552z\1a2=:n<095=5rs511>5<5s4l>64m4=540>7?23twi>7>51;294~"3;9027):?d;d`?!2103>=i6*>f08611=hnk0;6):98;0:4>=z{;o<6=4={_0f3>;5m>09i:5rs`494?4|Vh<01?k8:`48yv>?2909wS67;<0f3?>?3ty>6=4<{<0f3?4b>279i:46e:?1>cd<,=9;6n5r}c11g?6=;3818v*;0e8e6>N3>91C8>?4$02a>`=#9o;198:4i9:94?=ni?0;66a=e683>>d5m>0;684=:7y'05b=n<1/=k?55468k7c02900e?k9:188md0=831b5h4?::k;6=49:183!2103l<7d??9;29 10?28:276g6c;29 10?20i07d7k:18'03>=1m10e>m<:18'03>=;j907d=8d;29 10?2:=o76a=9183>!210382<65rb540>5<6290;w):98;0;f>i51<0;6):98;0:4>=z{h<1<7?34l>64j4}r;f>5<5sW3n70h::25g?xu5m?0;6?uQ2d489c3=;j90q~16j84=919~w1552909w0h::8a891042;3>7psm2;295?6=8r.??=46;%63`?`d3->=47:9e:&2b4<2==1djo4?:%65821v?k8:181[4b?279i:4=e69~wd0=838pRl84=3g4>d0;52oh0(9=?:b9~yg5513:1?7<54z&74a=831bm;4?::m1a2<722h9i:4?:481>3}#<9n1j85+1g391025<>o?03:17oh::185>5<7s->=47h8;h33=?6=,=<36<>6;:k:g?6=,=<364m4;h;g>5<#5<#9k;:m1=5<72->=47<60:9~f104290:6=4?{%65821vl850;0xZd0<5o?1==74}r:;>5<5sW2370h::8f8yv?b2909wS7j;61c3ty9i;4?:3y]6`0<5o?1?n=4}r0f3?6=:rT9i:52f481=5=z{=996=4={"6n80>995`fc83>!210382<65rs3g4>5<5sW8n;63=e681a2=z{h<1<7?348n;767;|q6>5<4s48n;7m2796kl4$513>f=zuk>i:7>53;090~"38m0m>6F;619K067<,8l:68;;;h:;>5<:477?j4b?3:17d56;294~"3>10m;6g>0883>!2103;;565f9b83>!21033h76g6d;29 10?20n07d=l3;29 10?2:i876g<7e83>!210391095=54}c657?6=93:1{t:l<1<77}Y:l=01k;52828yv24:3:1>v3i5;;`?821;38296srb383>4<729q/8>>59:&74a0ckl50;&72=<51910q~16>h952d58yvg12909wSo9;<0f3?g13ty347>52z\;<>;5m>0346s|5;297~;5m>09i;522d59=`=::3li7):<0;a8yxd4?l0;6>4=:5y'05b=n;1C8;>4H512?!77j3o0(:477?l>?2900el850;9l6`1=831i>h950;796?0|,=:o6k;4$0d2>0333f8n;7>5;h0f2?6=3`k=6=44i8g94?=n010;66li5;292?6=8r.?:54i7:k24<<72->=47??9:9j=f<72->=477l;:k:`?6=,=<364j4;h1`7?6=,=<36>m<;:k03a<72->=47=8d:9l6<6=83.?:54=9198yg21;3:1=7>50z&72=<50k1d>4;50;&72=<51910q~o9:181[g134l>6<>6;|q;m3:1>vP6e:?e1?50l2wx>h850;0xZ7c134l>6>m<;|q1a2<72;qU>h94=g796<68>7>52z?e1??d34>=?7<65:~f7<7280;6=u+4229=>"38m0mo6*;69872`=#9o;198:4og`94?"3>1095=54}r0f3?6=:rT9i:522d596`16`1=1l16>7hm;%604?e1}#<9n1j?5G4728L1563-;;n7k4$0d2>0333`236=44i`494?=h:l=1<75m2d594?3=:3k:g78 4`623:17do9:188m3:1o6800;6):98;33=>=n1j0;6):98;;`?>o>l3:1(987:8f8?l5d;3:1(987:2a0?>o4?m0;6):98;14`>=h:0:1<7*;6981=5==?7>51;294~"3>1094o5`28794?"3>1095=54}rc5>5<5sWk=70h::02:?xu?03:1>vP78:?e1??c3ty2i7>52z\:a>;a=390;6?uQ2d589c3=:0:0q~:<2;296~;a=33h70:93;0:1>{zj;0;6<4?:1y'066=12.?l1/=k?55468kcd=83.?:54=9198yv4b?3:1>vP=e69>6`1=:l=0q~o9:181[g1348n;7o9;|q;{t=3:1?v3=e681a3=::l=15h522;da?!2483i0qpl<8183>6<52=q/8=j5f39K036<@=9:7)??b;g8 4`62h950;9a6`1=83?1>78t$52g>c3<,8l:68;;;n0f3?6=3`8n:7>5;hc5>5<>da=3:1:7>50z&72=5$54;>5$54;>6e432c8;i4?:%654>50;&72=<51910qo:93;295?6=8r.?:54=8c9l6<3=83.?:54=9198yvg12909wSo9;46>3ty347>52z\;<>;a=33o7p}6e;296~X>m27m97=8d:p6`0=838pR?k9;6e43ty9i:4?:3y]6`1<5o?1>4>4}r606?6=:r7m977l;<657?4>=2wvn?4?:083>5}#<::156*;0e8eg>"3>10?:h5+1g3910252z\1a2=::l=1>h94}rc5>5<5sWk=70vP78:?1a253z?1a2<5m?16>h959d9>6?`e3->8<7m4}|`0<4<72:0969u+41f9b7=O;%33f?c<,8l:68;;;h:;>5<:477?j4b?3:17d56;294~"3>10m;6g>0883>!2103;;565f9b83>!21033h76g6d;29 10?20n07d=l3;29 10?2:i876g<7e83>!210391095=54}c657?6=93:1{t:l<1<77}Y:l=01k;52828yv24:3:1>v3i5;;`?821;38296srb383>4<729q/8>>59:&74a0ckl50;&72=<51910q~16>h952d58yvg12909wSo9;<0f3?g13ty347>52z\;<>;5m>0346s|5;297~;5m>09i;522d59=`=::3li7):<0;a8yxd40>0;6>4=:5y'05b=n;1C8;>4H512?!77j3o0(:477?l>?2900el850;9l6`1=831i>h950;796?0|,=:o6k;4$0d2>0333f8n;7>5;h0f2?6=3`k=6=44i8g94?=n010;66li5;292?6=8r.?:54i7:k24<<72->=47??9:9j=f<72->=477l;:k:`?6=,=<364j4;h1`7?6=,=<36>m<;:k03a<72->=47=8d:9l6<6=83.?:54=9198yg21;3:1=7>50z&72=<50k1d>4;50;&72=<51910q~o9:181[g134l>6<>6;|q;m3:1>vP6e:?e1?50l2wx>h850;0xZ7c134l>6>m<;|q1a2<72;qU>h94=g796<68>7>52z?e1??d34>=?7<65:~f7<7280;6=u+4229=>"38m0mo6*;69872`=#9o;198:4og`94?"3>1095=54}r0f3?6=:rT9i:522d596`16`1=1l16>7hm;%604?e1}#<9n1j?5G4728L1563-;;n7k4$0d2>0333`236=44i`494?=h:l=1<75m2d594?3=:3k:g78 4`623:17do9:188m3:1o6800;6):98;33=>=n1j0;6):98;;`?>o>l3:1(987:8f8?l5d;3:1(987:2a0?>o4?m0;6):98;14`>=h:0:1<7*;6981=5==?7>51;294~"3>1094o5`28794?"3>1095=54}rc5>5<5sWk=70h::02:?xu?03:1>vP78:?e1??c3ty2i7>52z\:a>;a=390;6?uQ2d589c3=:0:0q~:<2;296~;a=33h70:93;0:1>{zj;0;6<4?:1y'066=12.?l1/=k?55468kcd=83.?:54=9198yv4b?3:1>vP=e69>6`1=:l=0q~o9:181[g1348n;7o9;|q;{t=3:1?v3=e681a3=::l=15h522;da?!2483i0qpl<9083>6<52=q/8=j5f39K036<@=9:7)??b;g8 4`62h950;9a6`1=83?1>78t$52g>c3<,8l:68;;;n0f3?6=3`8n:7>5;hc5>5<>da=3:1:7>50z&72=5$54;>5$54;>6e432c8;i4?:%654>50;&72=<51910qo:93;295?6=8r.?:54=8c9l6<3=83.?:54=9198yvg12909wSo9;46>3ty347>52z\;<>;a=33o7p}6e;296~X>m27m97=8d:p6`0=838pR?k9;6e43ty9i:4?:3y]6`1<5o?1>4>4}r606?6=:r7m977l;<657?4>=2wvn?4?:083>5}#<::156*;0e8eg>"3>10?:h5+1g3910252z\1a2=::l=1>h94}rc5>5<5sWk=70vP78:?1a253z?1a2<5m?16>h959d9>6?`e3->8<7m4}|`0=1<72:0969u+41f9b7=O;%33f?`<,8l:68;;;h:;>5<:477?j4b?3:17d56;294~"3>10m;6g>0883>!2103;;565f9b83>!21033h76g6d;29 10?20n07d=l3;29 10?2:i876g<7e83>!210391095=54}c657?6=93:1{t:l<1<77}Y:l=01k;52828yv24:3:1>v3i5;;`?821;38296srb383>4<729q/8>>59:&74a0ckl50;&72=<51910q~16>h952d58yvg12909wSo9;<0f3?g13ty347>52z\;<>;5m>0346s|5;297~;5m>09i;522d59=`=::3li7):<0;a8yxd2980;6?4?:1y'05b=99i0D98?;I605>"3>10396*>f08611=nnm0;6):98;33=>=h:1?1<7*;6981=5=O7<729q/8=j511a8L1073A>8=6*;698;1>"6n80>995ffe83>!2103;;565`29794?"3>1095=5G4758?xd3mo0;6?4?:1y'05b=99i0D98?;I605>"3>10396*>f08611=nnm0;6):98;33=>=h:1?1<7*;6981=5=O6<729q/8=j5419K036<@=9:7):98;1e?!7a93?>86gie;29 10?28:276gif;29 10?28:276a=8483>!210382<65rb5`:>5<5290;w):?d;33g>N3>91C8>?4$54;>=3<,8l:68;;;hdg>5<#=zj;386=4=:183!27l3;;o6F;619K067<,=<365;4$0d2>0333`lo6=4+47:955?<3f8397>5$54;>7?73A>=;65rb3;7>5<4290;w):?d;0;`>N3>91C8>?4$54;>7>d3-;m=7;:4:kea?6=,=<36<>6;:keb?6=,=<36<>6;:m1<0<72->=47<60:9~f67629096=4?{%63`?77k2B?:=5G4238 10?21?0(:477?l`c290/8;6511;8?j4?=3:1(987:3;3?M21?21vn>5<7s->;h7??c:J725=O<:;0(987:978 4`62=99307b<75;29 10?2;3;7E:97:9~f07329086=4?{%63`?4?l2B?:=5G4238 10?2;2h7)?i1;760>oam3:1(987:02:?>oan3:1(987:02:?>i50<0;6):98;0:4>=zj=l=6=4=:183!27l3;;o6F;619K067<,=<365;4$0d2>0333`lo6=4+47:955?<3f8397>5$54;>7?73A>=;65rb5d4>5<4290;w):?d;0;`>N3>91C8>?4$54;>7>d3-;m=7;:4:kea?6=,=<36<>6;:keb?6=,=<36<>6;:m1<0<72->=47<60:9~f6g329086=4?{%63`?4?l2B?:=5G4238 10?2;2h7)?i1;760>oam3:1(987:02:?>oan3:1(987:02:?>i50<0;6):98;0:4>=zj:8?6=4;:183!27l3>:7E:90:J774=#5$54;>7?732wi??950;194?6|,=:o69>4H543?M2492.?:545;50;&72=<51910qo==8;297?6=8r.?"6n80>995ffd83>!2103;;565ffg83>!2103;;565`29794?"3>1095=54}c11a?6=;3:1;%65=47??9:9jbc<72->=47??9:9l6=3=83.?:54=9198yg55n3:1?7>50z&74a<382B?:=5G4238 10?2:l0(:477?l`b290/8;6511;8?l`a290/8;6511;8?j4?=3:1(987:3;3?>{e;::1<7=50;2x 16c2;2o7E:90:J774=#5m4$0d2>0333`ln6=4+47:955?<3`lm6=4+47:955?<3f8397>5$54;>7?732wi9?l50;094?6|,=:o6<>l;I654>N3;81/8;65849'5c7==<>0ekj50;&72=<68010c?6::18'03>=:0:0D988;:a17e=8381<7>t$52g>46d3A>=<6F;309'03>=0<1/=k?55468mcb=83.?:54>0898k7>2290/8;652828L10032wi9?j50;094?6|,=:o6<>l;I654>N3;81/8;65849'5c7==<>0ekj50;&72=<68010c?6::18'03>=:0:0D988;:a17c=83?1<7>t$52g>14<@=<;7E:<1:&72=<4n2.:j<4:559jb`<72->=47??9:9jbc<72->=47??9:9j556=83.?:54>0898m466290/8;6511;8?j4?=3:1(987:3;3?>{e6=4+47:96<6<@=<<76sm4g094?4=83:p(9>k:02`?M2182B??<5+47:9<0=#9o;198:4igf94?"3>10:<454o3:6>5<#4>4H544?>{e6=4+47:96<6<@=<<76sm4g694?3=83:p(9>k:508L1073A>8=6*;6980b>"6n80>995ffd83>!2103;;565ffg83>!2103;;565f11294?"3>10:<454i022>5<#t$52g>16<@=<;7E:<1:&72=<4n2.:j<4:559jb`<72->=47??9:9jbc<72->=47??9:9l6=3=83.?:54=9198yg54<3:1>7>50z&74a<68j1C8;>4H512?!21032>7)?i1;760>oal3:1(987:02:?>i50<0;6):98;0:4>N3>>10qo=<5;297?6=8r.?=99307dhi:18'03>=99307b<75;29 10?2;3;76sm32494?5=83:p(9>k:3:g?M2182B??<5+47:96=e<,8l:68;;;hdf>5<#5<#t$52g>16<@=<;7E:<1:&72=<4n2.:j<4:559jb`<72->=47??9:9jbc<72->=47??9:9l6=3=83.?:54=9198yg5393:1>7>50z&74a<68j1C8;>4H512?!21032>7)?i1;760>oal3:1(987:02:?>i50<0;6):98;0:4>N3>>10qo=;2;297?6=8r.?=99307dhi:18'03>=99307b<75;29 10?2;3;76sm35194?5=83:p(9>k:3:g?M2182B??<5+47:96=e<,8l:68;;;hdf>5<#5<#t$52g>16<@=<;7E:<1:&72=<4n2.:j<4:559jb`<72->=47??9:9jbc<72->=47??9:9l6=3=83.?:54=9198yg53m3:1>7>50z&74a<68j1C8;>4H512?!21032>7)?i1;760>oal3:1(987:02:?>i50<0;6):98;0:4>N3>>10qo=;f;297?6=8r.?=99307dhi:18'03>=99307b<75;29 10?2;3;76sm34294?5=83:p(9>k:3:g?M2182B??<5+47:96=e<,8l:68;;;hdf>5<#5<#t$52g>16<@=<;7E:<1:&72=<4n2.:j<4:559jb`<72->=47??9:9jbc<72->=47??9:9l6=3=83.?:54=9198yg52<3:1>7>50z&74a<68j1C8;>4H512?!21032>7)?i1;760>oal3:1(987:02:?>i50<0;6):98;0:4>N3>>10qo=:5;297?6=8r.?=99307dhi:18'03>=99307b<75;29 10?2;3;76sm34494?5=83:p(9>k:3:g?M2182B??<5+47:96=e<,8l:68;;;hdf>5<#5<#t$52g>16<@=<;7E:<1:&72=<4n2.:j<4:559jb`<72->=47??9:9jbc<72->=47??9:9l6=3=83.?:54=9198yg52i3:1>7>50z&74a<68j1C8;>4H512?!21032>7)?i1;760>oal3:1(987:02:?>i50<0;6):98;0:4>N3>>10qo=:b;297?6=8r.?=99307dhi:18'03>=99307b<75;29 10?2;3;76sm34a94?5=83:p(9>k:3:g?M2182B??<5+47:96=e<,8l:68;;;hdf>5<#5<#t$52g>16<@=<;7E:<1:&72=<4n2.:j<4:559jb`<72->=47??9:9jbc<72->=47??9:9l6=3=83.?:54=9198yg5183:1>7>50z&74a<68j1C8;>4H512?!21032>7)?i1;760>oal3:1(987:02:?>i50<0;6):98;0:4>N3>>10qo=91;297?6=8r.?=99307dhi:18'03>=99307b<75;29 10?2;3;76sm37094?5=83:p(9>k:3:g?M2182B??<5+47:96=e<,8l:68;;;hdf>5<#5<#t$52g>16<@=<;7E:<1:&72=<4n2.:j<4:559jb`<72->=47??9:9jbc<72->=47??9:9l6=3=83.?:54=9198yg51>3:1>7>50z&74a<68j1C8;>4H512?!21032>7)?i1;760>oal3:1(987:02:?>i50<0;6):98;0:4>N3>>10qo=97;297?6=8r.?=99307dhi:18'03>=99307b<75;29 10?2;3;76sm37:94?5=83:p(9>k:3:g?M2182B??<5+47:96=e<,8l:68;;;hdf>5<#5<#t$52g>16<@=<;7E:<1:&72=<4n2.:j<4:559jb`<72->=47??9:9jbc<72->=47??9:9l6=3=83.?:54=9198yg51k3:1>7>50z&74a<68j1C8;>4H512?!21032>7)?i1;760>oal3:1(987:02:?>i50<0;6):98;0:4>N3>>10qo=9d;297?6=8r.?=99307dhi:18'03>=99307b<75;29 10?2;3;76sm37g94?5=83:p(9>k:3:g?M2182B??<5+47:96=e<,8l:68;;;hdf>5<#5<#t$52g>16<@=<;7E:<1:&72=<4n2.:j<4:559jb`<72->=47??9:9jbc<72->=47??9:9l6=3=83.?:54=9198yg53?3:1>7>50z&74a<68j1C8;>4H512?!21032>7)?i1;760>oal3:1(987:02:?>i50<0;6):98;0:4>N3>>10qo=;8;297?6=8r.?=99307dhi:18'03>=99307b<75;29 10?2;3;76sm35;94?5=83:p(9>k:3:g?M2182B??<5+47:96=e<,8l:68;;;hdf>5<#5<#t$52g>16<@=<;7E:<1:&72=<4n2.:j<4:559jb`<72->=47??9:9jbc<72->=47??9:9l6=3=83.?:54=9198yg54i3:1>7>50z&74a<68j1C8;>4H512?!21032>7)?i1;760>oal3:1(987:02:?>i50<0;6):98;0:4>N3>>10qo==99307dhi:18'03>=99307b<75;29 10?2;3;76sm32a94?5=83:p(9>k:3:g?M2182B??<5+47:96=e<,8l:68;;;hdf>5<#5<#t$52g>16<@=<;7E:<1:&72=<4n2.:j<4:559jb`<72->=47??9:9jbc<72->=47??9:9l6=3=83.?:54=9198yg4an3:1>7>50z&74a<68j1C8;>4H512?!21032>7)?i1;760>oal3:1(987:02:?>i50<0;6):98;0:4>N3>>10qo=?0;297?6=8r.?=99307dhi:18'03>=99307b<75;29 10?2;3;76sm31394?5=83:p(9>k:3:g?M2182B??<5+47:96=e<,8l:68;;;hdf>5<#5<#t$52g>46d3A>=<6F;309'03>=0<1/=k?55468mcb=83.?:54>0898k7>2290/8;652828L10032wi?4950;094?6|,=:o6<>l;I654>N3;81/8;65849'5c7==<>0ekj50;&72=<68010c?6::18'03>=:0:0D988;:a7<>=83>1<7>t$52g>17<@=<;7E:<1:&72=<4n2.:j<4:559jb`<72->=47??9:9jbc<72->=47??9:9j556=83.?:54>0898k7>2290/8;652828?xd4100;6>4?:1y'05b=:1n0D98?;I605>"3>1094n5+1g391026=4+47:96<6<3th287>53;294~"38m094i5G4728L1563->=47<7c:&2b4<2==1bjh4?:%655;50;&72=<51910qo7::180>5<7s->;h7<7d:J725=O<:;0(987:3:`?!7a93?>86gie;29 10?28:276gif;29 10?28:276a=8483>!210382<65rb8494?5=83:p(9>k:528L1073A>8=6*;6980b>"6n80>995ffd83>!2103;;565ffg83>!2103;;565`29794?"3>1095=54}c;4>5<5290;w):?d;33g>N3>91C8>?4$54;>=3<,8l:68;;;hdg>5<#=zj021<7=50;2x 16c2=:0D98?;I605>"3>108j6*>f08611=nnl0;6):98;33=>=nno0;6):98;33=>=h:1?1<7*;6981=5=;7E:90:J774=#6=4+47:96<6<3th2m7>53;294~"38m094i5G4728L1563->=47<7c:&2b4<2==1bjh4?:%655;50;&72=<51910qo7m:180>5<7s->;h7<7d:J725=O<:;0(987:3:`?!7a93?>86gie;29 10?28:276gif;29 10?28:276a=8483>!210382<65rb2:b>5<4290;w):?d;63?M2182B??<5+47:97c=#9o;198:4igg94?"3>10:<454igd94?"3>10:<454o3:6>5<#4>4;|`0=47??9:9l6=3=83.?:54=919K031<3th84n4?:283>5}#<9n18=5G4728L1563->=47=i;%3e5?32<2cmi7>5$54;>46>32cmj7>5$54;>46>32e9484?:%65821vn>6k:181>5<7s->;h7??c:J725=O<:;0(987:978 4`62=99307b<75;29 10?2;3;7E:97:9~f6>b29086=4?{%63`?273A>=<6F;309'03>=;o1/=k?55468mcc=83.?:54>0898mc`=83.?:54>0898k7>2290/8;652828?xd40o0;6>4?:1y'05b=<91C8;>4H512?!21039m7)?i1;760>oam3:1(987:02:?>oan3:1(987:02:?>i50<0;6):98;0:4>=zj:3;6=4<:183!27l383h6F;619K067<,=<36?6l;%3e5?32<2cmi7>5$54;>46>32cmj7>5$54;>46>32e9484?:%65821vn>6<:181>5<7s->;h7??c:J725=O<:;0(987:978 4`62=99307b<75;29 10?2;3;7E:97:9~f6>329086=4?{%63`?4?l2B?:=5G4238 10?2;2h7)?i1;760>oam3:1(987:02:?>oan3:1(987:02:?>i50<0;6):98;0:4>=zj:2>6=4<:183!27l3>;7E:90:J774=#6=4+47:96<6<3th84;4?:283>5}#<9n1>5j4H543?M2492.?:54=8b9'5c7==<>0ekk50;&72=<68010ekh50;&72=<68010c?6::18'03>=:0:07pl6<729q/8=j529f8L1073A>8=6*;698110:<454igd94?"3>10:<454o3:6>5<#4>4;|`0e2<72;0;6=u+41f955e<@=<;7E:<1:&72==47??9:9l6=3=83.?:54=919K031<3th8m54?:383>5}#<9n1==m4H543?M2492.?:5475:&2b4<2==1bji4?:%655;50;&72=<5191C8;94;|`0e<<72:0;6=u+41f905=O;%656;:keb?6=,=<36<>6;:m1<0<72->=47<60:9~f6gf29086=4?{%63`?4?l2B?:=5G4238 10?2;2h7)?i1;760>oam3:1(987:02:?>oan3:1(987:02:?>i50<0;6):98;0:4>=zj<:?6=4=:183!27l3;;o6F;619K067<,=<365;4$0d2>0333`lo6=4+47:955?<3f8397>5$54;>7?73A>=;65rb426>5<5290;w):?d;33g>N3>91C8>?4$54;>=3<,8l:68;;;hdg>5<#=zj<:=6=4=:183!27l3;;o6F;619K067<,=<365;4$0d2>0333`lo6=4+47:955?<3f8397>5$54;>7?73A>=;65rb424>5<5290;w):?d;33g>N3>91C8>?4$54;>=3<,8l:68;;;hdg>5<#=zj<:36=4;:183!27l383i6F;619K067<,=<36?6l;%3e5?32<2cmi7>5$54;>46>32cmj7>5$54;>46>32c:<=4?:%655;50;&72=<51910qo;?9;290?6=8r.?=99307dhi:18'03>=99307d??0;29 10?28:276a=8483>!210382<65rb2c`>5<4290;w):?d;0;`>N3>91C8>?4$54;>7>d3-;m=7;:4:kea?6=,=<36<>6;:keb?6=,=<36<>6;:m1<0<72->=47<60:9~f6gc29096=4?{%63`?77k2B?:=5G4238 10?21?0(:477?l`c290/8;6511;8?j4?=3:1(987:3;3?M21?21vn>oj:181>5<7s->;h7??c:J725=O<:;0(987:978 4`62=99307b<75;29 10?2;3;7E:97:9~f6ga290?6=4?{%63`?263A>=<6F;309'03>=;o1/=k?55468mcc=83.?:54>0898mc`=83.?:54>0898m467290/8;6511;8?j4?=3:1(987:3;3?>{e;8k1<7=50;2x 16c2=:0D98?;I605>"3>108j6*>f08611=nnl0;6):98;33=>=nno0;6):98;33=>=h:1?1<7*;6981=5=53;294~"38m094i5G4728L1563->=47<7c:&2b4<2==1bjh4?:%655;50;&72=<51910qo=>e;297?6=8r.?=99307dhi:18'03>=99307b<75;29 10?2;3;76sm30d94?4=83:p(9>k:02`?M2182B??<5+47:9<0=#9o;198:4igf94?"3>10:<454o3:6>5<#4>4H544?>{e;;:1<7=50;2x 16c2;2o7E:90:J774=#5m4$0d2>0333`ln6=4+47:955?<3`lm6=4+47:955?<3f8397>5$54;>7?732wi???50;194?6|,=:o69>4H543?M2492.?:545;50;&72=<51910qo==2;297?6=8r.?"6n80>995ffd83>!2103;;565ffg83>!2103;;565`29794?"3>1095=54}c127?6=;3:1;%65=47??9:9jbc<72->=47??9:9l6=3=83.?:54=9198yg56<3:1>7>50z&74a<68j1C8;>4H512?!21032>7)?i1;760>oal3:1(987:02:?>i50<0;6):98;0:4>N3>>10qo=>5;296?6=8r.?0b9K036<@=9:7):98;:6?!7a93?>86gid;29 10?28:276a=8483>!210382<6F;6698yg56>3:1?7>50z&74a<50m1C8;>4H512?!210383o6*>f08611=nnl0;6):98;33=>=nno0;6):98;33=>=h:1?1<7*;6981=5=53;294~"38m0?<6F;619K067<,=<36>h4$0d2>0333`ln6=4+47:955?<3`lm6=4+47:955?<3f8397>5$54;>7?732wi?<650;194?6|,=:o6?6k;I654>N3;81/8;6529a8 4`62=99307dhi:18'03>=99307b<75;29 10?2;3;76s|1483>7}Y9<16?9<5fd9~w40=838pR<84=26e>cc8;296~X602789o4ie:p5<<72;qU=4523739b`=z{8k1<7{t9m0;6?uQ1e9>731=nl1v5<5sW;m70=<3;de?xu583:1>vP=0:?1b`?4?:3y]67=:;??1jk5rs3494?4|V;<01>:?:gd8yv402909wS<8;<17`?`a3ty947>52z\1<>;4=:0mj6s|2883>7}Y:016?875fg9~w7g=838pR?o4=27e>c`3lm70=<9;de?xu5k3:1>vP=c:?02gi4?:3y]6a=:;:?1jh5rs9094?1|V1801?7>:`4897?42on01><;:gg896gb2on01>?j:gg896732on0q~7=:1818?52;o<70:m9;dg?xu>;3:1>v362;c5?8?e2;2>7p}64;296~;><3839636b;df?xu>=3:1>v365;0;1>;>03lm7p}66;296~;>>38396369;df?xu>?3:1>v367;0;1>;>13lm7p}68;296~;>03839636a;df?xu>13:1>v369;0;1>;>i3lm7p}6a;296~;>i3839636b;de?xu>l3:1=6<7=0116?=h5899>7d4=0116?773=01165?478:?06fo4id:p6=7=83>p198>:g`897?620o01>>i:8g896g520o0q~<61;296~;51809i:523079ba=z{;396=4={<0:5??d348287<75:p6<5=838p1?7<:3:6?84><3lm7p}=f683>7}Y:o=01>=9:3:6?xu5n10;6?uQ2g:8965d2;2>7p}=fc83>7}Y:oh01>:<:3:6?xu5nj0;6?uQ2ga8962>2;2>7p}=fe83>6}Y:on0R?hn;<135?4?=2wx>kk50;0x97`b2;2>70=?1;df?xu5no0;6?u22gd96=3<5::;6kh4}r134?6=:r78<=4=849>757=no1v>>=:181[57:2789=4=849~w6642909wS=?3:?013<50<1v>>;:181[57<2789n4=849~w6622909wS=?5:?027<50<1v>>9:181[57>278:54=849~w6602909wS=?7:?02`<50<1v>>6:180[571278>94if:?641;2:l0mi63;f58ea>;28<0mh6s|30294?4|5::m6l84=232>7>23ty8=?4?:3y>75`=1j16?<652978yv56;3:1>v3<1281<0=:;8=1jh5rs237>5<5s49:87<75:?05370=>6;de?xu49?0;6?u230496=3<5:;<6kh4}r123?6=:r78=:4=849>74>=no1v>?6:18185693lo70=>b;0;1>{t;8k1<77>2349:n7hi;|q05f<72:qU?7c0349:i7hi;|q05a<72;q6?774=:1?0q~=>e;296~;49l0948523329bc=z{:;m6=4={<12b?4?=278><4if:p776=838p1>7}:;;;1>5;4=201>c`57z?0=694=849>771=no16??h5fg9>7<0=nm16584ie:?0;4;=0mh63<418ea>;4<80mh63<4e8ea>;4;4==0mh63<588ea>;4=h0mh63<5g8ea>;4>90mh63<648ea>;4>?0mh63<6c8ea>;4>j0mh63<478ea>;4<>0mh63<388ea>;4;h0mh63=fd8ea>;5no0mh6s|33494?4|5:8>6l84=20;>7>23ty8>:4?:3y>771=:1?01><7:gd8yv5513:1>v3<2881a2=:=9=1ji5rs20b>5<4s4995767;<6a2?>?3499n7<75:p77e=838p1>3lo7p}<2e83>7}:;;i1m;5232296=352z?06`<50<16?>>5fd9~w64a2909w0==f;0;1>;4;90mj6s|32094?4|5:986?6:;<102?`b3ty8?>4?:3y>762=:1?01>=::gd8yv54<3:1>v3<3481<0=:;:<1jk5rs21;>5<5s49857<75:?07f750;0x965f2;2>70=715=nl1v>:?:1818539383963<438eb>{t;=;1<77>2349??7hi;|q000<72;q6?9852978962>2oo0q~=;6;296~;4<>09485235:9bc=z{:><6=4={<17:k:3:6?85283ln7p}<4e83>7}:;=o1>5;4=26e>c`52z?00c<50<16?8>5fg9~w6352909w0=:3;0;1>;4=?0mi6s|34194?4|5:??6?6:;<161?`a3ty8994?:3y>703=:1?01>;9:gd8yv5203:1>v3<5881<0=:;5<5s49>m7<75:?01g70=:c;de?xu4=l0;6?u234d96=3<5:<96kk4}r16b?6=:r78:=4=849>737=no1v>8?:1818519383963<638eb>{t;?>1<77>2349=47hj;|q020<72;q6?;85297896002ol0q~=96;296~;4>>09485237:9bc=z{:8l:3:6?851l3lm7p}<6b83>7}:;?n1>5;4=24f>c`52z\035=:=8;1ji5rs25f>5<5s499i:3g4?85f>3lm7p}<8183>1}:;>l1m;5239296`1<5:8n6kk4=2c5>cc54z?0<4<5m>16?475fd9>776=nl16?<=5fg9~w6>52909w0=71;c5?85?>38396s|39194?4|5:286?6:;<1;0?`a3ty8494?:3y>7=2=:1?01>6::gd8yv5?=3:1>v3<8481<0=:;1<1jk5rs2:4>5<3s493;77=6=i?16?5652d58964b2ol014:5fg9~w6>>2909w0=78;c5?85>838396s|39c94?4|5:2j6?6:;<1;a?`b3ty84o4?:3y>7=d=:1?01>6j:gd8yv5?k3:1>v3<8b81<0=:;1l1jh5rs2:g>5<5s493h7<75:?0b2;2>70=60;df?xu40o0;6?u239d96=3<5:3;6kh4}r1:5?6=?r78>44n6:?0<22785<4=e69>77>=nl16594ie:?05g7>:`4896?42;2>70<64;df?xu41=0;6lu238696`1<5:386kk4=204>cc<5:8m6kk4=2;;>c`<5021jh5239a9bc=:;8k1jh523339b`=:;891jh5rs2;6>5<5s49287o9;<1:=?4?=2wx?4850;0x96?12;2>70=68;df?xu41>0;6?u238596=3<5:336<>?;|q0==<72;q6?465297896?>2ol0q~=n2;291~;4i;09i:52968e`>;40k0mh63<858ea>;4im0mh6s|3`194?4|5:k96l84=2c7>7>23ty8m84?:3y>7d4=1j16?lo52978yv5f>3:1>v35<5s49j;7<75:?0e<70=n9;de?xu4i00;6?u23`;96=3<5:kj6kh4}r1bf?6=>r785:4id:?:1?`a3493o7hj;<1bb?4?=278=l4if:?05c70=nf;de?xu4im0;6?u23`f96=3<5:km6kk4}r1ba?6=:r78mh4=849>7d`=99:0q~=m1;290~X4j816?l:5fg9>7d1=nm16?lm5fd9~w6de290c`<5=l<6kk4=40a>cb<5=l:6kj4=42;>c`i:7>52z\7f3=:h94}r6a4id:p0`c=838pR9kj;<6fb?4?=2wx8k>50;0x91ca2on019h;:3:6?xu3n80;6?u24g396=3<5=l?6kh4}r6e6?6=:r7?j?4=849>0c2=99:0q~:i3;296~;3n:0948524g69557m97>52z\7b0=:5;4}r6e2?6=:r7?j;4=849>0c1=no1v8><:181[37;27><44=849~w0632909w0;?4;0;1>;2810mi6s|51794?4|5<:>6?6:;<7370;?9;df?xu28>0;6?u251596=3<5<:26<>?;|q64=<72;q69=652978906>2ol0q~;>0;296~X299169vP:129>142=:1?0q~;>6;296~X29?169?j5fe9~w04?2909wS;=8:?66<<50<1v8{t=;h1<77>234?9i7hi;|q66f<72;q69?m52978904b28:;7p}:2e83>7}:=;n1>5;4=40f>4663ty>8i4?:4y]11b<5<;?6kk4=5d5>cb<5<8h6kj4=5d1>cb9rB??<5+41f9571<,8l;6>h6;h;g>5<5<5<5<1<75`1bf94?=n;>:1<75`4b;94?=h9<31<75`1c:94?=h:l0;66a86aib;29 10?2;3;76sm4`294?3=:3k:g68L1073A>8=6*>f08611=n1j0;66g6e;29?l>?2900el850;9l6`1=831i>h950;494?6|,=<36k94i02:>5<#5<#102h65f3b194?"3>108o>54i25g>5<#t$54;>10b3f82<7>5$54;>7?732wx>h950;0xZ7c0348n;7<60:pe3<72;qUm;522d5955?7}Y1j16>h959b9~w=>=838pR564=3g4>h950;9a6`1=83?1>78t$52g>c3<,8l:68;;;n0f3?6=3`8n:7>5;hc5>5<>da=3:1:7>50z&72=5$54;>5$54;>6e432c8;i4?:%654>50;&72=<51910qo:93;295?6=8r.?:54=8c9l6<3=83.?:54=9198yvg12909wSo9;46>3ty347>52z\;<>;a=33o7p}6e;296~X>m27m97=8d:p6`0=838pR?k9;6e43ty9i:4?:3y]6`1<5o?1>4>4}r606?6=:r7m977l;<657?4>=2wvn?4?:083>5}#<::156*;0e8eg>"3>10?:h5+1g3910252z\1a2=::l=1>h94}rc5>5<5sWk=70vP78:?1a253z?1a2<5m?16>h959d9>6?`e3->8<7m4}|`0gg<72<096;u+41f9b1=O;%33f?c<,8l:68;;;h;`>5<>of>3:17b50z&72=5$54;>5$54;>6e432c8;i4?:%654>50;&72=<51910qo:95;295?6=8r.?:54;6d9l6<6=83.?:54=9198yv4b?3:1>vP=e69>6`1=:0:0q~o9:181[g1348n;7??9:p=`<72;qU5h522d5972bh953b1891022;3;7psm3b83>0<52?q/8=j5f59K036<@=9:7)?i1;760>o>k3:17d7j:188m=>=831bm;4?::m1a2<722h9i:4?:783>5}#10:<454i8a94?"3>102o65f9e83>!21033o76g!21039h?65f36f94?"3>108;i54o3;3>5<#4>4;|`720<7280;6=u+47:903ck279i:46c:p<=<72;qU45522d59=a=z{oi1<76e434>=97<60:~fd2=8391>7:t$52g>c4<@=<;7E:<1:&2b4<2==1b454?::kb2?6=3f8n;7>5;c0f3?6==381:v*;0e8e1>"6n80>995`2d594?=n:l<1<75fa783>>o>m3:17d67:188fc3=83<1<7>t$54;>c1=n;j91<7*;6980g6=5$54;>7?732wi8;=50;394?6|,=<36?6m;n0:1?6=,=<36?7?;:pe3<72;qUm;52f4824<=z{121<7;a=382<6s|42094?4|5o?15n5247196<3:183!248330(9>k:ga8 10?2=iaj3:1(987:3;3?>{t:l=1<77}Yi?16>h95a79~w=>=838pR564=3g4>=>7c1348n;77j;<09bg=#<::1o6srb37f>5<42;0?w):?d;d1?M2182B??<5+1g39102>i5m>0;66l=e683>0<52?q/8=j5f49'5c7==<>0c?k8:188m7c12900el850;9j=`<722c347>5;cd6>5<1290;w):98;d4?l7713:1(987:02:?>o>k3:1(987:8a8?l?c290/8;659e98m6e4290/8;653b18?l50l3:1(987:25g?>i5190;6):98;0:4>=zj=<86=4>:183!210383n6a=9483>!210382<65rs`494?4|Vh<01k;511;8yv>?2909wS67;7}Y:l<01k;53b18yv4b?3:1>vP=e69>b0<5191v9==:1818`220i0198<:3;6?x{e:3:1=7>50z&775<>3->;h7hl;%65=47<60:9~w7c02909wS1vl850;0xZd0<5;o<6l84}r:;>5<5sW2370;5m>02i63=:g`8 1572j1vqo?:9;291?4=>r.?:477?l?d2900e4k50;9j<=<722cj:7>5;n0f3?6=3k8n;7>56;294~"3>10m;6g>0883>!2103;;565f9b83>!21033h76g6d;29 10?20n07d=l3;29 10?2:i876g<7e83>!210391095=54}c651?6=93:152z\1a2=::l=1>4>4}rc5>5<5sWk=70{t1l0;6?uQ9d9>6`1=;>n0q~7l:181[?d348n;77l;|q;{tnj0;6?u22d597f5<5=<>6?7?;|a6`<72:0969u+41f9b7=O;%3e5?32<2c347>5;hc5>5<5<22;0=w):?d;d6?!7a93?>86a=e683>>o5m?0;66gn6;29?l?b2900e5650;9ab0<72?0;6=u+47:9b2=n9931<7*;69824<==n1m0;6):98;;g?>o4k:0;6):98;1`7>=n;>n1<7*;69803a=4?:083>5}#5l4o3;6>5<#4>4;|qb2?6=:rTj:63i5;33=>{t010;6?uQ899>b0<>l2wx5h4?:3y]=`=:n<08;i5rs3g5>5<5sW8n:63i5;1`7>{t:l=1<77}:n<02o63;6281=0=zuk81<7?50;2x 157201/8=j5fb9'03>=:477?j`e290/8;652828?xu5m>0;6?uQ2d5897c02;o<7p}n6;296~Xf>279i:4n6:p<=<72;qU45522d59<==z{<0;6>u22d596`0<5;o<64k4=38ef>"3;90h7psm1c:94?5=:3>p(9>k:g08L1073A>8=6*>f08611=n010;66gn6;29?j4b?3:17or.?h950;9j6`0=831bm;4?::k:a?6=3`236=44bg794?0=83:p(987:g58m46>290/8;6511;8?l?d290/8;659b98m83:1(987:3;3?>{e7}Yi?16j84>089~w=>=838pR564=g79=a=z{0o1<7n0q~82wx8><50;0x9c3=1j168;=52878yxd5290:6=4?{%604??<,=:o6km4$54;>10b3-;m=7;:4:mef?6=,=<36?7?;:p6`1=838pR?k8;<0f3?4b?2wxm;4?:3y]e3=::l=1m;5rs9:94?4|V1201?k8:9:8yv3=839p1?k8:3g5?84b?33n70<5fc9'066=k2wvn<:;:180>7<3s->;h7h=;I654>N3;81/=k?55468m=>=831bm;4?::m1a2<722h9i:4?:481>3}#<9n1j85+1g391025<>o?03:17oh::185>5<7s->=47h8;h33=?6=,=<36<>6;:k:g?6=,=<364m4;h;g>5<#5<#9k;:m1=5<72->=47<60:9~f104290:6=4?{%65821vl850;0xZd0<5o?1==74}r:;>5<5sW2370h::8f8yv?b2909wS7j;61c3ty9i;4?:3y]6`0<5o?1?n=4}r0f3?6=:rT9i:52f481=5=z{=996=4={"6n80>995`fc83>!210382<65rs3g4>5<5sW8n;63=e681a2=z{h<1<7?348n;767;|q6>5<4s48n;7m2796kl4$513>f=zuk;hh7>53;090~"38m0m>6F;619K067<,8l:68;;;h:;>5<:477?j4b?3:17d56;294~"3>10m;6g>0883>!2103;;565f9b83>!21033h76g6d;29 10?20n07d=l3;29 10?2:i876g<7e83>!210391095=54}c657?6=93:1{t:l<1<77}Y:l=01k;52828yv24:3:1>v3i5;;`?821;38296srb383>4<729q/8>>59:&74a0ckl50;&72=<51910q~16>h952d58yvg12909wSo9;<0f3?g13ty347>52z\;<>;5m>0346s|5;297~;5m>09i;522d59=`=::3li7):<0;a8yxd6>>0;6>4=:5y'05b=n;1C8;>4H512?!7a93?>86g78;29?lg12900c?k8:188f7c0290>6?49{%63`?`23-;m=7;:4:m1a2<722c9i;4?::kb2?6=3`3n6=44i9:94?=en<0;6;4?:1y'03>=n>1b==750;&72=<68010e4m50;&72=<>k21b5i4?:%654?:%65=:0:07pl;6283>4<729q/8;6529`8k7?2290/8;652828?xuf>3:1>vPn6:?e1?7712wx454?:3y]<==:n<02h6s|9d83>7}Y1l16j84<7e9~w7c12909wSh950;0xZ7c034l>6?7?;|q777<72;q6j846c:?726<51<1vqo<50;394?6|,=9;645+41f9bf=#0333fli6=4+47:96<6<3ty9i:4?:3y]6`1<5;o<6?k8;|qb2?6=:rTj:63=e68b2>{t010;6?uQ899>6`1=011v84?:2y>6`1=:l<01?k8:8g8977:t$52g>c4<@=<;7E:<1:&2b4<2==1b454?::kb2?6=3f8n;7>5;c0f3?6==381:v*;0e8e1>"6n80>995`2d594?=n:l<1<75fa783>>o>m3:17d67:188fc3=83<1<7>t$54;>c1=n;j91<7*;6980g6=5$54;>7?732wi8;=50;394?6|,=<36?6m;n0:1?6=,=<36?7?;:pe3<72;qUm;52f4824<=z{121<7;a=382<6s|42094?4|5o?15n5247196<3:183!248330(9>k:ga8 10?2=iaj3:1(987:3;3?>{t:l=1<77}Yi?16>h95a79~w=>=838pR564=3g4>=>7c1348n;77j;<09bg=#<::1o6srb001>5<42;0?w):?d;d1?M2182B??<5+11`9a>"6n80>995f8983>>of>3:17bh850;9je3<722c2i7>5;h:;>5<6:18'03>=99307d7l:18'03>=1j10e4j50;&72=<>l21b?n=50;&72=<4k:10e>9k:18'03>=;>n07b<60;29 10?2;3;76sm47194?7=83:p(987:3:a?j4>=3:1(987:3;3?>{ti?0;6?uQa79>b0<6801v5650;0xZ=><5o?15i5rs8g94?4|V0o01k;536f8yv4b>3:1>vP=e79>b0<4k:1v?k8:181[4b?27m97<60:p064=838p1k;59b9>035=:0?0qpl=:182>5<7s->8<774$52g>ce<,=<3698j;%3e5?32<2emn7>5$54;>7?732wx>h950;0xZ7c0348n;7>5c:~f4e>290>6?49{%63`?`33A>=<6F;309'5c7==<>0e4m50;9j=`<722c347>5;hc5>5<5<1290;w):98;d4?l7713:1(987:02:?>o>k3:1(987:8a8?l?c290/8;659e98m6e4290/8;653b18?l50l3:1(987:25g?>i5190;6):98;0:4>=zj=<>6=4>:183!2103>=i6a=9183>!210382<65rs3g4>5<5sW8n;63=e681=5=z{h<1<7m279i:4<7e9~w08o>5247796<63}#<9n1j95G4728L1563-;m=7;:4:k:g?6=3`3n6=44i9:94?=ni?0;66a=e683>>d5m>0;6;4?:1y'03>=n>1b==750;&72=<68010e4m50;&72=<>k21b5i4?:%654?:%65=:0:07pl;6483>4<729q/8;6547g8k7?7290/8;652828?xu5m>0;6?uQ2d5897c02;3;7p}n6;296~Xf>279i:4>089~w61c3ty2o7>52z\:g>;5m>02o6s|8983>7}Y0116>h959e9~wce=838p1?k8:2a0?821=382<6srb0:3>5<22;0=w):?d;d7?M2182B??<5+1g39102>o?03:17do9:188k7c02900n?k8:185>5<7s->=47h8;h33=?6=,=<36<>6;:k:g?6=,=<364m4;h;g>5<#5<#9k;:m1=5<72->=47<60:9~f102290:6=4?{%65821v?k8:181[4b?279i:4=919~wd0=838pRl84=3g4>46>3ty2i7>52z\:a>;5m>08;i5rs8a94?4|V0i01?k8:8a8yv>?2909wS67;<0f3??c3tymo7>52z?1a2<4k:168;;52828yxd4nh0;6>4=:5y'05b=n;1C8;>4H512?!77j3o0(:477?l>?2900el850;9l6`1=831i>h950;796?0|,=:o6k;4$0d2>0333f8n;7>5;h0f2?6=3`k=6=44i8g94?=n010;66li5;292?6=8r.?:54i7:k24<<72->=47??9:9j=f<72->=477l;:k:`?6=,=<364j4;h1`7?6=,=<36>m<;:k03a<72->=47=8d:9l6<6=83.?:54=9198yg21;3:1=7>50z&72=<50k1d>4;50;&72=<51910q~o9:181[g134l>6<>6;|q;m3:1>vP6e:?e1?50l2wx>h850;0xZ7c134l>6>m<;|q1a2<72;qU>h94=g796<68>7>52z?e1??d34>=?7<65:~f7<7280;6=u+4229=>"38m0mo6*;69872`=#9o;198:4og`94?"3>1095=54}r0f3?6=:rT9i:522d596`16`1=1l16>7hm;%604?e1}#<9n1j?5G4728L1563-;;n7k4$0d2>0333`236=44i`494?=h:l=1<75m2d594?3=:3k:g78 4`623:17do9:188m3:1o6800;6):98;33=>=n1j0;6):98;;`?>o>l3:1(987:8f8?l5d;3:1(987:2a0?>o4?m0;6):98;14`>=h:0:1<7*;6981=5==?7>51;294~"3>1094o5`28794?"3>1095=54}rc5>5<5sWk=70h::02:?xu?03:1>vP78:?e1??c3ty2i7>52z\:a>;a=390;6?uQ2d589c3=:0:0q~:<2;296~;a=33h70:93;0:1>{zj;0;6<4?:1y'066=12.?l1/=k?55468kcd=83.?:54=9198yv4b?3:1>vP=e69>6`1=:l=0q~o9:181[g1348n;7o9;|q;{t=3:1?v3=e681a3=::l=15h522;da?!2483i0qpl;c`83>7<729q/8=j511a8L1073A>8=6*;698;1>"6n80>995ffe83>!2103;;565`29794?"3>1095=5G4758?xd6=>0;6?4?:1y'05b=99i0D98?;I605>"3>10396*>f08611=nnm0;6):98;33=>=h:1?1<7*;6981=5=Occ83>7<729q/8=j511a8L1073A>8=6*;698;1>"6n80>995ffe83>!2103;;565`29794?"3>1095=5G4758?xd3i:0;6?4?:1y'05b=99i0D98?;I605>"3>10396*>f08611=nnm0;6):98;33=>=h:1?1<7*;6981=5=O"6n80>995ffd83>!2103;;565ffg83>!2103;;565`29794?"3>1095=54}c63f?6=:3:1;%6523-;m=7;:4:ke`?6=,=<36<>6;:m1<0<72->=47<60:J722=;o7>53;294~"38m0?<6F;619K067<,=<36>h4$0d2>0333`ln6=4+47:955?<3`lm6=4+47:955?<3f8397>5$54;>7?732wi8nj50;194?6|,=:o69>4H543?M2492.?:545;50;&72=<51910qo:le;296?6=8r.?0b9K036<@=9:7):98;:6?!7a93?>86gid;29 10?28:276a=8483>!210382<6F;6698yg2dn3:1>7>50z&74a<68j1C8;>4H512?!21032>7)?i1;760>oal3:1(987:02:?>i50<0;6):98;0:4>N3>>10qo:k0;297?6=8r.?=99307dhi:18'03>=99307b<75;29 10?2;3;76sm4e394?5=83:p(9>k:528L1073A>8=6*;6980b>"6n80>995ffd83>!2103;;565ffg83>!2103;;565`29794?"3>1095=54}c35=?6=;3:1N3>91C8>?4$54;>6`<,8l:68;;;hdf>5<#5<#t$52g>46d3A>=<6F;309'03>=0<1/=k?55468mcb=83.?:54>0898k7>2290/8;652828L10032wi=;l50;194?6|,=:o69>4H543?M2492.?:545;50;&72=<51910qo:?0;296?6=8r.?0b9K036<@=9:7):98;:6?!7a93?>86gid;29 10?28:276a=8483>!210382<6F;6698yg27:3:1?7>50z&74a<50m1C8;>4H512?!210383o6*>f08611=nnl0;6):98;33=>=nno0;6):98;33=>=h:1?1<7*;6981=5=;?7>53;294~"38m0?<6F;619K067<,=<36>h4$0d2>0333`ln6=4+47:955?<3`lm6=4+47:955?<3f8397>5$54;>7?732wi8=:50;094?6|,=:o6<>l;I654>N3;81/8;65849'5c7==<>0ekj50;&72=<68010c?6::18'03>=:0:0D988;:a053=8381<7>t$52g>46d3A>=<6F;309'03>=0<1/=k?55468mcb=83.?:54>0898k7>2290/8;652828L10032wi8=850;194?6|,=:o69>4H543?M2492.?:545;50;&72=<51910qo:?7;297?6=8r.?=99307dhi:18'03>=99307b<75;29 10?2;3;76sm41:94?5=83:p(9>k:3:g?M2182B??<5+47:96=e<,8l:68;;;hdf>5<#5<#t$52g>16<@=<;7E:<1:&72=<4n2.:j<4:559jb`<72->=47??9:9jbc<72->=47??9:9l6=3=83.?:54=9198yg2793:1?7>50z&74a<50m1C8;>4H512?!210383o6*>f08611=nnl0;6):98;33=>=nno0;6):98;33=>=h:1?1<7*;6981=5=53;294~"38m0?<6F;619K067<,=<36>h4$0d2>0333`ln6=4+47:955?<3`lm6=4+47:955?<3f8397>5$54;>7?732wi?kj50;194?6|,=:o6?6k;I654>N3;81/8;6529a8 4`62=99307dhi:18'03>=99307b<75;29 10?2;3;76sm4`794?5=83:p(9>k:528L1073A>8=6*;6980b>"6n80>995ffd83>!2103;;565ffg83>!2103;;565`29794?"3>1095=54}c6b2?6=:3:1;%6523-;m=7;:4:ke`?6=,=<36<>6;:m1<0<72->=47<60:J722=j;7>53;294~"38m094i5G4728L1563->=47<7c:&2b4<2==1bjh4?:%655;50;&72=<51910qo?93;296?6=8r.?0b9K036<@=9:7):98;:6?!7a93?>86gid;29 10?28:276a=8483>!210382<6F;6698yg71<3:1>7>50z&74a<68j1C8;>4H512?!21032>7)?i1;760>oal3:1(987:02:?>i50<0;6):98;0:4>N3>>10qo?95;297?6=8r.?=99307dhi:18'03>=99307b<75;29 10?2;3;76sm17494?5=83:p(9>k:3:g?M2182B??<5+47:96=e<,8l:68;;;hdf>5<#5<#t$52g>16<@=<;7E:<1:&72=<4n2.:j<4:559jb`<72->=47??9:9jbc<72->=47??9:9l6=3=83.?:54=9198yg72k3:1>7>50z&74a<68j1C8;>4H512?!21032>7)?i1;760>oal3:1(987:02:?>i50<0;6):98;0:4>N3>>10qo?:d;296?6=8r.?0b9K036<@=9:7):98;:6?!7a93?>86gid;29 10?28:276a=8483>!210382<6F;6698yg72m3:1?7>50z&74a<382B?:=5G4238 10?2:l0(:477?l`b290/8;6511;8?l`a290/8;6511;8?j4?=3:1(987:3;3?>{e95m4$0d2>0333`ln6=4+47:955?<3`lm6=4+47:955?<3f8397>5$54;>7?732wi=nh50;194?6|,=:o69>4H543?M2492.?:545;50;&72=<51910qo?k6;296?6=8r.?0b9K036<@=9:7):98;:6?!7a93?>86gid;29 10?28:276a=8483>!210382<6F;6698yg7c?3:1>7>50z&74a<68j1C8;>4H512?!21032>7)?i1;760>oal3:1(987:02:?>i50<0;6):98;0:4>N3>>10qo?k8;296?6=8r.?0b9K036<@=9:7):98;:6?!7a93?>86gid;29 10?28:276a=8483>!210382<6F;6698yg7c13:1>7>50z&74a<68j1C8;>4H512?!21032>7)?i1;760>oal3:1(987:02:?>i50<0;6):98;0:4>N3>>10qo?ka;296?6=8r.?0b9K036<@=9:7):98;:6?!7a93?>86gid;29 10?28:276a=8483>!210382<6F;6698yg7cj3:1>7>50z&74a<68j1C8;>4H512?!21032>7)?i1;760>oal3:1(987:02:?>i50<0;6):98;0:4>N3>>10qo?kc;296?6=8r.?0b9K036<@=9:7):98;:6?!7a93?>86gid;29 10?28:276a=8483>!210382<6F;6698yg7cl3:1?7>50z&74a<50m1C8;>4H512?!210383o6*>f08611=nnl0;6):98;33=>=nno0;6):98;33=>=h:1?1<7*;6981=5=53;294~"38m0?<6F;619K067<,=<36>h4$0d2>0333`ln6=4+47:955?<3`lm6=4+47:955?<3f8397>5$54;>7?732wi=i?50;694?6|,=:o69?4H543?M2492.?:5450;&72=<68010c?6::18'03>=:0:07pl>d383>6<729q/8=j5419K036<@=9:7):98;1e?!7a93?>86gie;29 10?28:276gif;29 10?28:276a=8483>!210382<65rb0f0>5<4290;w):?d;0;`>N3>91C8>?4$54;>7>d3-;m=7;:4:kea?6=,=<36<>6;:keb?6=,=<36<>6;:m1<0<72->=47<60:9~f4b3290?6=4?{%63`?263A>=<6F;309'03>=;o1/=k?55468mcc=83.?:54>0898mc`=83.?:54>0898m467290/8;6511;8?j4?=3:1(987:3;3?>{e9m?1<7=50;2x 16c2;2o7E:90:J774=#5m4$0d2>0333`ln6=4+47:955?<3`lm6=4+47:955?<3f8397>5$54;>7?732wi=;j50;194?6|,=:o69>4H543?M2492.?:545;50;&72=<51910qo?83;296?6=8r.?0b9K036<@=9:7):98;:6?!7a93?>86gid;29 10?28:276a=8483>!210382<6F;6698yg70<3:1>7>50z&74a<68j1C8;>4H512?!21032>7)?i1;760>oal3:1(987:02:?>i50<0;6):98;0:4>N3>>10qo?85;297?6=8r.?=99307dhi:18'03>=99307b<75;29 10?2;3;76sm16494?5=83:p(9>k:528L1073A>8=6*;6980b>"6n80>995ffd83>!2103;;565ffg83>!2103;;565`29794?"3>1095=54}c343?6=:3:1;%6523-;m=7;:4:ke`?6=,=<36<>6;:m1<0<72->=47<60:J722=52;294~"38m0:=476:;%3e5?32<2cmh7>5$54;>46>32e9484?:%6582B?::54}c34=?6=:3:1;%6523-;m=7;:4:ke`?6=,=<36<>6;:m1<0<72->=47<60:J722=53;294~"38m094i5G4728L1563->=47<7c:&2b4<2==1bjh4?:%655;50;&72=<51910qo?9e;297?6=8r.?=99307dhi:18'03>=99307b<75;29 10?2;3;76sm17d94?5=83:p(9>k:528L1073A>8=6*;6980b>"6n80>995ffd83>!2103;;565ffg83>!2103;;565`29794?"3>1095=54}c344?6=;3:1;%65=47??9:9jbc<72->=47??9:9l6=3=83.?:54=9198yg7093:187>50z&74a<392B?:=5G4238 10?2:l0(:477?l`b290/8;6511;8?l`a290/8;6511;8?l7783:1(987:02:?>i50<0;6):98;0:4>=zj8=96=4<:183!27l383h6F;619K067<,=<36?6l;%3e5?32<2cmi7>5$54;>46>32cmj7>5$54;>46>32e9484?:%65821vn<6=:180>5<7s->;h7:?;I654>N3;81/8;653g9'5c7==<>0ekk50;&72=<68010ekh50;&72=<68010c?6::18'03>=:0:07pl>8283>6<729q/8=j529f8L1073A>8=6*;698110:<454igd94?"3>10:<454o3:6>5<#4>4;|`2<1<72;0;6=u+41f955e<@=<;7E:<1:&72==47??9:9l6=3=83.?:54=919K031<3th:484?:383>5}#<9n1==m4H543?M2492.?:5475:&2b4<2==1bji4?:%655;50;&72=<5191C8;94;|`2<3<72:0;6=u+41f905=O;%656;:keb?6=,=<36<>6;:m1<0<72->=47<60:9~f4>029086=4?{%63`?273A>=<6F;309'03>=;o1/=k?55468mcc=83.?:54>0898mc`=83.?:54>0898k7>2290/8;652828?xd6010;694?:1y'05b=<81C8;>4H512?!21039m7)?i1;760>oam3:1(987:02:?>oan3:1(987:02:?>o6890;6):98;33=>=h:1?1<7*;6981=5=53;294~"38m0?<6F;619K067<,=<36>h4$0d2>0333`ln6=4+47:955?<3`lm6=4+47:955?<3f8397>5$54;>7?732wi=9j50;094?6|,=:o6<>l;I654>N3;81/8;65849'5c7==<>0ekj50;&72=<68010c?6::18'03>=:0:0D988;:a51c=8381<7>t$52g>46d3A>=<6F;309'03>=0<1/=k?55468mcb=83.?:54>0898k7>2290/8;652828L10032wi=9h50;194?6|,=:o6?6k;I654>N3;81/8;6529a8 4`62=99307dhi:18'03>=99307b<75;29 10?2;3;76sm14294?4=83:p(9>k:02`?M2182B??<5+47:9<0=#9o;198:4igf94?"3>10:<454o3:6>5<#4>4H544?>{e9<;1<7<50;2x 16c28:h7E:90:J774=#6=4+47:96<6<@=<<76sm14094?4=83:p(9>k:02`?M2182B??<5+47:9<0=#9o;198:4igf94?"3>10:<454o3:6>5<#4>4H544?>{e9<91<7=50;2x 16c2=:0D98?;I605>"3>108j6*>f08611=nnl0;6):98;33=>=nno0;6):98;33=>=h:1?1<7*;6981=5=87>52;294~"38m0:=476:;%3e5?32<2cmh7>5$54;>46>32e9484?:%6582B?::54}c373?6=<3:1N3>91C8>?4$54;>6`<,8l:68;;;hdf>5<#5<#6;:m1<0<72->=47<60:9~f42?290?6=4?{%63`?263A>=<6F;309'03>=;o1/=k?55468mcc=83.?:54>0898mc`=83.?:54>0898m467290/8;6511;8?j4?=3:1(987:3;3?>{e9=31<7=50;2x 16c2=:0D98?;I605>"3>108j6*>f08611=nnl0;6):98;33=>=nno0;6):98;33=>=h:1?1<7*;6981=5=53;294~"38m094i5G4728L1563->=47<7c:&2b4<2==1bjh4?:%655;50;&72=<51910qo?;b;297?6=8r.?=99307dhi:18'03>=99307b<75;29 10?2;3;76sm15a94?2=83:p(9>k:3:f?M2182B??<5+47:96=e<,8l:68;;;hdf>5<#5<#6;:m1<0<72->=47<60:9~f4df29086=4?{%63`?273A>=<6F;309'03>=;o1/=k?55468mcc=83.?:54>0898mc`=83.?:54>0898k7>2290/8;652828?xd6k80;6?4?:1y'05b=99i0D98?;I605>"3>10396*>f08611=nnm0;6):98;33=>=h:1?1<7*;6981=5=Oc383>7<729q/8=j511a8L1073A>8=6*;698;1>"6n80>995ffe83>!2103;;565`29794?"3>1095=5G4758?xd6k:0;6>4?:1y'05b=:1n0D98?;I605>"3>1094n5+1g391026=4+47:96<6<3th:o94?:383>5}#<9n1==m4H543?M2492.?:5475:&2b4<2==1bji4?:%655;50;&72=<5191C8;94;|`2g0<72;0;6=u+41f955e<@=<;7E:<1:&72==47??9:9l6=3=83.?:54=919K031<3th:o;4?:383>5}#<9n1==m4H543?M2492.?:5475:&2b4<2==1bji4?:%655;50;&72=<5191C8;94;|`2g2<72:0;6=u+41f905=O;%656;:keb?6=,=<36<>6;:m1<0<72->=47<60:9~f4e?29096=4?{%63`?77k2B?:=5G4238 10?21?0(:477?l`c290/8;6511;8?j4?=3:1(987:3;3?M21?21vn5<7s->;h7:>;I654>N3;81/8;653g9'5c7==<>0ekk50;&72=<68010ekh50;&72=<68010e<>?:18'03>=99307b<75;29 10?2;3;76sm1ca94?2=83:p(9>k:538L1073A>8=6*;6980b>"6n80>995ffd83>!2103;;565ffg83>!2103;;565f11294?"3>10:<454o3:6>5<#4>4;|`2fa<72:0;6=u+41f905=O;%656;:keb?6=,=<36<>6;:m1<0<72->=47<60:9~f4db29086=4?{%63`?4?l2B?:=5G4238 10?2;2h7)?i1;760>oam3:1(987:02:?>oan3:1(987:02:?>i50<0;6):98;0:4>=zj8hm6=4<:183!27l3>;7E:90:J774=#6=4+47:96<6<3th:o=4?:583>5}#<9n1>5k4H543?M2492.?:54=8b9'5c7==<>0ekk50;&72=<68010ekh50;&72=<68010e<>?:18'03>=99307b<75;29 10?2;3;76sm3bf94?4=83:p(9>k:02`?M2182B??<5+47:9<0=#9o;198:4igf94?"3>10:<454o3:6>5<#4>4H544?>{e;jo1<7<50;2x 16c28:h7E:90:J774=#6=4+47:96<6<@=<<76sm3bd94?4=83:p(9>k:02`?M2182B??<5+47:9<0=#9o;198:4igf94?"3>10:<454o3:6>5<#4>4H544?>{e;m:1<7<50;2x 16c28:h7E:90:J774=#6=4+47:96<6<@=<<76sm3e394?5=83:p(9>k:528L1073A>8=6*;6980b>"6n80>995ffd83>!2103;;565ffg83>!2103;;565`29794?"3>1095=54}c1g6?6=;3:1;%65=47??9:9jbc<72->=47??9:9l6=3=83.?:54=9198yg5c;3:187>50z&74a<392B?:=5G4238 10?2:l0(:477?l`b290/8;6511;8?l`a290/8;6511;8?l7783:1(987:02:?>i50<0;6):98;0:4>=zj:n?6=4<:183!27l383h6F;619K067<,=<36?6l;%3e5?32<2cmi7>5$54;>46>32cmj7>5$54;>46>32e9484?:%65821v?k50;0xZ7c<5;o1>h94}r1`>5v37p}72;29e~X?:278oo4n6:?21<27?m>4id:?22<4if:?7e3pR4j4=5c3>=><58<:6564=2aa>=><5:i14552a58;<>;5=l03463>588;<>;5m32370?m8;:;?873<32370?ld;:;?871?32370?;3;:;?875:32370?l9;:;?872=32370?70;:;?85ai32370=ie;:;?xuf<3:1?v3n4;0f3>;4m3ln70?96;df?xuf=3:1>v3n4;c5?827k38396s|11d94?4|V8:m70?=2;c5?xu6:;0;68u213096`1<5=:;6kj4=2d`>c`<58n=6kj4=050>cb52z\266=:5<1sW;9m63;ce8ea>;6>00mi63;028ea>;60;0mj63{t9=81<74283>7}:9=91>h94=07a>cc54z\201=:9=>1>h94=065>cc<58><6kh4}r371?6=:r7:894n6:?20f<50<1v<:9:181873>383963>4b8eb>{t9==1<77>234;?m7hj;|q20=<72;q6=9652978942f2ol0q~?;9;296~;6<009485215a955652z?20d<50<16=9l5fd9~w42e2909w0?;b;0;1>;6o6?6:;<373?`b3ty:8h4?:3y>51c=:1?01<:8:023?xu636kh4}r364?6=:r7:9=4=849>51>=nl1v<;>:1818729383963>498245=z{8?96=4={<366?4?=27:8o4if:p505=838p1<;<:3:6?87313ln7p}>5583>7}:9<>1>5;4=06:>c`97>52z?210<5m>16=8=5fd9~w4312909w0?:5;c5?872?38396s|14;94?4|V8?270?:9;0f3>{t96183>1}Y9?:01<;l:gf894>12oo01>j=:gg8yv7193:1>vP>609>537=:l=0q~?92;296~;6>80j:63>6781<0=z{8<86=4={<357?4?=27::84ie:p532=838p1<8;:3:6?871=3lm7p}>6483>7}:9??1>5;4=045>c`53z\222=:9?=1>h94=04g>cc57z?22g<50<16=i?5fg9>520=nl16=9k5fe9>51`=no16=n?5fe9>5f5=no1v<86:1818711383963>6c8ea>{t9?k1<77>234;=n7hi;|q22f<72;q6=;95a79>524=:1?0q~?9d;296~;6>m09485217d9b`=z{87183>7}:9>:1>5;4=052>c`52z?234<50<16=:<5fg9~w4142909w0?83;0;1>;6?h0mi6s|16694?4|58=?6?6:;<34e?`a3ty:;84?:3y>523=:1?01<9?:gg8yv70>3:1>v3>7781<0=:9?o1jh5rs054>5<5s4;<;7<75:?22`70?81;df?xu6?00;6?u216;96=3<58=:6<>?;|q23d<72;q6=:o52978940a2ol0q~?70;297~;60909i:521e:9ba=:9>?1jk5rs0:2>5<5s4;3>7<75:?2<=42;2>70?76;de?xu60:0;6?u219696=3<582<6kk4}r3;0?6=:r7:484=849>5=1=no1v<6::18187?>383963>898ea>{t91<1<77>234;347??0:p5g>=83>pR5gc=nl1vbd8eb>{t9kn1<77>234;h<7??0:p5gc=838p1bg83>7}:9kl1>5;4=0a3>cc52z?2g4<50<16=ol5fd9~w4e52909w0?l2;0;1>;6jk0:<=5rs0a0>5<5s4;h?7<75:?2ff70?mc;df?xu6k<0;6?u21b796=3<58hh6<>?;|q2g3<72;q6=n85297894da2ol0q~?l7;296~;6k>0948521cf9b`=z{8i36=4={<3`c`83>7}:9j31m;521b`96=353z\2ga=:9jn1>h94=0ae>cc52z?2ga27:h84=849~w4ea2909w0?lf;0;1>;6l;0mi6s|1e294?4|58n;6?6:;<3g7?`b3ty:h<4?:3y>5a7=:1?01v3>d381<0=:9m?1jh5rs0f0>5<5s4;o?7<75:?2`170?k5;de?xu6l?0;6?u21e496=3<58no6kk4}r3g3?6=:r7:h:4=849>5ab=no1vd18eb>{t9m31<77>234;o=7hj;|q2`d<72;q6=io5297894b628:;7p}>dc83>7}:9mh1>5;4=0f7>cc52z?2`f<50<16=i:51128yv7cl3:1>v3>de81<0=:9m81jk5rs37f>5<1s49h6l84=37f>7c034;h577l;<361??d349n6kh4=040>cbj7>53z?11`27:4=46c:?2<=<50<1v?6>:18;82193li70:n0;;f?85dj33n70=l:8g8943>20o01vP<719>0f`=nm1v>mm:18585dj38n;63>6`8e`>;38=0mh63;a48ea>;6=k0mj63>848e`>{t;ji1<74>019~w6b72909w0=k0;0;1>;4l=0mj6s|3e394?4|5:n:6?6:;<1g6?`a3ty8h?4?:3y>7a4=:1?01>j<:gg8yv5c;3:1>v31jh5rs2db>5<68r78jl4=e69>05d=nm168=;5fe9>7ce=nl16=i95fe9>5ad=nm16=::5fe9>52>=nm16=5=5fg9>510=no16=8?5fe9>502=nm16=oo5fg9>5f3=nm16=n65fe9>7fc=nm1v>hm:18185ai3k=70=id;0;1>{t;oi1<77>2349mh7hi;|q0b`<728:p1?k5a79>7cc=:l=019>l:gg891652ol019>9:gg896`c2oo012on01<6<:gg8942c2on01<:i:gg894e52on01=:3:6?82703ln7p};0283>7}:<991>5;4=524>cc;87>52z?741<50<168=95fg9~w1622909w0:?5;0;1>;3800mj6s|41494?4|5=:=6?6:;<635?`b3ty?<:4?:3y>051=:1?019>7:gd8yv2703:1>v3;0981<0=:<931jh5rs52:>5<5s4>;57<75:?74470:?c;de?xu3010;6?uQ49:891eb2on0q~:n0;296~X3i9168l>52d58yv2f:3:1>v3;a18b2>;3i:09485rs5c7>5<5s4>j<77l;<6b3?4?=2wx8l;50;0x91g22;2>70:n7;df?xu3i?0;6?u24`496=3<5=k<6kh4}r6`=?6=:rT?o4524bc96=3ho7>52z?7gd;3l80mi6s|4bg94?4|5=in6?6:;<6g4?`b3ty?ok4?:3y>0f`=:1?019j?:gd8yv2c83:1>v3;d181<0=:5<4sW>oo63>568e`>;6=90mh6s|50494?5|V<;=70?lb;dg?87d<3lo7p}:4e83>0}Y==n01<6?:`4891ec2ol01a<6<3;nwE:<1:&74a50;9l0gg=831b=;?50;9j0=>=831d4?4?::ke4?6=3`8n47>5;h13=?6=3`9<<7>5;h332?6=3`9:o7>5;h36=?6=3k>im7>53;090~"38m0m>6F;619K067<,8l:68;;;h:;>5<:477?j4b?3:17d56;294~"3>10m;6g>0883>!2103;;565f9b83>!21033h76g6d;29 10?20n07d=l3;29 10?2:i876g<7e83>!210391095=54}c657?6=93:1{t:l<1<77}Y:l=01k;52828yv24:3:1>v3i5;;`?821;38296srb383>4<729q/8>>59:&74a0ckl50;&72=<51910q~16>h952d58yvg12909wSo9;<0f3?g13ty347>52z\;<>;5m>0346s|5;297~;5m>09i;522d59=`=::3li7):<0;a8yxd3>3:1?7<54z&74a=831bm;4?::m1a2<722h9i:4?:481>3}#<9n1j85+1g391025<>o?03:17oh::185>5<7s->=47h8;h33=?6=,=<36<>6;:k:g?6=,=<364m4;h;g>5<#5<#9k;:m1=5<72->=47<60:9~f104290:6=4?{%65821vl850;0xZd0<5o?1==74}r:;>5<5sW2370h::8f8yv?b2909wS7j;61c3ty9i;4?:3y]6`0<5o?1?n=4}r0f3?6=:rT9i:52f481=5=z{=996=4={"6n80>995`fc83>!210382<65rs3g4>5<5sW8n;63=e681a2=z{h<1<7?348n;767;|q6>5<4s48n;7m2796kl4$513>f=zuk??87>54;091~"38m0m:6F;619K067f08611=n010;66gn6;29?l4b>3:17bh850;9je3<722c2i7>5;h:;>5<6:18'03>=99307d7l:18'03>=1j10e4j50;&72=<>l21b?n=50;&72=<4k:10e>9k:18'03>=;>n07b<60;29 10?2;3;76sm47194?7=83:p(987:3:a?j4>=3:1(987:3;3?>{ti?0;6?uQa79>b0<6801v5650;0xZ=><5o?15i5rs8g94?4|V0o01k;536f8yv4b>3:1>vP=e79>b0<4k:1v?k8:181[4b?27m97<60:p064=838p1k;59b9>035=:0?0qpl>:182>5<7s->8<764$52g>ce<,=<3698j;%3e5?32<2emn7>5$54;>7?732wx>h950;0xZ7c0348n;72wxm;4?:3y]e3=::l=1m;5rs9:94?4|V1201?k8:9:8yv2=838p1?k8:8g894=47??9:9l6=3=83.?:54=919K031<3th387>53;294~"38m094i5G4728L1563->=47<7c:&2b4<2==1bjh4?:%655;50;&72=<51910qo:n:181>5<7s->;h7??c:J725=O<:;0(987:978 4`62=99307b<75;29 10?2;3;7E:97:9~f1e329096=4?{%63`?77k2B?:=5G4238 10?21?0(:477?l`c290/8;6511;8?j4?=3:1(987:3;3?M21?21vn8:l:181>5<7s->;h7??c:J725=O<:;0(987:978 4`62=99307b<75;29 10?2;3;7E:97:9~f11=8381<7>t$52g>46d3A>=<6F;309'03>=0<1/=k?55468mcb=83.?:54>0898k7>2290/8;652828L10032wi8n>50;194?6|,=:o69>4H543?M2492.?:545;50;&72=<51910qo:l1;296?6=8r.?0b9K036<@=9:7):98;:6?!7a93?>86gid;29 10?28:276a=8483>!210382<6F;6698yg2d:3:1?7>50z&74a<382B?:=5G4238 10?2:l0(:477?l`b290/8;6511;8?l`a290/8;6511;8?j4?=3:1(987:3;3?>{e==k1<7:50;2x 16c2=;0D98?;I605>"3>108j6*>f08611=nnl0;6):98;33=>=nno0;6):98;33=>=n99:1<7*;69824<=6=4+47:96<6<3th85o4?:283>5}#<9n1>5j4H543?M2492.?:54=8b9'5c7==<>0ekk50;&72=<68010ekh50;&72=<68010c?6::18'03>=:0:07pl<9b83>6<729q/8=j5419K036<@=9:7):98;1e?!7a93?>86gie;29 10?28:276gif;29 10?28:276a=8483>!210382<65rb2;g>5<5290;w):?d;33g>N3>91C8>?4$54;>=3<,8l:68;;;hdg>5<#=zj:3n6=4<:183!27l383h6F;619K067<,=<36?6l;%3e5?32<2cmi7>5$54;>46>32cmj7>5$54;>46>32e9484?:%65821vn>7i:180>5<7s->;h7:?;I654>N3;81/8;653g9'5c7==<>0ekk50;&72=<68010ekh50;&72=<68010c?6::18'03>=:0:07pl6<729q/8=j529f8L1073A>8=6*;698110:<454igd94?"3>10:<454o3:6>5<#4>4;|`0e4<72:0;6=u+41f905=O;%656;:keb?6=,=<36<>6;:m1<0<72->=47<60:9~w10=838p19852d589=2=nl1v9650;0x910=01168:4=849~w1?=838p1985a79>0d<50<1v5<50;6xZ=4<51>1>5;4=5a3>cc<5<>j6kh4}r:0>5<5s4286?6:;<:7>c`11g=99:0q~?:9;296~X6=016?4l5fg9~w4072909wS?90:?0=ah64=2c2>cc52z\04<=:;0i1jh5rs23`>5<5sW9:o63<9b8eb>{t;>:1<7j3ln7p}<9`83>6}:5;4}r1:f?6=:r785o4=849>7d6=nl1v>7l:18185>k383963<9g8ea>{t;0n1<77>23492i7hi;|q0=`<72;q6?4k5297896?a2ol0q~=6f;296~;41o0948523`29bc=z{:k;6=4={<1b4?4?=278m<4if:p0=>=83>pR967;<770?4b>273?7hk;<6b>cbim7>52z\7fd=:h94}r6ab?6=:r7?o94id:?7g7<50<1v9m?:18182d8383963;c38ea>{t7>234>h>7hi;|q7g6<72;q68oo5a79>0f2=:1?0q~;;4;296~X2<=1699:52d58yv3313:1>v3:4b8e`>;25<5s4??87o9;<77g?4?=2wvn99k:1822?d>2j?pD9=>;%63`?75>2.:j=45;h::>5<5<k1<75`50494?=n9ji1<75f1bf94?=n<0l1<75`4b794?=h>i2:o0;66l;6083>4<729q/8=j5fb9K036<@=9:7):98;65a>"6n80>995`fc83>!210382<65rb40e>5<22;0=w):?d;d7?M2182B??<5+1g39102>o?03:17do9:188k7c02900n?k8:185>5<7s->=47h8;h33=?6=,=<36<>6;:k:g?6=,=<364m4;h;g>5<#5<#9k;:m1=5<72->=47<60:9~f102290:6=4?{%65821v?k8:181[4b?279i:4=919~wd0=838pRl84=3g4>46>3ty2i7>52z\:a>;5m>08;i5rs8a94?4|V0i01?k8:8a8yv>?2909wS67;<0f3??c3tymo7>52z?1a2<4k:168;;52828yxddk3:1?7<54z&74ah950;9a6`1=83?1>78t$52g>c3<,8l:68;;;n0f3?6=3`8n:7>5;hc5>5<>da=3:1:7>50z&72=5$54;>5$54;>6e432c8;i4?:%654>50;&72=<51910qo:93;295?6=8r.?:54=8c9l6<3=83.?:54=9198yvg12909wSo9;46>3ty347>52z\;<>;a=33o7p}6e;296~X>m27m97=8d:p6`0=838pR?k9;6e43ty9i:4?:3y]6`1<5o?1>4>4}r606?6=:r7m977l;<657?4>=2wvn?4?:083>5}#<::156*;0e8eg>"3>10?:h5+1g3910252z\1a2=::l=1>h94}rc5>5<5sWk=70vP78:?1a253z?1a2<5m?16>h959d9>6?`e3->8<7m4}|``N3>91C8>?4$0d2>0333`236=44i`494?=h:l=1<75m2d594?3=:3k:g78 4`623:17do9:188m3:1o6800;6):98;33=>=n1j0;6):98;;`?>o>l3:1(987:8f8?l5d;3:1(987:2a0?>o4?m0;6):98;14`>=h:0:1<7*;6981=5==?7>51;294~"3>1094o5`28794?"3>1095=54}rc5>5<5sWk=70h::02:?xu?03:1>vP78:?e1??c3ty2i7>52z\:a>;a=390;6?uQ2d589c3=:0:0q~:<2;296~;a=33h70:93;0:1>{zj;0;6<4?:1y'066=12.?l1/=k?55468kcd=83.?:54=9198yv4b?3:1>vP=e69>6`1=:l=0q~o9:181[g1348n;7o9;|q;{t=3:1?v3=e681a3=::l=15h522;da?!2483i0qpll4;297?4=:477?l>?2900el850;9l6`1=831i>h950;796?0|,=:o6k;4$0d2>0333f8n;7>5;h0f2?6=3`k=6=44i8g94?=n010;66li5;292?6=8r.?:54i7:k24<<72->=47??9:9j=f<72->=477l;:k:`?6=,=<364j4;h1`7?6=,=<36>m<;:k03a<72->=47=8d:9l6<6=83.?:54=9198yg21;3:1=7>50z&72=<50k1d>4;50;&72=<51910q~o9:181[g134l>6<>6;|q;m3:1>vP6e:?e1?50l2wx>h850;0xZ7c134l>6>m<;|q1a2<72;qU>h94=g796<68>7>52z?e1??d34>=?7<65:~f7<7280;6=u+4229=>"38m0mo6*;69872`=#9o;198:4og`94?"3>1095=54}r0f3?6=:rT9i:522d596`16`1=1l16>7hm;%604?e53;090~"38m0m>6F;619K067<,8l:68;;;h:;>5<:477?j4b?3:17d56;294~"3>10m;6g>0883>!2103;;565f9b83>!21033h76g6d;29 10?20n07d=l3;29 10?2:i876g<7e83>!210391095=54}c657?6=93:1{t:l<1<77}Y:l=01k;52828yv24:3:1>v3i5;;`?821;38296srb383>4<729q/8>>59:&74a0ckl50;&72=<51910q~16>h952d58yvg12909wSo9;<0f3?g13ty347>52z\;<>;5m>0346s|5;297~;5m>09i;522d59=`=::3li7):<0;a8yxd5m3:1?7<54z&74ah950;9a6`1=83?1>78t$52g>c3<,8l:68;;;n0f3?6=3`8n:7>5;hc5>5<>da=3:1:7>50z&72=5$54;>5$54;>6e432c8;i4?:%654>50;&72=<51910qo:93;295?6=8r.?:54=8c9l6<3=83.?:54=9198yvg12909wSo9;46>3ty347>52z\;<>;a=33o7p}6e;296~X>m27m97=8d:p6`0=838pR?k9;6e43ty9i:4?:3y]6`1<5o?1>4>4}r606?6=:r7m977l;<657?4>=2wvn?4?:083>5}#<::156*;0e8eg>"3>10?:h5+1g3910252z\1a2=::l=1>h94}rc5>5<5sWk=70vP78:?1a253z?1a2<5m?16>h959d9>6?`e3->8<7m4}|`24c<72:0969u+41f9b7=O;%3e5?32<2c347>5;hc5>5<5<22;0=w):?d;d6?!7a93?>86a=e683>>o5m?0;66gn6;29?l?b2900e5650;9ab0<72?0;6=u+47:9b2=n9931<7*;69824<==n1m0;6):98;;g?>o4k:0;6):98;1`7>=n;>n1<7*;69803a=4?:083>5}#5l4o3;6>5<#4>4;|qb2?6=:rTj:63i5;33=>{t010;6?uQ899>b0<>l2wx5h4?:3y]=`=:n<08;i5rs3g5>5<5sW8n:63i5;1`7>{t:l=1<77}:n<02o63;6281=0=zuk81<7?50;2x 157201/8=j5fb9'03>=:477?j`e290/8;652828?xu5m>0;6?uQ2d5897c02;o<7p}n6;296~Xf>279i:4n6:p<=<72;qU45522d59<==z{<0;6>u22d596`0<5;o<64k4=38ef>"3;90h7psm19;94?5=:3>p(9>k:g08L1073A>8=6*>f08611=n010;66gn6;29?j4b?3:17or.?h950;9j6`0=831bm;4?::k:a?6=3`236=44bg794?0=83:p(987:g58m46>290/8;6511;8?l?d290/8;659b98m83:1(987:3;3?>{e7}Yi?16j84>089~w=>=838pR564=g79=a=z{0o1<7n0q~82wx8><50;0x9c3=1j168;=52878yxd5290:6=4?{%604??<,=:o6km4$54;>10b3-;m=7;:4:mef?6=,=<36?7?;:p6`1=838pR?k8;<0f3?4b?2wxm;4?:3y]e3=::l=1m;5rs9:94?4|V1201?k8:9:8yv3=839p1?k8:3g5?84b?33n70<5fc9'066=k2wvn7<3s->;h7h=;I654>N3;81/=k?55468m=>=831bm;4?::m1a2<722h9i:4?:481>3}#<9n1j85+1g391025<>o?03:17oh::185>5<7s->=47h8;h33=?6=,=<36<>6;:k:g?6=,=<364m4;h;g>5<#5<#9k;:m1=5<72->=47<60:9~f104290:6=4?{%65821vl850;0xZd0<5o?1==74}r:;>5<5sW2370h::8f8yv?b2909wS7j;61c3ty9i;4?:3y]6`0<5o?1?n=4}r0f3?6=:rT9i:52f481=5=z{=996=4={"6n80>995`fc83>!210382<65rs3g4>5<5sW8n;63=e681a2=z{h<1<7?348n;767;|q6>5<4s48n;7m2796kl4$513>f=zuk;53;090~"38m0m>6F;619K067<,8l:68;;;h:;>5<:477?j4b?3:17d56;294~"3>10m;6g>0883>!2103;;565f9b83>!21033h76g6d;29 10?20n07d=l3;29 10?2:i876g<7e83>!210391095=54}c657?6=93:1{t:l<1<77}Y:l=01k;52828yv24:3:1>v3i5;;`?821;38296srb383>4<729q/8>>59:&74a0ckl50;&72=<51910q~16>h952d58yvg12909wSo9;<0f3?g13ty347>52z\;<>;5m>0346s|5;297~;5m>09i;522d59=`=::3li7):<0;a8yxd>93:1?7<54z&74ah950;9a6`1=83?1>78t$52g>c3<,8l:68;;;n0f3?6=3`8n:7>5;hc5>5<>da=3:1:7>50z&72=5$54;>5$54;>6e432c8;i4?:%654>50;&72=<51910qo:93;295?6=8r.?:54=8c9l6<3=83.?:54=9198yvg12909wSo9;46>3ty347>52z\;<>;a=33o7p}6e;296~X>m27m97=8d:p6`0=838pR?k9;6e43ty9i:4?:3y]6`1<5o?1>4>4}r606?6=:r7m977l;<657?4>=2wvn?4?:083>5}#<::156*;0e8eg>"3>10?:h5+1g3910252z\1a2=::l=1>h94}rc5>5<5sWk=70vP78:?1a253z?1a2<5m?16>h959d9>6?`e3->8<7m4}|`653<72:0969u+41f9b7=O;%3e5?32<2c347>5;hc5>5<5<22;0=w):?d;d6?!7a93?>86a=e683>>o5m?0;66gn6;29?l?b2900e5650;9ab0<72?0;6=u+47:9b2=n9931<7*;69824<==n1m0;6):98;;g?>o4k:0;6):98;1`7>=n;>n1<7*;69803a=4?:083>5}#5l4o3;6>5<#4>4;|qb2?6=:rTj:63i5;33=>{t010;6?uQ899>b0<>l2wx5h4?:3y]=`=:n<08;i5rs3g5>5<5sW8n:63i5;1`7>{t:l=1<77}:n<02o63;6281=0=zuk81<7?50;2x 157201/8=j5fb9'03>=:477?j`e290/8;652828?xu5m>0;6?uQ2d5897c02;o<7p}n6;296~Xf>279i:4n6:p<=<72;qU45522d59<==z{<0;6>u22d596`0<5;o<64k4=38ef>"3;90h7psm4ea94?5=:3>p(9>k:g08L1073A>8=6*>f08611=n010;66gn6;29?j4b?3:17or.?h950;9j6`0=831bm;4?::k:a?6=3`236=44bg794?0=83:p(987:g58m46>290/8;6511;8?l?d290/8;659b98m83:1(987:3;3?>{e7}Yi?16j84>089~w=>=838pR564=g79=a=z{0o1<7n0q~82wx8><50;0x9c3=1j168;=52878yxd5290:6=4?{%604??<,=:o6km4$54;>10b3-;m=7;:4:mef?6=,=<36?7?;:p6`1=838pR?k8;<0f3?4b?2wxm;4?:3y]e3=::l=1m;5rs9:94?4|V1201?k8:9:8yv3=839p1?k8:3g5?84b?33n70<5fc9'066=k2wvn96j:180>7<3s->;h7h=;I654>N3;81/=k?55468m=>=831bm;4?::m1a2<722h9i:4?:481>3}#<9n1j85+1g391025<>o?03:17oh::185>5<7s->=47h8;h33=?6=,=<36<>6;:k:g?6=,=<364m4;h;g>5<#5<#9k;:m1=5<72->=47<60:9~f104290:6=4?{%65821vl850;0xZd0<5o?1==74}r:;>5<5sW2370h::8f8yv?b2909wS7j;61c3ty9i;4?:3y]6`0<5o?1?n=4}r0f3?6=:rT9i:52f481=5=z{=996=4={"6n80>995`fc83>!210382<65rs3g4>5<5sW8n;63=e681a2=z{h<1<7?348n;767;|q6>5<4s48n;7m2796kl4$513>f=zuk>h97>53;090~"38m0m>6F;619K067<,8l:68;;;h:;>5<:477?j4b?3:17d56;294~"3>10m;6g>0883>!2103;;565f9b83>!21033h76g6d;29 10?20n07d=l3;29 10?2:i876g<7e83>!210391095=54}c657?6=93:1{t:l<1<77}Y:l=01k;52828yv24:3:1>v3i5;;`?821;38296srb383>4<729q/8>>59:&74a0ckl50;&72=<51910q~16>h952d58yvg12909wSo9;<0f3?g13ty347>52z\;<>;5m>0346s|5;297~;5m>09i;522d59=`=::3li7):<0;a8yxdbj3:1?7<54z&74ah950;9a6`1=83?1>78t$52g>c3<,8l:68;;;n0f3?6=3`8n:7>5;hc5>5<>da=3:1:7>50z&72=5$54;>5$54;>6e432c8;i4?:%654>50;&72=<51910qo:93;295?6=8r.?:54=8c9l6<3=83.?:54=9198yvg12909wSo9;46>3ty347>52z\;<>;a=33o7p}6e;296~X>m27m97=8d:p6`0=838pR?k9;6e43ty9i:4?:3y]6`1<5o?1>4>4}r606?6=:r7m977l;<657?4>=2wvn?4?:083>5}#<::156*;0e8eg>"3>10?:h5+1g3910252z\1a2=::l=1>h94}rc5>5<5sWk=70vP78:?1a253z?1a2<5m?16>h959d9>6?`e3->8<7m4}|`771<72:0969u+41f9b7=O;%3e5?32<2c347>5;hc5>5<5<22;0=w):?d;d6?!7a93?>86a=e683>>o5m?0;66gn6;29?l?b2900e5650;9ab0<72?0;6=u+47:9b2=n9931<7*;69824<==n1m0;6):98;;g?>o4k:0;6):98;1`7>=n;>n1<7*;69803a=4?:083>5}#5l4o3;6>5<#4>4;|qb2?6=:rTj:63i5;33=>{t010;6?uQ899>b0<>l2wx5h4?:3y]=`=:n<08;i5rs3g5>5<5sW8n:63i5;1`7>{t:l=1<77}:n<02o63;6281=0=zuk81<7?50;2x 157201/8=j5fb9'03>=:477?j`e290/8;652828?xu5m>0;6?uQ2d5897c02;o<7p}n6;296~Xf>279i:4n6:p<=<72;qU45522d59<==z{<0;6>u22d596`0<5;o<64k4=38ef>"3;90h7psm13194?2=:3?p(9>k:g18L1073A>8=6*>f08611=n1l0;66g78;29?lg12900c?k8:188f7c0290>6?49{%63`?`23-;m=7;:4:m1a2<722c9i;4?::kb2?6=3`3n6=44i9:94?=en<0;6;4?:1y'03>=n>1b==750;&72=<68010e4m50;&72=<>k21b5i4?:%654?:%65=:0:07pl;6283>4<729q/8;6529`8k7?2290/8;652828?xuf>3:1>vPn6:?e1?7712wx454?:3y]<==:n<02h6s|9d83>7}Y1l16j84<7e9~w7c12909wSh950;0xZ7c034l>6?7?;|q777<72;q6j846c:?726<51<1vqo=50;394?6|,=9;6l5+41f9bf=#0333fli6=4+47:96<6<3ty9i:4?:3y]6`1<5;o<6?k8;|qb2?6=:rTj:63=e68b2>{t1l0;6?uQ9d9>6`1=1l1v5650;0xZ=><5;o<6564}r494?4|5;o<6?k9;<19bg=#<::1h6srb01;>5<32;0>w):?d;d0?M2182B??<5+1g39102>of>3:17bh850;9je3<722c2i7>5;h:;>5<6:18'03>=99307d7l:18'03>=1j10e4j50;&72=<>l21b?n=50;&72=<4k:10e>9k:18'03>=;>n07b<60;29 10?2;3;76sm47194?7=83:p(987:3:a?j4>=3:1(987:3;3?>{ti?0;6?uQa79>b0<6801v5650;0xZ=><5o?15i5rs8g94?4|V0o01k;536f8yv4b>3:1>vP=e79>b0<4k:1v?k8:181[4b?27m97<60:p064=838p1k;59b9>035=:0?0qpl<:182>5<7s->8<7o4$52g>ce<,=<3698j;%3e5?32<2emn7>5$54;>7?732wx>h950;0xZ7c0348n;7?348n;767;|q5>5<5s48n;7cd<,=9;6i5r}ccb>5<42;0?w):?d;d1?M2182B??<5+11`9a>"6n80>995f8983>>of>3:17bh850;9je3<722c2i7>5;h:;>5<6:18'03>=99307d7l:18'03>=1j10e4j50;&72=<>l21b?n=50;&72=<4k:10e>9k:18'03>=;>n07b<60;29 10?2;3;76sm47194?7=83:p(987:3:a?j4>=3:1(987:3;3?>{ti?0;6?uQa79>b0<6801v5650;0xZ=><5o?15i5rs8g94?4|V0o01k;536f8yv4b>3:1>vP=e79>b0<4k:1v?k8:181[4b?27m97<60:p064=838p1k;59b9>035=:0?0qpl=:182>5<7s->8<774$52g>ce<,=<3698j;%3e5?32<2emn7>5$54;>7?732wx>h950;0xZ7c0348n;7>5c:~f4?>29086?4;{%63`?`53A>=<6F;309'55d=m2.:j<4:559j<=<722cj:7>5;n0f3?6=3k8n;7>55;092~"38m0m96*>f08611=h:l=1<75f2d494?=ni?0;66g6e;29?l>?2900nk;50;494?6|,=<36k94i02:>5<#5<#102h65f3b194?"3>108o>54i25g>5<#t$54;>7>e3f8297>5$54;>7?732wxm;4?:3y]e3=:n<0:<45rs9:94?4|V1201k;59e9~w52z\1a3=:n<08o>5rs3g4>5<5sW8n;63i5;0:4>{t<:81<74;4}|`1>5<6290;w):<0;;8 16c2oi0(987:54f?!7a93?>86aib;29 10?2;3;76s|2d594?4|V;o<70{ti?0;6?uQa79>6`1=i?1v5650;0xZ=><5;o<6564}r794?5|5;o<6?k9;<0f3??b3481jo5+4229g>{zj83j6=4<:387!27l3l97E:90:J774=#99h1i6*>f08611=n010;66gn6;29?j4b?3:17or.?h950;9j6`0=831bm;4?::k:a?6=3`236=44bg794?0=83:p(987:g58m46>290/8;6511;8?l?d290/8;659b98m83:1(987:3;3?>{e7}Yi?16j84>089~w=>=838pR564=g79=a=z{0o1<7n0q~82wx8><50;0x9c3=1j168;=52878yxd5290:6=4?{%604??<,=:o6km4$54;>10b3-;m=7;:4:mef?6=,=<36?7?;:p6`1=838pR?k8;<0f3?4b?2wxm;4?:3y]e3=::l=1m;5rs9:94?4|V1201?k8:9:8yv3=839p1?k8:3g5?84b?33n70<5fc9'066=k2wvn<7i:180>7<3s->;h7h=;I654>N3;81/==l5e:&2b4<2==1b454?::kb2?6=3f8n;7>5;c0f3?6==381:v*;0e8e1>"6n80>995`2d594?=n:l<1<75fa783>>o>m3:17d67:188fc3=83<1<7>t$54;>c1=n;j91<7*;6980g6=5$54;>7?732wi8;=50;394?6|,=<36?6m;n0:1?6=,=<36?7?;:pe3<72;qUm;52f4824<=z{121<7;a=382<6s|42094?4|5o?15n5247196<3:183!248330(9>k:ga8 10?2=iaj3:1(987:3;3?>{t:l=1<77}Yi?16>h95a79~w=>=838pR564=3g4>=>7c1348n;77j;<09bg=#<::1o6srb0c4>5<42;0?w):?d;d1?M2182B??<5+11`9a>"6n80>995f8983>>of>3:17bh850;9je3<722c2i7>5;h:;>5<6:18'03>=99307d7l:18'03>=1j10e4j50;&72=<>l21b?n=50;&72=<4k:10e>9k:18'03>=;>n07b<60;29 10?2;3;76sm47194?7=83:p(987:3:a?j4>=3:1(987:3;3?>{ti?0;6?uQa79>b0<6801v5650;0xZ=><5o?15i5rs8g94?4|V0o01k;536f8yv4b>3:1>vP=e79>b0<4k:1v?k8:181[4b?27m97<60:p064=838p1k;59b9>035=:0?0qpl=:182>5<7s->8<774$52g>ce<,=<3698j;%3e5?32<2emn7>5$54;>7?732wx>h950;0xZ7c0348n;7>5c:~f4g?29086?4;{%63`?`53A>=<6F;309'55d=m2.:j<4:559j<=<722cj:7>5;n0f3?6=3k8n;7>55;092~"38m0m96*>f08611=h:l=1<75f2d494?=ni?0;66g6e;29?l>?2900nk;50;494?6|,=<36k94i02:>5<#5<#102h65f3b194?"3>108o>54i25g>5<#t$54;>7>e3f8297>5$54;>7?732wxm;4?:3y]e3=:n<0:<45rs9:94?4|V1201k;59e9~w52z\1a3=:n<08o>5rs3g4>5<5sW8n;63i5;0:4>{t<:81<74;4}|`1>5<6290;w):<0;;8 16c2oi0(987:54f?!7a93?>86aib;29 10?2;3;76s|2d594?4|V;o<70{ti?0;6?uQa79>6`1=i?1v5650;0xZ=><5;o<6564}r794?5|5;o<6?k9;<0f3??b3481jo5+4229g>{zj8k26=4<:387!27l3l97E:90:J774=#99h1i6*>f08611=n010;66gn6;29?j4b?3:17or.?h950;9j6`0=831bm;4?::k:a?6=3`236=44bg794?0=83:p(987:g58m46>290/8;6511;8?l?d290/8;659b98m83:1(987:3;3?>{e7}Yi?16j84>089~w=>=838pR564=g79=a=z{0o1<7n0q~82wx8><50;0x9c3=1j168;=52878yxd5290:6=4?{%604??<,=:o6km4$54;>10b3-;m=7;:4:mef?6=,=<36?7?;:p6`1=838pR?k8;<0f3?4b?2wxm;4?:3y]e3=::l=1m;5rs9:94?4|V1201?k8:9:8yv3=839p1?k8:3g5?84b?33n70<5fc9'066=k2wvn7<3s->;h7h=;I654>N3;81/==l5f:&2b4<2==1b454?::kb2?6=3f8n;7>5;c0f3?6==381:v*;0e8e1>"6n80>995`2d594?=n:l<1<75fa783>>o>m3:17d67:188fc3=83<1<7>t$54;>c1=n;j91<7*;6980g6=5$54;>7?732wi8;=50;394?6|,=<36?6m;n0:1?6=,=<36?7?;:pe3<72;qUm;52f4824<=z{121<7;a=382<6s|42094?4|5o?15n5247196<3:183!248330(9>k:ga8 10?2=iaj3:1(987:3;3?>{t:l=1<77}Yi?16>h95a79~w=>=838pR564=3g4>=>7c1348n;77j;<09bg=#<::1o6srbe094?5=939pD9=>;%63`?7a=2cj47>5;hc:>5<5<4290;w):?d;66b>N3>91C8>?4$54;>13b3-;m=7;:4:kea?6=,=<36<>6;:keb?6=,=<36<>6;:m1<0<72->=47<60:9~wd>=838pRl64=54`>c`7}Y;j80198l:3:6?x{el80;6>4>:2yK067<,=:o65<0333`ln6=4+47:955?<3`lm6=4+47:955?<3f8397>5$54;>7?732wxm54?:3y]e==:vP03e=:1?0qplk0;297?7=;rB??<5+41f95c3>i4k;0;66l;6b83>6<729q/8=j544d8L1073A>8=6*;69871`=#9o;198:4igg94?"3>10:<454igd94?"3>10:<454o3:6>5<#4>4;|qb{ti00;6?uQa89>03e=nl1v>m=:181[5d:27?:n4=849~yg2c;3:1>7>50z&74a<68j1C8;>4H512?!21032>7)?i1;760>oal3:1(987:02:?>i50<0;6):98;0:4>N3>>10qo?8d;296?6=8r.?0b9K036<@=9:7):98;:6?!7a93?>86gid;29 10?28:276a=8483>!210382<6F;6698yg70m3:1>7>50z&74a<68j1C8;>4H512?!21032>7)?i1;760>oal3:1(987:02:?>i50<0;6):98;0:4>N3>>10qo?8f;297?6=8r.?=99307dhi:18'03>=99307b<75;29 10?2;3;76sm4b:94?5=83:p(9>k:3:g?M2182B??<5+47:96=e<,8l:68;;;hdf>5<#5<#t$52g>46d3A>=<6F;309'03>=0<1/=k?55468mcb=83.?:54>0898k7>2290/8;652828L10032wi=?;50;094?6|,=:o6<>l;I654>N3;81/8;65849'5c7==<>0ekj50;&72=<68010c?6::18'03>=:0:0D988;:a0`b=8381<7>t$52g>46d3A>=<6F;309'03>=0<1/=k?55468mcb=83.?:54>0898k7>2290/8;652828L10032wi9?950;094?6|,=:o6<>l;I654>N3;81/8;65849'5c7==<>0ekj50;&72=<68010c?6::18'03>=:0:0D988;:a162=8381<7>t$52g>46d3A>=<6F;309'03>=0<1/=k?55468mcb=83.?:54>0898k7>2290/8;652828L10032wioh4?:383>5}#<9n1==m4H543?M2492.?:5475:&2b4<2==1bji4?:%655;50;&72=<5191C8;94;|``b?6=;3:1N3>91C8>?4$54;>6`<,8l:68;;;hdf>5<#5<#=47??9:9l6=3=83.?:54=919K031<3thhn7>53;294~"38m0?<6F;619K067<,=<36>h4$0d2>0333`ln6=4+47:955?<3`lm6=4+47:955?<3f8397>5$54;>7?732wio;4?:383>5}#<9n1==m4H543?M2492.?:5475:&2b4<2==1bji4?:%655;50;&72=<5191C8;94;|``3?6=;3:1N3>91C8>?4$54;>6`<,8l:68;;;hdf>5<#5<#=47??9:9l6=3=83.?:54=919K031<3tho87>53;294~"38m0?<6F;619K067<,=<36>h4$0d2>0333`ln6=4+47:955?<3`lm6=4+47:955?<3f8397>5$54;>7?732wih;4?:283>5}#<9n18=5G4728L1563->=47=i;%3e5?32<2cmi7>5$54;>46>32cmj7>5$54;>46>32e9484?:%65821vn97?:181>5<7s->;h7??c:J725=O<:;0(987:978 4`62=99307b<75;29 10?2;3;7E:97:9~f4>e29096=4?{%63`?77k2B?:=5G4238 10?21?0(:477?l`c290/8;6511;8?j4?=3:1(987:3;3?M21?21vn<6l:181>5<7s->;h7??c:J725=O<:;0(987:978 4`62=99307b<75;29 10?2;3;7E:97:9~f4>c290?6=4?{%63`?263A>=<6F;309'03>=;o1/=k?55468mcc=83.?:54>0898mc`=83.?:54>0898m467290/8;6511;8?j4?=3:1(987:3;3?>{e9k81<7=50;2x 16c2;2o7E:90:J774=#5m4$0d2>0333`ln6=4+47:955?<3`lm6=4+47:955?<3f8397>5$54;>7?732wi=o=50;094?6|,=:o6<>l;I654>N3;81/8;65849'5c7==<>0ekj50;&72=<68010c?6::18'03>=:0:0D988;:a5g2=8391<7>t$52g>7>c3A>=<6F;309'03>=:1i0(:477?l`b290/8;6511;8?l`a290/8;6511;8?j4?=3:1(987:3;3?>{e9k?1<7=50;2x 16c2=:0D98?;I605>"3>108j6*>f08611=nnl0;6):98;33=>=nno0;6):98;33=>=h:1?1<7*;6981=5=53;294~"38m094i5G4728L1563->=47<7c:&2b4<2==1bjh4?:%655;50;&72=<51910qo?nb;297?6=8r.?=99307dhi:18'03>=99307b<75;29 10?2;3;76sm1`a94?4=83:p(9>k:02`?M2182B??<5+47:9<0=#9o;198:4igf94?"3>10:<454o3:6>5<#4>4H544?>{e9hn1<7<50;2x 16c28:h7E:90:J774=#6=4+47:96<6<@=<<76sm1`g94?5=83:p(9>k:528L1073A>8=6*;6980b>"6n80>995ffd83>!2103;;565ffg83>!2103;;565`29794?"3>1095=54}c3bb?6=;3:1N3>91C8>?4$54;>6`<,8l:68;;;hdf>5<#5<#t$52g>46d3A>=<6F;309'03>=0<1/=k?55468mcb=83.?:54>0898k7>2290/8;652828L10032wi=l<50;094?6|,=:o6<>l;I654>N3;81/8;65849'5c7==<>0ekj50;&72=<68010c?6::18'03>=:0:0D988;:a5d5=8391<7>t$52g>16<@=<;7E:<1:&72=<4n2.:j<4:559jb`<72->=47??9:9jbc<72->=47??9:9l6=3=83.?:54=9198yg7f<3:1?7>50z&74a<50m1C8;>4H512?!210383o6*>f08611=nnl0;6):98;33=>=nno0;6):98;33=>=h:1?1<7*;6981=5=53;294~"38m0?<6F;619K067<,=<36>h4$0d2>0333`ln6=4+47:955?<3`lm6=4+47:955?<3f8397>5$54;>7?732wi=l850;194?6|,=:o6?6k;I654>N3;81/8;6529a8 4`62=99307dhi:18'03>=99307b<75;29 10?2;3;76sm18a94?5=83:p(9>k:3:g?M2182B??<5+47:96=e<,8l:68;;;hdf>5<#5<#t$52g>46d3A>=<6F;309'03>=0<1/=k?55468mcb=83.?:54>0898k7>2290/8;652828L10032wi=4k50;694?6|,=:o69?4H543?M2492.?:5450;&72=<68010c?6::18'03>=:0:07pl:3g83>0<729q/8=j5439K036<@=9:7):98;1e?!7a93?>86gie;29 10?28:276gif;29 10?28:276g>0183>!2103;;565f11394?"3>10:<454o3:6>5<#4>4;|`605<72;0;6=u+41f955e<@=<;7E:<1:&72==47??9:9l6=3=83.?:54=919K031<3th>8<4?:383>5}#<9n1==m4H543?M2492.?:5475:&2b4<2==1bji4?:%655;50;&72=<5191C8;94;|`607<72:0;6=u+41f905=O;%656;:keb?6=,=<36<>6;:m1<0<72->=47<60:9~f02429086=4?{%63`?273A>=<6F;309'03>=;o1/=k?55468mcc=83.?:54>0898mc`=83.?:54>0898k7>2290/8;652828?xdfk3:197>50z&74a<50o1C8;>4H512?!210383o6*>f08611=nnl0;6):98;33=>=nno0;6):98;33=>=n99:1<7*;69824<=5$54;>7?732wimi4?:283>5}#<9n18=5G4728L1563->=47=i;%3e5?32<2cmi7>5$54;>46>32cmj7>5$54;>46>32e9484?:%65821vnlk50;094?6|,=:o6<>l;I654>N3;81/8;65849'5c7==<>0ekj50;&72=<68010c?6::18'03>=:0:0D988;:aec<72:0;6=u+41f905=O;%656;:keb?6=,=<36<>6;:m1<0<72->=47<60:9~fg6=8391<7>t$52g>16<@=<;7E:<1:&72=<4n2.:j<4:559jb`<72->=47??9:9jbc<72->=47??9:9l6=3=83.?:54=9198ygd629086=4?{%63`?4?l2B?:=5G4238 10?2;2h7)?i1;760>oam3:1(987:02:?>oan3:1(987:02:?>i50<0;6):98;0:4>=zj=o86=4<:183!27l383h6F;619K067<,=<36?6l;%3e5?32<2cmi7>5$54;>46>32cmj7>5$54;>46>32e9484?:%65821vn9k;:181>5<7s->;h7??c:J725=O<:;0(987:978 4`62=99307b<75;29 10?2;3;7E:97:9~f1c229086=4?{%63`?273A>=<6F;309'03>=;o1/=k?55468mcc=83.?:54>0898mc`=83.?:54>0898k7>2290/8;652828?xd3m?0;6?4?:1y'05b=99i0D98?;I605>"3>10396*>f08611=nnm0;6):98;33=>=h:1?1<7*;6981=5=O6<729q/8=j5419K036<@=9:7):98;1e?!7a93?>86gie;29 10?28:276gif;29 10?28:276a=8483>!210382<65rb5g;>5<4290;w):?d;63?M2182B??<5+47:97c=#9o;198:4igg94?"3>10:<454igd94?"3>10:<454o3:6>5<#4>4;|`7a<<72:0;6=u+41f96=b<@=<;7E:<1:&72=<50j1/=k?55468mcc=83.?:54>0898mc`=83.?:54>0898k7>2290/8;652828?xd3mh0;694?:1y'05b=:1o0D98?;I605>"3>1094n5+1g391025$54;>7?732wi8hl50;194?6|,=:o69>4H543?M2492.?:545;50;&72=<51910qo;>d;297?6=8r.?"6n80>995ffd83>!2103;;565ffg83>!2103;;565`29794?"3>1095=54}c72a?6=:3:1;%6523-;m=7;:4:ke`?6=,=<36<>6;:m1<0<72->=47<60:J722=53;294~"38m0?<6F;619K067<,=<36>h4$0d2>0333`ln6=4+47:955?<3`lm6=4+47:955?<3f8397>5$54;>7?732wi9?>50;094?6|,=:o6<>l;I654>N3;81/8;65849'5c7==<>0ekj50;&72=<68010c?6::18'03>=:0:0D988;:a177=8391<7>t$52g>16<@=<;7E:<1:&72=<4n2.:j<4:559jb`<72->=47??9:9jbc<72->=47??9:9l6=3=83.?:54=9198yg35:3:1?7>50z&74a<382B?:=5G4238 10?2:l0(:477?l`b290/8;6511;8?l`a290/8;6511;8?j4?=3:1(987:3;3?>{e=;91<7=50;2x 16c2;2o7E:90:J774=#5m4$0d2>0333`ln6=4+47:955?<3`lm6=4+47:955?<3f8397>5$54;>7?732wi9?:50;694?6|,=:o6?6j;I654>N3;81/8;6529a8 4`62=99307dhi:18'03>=99307d??0;29 10?28:276a=8483>!210382<65rb406>5<4290;w):?d;63?M2182B??<5+47:97c=#9o;198:4igg94?"3>10:<454igd94?"3>10:<454o3:6>5<#4>4;|`673<72<0;6=u+41f907=O;%656;:keb?6=,=<36<>6;:k245<72->=47??9:9j557=83.?:54>0898k7>2290/8;652828?xd2;>0;6?4?:1y'05b=99i0D98?;I605>"3>10396*>f08611=nnm0;6):98;33=>=h:1?1<7*;6981=5=O7<729q/8=j511a8L1073A>8=6*;698;1>"6n80>995ffe83>!2103;;565`29794?"3>1095=5G4758?xd2;00;6?4?:1y'05b=99i0D98?;I605>"3>10396*>f08611=nnm0;6):98;33=>=h:1?1<7*;6981=5=O7<729q/8=j511a8L1073A>8=6*;698;1>"6n80>995ffe83>!2103;;565`29794?"3>1095=5G4758?xd2;k0;6>4?:1y'05b=<91C8;>4H512?!21039m7)?i1;760>oam3:1(987:02:?>oan3:1(987:02:?>i50<0;6):98;0:4>=zj<9h6=4<:183!27l383h6F;619K067<,=<36?6l;%3e5?32<2cmi7>5$54;>46>32cmj7>5$54;>46>32e9484?:%65821vn8=k:187>5<7s->;h7:>;I654>N3;81/8;653g9'5c7==<>0ekk50;&72=<68010ekh50;&72=<68010e<>?:18'03>=99307b<75;29 10?2;3;76sm12c94?4=83:p(9>k:02`?M2182B??<5+47:9<0=#9o;198:4igf94?"3>10:<454o3:6>5<#4>4H544?>{e9:h1<7<50;2x 16c28:h7E:90:J774=#6=4+47:96<6<@=<<76sm12a94?4=83:p(9>k:02`?M2182B??<5+47:9<0=#9o;198:4igf94?"3>10:<454o3:6>5<#4>4H544?>{e9:n1<7<50;2x 16c28:h7E:90:J774=#6=4+47:96<6<@=<<76sm12g94?4=83:p(9>k:02`?M2182B??<5+47:9<0=#9o;198:4igf94?"3>10:<454o3:6>5<#4>4H544?>{e9:l1<7<50;2x 16c28:h7E:90:J774=#6=4+47:96<6<@=<<76sm15294?0=83:p(9>k:518L1073A>8=6*;6980b>"6n80>995ffd83>!2103;;565ffg83>!2103;;565f11294?"3>10:<454i022>5<#6;:m1<0<72->=47<60:9~f426290?6=4?{%63`?4?m2B?:=5G4238 10?2;2h7)?i1;760>oam3:1(987:02:?>oan3:1(987:02:?>o6890;6):98;33=>=h:1?1<7*;6981=5=52;294~"38m0:=476:;%3e5?32<2cmh7>5$54;>46>32e9484?:%6582B?::54}c3:5?6=:3:1;%6523-;m=7;:4:ke`?6=,=<36<>6;:m1<0<72->=47<60:J722=7>52;294~"38m0:=476:;%3e5?32<2cmh7>5$54;>46>32e9484?:%6582B?::54}c3:7?6=:3:1;%6523-;m=7;:4:ke`?6=,=<36<>6;:m1<0<72->=47<60:J722=52;294~"38m0:=476:;%3e5?32<2cmh7>5$54;>46>32e9484?:%6582B?::54}c3:1?6=:3:1;%6523-;m=7;:4:ke`?6=,=<36<>6;:m1<0<72->=47<60:J722=52;294~"38m0:=476:;%3e5?32<2cmh7>5$54;>46>32e9484?:%6582B?::54}c3:3?6=;3:1N3>91C8>?4$54;>6`<,8l:68;;;hdf>5<#5<#=8321<7>t$52g>12<@=<;7E:<1:&72=<4n2.:j<4:559jb`<72->=47??9:9jbc<72->=47??9:9j556=83.?:54>0898m466290/8;6511;8?l77:3:1(987:02:?>o68:0;6):98;33=>=n99>1<7*;69824<=6=4+47:96<6<3th:5=4?:583>5}#<9n1>5k4H543?M2492.?:54=8b9'5c7==<>0ekk50;&72=<68010ekh50;&72=<68010e<>?:18'03>=99307b<75;29 10?2;3;76sm3383>0<729q/8=j529d8L1073A>8=6*;698110:<454igd94?"3>10:<454i023>5<#6;:m1<0<72->=47<60:9~f62=8391<7>t$52g>16<@=<;7E:<1:&72=<4n2.:j<4:559jb`<72->=47??9:9jbc<72->=47??9:9l6=3=83.?:54=9198yg5229096=4?{%63`?77k2B?:=5G4238 10?21?0(:477?l`c290/8;6511;8?j4?=3:1(987:3;3?M21?21vn>850;094?6|,=:o6<>l;I654>N3;81/8;65849'5c7==<>0ekj50;&72=<68010c?6::18'03>=:0:0D988;:a72<72;0;6=u+41f955e<@=<;7E:<1:&72==47??9:9l6=3=83.?:54=919K031<3th847>52;294~"38m0:=476:;%3e5?32<2cmh7>5$54;>46>32e9484?:%6582B?::54}c1:>5<4290;w):?d;63?M2182B??<5+47:97c=#9o;198:4igg94?"3>10:<454igd94?"3>10:<454o3:6>5<#4>4;|`0e?6==3:1N3>91C8>?4$54;>6`<,8l:68;;;hdf>5<#5<#6;:k244<72->=47??9:9l6=3=83.?:54=9198yg5e29086=4?{%63`?4?l2B?:=5G4238 10?2;2h7)?i1;760>oam3:1(987:02:?>oan3:1(987:02:?>i50<0;6):98;0:4>=zj:91<7=50;2x 16c2;2o7E:90:J774=#5m4$0d2>0333`ln6=4+47:955?<3`lm6=4+47:955?<3f8397>5$54;>7?732wi=N3;81/8;6529a8 4`62=99307dhi:18'03>=99307b<75;29 10?2;3;76sm10c94?4=83:p(9>k:02`?M2182B??<5+47:9<0=#9o;198:4igf94?"3>10:<454o3:6>5<#4>4H544?>{e98h1<7<50;2x 16c28:h7E:90:J774=#6=4+47:96<6<@=<<76sm10a94?5=83:p(9>k:528L1073A>8=6*;6980b>"6n80>995ffd83>!2103;;565ffg83>!2103;;565`29794?"3>1095=54}c32`?6=:3:1;%6523-;m=7;:4:ke`?6=,=<36<>6;:m1<0<72->=47<60:J722=52;294~"38m0:=476:;%3e5?32<2cmh7>5$54;>46>32e9484?:%6582B?::54}c32b?6=:3:1;%6523-;m=7;:4:ke`?6=,=<36<>6;:m1<0<72->=47<60:J722=52;294~"38m0:=476:;%3e5?32<2cmh7>5$54;>46>32e9484?:%6582B?::54}c315?6=:3:1;%6523-;m=7;:4:ke`?6=,=<36<>6;:m1<0<72->=47<60:J722=7>53;294~"38m0?<6F;619K067<,=<36>h4$0d2>0333`ln6=4+47:955?<3`lm6=4+47:955?<3f8397>5$54;>7?732wi=<=50;194?6|,=:o69>4H543?M2492.?:545;50;&72=<51910qo?>4;292?6=8r.?=99307dhi:18'03>=99307d??0;29 10?28:276g>0083>!2103;;565f11094?"3>10:<454o3:6>5<#4>4;|`250<72:0;6=u+41f96=b<@=<;7E:<1:&72=<50j1/=k?55468mcc=83.?:54>0898mc`=83.?:54>0898k7>2290/8;652828?xd69?0;6>4?:1y'05b=:1n0D98?;I605>"3>1094n5+1g391026=4+47:96<6<3th:=:4?:283>5}#<9n18=5G4728L1563->=47=i;%3e5?32<2cmi7>5$54;>46>32cmj7>5$54;>46>32e9484?:%65821vn5<7s->;h7<7d:J725=O<:;0(987:3:`?!7a93?>86gie;29 10?28:276gif;29 10?28:276a=8483>!210382<65rb03:>5<3290;w):?d;0;a>N3>91C8>?4$54;>7>d3-;m=7;:4:kea?6=,=<36<>6;:keb?6=,=<36<>6;:k245<72->=47??9:9l6=3=83.?:54=9198yg2>:3:1?7>50z&74a<50m1C8;>4H512?!210383o6*>f08611=nnl0;6):98;33=>=nno0;6):98;33=>=h:1?1<7*;6981=5=287>52;294~"38m0:=476:;%3e5?32<2cmh7>5$54;>46>32e9484?:%6582B?::54}c6:1?6=:3:1;%6523-;m=7;:4:ke`?6=,=<36<>6;:m1<0<72->=47<60:J722=2:7>52;294~"38m0:=476:;%3e5?32<2cmh7>5$54;>46>32e9484?:%6582B?::54}c6:3?6=:3:1;%6523-;m=7;:4:ke`?6=,=<36<>6;:m1<0<72->=47<60:J722=247>52;294~"38m0:=476:;%3e5?32<2cmh7>5$54;>46>32e9484?:%6582B?::54}c6:=?6=;3:1;%65=47??9:9jbc<72->=47??9:9l6=3=83.?:54=9198yg2>i3:1?7>50z&74a<382B?:=5G4238 10?2:l0(:477?l`b290/8;6511;8?l`a290/8;6511;8?j4?=3:1(987:3;3?>{e<0h1<7:50;2x 16c2=;0D98?;I605>"3>108j6*>f08611=nnl0;6):98;33=>=nno0;6):98;33=>=n99:1<7*;69824<=6=4+47:96<6<3th?5>4?:283>5}#<9n18=5G4728L1563->=47=i;%3e5?32<2cmi7>5$54;>46>32cmj7>5$54;>46>32e9484?:%65821vn9j9:180>5<7s->;h7:?;I654>N3;81/8;653g9'5c7==<>0ekk50;&72=<68010ekh50;&72=<68010c?6::18'03>=:0:07pl;d683>6<729q/8=j529f8L1073A>8=6*;698110:<454igd94?"3>10:<454o3:6>5<#4>4;|`7`=<72:0;6=u+41f905=O;%656;:keb?6=,=<36<>6;:m1<0<72->=47<60:9~fa>=8381<7>t$52g>46d3A>=<6F;309'03>=0<1/=k?55468mcb=83.?:54>0898k7>2290/8;652828L10032wiho4?:283>5}#<9n18=5G4728L1563->=47=i;%3e5?32<2cmi7>5$54;>46>32cmj7>5$54;>46>32e9484?:%65821vnim50;094?6|,=:o6<>l;I654>N3;81/8;65849'5c7==<>0ekj50;&72=<68010c?6::18'03>=:0:0D988;:a`a<72;0;6=u+41f955e<@=<;7E:<1:&72==47??9:9l6=3=83.?:54=919K031<3thoi7>52;294~"38m0:=476:;%3e5?32<2cmh7>5$54;>46>32e9484?:%6582B?::54}cfe>5<5290;w):?d;33g>N3>91C8>?4$54;>=3<,8l:68;;;hdg>5<#=zjl:1<7=50;2x 16c2=:0D98?;I605>"3>108j6*>f08611=nnl0;6):98;33=>=nno0;6):98;33=>=h:1?1<7*;6981=5=;7E:90:J774=#6=4+47:96<6<3thn>7>53;294~"38m094i5G4728L1563->=47<7c:&2b4<2==1bjh4?:%655;50;&72=<51910qoj6:187>5<7s->;h7:>;I654>N3;81/8;653g9'5c7==<>0ekk50;&72=<68010ekh50;&72=<68010e<>?:18'03>=99307b<75;29 10?2;3;76smd`83>6<729q/8=j529f8L1073A>8=6*;698110:<454igd94?"3>10:<454o3:6>5<#4>4;|`aa?6=:3:1;%6523-;m=7;:4:ke`?6=,=<36<>6;:m1<0<72->=47<60:J722=5$54;>46>32cmj7>5$54;>46>32c:<=4?:%655;50;&72=<51910qom?:181>5<7s->;h7??c:J725=O<:;0(987:978 4`62=99307b<75;29 10?2;3;7E:97:9~ff7=8391<7>t$52g>16<@=<;7E:<1:&72=<4n2.:j<4:559jb`<72->=47??9:9jbc<72->=47??9:9l6=3=83.?:54=9198yge529086=4?{%63`?273A>=<6F;309'03>=;o1/=k?55468mcc=83.?:54>0898mc`=83.?:54>0898k7>2290/8;652828?xdd;3:1?7>50z&74a<50m1C8;>4H512?!210383o6*>f08611=nnl0;6):98;33=>=nno0;6):98;33=>=h:1?1<7*;6981=5=70=<:gg8yv532909w0=;:3:6?85>2oo0q~=::1818522;2>70=6:gd8yv512909w0=9:3:6?85f2ol0q~=8:1818502;2>70=n:023?xu403:1>v3<8;0;1>;4i3;;=6s|3883>7}:;00948523c8ea>{t;h0;6?u23`81<0=:;k0mj6s|3c83>7}:;k094852328eb>{t?h0;6:uQ7`9>5=b=no16=o=5fe9>5dd=no16?94ie:?25f132370?6a;:;?87>n32370?n7;:;?87f032370?n9;:;?87e832370:60;dg?xu?n3:18vP7f:?66ccb7c034;3n7hk;<3a6?`a34;jh7hk;<3b0?`b34;2h7hk;cb<5=o=6kj4=403>cb<58;>6kk4=5;1>c`7c034km6kk4=5f4>ccd0<5k;1>5;4}rc`>5<5s4kh6?6:;c`7>234h;6kk4}rcf>5<5s4kn6?6:;<`3>c`7>234h:6kk4}r`3>5<5s4h;6?6:;<`2>c`f`fd<5m>16h=4n8:?g0?`a34?8j7??0:?673?:4id:?27dcb<5j:1ji5rsc`94??|5kk145524269<==:9:2145521319<==:mk03463lc;:;?8e?21201n:5899>ff<50<1voj50;0x9gg=i?16o>4=849~wgc=838p1ok529789f7=no1voh50;0x9g`=:1?01n<5fd9~wf6=838p1n>529789f4=no1vn?50;0x9f7=:1?01n=5fd9~wf4=838p1n<529789f5=no1vo950;:x9f2=:l=01i>5a89>`18=4id:?67d5<5s4i?6l84=b596=37>234i<6kh4}r`;>5<>s4i36?k8;d?<5m<1jh5252d9557<5<9=6kh4=41;>cb<589o6kj4=eg9ba=:jo0mj6s|c883>7}:k10j:63lb;0;1>{tkh0;6?u2c`81<0=:kk0mj6s|b883>=}:kj09i:52d38b=>;2<80mh63:388e`>;6<90mj63kb;de?8bc2on01oh51128yvec2909w0ml:`489f`=:1?0q~mj:1818eb2;2>70mi:gd8yvb42908w0j>:`:89a2=:1?01i85fg9~wa3=838p1i<5a99>`3<50<1vi950;6x9fc=nm16ol4id:?`2?`c34nj6?6:;|qg52z?g=?4?=27om7hi;|qgf?6=:r7on7<75:?f6?`b3tyoo7>52z?gg?4?=27n=7hj;|qg`?6=:r7oh7<75:?f5?`a3tyoi7>52z?ga?4?=27o57hj;|qgb?6=:r7oj7<75:?g=?7782wxi=4?:3y>a5<50<16hl4ie:pa4<72;q6i<4=849>a7a7<50<16h44if:pa6<72;q6h=4g2`4<4k;16oo4ie:pa0<72;q6h?4gcag<5m>16i=4ie:?`5?`b3ty:50;0x946a2h<01543=no1v178ea>{t98>1<77>234;::7hi;|q250<72;q6=<;5297894702ol0q~?>6;296~;69?09485210;955652z?252<50<16=<65fg9~w47?2909w0?>8;0;1>;6900mi6s|10c94?4|58;j6?6:;<326?`b3ty:=o4?:3y>54d=:1?01v3>1b81<0=:9891jh5rs03g>5<5s4;:h7<75:?25670?>4;df?xu69o0;6?u210d96=3<58;?6<>?;|q265<72;q6=?>52978947328::7p}>2083>7}:9;;1>5;4=037>4653ty:>>4?:4y]575<58886?k8;<3b5?`c34;2o7hj;<32e?`c3ty:>94?:3y>575=i?16=?;52978yv7403:19vP>399>56>=:l=01v3>398b2>;6<809485rs01b>5<5s4;8m7<75:?205l50;0x945e2;2>70?;0;334>{t9:i1<77>234;?<7??1:p56b=838p1<=k:3:6?87383;;>6s|12g94?4|589n6?6:;<375?`b3ty:?k4?:3y>56`=:1?01<:>:023?xu6<90;6?u215296=3<58>:6kh4}r36;6?k0j:6s|16`94?2|58=i6?k8;<34a?`c34>n97hj;<72b?`b3ty:;n4?:9y>52`=:1?01<6l:gf894d52oo010<4=nl168i85fd9~w41c2909w0?8d;0;1>;6?o0mi6s|16g94?4|58=n6?6:;<34b?`a3ty:444?:2y>5=?=:l=01<77:gg891b12ol0q~?7a;296~;60m09485219d9ba=z{82i6=4={<3;f?4?=27:4i4ie:p5=e=838p1<6l:3:6?87?l3;;<6s|19g94?4|58226l84=0;3>7>23ty:4k4?:3y>5=`=:1?01<78:gd8yv7>93:1>v3>9081<0=:9021jk5rs0;1>5<5s4;2>7<75:?2==<6891v<7<:18187>;383963>998244=z{83?6=4={<3:0?4?=27:554>029~w4?22909w0?65;0;1>;6110:5<5s4;2:7<75:?2==<68=1v<78:18187>?383963>918ea>{t9021<77>234;2<7??0:p53ln70?60;de?8502on01<<>:gf8yv7>i3:19v3>988b2>;61h09i:521869ba=:;?0mh63>218e`>{t90h1<7d0<583n6?6:;|q2=f<72;q6=4m5297894?b2oo0q~?6d;296~;61m09485218g95565cz?2=c<5m>168n65fd9>5d3=nl16=4k5fg9>ef<688168h75fd9>175=nl16=4;5fe9>777p}>a083>7}:9h;1>5;4=0c0>cc7>52z?2e7<50<16=l=5fg9~w4g42909w0?n3;0;1>;6i=0mj6s|1`694?4|58k?6?6:;<3b1?`a3ty:m84?:3y>5d3=:1?01a681a2=:198ea>;31>0mh6s|1`:94??|58k<6l84=0c;>7c034kh6kh4=5g0>c`<5<;o6kh4=0;1>cb<5:81==?4=032>cc<5=3>6kj4}r3b=?6=1r7:m54n6:?2e<<5m>16mn4ie:?7a2><4ie:?2=47??0:?2547p}>ac83>7}:9hh1>5;4=0ce>cc52z?2ef<50<16=lk5fd9~w4gc2909w0?nd;0;1>;6il0mj6s|1`g94?4|58kn6?6:;<3bb?`a3ty:n=4?:ey>5g6=:l=010`3=no1695<0=nm16=495fd9>715g6=i?16=o852978yv7e:3:1>v3>b381<0=:9k>1jh5rs0`0>5<5s4;i?7<75:?2f170?m5;de?xu6j<0;6?u21c796=3<58h=6kh4}r3`g?6=:rT:on525379b`=z{8io6=4={_3``>;6ll0j:6s|1eg94?5|58nn6?k8;<34`?`c34ko6kh4}r0;5?6=:r7?:<4ib:?66c<>m2wx8>:50;1x91532;o<70;3;;=6s|42794?4|5=9?6l84=515>7>23ty?4h4?:5y]0=c<5=2n6?k8;<317??b34;8477j;|q7140=01168im5899>0f3=011684>52978yv2>93:1>v3;8d8b2>;31:09485rs5;1>5<5s4>2>7<75:?7=<70:69;de?xu31<0;6?u248796=3<5=3i6kk4}r6:2?6=:r7?5;4=849>0?383963;9`8eb>{t<021<77>234>2?7hi;|q7=<<72;q68475297891?e2ol0q~:6a;296~;31h09485248`95562n7>52z?7=g<50<1684=5fd9~w1?a2909wS:6f:?2607c03ty?o:4?:3y>0f3=i?168n652978yv2c:3:1>vP;d39>0a5=:1?0q~:k5;296~;3l:0mh63;d981<0=z{=n=6=4={<6g2?4?=27?h:4if:p0a1=838p19j8:3:6?82c03lm7p};db83>6}Y7}:n?7>52z?7a6<50<168ho5fg9~w1c32909w0:j4;0;1>;3m>0mj6s|4d794?4|5=o>6?6:;<6f0`0=:1?019k7:gd8yv2b?3:1>v3;e681<0=:5<5s4>n47<75:?7ad<6891v9k6:18182b1383963;e`8ea>{t7>234>nn7hi;|q7af<72;q68im5a79>0`b=:1?0q~;?b;296~X28k16=>h5fe9~w0712908wS;>6:?653<5m>169=h4?:3y>14c=:1?018<>:gd8yv36n3:1>v3:1g81<0=:=;81jh5rs403>5<5s4?9<7<75:?66770;=3;de?xu2:;0;6?u253096=3<5<8?6<>?;|q666<72;q69?=5297890432oo0q~;=4;296~;2:=0948525379bc=z{<8=6=4={<722?g134?9;7<75:p17`=839pR87p}:3483>7}:=;l15n5252f96=352z?673<50<169>m5fd9~w0502909w0;<7;0;1>;2;k0mi6s|52:94?4|5<936?6:;<70f?`a3ty>?44?:3y>16?=:1?018=k:gg8yv34i3:1>v3:3`81<0=:=:n1==>4}r70f?6=:r7>?o4=849>16e=no1v8=l:181834k383963:3e8eb>{t=:o1<7cb<5<>86?6:;|q67c<72;q69>h5297890242oo0q~;;0;296~;2<90948525509b`=z{<>:6=4={<775?4?=27>8?4if:p114=838p18:=:3:6?833;3lm7p}:4583>7}Y==>019=9:gf8yxd3?h0;6h4mf;a4M2492.?7>5;n6bg?6=3`h96=44i2g5>5<5<k1<75f55f94?=h:l21<75f31;94?=e"3>10?:h5+1g39102?j7>53;090~"38m0m>6F;619K067<,8:i6h5+1g39102>i5m>0;66l=e683>0<52?q/8=j5f49'5c7==<>0c?k8:188m7c12900el850;9j=`<722c347>5;cd6>5<1290;w):98;d4?l7713:1(987:02:?>o>k3:1(987:8a8?l?c290/8;659e98m6e4290/8;653b18?l50l3:1(987:25g?>i5190;6):98;0:4>=zj=<86=4>:183!210383n6a=9483>!210382<65rs`494?4|Vh<01k;511;8yv>?2909wS67;7}Y:l<01k;53b18yv4b?3:1>vP=e69>b0<5191v9==:1818`220i0198<:3;6?x{e:3:1=7>50z&775<>3->;h7hl;%65=47<60:9~w7c02909wS1vl850;0xZd0<5;o<6l84}r:;>5<5sW2370;5m>02i63=:g`8 1572j1vqo:;c;297?4=m:d9'5c7==<>0e5650;9je3<722e9i:4?::`1a2<72<096;u+41f9b0=#9o;198:4o3g4>5<5$54;>46>32c2o7>5$54;>5$54;>61c32e95=4?:%65821vn98<:182>5<7s->=47<7b:m1=0<72->=47<60:9~wd0=838pRl84=g7955?6>9k;|q1a3<72;qU>h84=g797f552z\1a2=:n<095=5rs511>5<5s4l>64m4=540>7?23twi>7>51;294~"3;9027):?d;d`?!2103>=i6*>f08611=hnk0;6):98;0:4>=z{;o<6=4={_0f3>;5m>09i:5rs`494?4|Vh<01?k8:`48yv>?2909wS67;<0f3?>?3ty>6=4<{<0f3?4b>279i:46e:?1>cd<,=9;6n5r}c67=?6=;3818v*;0e8e6>N3>91C8>?4$02a>`=#9o;198:4i9:94?=ni?0;66a=e683>>d5m>0;684=:7y'05b=n<1/=k?55468k7c02900e?k9:188md0=831b5h4?::k;6=49:183!2103l<7d??9;29 10?28:276g6c;29 10?20i07d7k:18'03>=1m10e>m<:18'03>=;j907d=8d;29 10?2:=o76a=9183>!210382<65rb540>5<6290;w):98;0;f>i51<0;6):98;0:4>=z{h<1<7?34l>64j4}r;f>5<5sW3n70h::25g?xu5m?0;6?uQ2d489c3=;j90q~16j84=919~w1552909w0h::8a891042;3>7psm2;295?6=8r.??=46;%63`?`d3->=47:9e:&2b4<2==1djo4?:%65821v?k8:181[4b?279i:4=e69~wd0=838pRl84=3g4>d0;52oh0(9=?:b9~yg23=3:1?7<54z&74a=831bm;4?::m1a2<722h9i:4?:481>3}#<9n1j85+1g391025<>o?03:17oh::185>5<7s->=47h8;h33=?6=,=<36<>6;:k:g?6=,=<364m4;h;g>5<#5<#9k;:m1=5<72->=47<60:9~f104290:6=4?{%65821vl850;0xZd0<5o?1==74}r:;>5<5sW2370h::8f8yv?b2909wS7j;61c3ty9i;4?:3y]6`0<5o?1?n=4}r0f3?6=:rT9i:52f481=5=z{=996=4={"6n80>995`fc83>!210382<65rs3g4>5<5sW8n;63=e681a2=z{h<1<7?348n;767;|q6>5<4s48n;7m2796kl4$513>f=zuk8:m7>55;092~"38m0m86F;619K067<,8l:68;;;h;`>5<>of>3:17b50z&72=5$54;>5$54;>6e432c8;i4?:%654>50;&72=<51910qo:95;295?6=8r.?:54;6d9l6<6=83.?:54=9198yv4b?3:1>vP=e69>6`1=:0:0q~o9:181[g1348n;7??9:p=`<72;qU5h522d5972bh953b1891022;3;7psm20694?3=:3k:g68L1073A>8=6*>f08611=n1j0;66g6e;29?l>?2900el850;9l6`1=831i>h950;494?6|,=<36k94i02:>5<#5<#102h65f3b194?"3>108o>54i25g>5<#t$54;>10b3f82<7>5$54;>7?732wx>h950;0xZ7c0348n;7<60:pe3<72;qUm;522d5955?7}Y1j16>h959b9~w=>=838pR564=3g4>5;c0f3?6=>3:1o6800;6):98;33=>=n1j0;6):98;;`?>o>l3:1(987:8f8?l5d;3:1(987:2a0?>o4?m0;6):98;14`>=h:0:1<7*;6981=5==97>51;294~"3>10?:h5`28294?"3>1095=54}r0f3?6=:rT9i:522d596<67}Y1l16>h9536f8yv?d2909wS7l;<0f3??d3ty347>52z\;<>;5m>02h6s|fb83>7}::l=1?n=4=546>7?73twi>8850;796?0|,=:o6k:4H543?M2492.:j<4:559j=f<722c2i7>5;h:;>5<6:18'03>=99307d7l:18'03>=1j10e4j50;&72=<>l21b?n=50;&72=<4k:10e>9k:18'03>=;>n07b<60;29 10?2;3;76sm47794?7=83:p(987:54f?j4>83:1(987:3;3?>{t:l=1<77}Yi?16>h9511;8yv?b2909wS7j;<0f3?50l2wx5n4?:3y]=f=::l=15n5rs9:94?4|V1201?k8:8f8yv`d2909w0;3><095=5r}c066?6==381:v*;0e8e0>N3>91C8>?4$0d2>0333`3h6=44i8g94?=n010;66gn6;29?j4b?3:17o=47??9:9j=f<72->=477l;:k:`?6=,=<364j4;h1`7?6=,=<36>m<;:k03a<72->=47=8d:9l6<6=83.?:54=9198yg21=3:1=7>50z&72=<3>l1d>4>50;&72=<51910q~16>h952828yvg12909wSo9;<0f3?7712wx5h4?:3y]=`=::l=1?:j4}r;`>5<5sW3h70vP78:?1a2<>l2wxjn4?:3y>6`1=;j90198::3;3?x{e:=o1<7;52;4x 16c2o>0D98?;I605>"6n80>995f9b83>>o>m3:17d67:188md0=831d>h950;9a6`1=83<1<7>t$54;>c1=n;j91<7*;6980g6=5$54;>7?732wi8;;50;394?6|,=<3698j;n0:4?6=,=<36?7?;:p6`1=838pR?k8;<0f3?4>82wxm;4?:3y]e3=::l=1==74}r;f>5<5sW3n70{t1j0;6?uQ9b9>6`1=1j1v5650;0xZ=><5;o<64j4}rd`>5<5s48n;7=l3:?720<5191vqo<;a;291?4=>r.?:477?l?d2900e4k50;9j<=<722cj:7>5;n0f3?6=3k8n;7>56;294~"3>10m;6g>0883>!2103;;565f9b83>!21033h76g6d;29 10?20n07d=l3;29 10?2:i876g<7e83>!210391095=54}c651?6=93:152z\1a2=::l=1>4>4}rc5>5<5sWk=70{t1l0;6?uQ9d9>6`1=;>n0q~7l:181[?d348n;77l;|q;{tnj0;6?u22d597f5<5=<>6?7?;|a610=83?1>78t$52g>c2<@=<;7E:<1:&2b4<2==1b5n4?::k:a?6=3`236=44i`494?=h:l=1<75m2d594?0=83:p(987:g58m46>290/8;6511;8?l?d290/8;659b98m83:1(987:3;3?>{e{ti?0;6?uQa79>6`1=9930q~7j:181[?b348n;7=8d:p=f<72;qU5n522d59=f=z{121<77>55;092~"38m0m86F;619K067<,8l:68;;;h;`>5<>of>3:17b50z&72=5$54;>5$54;>6e432c8;i4?:%654>50;&72=<51910qo:95;295?6=8r.?:54;6d9l6<6=83.?:54=9198yv4b?3:1>vP=e69>6`1=:0:0q~o9:181[g1348n;7??9:p=`<72;qU5h522d5972bh953b1891022;3;7psm22g94?3=:3k:g68L1073A>8=6*>f08611=n1j0;66g6e;29?l>?2900el850;9l6`1=831i>h950;494?6|,=<36k94i02:>5<#5<#102h65f3b194?"3>108o>54i25g>5<#t$54;>10b3f82<7>5$54;>7?732wx>h950;0xZ7c0348n;7<60:pe3<72;qUm;522d5955?7}Y1j16>h959b9~w=>=838pR564=3g4>5<=1m10e>m<:18'03>=;j907d=8d;29 10?2:=o76a=9183>!210382<65rb546>5<6290;w):98;65a>i5190;6):98;0:4>=z{;o<6=4={_0f3>;5m>095=5rs`494?4|Vh<01?k8:02:?xu>m3:1>vP6e:?1a2<4?m1v4m50;0xZ5<5sW2370v3=e680g6=:4>4}|`75g<72<096;u+41f9b1=O;%33f?c<,8l:68;;;h;`>5<>of>3:17b50z&72=5$54;>5$54;>6e432c8;i4?:%654>50;&72=<51910qo:95;295?6=8r.?:54;6d9l6<6=83.?:54=9198yv4b?3:1>vP=e69>6`1=:0:0q~o9:181[g1348n;7??9:p=`<72;qU5h522d5972bh953b1891022;3;7psm40594?3=:3k:g68L1073A>8=6*>0c8f?!7a93?>86g6c;29?l?b2900e5650;9je3<722e9i:4?::`1a2<72?0;6=u+47:9b2=n9931<7*;69824<==n1m0;6):98;;g?>o4k:0;6):98;1`7>=n;>n1<7*;69803a=5}#5<#4>4;|q1a2<72;qU>h94=3g4>7?73tyj:7>52z\b2>;5m>0:<45rs8g94?4|V0o01?k8:25g?xu>k3:1>vP6c:?1a2<>k2wx454?:3y]<==::l=15i5rsga94?4|5;o<6>m<;<651?4>82wvn9?=:186>7<1s->;h7h;;I654>N3;81/==l5e:&2b4<2==1b5n4?::k:a?6=3`236=44i`494?=h:l=1<75m2d594?0=83:p(987:g58m46>290/8;6511;8?l?d290/8;659b98m83:1(987:3;3?>{e{ti?0;6?uQa79>6`1=9930q~7j:181[?b348n;7=8d:p=f<72;qU5n522d59=f=z{121<7>d5m>0;684=:7y'05b=n<1/=k?55468k7c02900e?k9:188md0=831b5h4?::k;6=49:183!2103l<7d??9;29 10?28:276g6c;29 10?20i07d7k:18'03>=1m10e>m<:18'03>=;j907d=8d;29 10?2:=o76a=9183>!210382<65rb540>5<6290;w):98;0;f>i51<0;6):98;0:4>=z{h<1<7?34l>64j4}r;f>5<5sW3n70h::25g?xu5m?0;6?uQ2d489c3=;j90q~16j84=919~w1552909w0h::8a891042;3>7psm2;295?6=8r.??=46;%63`?`d3->=47:9e:&2b4<2==1djo4?:%65821v?k8:181[4b?279i:4=e69~wd0=838pRl84=3g4>d0;52oh0(9=?:b9~ygcb29086?4;{%63`?`53A>=<6F;309'5c7==<>0e5650;9je3<722e9i:4?::`1a2<72<096;u+41f9b0=#9o;198:4o3g4>5<5$54;>46>32c2o7>5$54;>5$54;>61c32e95=4?:%65821vn98<:182>5<7s->=47<7b:m1=0<72->=47<60:9~wd0=838pRl84=g7955?6>9k;|q1a3<72;qU>h84=g797f552z\1a2=:n<095=5rs511>5<5s4l>64m4=540>7?23twi>7>51;294~"3;9027):?d;d`?!2103>=i6*>f08611=hnk0;6):98;0:4>=z{;o<6=4={_0f3>;5m>09i:5rs`494?4|Vh<01?k8:`48yv>?2909wS67;<0f3?>?3ty>6=4<{<0f3?4b>279i:46e:?1>cd<,=9;6n5r}c6bg?6=;3818v*;0e8e6>N3>91C8>?4$0d2>0333`236=44i`494?=h:l=1<75m2d594?3=:3k:g78 4`623:17do9:188m3:1o6800;6):98;33=>=n1j0;6):98;;`?>o>l3:1(987:8f8?l5d;3:1(987:2a0?>o4?m0;6):98;14`>=h:0:1<7*;6981=5==?7>51;294~"3>1094o5`28794?"3>1095=54}rc5>5<5sWk=70h::02:?xu?03:1>vP78:?e1??c3ty2i7>52z\:a>;a=390;6?uQ2d589c3=:0:0q~:<2;296~;a=33h70:93;0:1>{zj;0;6<4?:1y'066=12.?l1/=k?55468kcd=83.?:54=9198yv4b?3:1>vP=e69>6`1=:l=0q~o9:181[g1348n;7o9;|q;{t=3:1?v3=e681a3=::l=15h522;da?!2483i0qpl:0g83>6<52=q/8=j5f39K036<@=9:7)?i1;760>o?03:17do9:188k7c02900n?k8:186>7<1s->;h7h:;%3e5?32<2e9i:4?::k1a3<722cj:7>5;h;f>5<3<729q/8;65f69j55?=83.?:54>0898m=477k;:k0g6<72->=47=l3:9j72b=83.?:54<7e98k7?7290/8;652828?xd3>:0;6<4?:1y'03>=:1h0c?7::18'03>=:0:07p}n6;296~Xf>27m97??9:p<=<72;qU4552f48:`>{t1l0;6?uQ9d9>b0<4?m1v?k9:181[4b>27m97=l3:p6`1=838pR?k8;7?73ty???4?:3y>b0<>k27?:>4=949~yg4=83;1<7>t$513><=#<9n1jn5+47:903c<,8l:68;;;nda>5<#4>4;|q1a2<72;qU>h94=3g4>7c03tyj:7>52z\b2>;5m>0j:6s|8983>7}Y0116>h95899~w0<72:q6>h952d4897c020o01?4ib:&7751}#<9n1j?5G4728L1563-;m=7;:4:k;5<7)?i1;760>i5m>0;66g=e783>>of>3:17d7j:188m=>=831ij84?:783>5}#10:<454i8a94?"3>102o65f9e83>!21033o76g!21039h?65f36f94?"3>108;i54o3;3>5<#4>4;|`726<7280;6=u+47:96=d6=4+47:96<6<3tyj:7>52z\b2>;a=3;;56s|8983>7}Y0116j846d:p=`<72;qU5h52f4803a=z{;o=6=4={_0f2>;a=39h?6s|2d594?4|V;o<70h::3;3?xu3;;0;6?u2f48:g>;3>:09585r}c094?7=83:p(9=?:89'05b=nj1/8;6547g8 4`62=:0:07p}=e683>7}Y:l=01?k8:3g4?xuf>3:1>vPn6:?1a22wx454?:3y]<==::l=1455rs483>6}::l=1>h84=3g4>"6n80>995f8983>>of>3:17bh850;9je3<722c2i7>5;h:;>5<6:18'03>=99307d7l:18'03>=1j10e4j50;&72=<>l21b?n=50;&72=<4k:10e>9k:18'03>=;>n07b<60;29 10?2;3;76sm47194?7=83:p(987:3:a?j4>=3:1(987:3;3?>{ti?0;6?uQa79>b0<6801v5650;0xZ=><5o?15i5rs8g94?4|V0o01k;536f8yv4b>3:1>vP=e79>b0<4k:1v?k8:181[4b?27m97<60:p064=838p1k;59b9>035=:0?0qpl=:182>5<7s->8<774$52g>ce<,=<3698j;%3e5?32<2emn7>5$54;>7?732wx>h950;0xZ7c0348n;7>5c:~f76529086?4;{%63`?`53A>=<6F;309'5c7==<>0e5650;9je3<722e9i:4?::`1a2<72<096;u+41f9b0=#9o;198:4o3g4>5<5$54;>46>32c2o7>5$54;>5$54;>61c32e95=4?:%65821vn98<:182>5<7s->=47<7b:m1=0<72->=47<60:9~wd0=838pRl84=g7955?6>9k;|q1a3<72;qU>h84=g797f552z\1a2=:n<095=5rs511>5<5s4l>64m4=540>7?23twi>7>51;294~"3;9027):?d;d`?!2103>=i6*>f08611=hnk0;6):98;0:4>=z{;o<6=4={_0f3>;5m>09i:5rs`494?4|Vh<01?k8:`48yv>?2909wS67;<0f3?>?3ty>6=4<{<0f3?4b>279i:46e:?1>cd<,=9;6n5r}c6gf?6=;3818v*;0e8e6>N3>91C8>?4$0d2>0333`236=44i`494?=h:l=1<75m2d594?3=:3k:g78 4`623:17do9:188m3:1o6800;6):98;33=>=n1j0;6):98;;`?>o>l3:1(987:8f8?l5d;3:1(987:2a0?>o4?m0;6):98;14`>=h:0:1<7*;6981=5==?7>51;294~"3>1094o5`28794?"3>1095=54}rc5>5<5sWk=70h::02:?xu?03:1>vP78:?e1??c3ty2i7>52z\:a>;a=390;6?uQ2d589c3=:0:0q~:<2;296~;a=33h70:93;0:1>{zj;0;6<4?:1y'066=12.?l1/=k?55468kcd=83.?:54=9198yv4b?3:1>vP=e69>6`1=:l=0q~o9:181[g1348n;7o9;|q;{t=3:1?v3=e681a3=::l=15h522;da?!2483i0qpl=0`83>0<52?q/8=j5f59K036<@=9:7)?i1;760>o>k3:17d7j:188m=>=831bm;4?::m1a2<722h9i:4?:783>5}#10:<454i8a94?"3>102o65f9e83>!21033o76g!21039h?65f36f94?"3>108;i54o3;3>5<#4>4;|`720<7280;6=u+47:903ck279i:46c:p<=<72;qU45522d59=a=z{oi1<76e434>=97<60:~f774290>6?49{%63`?`33A>=<6F;309'5c7==<>0e4m50;9j=`<722c347>5;hc5>5<5<1290;w):98;d4?l7713:1(987:02:?>o>k3:1(987:8a8?l?c290/8;659e98m6e4290/8;653b18?l50l3:1(987:25g?>i5190;6):98;0:4>=zj=<>6=4>:183!2103>=i6a=9183>!210382<65rs3g4>5<5sW8n;63=e681=5=z{h<1<7m279i:4<7e9~w08o>5247796<63}#<9n1j95G4728L1563-;m=7;:4:k:g?6=3`3n6=44i9:94?=ni?0;66a=e683>>d5m>0;6;4?:1y'03>=n>1b==750;&72=<68010e4m50;&72=<>k21b5i4?:%654?:%65=:0:07pl;6483>4<729q/8;6547g8k7?7290/8;652828?xu5m>0;6?uQ2d5897c02;3;7p}n6;296~Xf>279i:4>089~w61c3ty2o7>52z\:g>;5m>02o6s|8983>7}Y0116>h959e9~wce=838p1?k8:2a0?821=382<6srb2`b>5<22;0=w):?d;d7?M2182B??<5+1g39102>o?03:17do9:188k7c02900n?k8:185>5<7s->=47h8;h33=?6=,=<36<>6;:k:g?6=,=<364m4;h;g>5<#5<#9k;:m1=5<72->=47<60:9~f102290:6=4?{%65821v?k8:181[4b?279i:4=919~wd0=838pRl84=3g4>46>3ty2i7>52z\:a>;5m>08;i5rs8a94?4|V0i01?k8:8a8yv>?2909wS67;<0f3??c3tymo7>52z?1a2<4k:168;;52828yxd6m80;6>4=:5y'05b=n;1C8;>4H512?!77j3o0(:477?l>?2900el850;9l6`1=831i>h950;796?0|,=:o6k;4$0d2>0333f8n;7>5;h0f2?6=3`k=6=44i8g94?=n010;66li5;292?6=8r.?:54i7:k24<<72->=47??9:9j=f<72->=477l;:k:`?6=,=<364j4;h1`7?6=,=<36>m<;:k03a<72->=47=8d:9l6<6=83.?:54=9198yg21;3:1=7>50z&72=<50k1d>4;50;&72=<51910q~o9:181[g134l>6<>6;|q;m3:1>vP6e:?e1?50l2wx>h850;0xZ7c134l>6>m<;|q1a2<72;qU>h94=g796<68>7>52z?e1??d34>=?7<65:~f7<7280;6=u+4229=>"38m0mo6*;69872`=#9o;198:4og`94?"3>1095=54}r0f3?6=:rT9i:522d596`16`1=1l16>7hm;%604?e1}#<9n1j?5G4728L1563-;;n7k4$0d2>0333`236=44i`494?=h:l=1<75m2d594?3=:3k:g78 4`623:17do9:188m3:1o6800;6):98;33=>=n1j0;6):98;;`?>o>l3:1(987:8f8?l5d;3:1(987:2a0?>o4?m0;6):98;14`>=h:0:1<7*;6981=5==?7>51;294~"3>1094o5`28794?"3>1095=54}rc5>5<5sWk=70h::02:?xu?03:1>vP78:?e1??c3ty2i7>52z\:a>;a=390;6?uQ2d589c3=:0:0q~:<2;296~;a=33h70:93;0:1>{zj;0;6<4?:1y'066=12.?l1/=k?55468kcd=83.?:54=9198yv4b?3:1>vP=e69>6`1=:l=0q~o9:181[g1348n;7o9;|q;{t=3:1?v3=e681a3=::l=15h522;da?!2483i0qpl;2483>6<62:qC8>?4$52g>4`23`k36=44i`;94?=h;j81<75m47a94?5=83:p(9>k:57e?M2182B??<5+47:900c<,8l:68;;;hdf>5<#5<#4>:2yK067<,=:o65<0333`ln6=4+47:955?<3`lm6=4+47:955?<3f8397>5$54;>7?732wxm54?:3y]e==:vP03e=:1?0qpl;2283>6<62:qC8>?4$52g>4`23`k36=44i`;94?=h;j81<75m47a94?5=83:p(9>k:57e?M2182B??<5+47:900c<,8l:68;;;hdf>5<#5<#4>:2yK067<,=:o65<0333`ln6=4+47:955?<3`lm6=4+47:955?<3f8397>5$54;>7?732wxm54?:3y]e==:vP03e=:1?0qpl;5283>6<62:qC8>?4$52g>4`23`k36=44i`;94?=h;j81<75m47a94?5=83:p(9>k:57e?M2182B??<5+47:900c<,8l:68;;;hdf>5<#5<#4>:2yK067<,=:o65<0333`ln6=4+47:955?<3`lm6=4+47:955?<3f8397>5$54;>7?732wxm54?:3y]e==:vP03e=:1?0qpl=6283>6<62:qC8>?4$52g>4`23`k36=44i`;94?=h;j81<75m47a94?5=83:p(9>k:57e?M2182B??<5+47:900c<,8l:68;;;hdf>5<#5<#=0;6>4>:2yK067<,=:o65<0333`ln6=4+47:955?<3`lm6=4+47:955?<3f8397>5$54;>7?732wxm54?:3y]e==:vP03e=:1?0qpl=6483>6<62:qC8>?4$52g>4`23`k36=44i`;94?=h;j81<75m47a94?5=83:p(9>k:57e?M2182B??<5+47:900c<,8l:68;;;hdf>5<#5<#?0;6>4>:2yK067<,=:o65<0333`ln6=4+47:955?<3`lm6=4+47:955?<3f8397>5$54;>7?732wxm54?:3y]e==:vP03e=:1?0qpl=6683>6<62:qC8>?4$52g>4`23`k36=44i`;94?=h;j81<75m47a94?5=83:p(9>k:57e?M2182B??<5+47:900c<,8l:68;;;hdf>5<#5<#10;6>4>:2yK067<,=:o65<0333`ln6=4+47:955?<3`lm6=4+47:955?<3f8397>5$54;>7?732wxm54?:3y]e==:vP03e=:1?0qpl=6883>6<62:qC8>?4$52g>4`23`k36=44i`;94?=h;j81<75m47a94?5=83:p(9>k:57e?M2182B??<5+47:900c<,8l:68;;;hdf>5<#5<#h0;6>4>:2yK067<,=:o65<0333`ln6=4+47:955?<3`lm6=4+47:955?<3f8397>5$54;>7?732wxm54?:3y]e==:vP03e=:1?0qpl=6083>6<62:qC8>?4$52g>4`23`k36=44i`;94?=h;j81<75m47a94?5=83:p(9>k:57e?M2182B??<5+47:900c<,8l:68;;;hdf>5<#5<#;0;6>4>:2yK067<,=:o65<0333`ln6=4+47:955?<3`lm6=4+47:955?<3f8397>5$54;>7?732wxm54?:3y]e==:vP03e=:1?0qpl=6183>6<62:qC8>?4$52g>4`23`k36=44i`;94?=h;j81<75m47a94?5=83:p(9>k:57e?M2182B??<5+47:900c<,8l:68;;;hdf>5<#5<#7>50z&74a<68j1C8;>4H512?!21032>7)?i1;760>oal3:1(987:02:?>i50<0;6):98;0:4>N3>>10qol::180>5<7s->;h7:?;I654>N3;81/8;653g9'5c7==<>0ekk50;&72=<68010ekh50;&72=<68010c?6::18'03>=:0:07pl;2d83>6<729q/8=j5419K036<@=9:7):98;1e?!7a93?>86gie;29 10?28:276gif;29 10?28:276a=8483>!210382<65rb2`:>5<5290;w):?d;33g>N3>91C8>?4$54;>=3<,8l:68;;;hdg>5<#=zj;>;6=4=:183!27l3;;o6F;619K067<,=<365;4$0d2>0333`lo6=4+47:955?<3f8397>5$54;>7?73A>=;65rb362>5<4290;w):?d;63?M2182B??<5+47:97c=#9o;198:4igg94?"3>10:<454igd94?"3>10:<454o3:6>5<#4>4;|`101<72;0;6=u+41f955e<@=<;7E:<1:&72==47??9:9l6=3=83.?:54=919K031<3th9884?:283>5}#<9n18=5G4728L1563->=47=i;%3e5?32<2cmi7>5$54;>46>32cmj7>5$54;>46>32e9484?:%65821vn?:7:181>5<7s->;h7??c:J725=O<:;0(987:978 4`62=99307b<75;29 10?2;3;7E:97:9~f72>29086=4?{%63`?273A>=<6F;309'03>=;o1/=k?55468mcc=83.?:54>0898mc`=83.?:54>0898k7>2290/8;652828?xd5"3>10396*>f08611=nnm0;6):98;33=>=h:1?1<7*;6981=5=O6<729q/8=j5419K036<@=9:7):98;1e?!7a93?>86gie;29 10?28:276gif;29 10?28:276a=8483>!210382<65rb373>5<5290;w):?d;33g>N3>91C8>?4$54;>=3<,8l:68;;;hdg>5<#=zj;?:6=4<:183!27l3>;7E:90:J774=#6=4+47:96<6<3th9994?:383>5}#<9n1==m4H543?M2492.?:5475:&2b4<2==1bji4?:%655;50;&72=<5191C8;94;|`110<72:0;6=u+41f905=O;%656;:keb?6=,=<36<>6;:m1<0<72->=47<60:9~f73?29096=4?{%63`?77k2B?:=5G4238 10?21?0(:477?l`c290/8;6511;8?j4?=3:1(987:3;3?M21?21vn?;6:180>5<7s->;h7:?;I654>N3;81/8;653g9'5c7==<>0ekk50;&72=<68010ekh50;&72=<68010c?6::18'03>=:0:07pl=5b83>7<729q/8=j511a8L1073A>8=6*;698;1>"6n80>995ffe83>!2103;;565`29794?"3>1095=5G4758?xd5=m0;6>4?:1y'05b=<91C8;>4H512?!21039m7)?i1;760>oam3:1(987:02:?>oan3:1(987:02:?>i50<0;6):98;0:4>=zj;;26=4<:183!27l383h6F;619K067<,=<36?6l;%3e5?32<2cmi7>5$54;>46>32cmj7>5$54;>46>32e9484?:%65821vn??9:181>5<7s->;h7??c:J725=O<:;0(987:978 4`62=99307b<75;29 10?2;3;7E:97:9~f77029086=4?{%63`?273A>=<6F;309'03>=;o1/=k?55468mcc=83.?:54>0898mc`=83.?:54>0898k7>2290/8;652828?xd59j0;6?4?:1y'05b=99i0D98?;I605>"3>10396*>f08611=nnm0;6):98;33=>=h:1?1<7*;6981=5=O6<729q/8=j5419K036<@=9:7):98;1e?!7a93?>86gie;29 10?28:276gif;29 10?28:276a=8483>!210382<65rb35f>5<4290;w):?d;63?M2182B??<5+47:97c=#9o;198:4igg94?"3>10:<454igd94?"3>10:<454o3:6>5<#4>4;|`13f<72:0;6=u+41f905=O;%656;:keb?6=,=<36<>6;:m1<0<72->=47<60:9~f71f29086=4?{%63`?273A>=<6F;309'03>=;o1/=k?55468mcc=83.?:54>0898mc`=83.?:54>0898k7>2290/8;652828?xd5?10;6>4?:1y'05b=<91C8;>4H512?!21039m7)?i1;760>oam3:1(987:02:?>oan3:1(987:02:?>i50<0;6):98;0:4>=zj;==6=4<:183!27l3>;7E:90:J774=#6=4+47:96<6<3th9;94?:283>5}#<9n18=5G4728L1563->=47=i;%3e5?32<2cmi7>5$54;>46>32cmj7>5$54;>46>32e9484?:%65821vn?9=:180>5<7s->;h7:?;I654>N3;81/8;653g9'5c7==<>0ekk50;&72=<68010ekh50;&72=<68010c?6::18'03>=:0:07pl=7183>6<729q/8=j5419K036<@=9:7):98;1e?!7a93?>86gie;29 10?28:276gif;29 10?28:276a=8483>!210382<65rb34f>5<4290;w):?d;63?M2182B??<5+47:97c=#9o;198:4igg94?"3>10:<454igd94?"3>10:<454o3:6>5<#4>4;|`12f<72:0;6=u+41f905=O;%656;:keb?6=,=<36<>6;:m1<0<72->=47<60:9~f13629086=4?{%63`?273A>=<6F;309'03>=;o1/=k?55468mcc=83.?:54>0898mc`=83.?:54>0898k7>2290/8;652828?xd34?:1y'05b=<91C8;>4H512?!21039m7)?i1;760>oam3:1(987:02:?>oan3:1(987:02:?>i50<0;6):98;0:4>=zj=>i6=4<:183!27l3>;7E:90:J774=#6=4+47:96<6<3th?8:4?:383>5}#<9n1==m4H543?M2492.?:5475:&2b4<2==1bji4?:%655;50;&72=<5191C8;94;|`70=<72:0;6=u+41f905=O;%656;:keb?6=,=<36<>6;:m1<0<72->=47<60:9~f13129086=4?{%63`?273A>=<6F;309'03>=;o1/=k?55468mcc=83.?:54>0898mc`=83.?:54>0898k7>2290/8;652828?xd3=10;6>4?:1y'05b=<91C8;>4H512?!21039m7)?i1;760>oam3:1(987:02:?>oan3:1(987:02:?>i50<0;6):98;0:4>=zj=8:6=4=:183!27l3;;o6F;619K067<,=<365;4$0d2>0333`lo6=4+47:955?<3f8397>5$54;>7?73A>=;65rb501>5<4290;w):?d;63?M2182B??<5+47:97c=#9o;198:4igg94?"3>10:<454igd94?"3>10:<454o3:6>5<#4>4;|`75a<72;0;6=u+41f955e<@=<;7E:<1:&72==47??9:9l6=3=83.?:54=919K031<3th?=h4?:283>5}#<9n18=5G4728L1563->=47=i;%3e5?32<2cmi7>5$54;>46>32cmj7>5$54;>46>32e9484?:%65821vn9?6:181>5<7s->;h7??c:J725=O<:;0(987:978 4`62=99307b<75;29 10?2;3;7E:97:9~f17f29086=4?{%63`?273A>=<6F;309'03>=;o1/=k?55468mcc=83.?:54>0898mc`=83.?:54>0898k7>2290/8;652828?xd39=0;6?4?:1y'05b=99i0D98?;I605>"3>10396*>f08611=nnm0;6):98;33=>=h:1?1<7*;6981=5=O7<729q/8=j511a8L1073A>8=6*;698;1>"6n80>995ffe83>!2103;;565`29794?"3>1095=5G4758?xd39?0;6>4?:1y'05b=<91C8;>4H512?!21039m7)?i1;760>oam3:1(987:02:?>oan3:1(987:02:?>i50<0;6):98;0:4>=zj=8<6=4<:183!27l3>;7E:90:J774=#6=4+47:96<6<3th?>44?:283>5}#<9n18=5G4728L1563->=47=i;%3e5?32<2cmi7>5$54;>46>32cmj7>5$54;>46>32e9484?:%65821vn5<7s->;h7??c:J725=O<:;0(987:978 4`62=99307b<75;29 10?2;3;7E:97:9~f4cb29086=4?{%63`?273A>=<6F;309'03>=;o1/=k?55468mcc=83.?:54>0898mc`=83.?:54>0898k7>2290/8;652828?xd6m90;694?:1y'05b=<81C8;>4H512?!21039m7)?i1;760>oam3:1(987:02:?>oan3:1(987:02:?>o6890;6):98;33=>=h:1?1<7*;6981=5=53;294~"38m0?<6F;619K067<,=<36>h4$0d2>0333`ln6=4+47:955?<3`lm6=4+47:955?<3f8397>5$54;>7?732wi?ok50;094?6|,=:o6<>l;I654>N3;81/8;65849'5c7==<>0ekj50;&72=<68010c?6::18'03>=:0:0D988;:a7g`=8381<7>t$52g>46d3A>=<6F;309'03>=0<1/=k?55468mcb=83.?:54>0898k7>2290/8;652828L10032wi?n>50;194?6|,=:o69>4H543?M2492.?:545;50;&72=<51910qo=l1;297?6=8r.?=99307dhi:18'03>=99307b<75;29 10?2;3;76sm21694??=83:p(9>k:578L1073A>8=6*;6980b>"6n80>995ffd83>!2103;;565ffg83>!2103;;565f11294?"3>10:<454i022>5<#6;:k246<72->=47??9:9j552=83.?:54>0898m462290/8;6511;8?j4?=3:1(987:3;3?>{e:9?1<7=50;2x 16c2=:0D98?;I605>"3>108j6*>f08611=nnl0;6):98;33=>=nno0;6):98;33=>=h:1?1<7*;6981=5=52;294~"38m0:=476:;%3e5?32<2cmh7>5$54;>46>32e9484?:%6582B?::54}c033?6=;3:1N3>91C8>?4$54;>6`<,8l:68;;;hdf>5<#5<#=8391<7>t$52g>16<@=<;7E:<1:&72=<4n2.:j<4:559jb`<72->=47??9:9jbc<72->=47??9:9l6=3=83.?:54=9198yg4713:1?7>50z&74a<382B?:=5G4238 10?2:l0(:477?l`b290/8;6511;8?l`a290/8;6511;8?j4?=3:1(987:3;3?>{e;k91<7=50;2x 16c2=:0D98?;I605>"3>108j6*>f08611=nnl0;6):98;33=>=nno0;6):98;33=>=h:1?1<7*;6981=5=52;294~"38m0:=476:;%3e5?32<2cmh7>5$54;>46>32e9484?:%6582B?::54}c1a1?6=:3:1;%6523-;m=7;:4:ke`?6=,=<36<>6;:m1<0<72->=47<60:J722=53;294~"38m0?<6F;619K067<,=<36>h4$0d2>0333`ln6=4+47:955?<3`lm6=4+47:955?<3f8397>5$54;>7?732wi?o950;194?6|,=:o69>4H543?M2492.?:545;50;&72=<51910qo<>f;296?6=8r.?0b9K036<@=9:7):98;:6?!7a93?>86gid;29 10?28:276a=8483>!210382<6F;6698yg45>3:1>7>50z&74a<68j1C8;>4H512?!21032>7)?i1;760>oal3:1(987:02:?>i50<0;6):98;0:4>N3>>10qo<=7;296?6=8r.?0b9K036<@=9:7):98;:6?!7a93?>86gid;29 10?28:276a=8483>!210382<6F;6698yg4503:1>7>50z&74a<68j1C8;>4H512?!21032>7)?i1;760>oal3:1(987:02:?>i50<0;6):98;0:4>N3>>10qo<=9;296?6=8r.?0b9K036<@=9:7):98;:6?!7a93?>86gid;29 10?28:276a=8483>!210382<6F;6698yg45i3:1>7>50z&74a<68j1C8;>4H512?!21032>7)?i1;760>oal3:1(987:02:?>i50<0;6):98;0:4>N3>>10qo<=b;296?6=8r.?0b9K036<@=9:7):98;:6?!7a93?>86gid;29 10?28:276a=8483>!210382<6F;6698yg45k3:1>7>50z&74a<68j1C8;>4H512?!21032>7)?i1;760>oal3:1(987:02:?>i50<0;6):98;0:4>N3>>10qo<=d;296?6=8r.?0b9K036<@=9:7):98;:6?!7a93?>86gid;29 10?28:276a=8483>!210382<6F;6698yg4583:1>7>50z&74a<68j1C8;>4H512?!21032>7)?i1;760>oal3:1(987:02:?>i50<0;6):98;0:4>N3>>10qo<=1;296?6=8r.?0b9K036<@=9:7):98;:6?!7a93?>86gid;29 10?28:276a=8483>!210382<6F;6698yg45:3:1>7>50z&74a<68j1C8;>4H512?!21032>7)?i1;760>oal3:1(987:02:?>i50<0;6):98;0:4>N3>>10qo<=3;29=?6=8r.?=99307dhi:18'03>=99307d??0;29 10?28:276g>0083>!2103;;565f11094?"3>10:<454i020>5<#6;:k240<72->=47??9:9l6=3=83.?:54=9198yg45<3:197>50z&74a<3:2B?:=5G4238 10?2:l0(:477?l`b290/8;6511;8?l`a290/8;6511;8?l7783:1(987:02:?>o6880;6):98;33=>=h:1?1<7*;6981=5=53;294~"38m0?<6F;619K067<,=<36>h4$0d2>0333`ln6=4+47:955?<3`lm6=4+47:955?<3f8397>5$54;>7?732wi=h950;094?6|,=:o6<>l;I654>N3;81/8;65849'5c7==<>0ekj50;&72=<68010c?6::18'03>=:0:0D988;:a5`>=8381<7>t$52g>46d3A>=<6F;309'03>=0<1/=k?55468mcb=83.?:54>0898k7>2290/8;652828L10032wi=h750;194?6|,=:o69>4H543?M2492.?:545;50;&72=<51910qo?ja;297?6=8r.?=99307dhi:18'03>=99307b<75;29 10?2;3;76sm1d`94?5=83:p(9>k:3:g?M2182B??<5+47:96=e<,8l:68;;;hdf>5<#5<#1<7>t$52g>17<@=<;7E:<1:&72=<4n2.:j<4:559jb`<72->=47??9:9jbc<72->=47??9:9j556=83.?:54>0898k7>2290/8;652828?xd6m=0;6>4?:1y'05b=:1n0D98?;I605>"3>1094n5+1g391026=4+47:96<6<3th9>k4?:383>5}#<9n1==m4H543?M2492.?:5475:&2b4<2==1bji4?:%655;50;&72=<5191C8;94;|`175<72;0;6=u+41f955e<@=<;7E:<1:&72==47??9:9l6=3=83.?:54=919K031<3th9?<4?:383>5}#<9n1==m4H543?M2492.?:5475:&2b4<2==1bji4?:%655;50;&72=<5191C8;94;|`177<72;0;6=u+41f955e<@=<;7E:<1:&72==47??9:9l6=3=83.?:54=919K031<3th9?>4?:283>5}#<9n1>5j4H543?M2492.?:54=8b9'5c7==<>0ekk50;&72=<68010ekh50;&72=<68010c?6::18'03>=:0:07pl=3583>6<729q/8=j5419K036<@=9:7):98;1e?!7a93?>86gie;29 10?28:276gif;29 10?28:276a=8483>!210382<65rb316>5<4290;w):?d;0;`>N3>91C8>?4$54;>7>d3-;m=7;:4:kea?6=,=<36<>6;:keb?6=,=<36<>6;:m1<0<72->=47<60:9~f75129086=4?{%63`?273A>=<6F;309'03>=;o1/=k?55468mcc=83.?:54>0898mc`=83.?:54>0898k7>2290/8;652828?xd58j0;6?4?:1y'05b=99i0D98?;I605>"3>10396*>f08611=nnm0;6):98;33=>=h:1?1<7*;6981=5=O7<729q/8=j511a8L1073A>8=6*;698;1>"6n80>995ffe83>!2103;;565`29794?"3>1095=5G4758?xd58l0;6?4?:1y'05b=99i0D98?;I605>"3>10396*>f08611=nnm0;6):98;33=>=h:1?1<7*;6981=5=O1<729q/8=j5409K036<@=9:7):98;1e?!7a93?>86gie;29 10?28:276gif;29 10?28:276g>0183>!2103;;565`29794?"3>1095=54}c024?6=;3:1;%65=47??9:9jbc<72->=47??9:9l6=3=83.?:54=9198yg4693:1?7>50z&74a<382B?:=5G4238 10?2:l0(:477?l`b290/8;6511;8?l`a290/8;6511;8?j4?=3:1(987:3;3?>{e:881<7=50;2x 16c2;2o7E:90:J774=#5m4$0d2>0333`ln6=4+47:955?<3`lm6=4+47:955?<3f8397>5$54;>7?732wi;n4?:383>5}#<9n1==m4H543?M2492.?:5475:&2b4<2==1bji4?:%655;50;&72=<5191C8;94;|`4`?6=:3:1;%6523-;m=7;:4:ke`?6=,=<36<>6;:m1<0<72->=47<60:J722=;7E:90:J774=#6=4+47:96<6<3th53;294~"38m0?<6F;619K067<,=<36>h4$0d2>0333`ln6=4+47:955?<3`lm6=4+47:955?<3f8397>5$54;>7?732wi4=4?:283>5}#<9n1>5j4H543?M2492.?:54=8b9'5c7==<>0ekk50;&72=<68010ekh50;&72=<68010c?6::18'03>=:0:07pl71;297?6=8r.?=99307dhi:18'03>=99307b<75;29 10?2;3;76sm4`d94?5=83:p(9>k:528L1073A>8=6*;6980b>"6n80>995ffd83>!2103;;565ffg83>!2103;;565`29794?"3>1095=54}c6a4?6=:3:1;%6523-;m=7;:4:ke`?6=,=<36<>6;:m1<0<72->=47<60:J722=i=7>52;294~"38m0:=476:;%3e5?32<2cmh7>5$54;>46>32e9484?:%6582B?::54}c6a6?6=;3:1N3>91C8>?4$54;>6`<,8l:68;;;hdf>5<#5<#t$52g>7>c3A>=<6F;309'03>=:1i0(:477?l`b290/8;6511;8?l`a290/8;6511;8?j4?=3:1(987:3;3?>{e1<7=50;2x 16c2=:0D98?;I605>"3>108j6*>f08611=nnl0;6):98;33=>=nno0;6):98;33=>=h:1?1<7*;6981=5=i97>53;294~"38m094i5G4728L1563->=47<7c:&2b4<2==1bjh4?:%655;50;&72=<51910qo=99307dhi:18'03>=99307d??0;29 10?28:276g>0083>!2103;;565`29794?"3>1095=54}c0fb?6=:3:1;%6523-;m=7;:4:ke`?6=,=<36<>6;:m1<0<72->=47<60:J722=52;294~"38m0:=476:;%3e5?32<2cmh7>5$54;>46>32e9484?:%6582B?::54}c0e5?6=:3:1;%6523-;m=7;:4:ke`?6=,=<36<>6;:m1<0<72->=47<60:J722=7>52;294~"38m0:=476:;%3e5?32<2cmh7>5$54;>46>32e9484?:%6582B?::54}c0e7?6=:3:1;%6523-;m=7;:4:ke`?6=,=<36<>6;:m1<0<72->=47<60:J722=53;294~"38m094i5G4728L1563->=47<7c:&2b4<2==1bjh4?:%655;50;&72=<51910qo=99307dhi:18'03>=99307b<75;29 10?2;3;76sm2g494?5=83:p(9>k:528L1073A>8=6*;6980b>"6n80>995ffd83>!2103;;565ffg83>!2103;;565`29794?"3>1095=54}c0ff?6=;3:1;%65=47??9:9jbc<72->=47??9:9l6=3=83.?:54=9198yg4bk3:1?7>50z&74a<50m1C8;>4H512?!210383o6*>f08611=nnl0;6):98;33=>=nno0;6):98;33=>=h:1?1<7*;6981=5=53;294~"38m0?<6F;619K067<,=<36>h4$0d2>0333`ln6=4+47:955?<3`lm6=4+47:955?<3f8397>5$54;>7?732wi>hk50;194?6|,=:o6?6k;I654>N3;81/8;6529a8 4`62=99307dhi:18'03>=99307b<75;29 10?2;3;76sm22:94?5=83:p(9>k:528L1073A>8=6*;6980b>"6n80>995ffd83>!2103;;565ffg83>!2103;;565`29794?"3>1095=54}c00=?6=;3:1N3>91C8>?4$54;>6`<,8l:68;;;hdf>5<#5<#t$52g>46d3A>=<6F;309'03>=0<1/=k?55468mcb=83.?:54>0898k7>2290/8;652828L10032wi>>l50;194?6|,=:o6?6k;I654>N3;81/8;6529a8 4`62=99307dhi:18'03>=99307b<75;29 10?2;3;76sm22a94?2=83:p(9>k:538L1073A>8=6*;6980b>"6n80>995ffd83>!2103;;565ffg83>!2103;;565f11294?"3>10:<454o3:6>5<#4>4;|`17a<72:0;6=u+41f96=b<@=<;7E:<1:&72=<50j1/=k?55468mcc=83.?:54>0898mc`=83.?:54>0898k7>2290/8;652828?xu0i3:1?vP8a:?4e?4b?277>23ty52z?4g?4?=2752z?4a?4?=273<7hj;|q4b?6=:r752z?;4?4?=273=7hi;|q;6?6=1rT3>63;508ea>;3;3<10mi63=2g8e`>;58o0mi63=ee8ea>;5;00mi6s|9e83>77|V0n019:i:9:8912d212019:6:9:8912221201??n:9:8977321201?;n:9:8973121201?;=:9:8972b21201?:n:9:8972121201?:=:9:8975b212019?i:9:8917e212019?8:9:8917521201hh5899>a`?348;>767;<6gf?>?348;m767;<027?>?349i<767;<1ae?>?34;n=767;<3f1?>?3tyi>7>52z\a6>;bm3k=7p}m3;29e~;4j902o63;39;02o63;1g8:g>;39k02o63;168:g>;e=383963;2d8eb>;6m90mi63=398ea>{tj=0;6?u2b581<0=:j<0mj6s|eb83>6}:mo0j:63je;0f3>;e<3lo7p}jd;296~;bn38n;63m5;df?xu6lo0;69u21d296=3<58o36kj4=0g:>cc<58o86kk4}r3f5?6=0r7:i<4=e69>5`c=nl16=h95fe9>5`2=nl164<4ie:?7ec5`b=nm16=ho5fd9>5`5=99:01:m5fe9>3c0;6?u21d596=3<58o26kh4}r3f5`g=no1vec8ea>{t9lk1<77>234;nn7hi;|q2af<72;q6=hj5297894cb2ol0q~?jf;2955}:=9l1m;522529ba=::=>1ji5225:9ba=::=i1ji522429ba=::<>1ji5224:9ba=::5;4=311>cb<5;:h6kj4=32e>c`7>52z?147<5m>16=h>51128yv47;3:1>v3=038b2>;58009485rs327>5<5s48;87<75:?14<=;50;0x97622;2>7065>=no1v?>7:1818470383963=088eb>{t9o<1<79t=32b>7c0348=<7o7;<05g?`a348;:7hk;<012?`c348;i7hk;<0e6?`c3ty965g=i?16><<52978yv47k3:1>v3=0b81<0=::8:1jh5rs32g>5<5s48;h7<75:?155=k50;0x976b2;2>70<>1;de?xu58o0;6?u221d96=3<5;;96kh4}r024?6=:r79==4=849>647=nl1v??>:1818469383963=138ea>{t9o31<78t=330>7c0348=<7o6;<05g?`b348;87hj;<02b?`c348m?7hk;|q2b2<72<:52d5897062h301?9j:gg897622oo01?v3=158b2>;59>09485rs335>5<5s48::7<75:?152<650;`x977320i01?;n:8a8973120i01?;=:8a8972b20i01?:n:8a8972120i01?:=:8a8975b20i01??n:8a8977>2;2>7p}>f983>1}::8k1>h94=341>d?<5;:<6kk4=301>cb52z?15d279=i4=849~w77d2909w0<>c;0;1>;59m0mj6s|20g94?2|5;8>6?6:;<005?`c348;h7hk;<00f?`b3ty9=k4?:3y>64`=:1?01?<<:gg8yv4583:1>v3=2181<0=::;>1jk5rs302>5<5s489=7<75:?161<6891v?<=:181845:383963=258244=z{;886=4={<017?4?=279>84ie:p672=838p1?<;:3:6?845=3lm7p}=2783>7}::;<1>5;4=300>c`52z?162<50<16>?=51128yv4503:1>v3=2981<0=::;91==?4}r01=?6=:r79>44=849>675=9980q~<=a;296~;5:h094852231955552z?16g<50<16>?=51168yv45k3:1>v3=2b81<0=::;91==;4}r01`?6=:r79>i4=849>672=nl1v?{t:;l1<77>23488?7hj;|q175<72;q6>>>5297897542ol0q~<<1;296~;5;80948522269bc=z{;996=4={<006?4?=279?84if:p665=838p1?=<:3:6?844<3ln7p}=3583>7}:::>1>5;4=316>cc52z?170<50<16>>85fg9~w7502908w0{t::21<77>23488h7hj;|q17<<72;q6>>752978975e2ol0q~<52z?17g<50<16>>m5fd9~w75d2909w0<;5;m0mj6s|1gc94?3|5;9n6?k8;<057?g>348=i7hj;<030?`a3489;7hk;|q17c<72;q6>>k5a79>617=:1?0q~<;0;296~;5<90948522539bc=z{8li6=4:{<076?4b?279:94n9:?135009>67?=nm1v?:<:181843:3k=70<;5;0;1>{t:=>1<77>2348?97hi;|q2bf<729852d5897022h301?9=:gg8976328:;70<=8;dg?xu5<>0;6?u22549e3=::=31>5;4}r0761?=no1v;5?=0mi63=058247=::;k1ji5rs36a>5<5s48?m7o9;<07`?4?=2wx>9m50;0x972d2;2>70<;d;de?xu6nl0;68u225g96`1<5;<<6l74=355>cc<5;:?6<>;;<01g?`c3ty98k4?:3y>61c=i?16>8?52978yv4283:1>v3=5181<0=::<;1jk5rs0de>5<2s48>>7?l5fe9~w7342909w0<:2;c5?842=38396s|24694?4|5;??6?6:;<061?`a3ty9<=4?:4y>600=:l=01?86:`;8971f2oo01?>;:026?845l3lo7p}=5683>7}::<<1m;5224;96=347>52z?11=<50<16>875fg9~w766290>w0<:a;0f3>;5>h0j563=7b8ea>;58<0mj63=208e`>{t:d0<5;?o6?6:;|q11f<72;q6>8m52978973c2ol0q~<9b;297~;5>:0j463=6d8eb>;5>j09485rs34g>5<4s48=87o7;<044?`a348=i7<75:p63`=839p1?8::`:897152ol01?9?:3:6?xu5?80;6>u22749e==::>>1jk5226096=353z?12238396s|26594?5|5;<26l64=35b>c`<5;=36?6:;|q13<<72:q6>;o5a99>62e=no16>:o52978yv40j3:1?v3=608b<>;5?l0mj63=7b81<0=z{;=o6=4={<056?g?3484;;f?842i33n70<:6;;f?842:33n70<;e;;f?843i33n70<;6;;f?843:33n70<b;;f?826?33n70:>2;;f?847i33n70<>3;;f?85e833n70=ma;;f?xu5m10;6>uQ2d:897c?2;o<705;4}r0fe?6=:r79il4=849>6c2=no1v?km:18184bj383963=eb8eb>{t:li1<77>2348nh7hi;|q1aa<72;q6>hj5297897cb2oo0q~:3:6?84bj3lm7p}=f383>7}::o81>5;4=3d5>cc52z?1b6<50<16>k85fg9~w7`32909w0;5mj0mi6s|2g794?4|5;l>6?6:;<0ff?`b3ty9j;4?:3y>6c0=:1?01?kj:gd8yv5713:1>vP<089>6``=nm1v>l?:181[5e8278n=4=e69~w6d52909w0=m9;dg?85e?38396s|3c194?4|5:h86?6:;<1a3?`b3ty8n94?:3y>7g2=:1?01>l9:gg8yv5e=3:1>v35<5s49i:7<75:?0f2l6:3:6?xu4jh0;68uQ3cc896df2;o<70:=e;df?87b83lm70<<8;de?xu4jj0;6?u23cc9e3=:;j;1>5;4}r1a`?6=:r78ni4=849>7f7=nl1v>lj:18185em383963{t;kl1<77>2349h<7hi;|q0g5<72;q6?n>5297896e62ol0q~=k5;290~X4l<16>>>5fe9>65`=99:01?=6:gd8yv5b>3:1>vP6c6=nm1v9>j:185826:38n;63;228b<>;39<0mh63;268eb>;4jm0mi63{t<891<7d0<5=;=6?6:;|q751<72;q68<:5297891712oo0q~:>5;296~;39<0948524049bc=z{=:m6=4:{<623?4b?27?>>4n9:?762072=i0168?75fd9>7g`=nm16?o;5fe9~w17d2909w0:>b;c5?826m38396s|40f94?4|5=;o6?6:;<62a?`a3ty?=<4?:5y>04`=:l=019<::`;896dc2ol01>l<:gd8yv2583:1>v3;1g8b2>;3:;09485rs502>5<5s4>9=7<75:?7677}:<;?1m55243;96=39m7>52z?766<4k;168;39l0mi6s|43a94?4|5=8>6>m=;<616?`b3ty?>i4?:7y>07c=:1?01??6:gg891462on019?k:gf8917>2on019?;:gf8yv2393:19v3;4481a2=:<<81m5524559ba=:<<<1jk522dc9b`=z{=>=6=4={<671?g134>?47<75:p011=838p19:8:3:6?82303lm7p};4383>1}:<=31>h94=571>d?<5=?=6kk4=3gb>c`?m7>52z?70<27?8o4=849~w124290?w0:;c;0f3>;3=:0j563;598ea>;5mh0:<=5rs56g>5<5s4>?o7o9;<67a?4?=2wx89:50;1x912a2;o<70::4;c:?84bi3;;=6s|44294?4|5=>m6l84=572>7>23ty?984?:2y>005=i11688852978913?2ol0q~::7;296~;3==0j463;5981<0=z{=?26=4={<666?5d:27?8o4if:p00g=838p19;<:2a1?823m3lm7p};5c83>7}:<<>1?n<4=572>c`=j7>52z?125<4k;16>>85fd9~w1172909w0<91;1`6>;59>0mi6s|46394?4|5;<96>m=;<02`?`b3ty?;?4?:3y>635=;j801?:>:gg8yv20;3:1>v3=6580g7=::=?1jh5rs557>5<5s48=97=l2:?10<603=nl1v997:181841139h>63=588ea>{t<>31<76e5348>h7hj;|q7ef<727c034=o6kj4=5ce>c`<5=h86kk4}r6ba?6=:r7?mn4n6:?7f0<50<1v9oi:18182fn383963;b48ea>{t7>234>i>7hj;|q7f4<72;q68o?5297891d52ol0q~:m2;296~;3j;0948524c19bc=z{=h86=4={<6a7?4?=27?n94if:p0g2=838p19l;:3:6?82e=3lm7p};d883>7}Y;28o09i:5rs46g>5<5sW??h63=f08e`>{zj=2=6=4=:183!27l3;;o6F;619K067<,=<365;4$0d2>0333`lo6=4+47:955?<3f8397>5$54;>7?73A>=;65rb5;g>5<5290;w):?d;33g>N3>91C8>?4$54;>=3<,8l:68;;;hdg>5<#=zj=296=4=:183!27l3;;o6F;619K067<,=<365;4$0d2>0333`lo6=4+47:955?<3f8397>5$54;>7?73A>=;65rb5:0>5<5290;w):?d;33g>N3>91C8>?4$54;>=3<,8l:68;;;hdg>5<#=zj=2?6=4<:183!27l3>;7E:90:J774=#6=4+47:96<6<3th>5}#<9n1==m4H543?M2492.?:5475:&2b4<2==1bji4?:%655;50;&72=<5191C8;94;|`7<@=<;7E:<1:&72=45+1c5955?<,8l:68;;;hdg>5<#=8381<7>t$52g>46?3A>=<6F;309'03>=0<1C=?74$0`4>46>3-;m=7;:4:ke`?6=,=<36<>6;:m1<0<72->=47<60:9~f1g>29096=4?{%63`?7702B?:=5G4238 10?21?0D<<6;%3a3?7712.:j<4:559jba<72->=47??9:9l6=3=83.?:54=9198ygcf29096=4?{%63`?7702B?:=5G4238 10?21?0D<<6;%3a3?7712.:j<4:559jba<72->=47??9:9l6=3=83.?:54=9198yg`629096=4?{%63`?7702B?:=5G4238 10?21?0D<<6;%3a3?7712.:j<4:559jba<72->=47??9:9l6=3=83.?:54=9198yg2em3:1>7>50z&74a<6811C8;>4H512?!21032>7E?=9:&2f2<6801/=k?55468mcb=83.?:54>0898k7>2290/8;652828?xd3lh0;6?4?:1y'05b=9920D98?;I605>"3>10396F>289'5g1=9930(:477?l`c290/8;6511;8?j4?=3:1(987:3;3?>{e==21<7<50;2x 16c28:37E:90:J774=#oal3:1(987:02:?>i50<0;6):98;0:4>=zj0:1<7<50;2x 16c28:37E:90:J774=#oal3:1(987:02:?>i50<0;6):98;0:4>=zj1k1<7<50;2x 16c28:37E:90:J774=#oal3:1(987:02:?>i50<0;6):98;0:4>=zj=nn6=4=:183!27l3;;46F;619K067<,=<365;4H00:?!7e?3;;56*>f08611=nnm0;6):98;33=>=h:1?1<7*;6981=5=52;294~"38m0:<55G4728L1563->=476:;I31=>"6j>0:<45+1g391026=4+47:96<6<3th<=7>52;294~"38m0:<55G4728L1563->=476:;I31=>"6j>0:<45+1g391026=4+47:96<6<3th<<7>52;294~"38m0:<55G4728L1563->=476:;I31=>"6j>0:<45+1g391026=4+47:96<6<3th=j7>52;294~"38m0:<55G4728L1563->=476:;I31=>"6j>0:<45+1g391026=4+47:96<6<3th=i7>52;294~"38m0:<55G4728L1563->=476:;I31=>"6j>0:<45+1g391026=4+47:96<6<3th=o7>52;294~"38m0:<55G4728L1563->=476:;I31=>"6j>0:<45+1g391026=4+47:96<6<3th=n7>52;294~"38m0:<55G4728L1563->=476:;I31=>"6j>0:<45+1g391026=4+47:96<6<3th=m7>52;294~"38m0:<55G4728L1563->=476:;I31=>"6j>0:<45+1g391026=4+47:96<6<3th=57>52;294~"38m0:<55G4728L1563->=476:;I31=>"6j>0:<45+1g391026=4+47:96<6<3th=47>52;294~"38m0:<55G4728L1563->=476:;I31=>"6j>0:<45+1g391026=4+47:96<6<3th=;7>52;294~"38m0:<55G4728L1563->=476:;I31=>"6j>0:<45+1g391026=4+47:96<6<3th=:7>52;294~"38m0:<55G4728L1563->=476:;I31=>"6j>0:<45+1g391026=4+47:96<6<3th=97>52;294~"38m0:<55G4728L1563->=476:;I31=>"6j>0:<45+1g391026=4+47:96<6<3th=87>52;294~"38m0:<55G4728L1563->=476:;I31=>"6j>0:<45+1g391026=4+47:96<6<3th=?7>52;294~"38m0:<55G4728L1563->=476:;I31=>"6j>0:<45+1g391026=4+47:96<6<3th<57>52;294~"38m0:<55G4728L1563->=476:;I31=>"6j>0:<45+1g391026=4+47:96<6<3th<47>52;294~"38m0:<55G4728L1563->=476:;I31=>"6j>0:<45+1g391026=4+47:96<6<3th<;7>52;294~"38m0:<55G4728L1563->=476:;I31=>"6j>0:<45+1g391026=4+47:96<6<3th<:7>52;294~"38m0:<55G4728L1563->=476:;I31=>"6j>0:<45+1g391026=4+47:96<6<3th<97>52;294~"38m0:<55G4728L1563->=476:;I31=>"6j>0:<45+1g391026=4+47:96<6<3th<87>52;294~"38m0:<55G4728L1563->=476:;I31=>"6j>0:<45+1g391026=4+47:96<6<3th52;294~"38m0:<55G4728L1563->=476:;I31=>"6j>0:<45+1g391026=4+47:96<6<3th<>7>52;294~"38m0:<55G4728L1563->=476:;I31=>"6j>0:<45+1g391026=4+47:96<6<3th=h7>52;294~"38m0:<55G4728L1563->=476:;I31=>"6j>0:<45+1g391026=4+47:96<6<3th>1}#<9n1=?64H543?M2492.:j<4:559jba<722ci>7>5;n0;1?6=3f;;i7>5;c33oal3:1(987:02:?>i50<0;6):98;0:4>=zj;2<6=4<:080!27l383;6F>289'5g1=:0:0(:477?l`c2900eo<50;9l6=3=831i8;:50;194?6|,=<36>h8;hdg>5<#=z{on1<7=87=i6:p6=3=838pR?6:;<650?4?=2wv?6::181[4?=27:<54=849~w46b2908wS??e:?24=cbk:3:5?M2182B??<5+47:9<0=O9;30(86gid;29 10?28:276a=8483>!210382<65rb465>5<5290;w):?d;0;2>N3>91C8>?4$54;>=3<@8827)?m7;0:4>"6n80>995ffe83>!2103;;565`29794?"3>1095=54}c721?6=:3:1;%6523A;956*>b681=5=#9o;198:4igf94?"3>10:<454o3:6>5<#4>4;|`;a?6=:3:1;%6523A;956*>b681=5=#9o;198:4igf94?"3>10:<454o3:6>5<#4>4;|`64d<72;0;6=u+41f96=0<@=<;7E:<1:&72=45+1c596<6<,8l:68;;;hdg>5<#t$52g>7>13A>=<6F;309'03>=0<1C=?74$0`4>7?73-;m=7;:4:ke`?6=,=<36<>6;:m1<0<72->=47<60:9~f1d029096=4?{%63`?4?>2B?:=5G4238 10?21?0D<<6;%3a3?4>82.:j<4:559jba<72->=47??9:9l6=3=83.?:54=9198yg2fl3:1>7>50z&74a<50?1C8;>4H512?!21032>7E?=9:&2f2<5191/=k?55468mcb=83.?:54>0898k7>2290/8;652828?xd30j0;6>4>:2y'05b=:1=0D98?;I605>N6:01/=o952828 4`625;50;9a032=8391<7>t$54;>6`03`lo6=4+47:955?<3`9m:7>5$54;>d5<3f8397>5$54;>7?73A9m465rsgf94?4|Von0198;:gf8yvd52909wSl=;<650?5a>2wx>5;50;0xZ7>234>=87<75:~f1?b29096=4?{%63`?4?>2B?:=5G4238 10?21?0D<<6;%3a3?4>82.:j<4:559jba<72->=47??9:9l6=3=83.?:54=9198yg37:3:1>7>50z&74a<50?1C8;>4H512?!21032>7E?=9:&2f2<5191/=k?55468mcb=83.?:54>0898k7>2290/8;652828?xd29h0;6>4>:2y'05b=:1=0D98?;I605>N6:01/=o952828 4`625;50;9a032=8391<7>t$54;>6`03`lo6=4+47:955?<3`9m:7>5$54;>d5<3f8397>5$54;>7?73A9m465rsgf94?4|Von0198;:gf8yvd52909wSl=;<650?5a>2wx>5;50;0xZ7>234>=87<75:~f1`?29096=4?{%63`?4?>2B?:=5G4238 10?21?0D<<6;%3a3?4>82.:j<4:559jba<72->=47??9:9l6=3=83.?:54=9198yg2?83:1>7>50z&74a<50?1C8;>4H512?!21032>7E?=9:&2f2<5191/=k?55468mcb=83.?:54>0898k7>2290/8;652828?xd30>0;6?4?:1y'05b=:1<0D98?;I605>"3>10396F>289'5g1=:0:0(:477?l`c290/8;6511;8?j4?=3:1(987:3;3?>{e=881<7<50;2x 16c2;2=7E:90:J774=#oal3:1(987:02:?>i50<0;6):98;0:4>=zj=i=6=4=:183!27l383:6F;619K067<,=<365;4H00:?!7e?382<6*>f08611=nnm0;6):98;33=>=h:1?1<7*;6981=5=n<7>53;397~"38m094:5G4728L1563A;956*>b681=5=#9o;198:4igf94?=nj;0;66a=8483>>d3>=0;6>4?:1y'03>=;o=0ekj50;&72=<68010e>h9:18'03>=i:10c?6::18'03>=:0:0D>h7;:pba<72;qUji524769ba=z{k81<77}Y:1?0198;:3:6?x{e=:;1<7=51;1x 16c2;2<7E:90:J774=O9;30(86gid;29?ld52900c?6::188f10329086=4?{%655$54;>46>32c8j;4?:%6582B8j554}rdg>5<5sWlo70:94;dg?xue:3:1>vPm2:?721<4n?1v?6::181[4?=27?:94=849~yg2dj3:1>7>50z&74a<50?1C8;>4H512?!21032>7E?=9:&2f2<5191/=k?55468mcb=83.?:54>0898k7>2290/8;652828?xd3l=0;6?4?:1y'05b=:1<0D98?;I605>"3>10396F>289'5g1=:0:0(:477?l`c290/8;6511;8?j4?=3:1(987:3;3?>{eoal3:1(987:02:?>i50<0;6):98;0:4>=zj:9o6=4=:183!27l383:6F;619K067<,=<365;4H00:?!7e?382<6*>f08611=nnm0;6):98;33=>=h:1?1<7*;6981=5=52;294~"38m094;5G4728L1563->=476:;I31=>"6j>095=5+1g391026=4+47:96<6<3th8:44?:383>5}#<9n1>584H543?M2492.?:5475:J26<=#9k=1>4>4$0d2>0333`lo6=4+47:955?<3f8397>5$54;>7?732wi?;=50;094?6|,=:o6?69;I654>N3;81/8;65849K57?<,8h<6?7?;%3e5?32<2cmh7>5$54;>46>32e9484?:%65821vn>;k:181>5<7s->;h7<76:J725=O<:;0(987:978L44>3-;i;7<60:&2b4<2==1bji4?:%655;50;&72=<51910qo=:7;296?6=8r.?0ekj50;&72=<68010c?6::18'03>=:0:07pl<5083>7<729q/8=j52948L1073A>8=6*;698;1>N6:01/=o952828 4`62=99307b<75;29 10?2;3;76sm35`94?4=83:p(9>k:3:5?M2182B??<5+47:9<0=O9;30(86gid;29 10?28:276a=8483>!210382<65rb26b>5<5290;w):?d;0;2>N3>91C8>?4$54;>=3<@8827)?m7;0:4>"6n80>995ffe83>!2103;;565`29794?"3>1095=54}c170?6=:3:1;%6523A;956*>b681=5=#9o;198:4igf94?"3>10:<454o3:6>5<#4>4;|`07`<72;0;6=u+41f96=0<@=<;7E:<1:&72=45+1c596<6<,8l:68;;;hdg>5<#t$52g>7>13A>=<6F;309'03>=0<1C=?74$0`4>7?73-;m=7;:4:ke`?6=,=<36<>6;:m1<0<72->=47<60:9~f1d=83;1<7>t$54;>7c53A>8=6F>0`9'5g1=9930({e=:l80D9=>;I33e>"6j>0:<45+1g196a?51;294~"3>109i?5G4238L46f3-;i;7??9:&2b6<51>1b>h<50;&72=<5m;10qo:j:182>5<7s->=47!21038n>65rb5d94?7=83:p(987:3g1?M2492B:50z&72=<5m;1C8>?4H02b?!7e?3;;56*>f281=g=n:l81<7*;6981a7=:183!21038n>6F;309K55g<,8h<6<>6;%3e7?4>k2c9i?4?:%65N68h1/=o9511;8 4`42;3o7d4<729q/8;652d08L1563A;;m6*>b6824<=#9o91>4k4i3g1>5<#h<4;|`61?6=93:1n;%3a3?7712.:j>4=dd9j6`4=83.?:54=e398yg31290:6=4?{%65o5m;0;6):98;0f6>=zj<=1<7?50;2x 10?2;o97E:<1:J24d=#9k=1==74$0d0>7g73`8n>7>5$54;>7c532wi954?:083>5}#h<4H512?M77i2.:n:4>089'5c5=:h80e?k=:18'03>=:l807pl:a;295?6=8r.?:54=e39K067<@8:j7)?m7;33=>"6n:09m85f2d094?"3>109i?54}c7a>5<6290;w):98;0f6>N3;81C==o4$0`4>46>3-;m?7=47t$54;>7c53A>8=6F>0`9'5g1=9930({e=m0;6<4?:1y'03>=:l80D9=>;I33e>"6j>0:<45+1g196`7i7>51;294~"3>109i?5G4238L46f3-;i;7??9:&2b6<5i81b>h<50;&72=<5m;10qo;i:182>5<7s->=47!21038n>65rb7294?7=83:p(987:3g1?M2492B:50z&72=<5m;1C8>?4H02b?!7e?3;;56*>f281g==n:l81<7*;6981a7=:183!21038n>6F;309K55g<,8h<6<>6;%3e7?4f;2c9i?4?:%65N68h1/=o952828 4`42;hn7b4<729q/8;652d08L1563A;;m6*>b6824<=#9o91>l:4i3g1>5<#h<4;|`a6?6=93:1n;%3a3?7712.:j>4=a79j6`4=83.?:54=e398yg`7290:6=4?{%65o5m;0;6):98;0f6>=zj;l<6=4>:183!21038n>6F;309K55g<,8h<6?7?;%3e7?4ei2e9i?4?:%655<7s->=47!21038n>65rb3db>5<6290;w):98;0f6>N3;81C==o4$0`4>7?73-;m?7=47i5m;0;6):98;0f6>=zj;lh6=4>:183!21038n>6F;309K55g<,8h<6?7?;%3e7?4e>2e9i?4?:%655<7s->=47!21038n>65rb221>5<6290;w):98;0f6>N3;81C==o4$0`4>7?73-;m?7=47i5m;0;6):98;0f6>=zj::?6=4>:183!21038n>6F;309K55g<,8h<6?7?;%3e7?4e?2e9i?4?:%65>::182>5<7s->=47!21038n>65rb225>5<6290;w):98;0f6>N3;81C==o4$0`4>7?73-;m?7=47i5m;0;6):98;0f6>=zj==m6=4>:183!21038n>6F;309K55g<,8h<6?7?;%3e7?4c=2e9i?4?:%655<7s->=47!21038n>65rb5::>5<6290;w):98;0f6>N3;81C==o4$0`4>46>3-;m?7=47e290:6=4?{%65i5m;0;6):98;0f6>N4n110qo:6c;295?6=8r.?:54=e39K067<@8:j7)?m7;0:4>"6n:09h:5`2d094?"3>109i?54}c6b4?6=93:1n;%3a3?4>82.:j>4=c89l6`4=83.?:54=e398yg2f03:1=7>50z&72=<5m;1C8>?4H02b?!7e?3;;56*>f281ga=n:l81<7*;6981a7=jo7>51;294~"3>109i?5G4238L46f3-;i;7<60:&2b6<5kh1d>h<50;&72=<5m;10qo:m6;295?6=8r.?:54=e39K067<@8:j7)?m7;0:4>"6n:09n=5`2d094?"3>109i?54}c6af?6=93:1n;%3a3?4>82.:j>4=a99l6`4=83.?:54=e398yg2el3:1=7>50z&72=<5m;1C8>?4H02b?!7e?3;;56*>f281gc=n:l81<7*;6981a7=h97>51;294~"3>109i?5G4238L46f3-;i;7<60:&2b6<5l?1d>h<50;&72=<5m;10qo:l9;295?6=8r.?:54=e39K067<@8:j7)?m7;0:4>"6n:09hl5`2d094?"3>109i?54}c6g6?6=93:1n;%3a3?4>82.:j>4=d99l6`4=83.?:54=e398yg2c13:1=7>50z&72=<5m;1C8>?4H02b?!7e?3;;56*>f281`f=n:l81<7*;6981a7=oh7>51;294~"3>109i?5G4238L46f3-;i;7??9:&2b6<5ik1b>h<50;&72=<5m;10qo:kf;295?6=8r.?:54=e39K067<@8:j7)?m7;0:4>"6n:09h=5`2d094?"3>109i?5G3g:8?xd3n<0;6<4?:1y'03>=:l80D9=>;I33e>"6j>095=5+1g196g75}#h<4H512?M77i2.:n:4=919'5c5=:j?0c?k=:18'03>=:l807pl:0083>4<729q/8;652d08L1563A;;m6*>b681=5=#9o91>n84o3g1>5<#h<4;|`646<7280;6=u+47:96`4<@=9:7E??a:&2f2<5191/=k=52b68k7c5290/8;652d08?xd28k0;6<4?:1y'03>=:l80D9=>;I33e>"6n:09ho5$2d094?"3>109i?54}c724?6=93:1n;%3a3?4>82.:j>4=ag9l6`4=83.?:54=e398yg36;3:1=7>50z&72=<5m;1C8>?4H02b?!7e?382<6*>f281e`=h:l81<7*;6981a7=51;294~"3>109i?5G4238L46f3-;i;7??9:&2b6<5im1b>h<50;&72=<5m;10qo;>9;295?6=8r.?:54=e39K067<@8:j7)?m7;0:4>"6n:09h?5`2d094?"3>109i?5G3g:8?xd2;90;6<4?:1y'03>=:l80D9=>;I33e>"6j>095=5+1g196fet$54;>7c53A>8=6F>0`9'5g1=9930({e==o1<7?50;2x 10?2;o97E:<1:J24d=#9k=1==74$0d0>7g>3`8n>7>5$54;>7c532wi4o4?:083>5}#h<4H512?M77i2.?>k4{e0j0;6?4?:1y'03>=0<1/8=j511:8 4d028:27):=f;1e=>"4>o08j45G4238L44>3-;m=7;:4:ke`?6=,=<36<>6;:m1<0<72->=47<60:9~f1gf290:6=4?{%65"6n:09oo5f2d094?"3>109i?54}c6bf?6=:3:1"38m0:<55+1c5955?<,=8m6>h6;%15b?5a12B??<5G13;8 4`62=99307b<75;29 10?2;3;76sm3b;94?7=83:p(987:3g1?M2492B:6;%3e7?4d?2c9i?4?:%65mn:181>5<7s->=476:;%63`?7702.:n:4>089'07`=;o30(>8i:2d:?M2492B:>45+1g391026=4+47:96<6<3th8o;4?:083>5}#h<4H512?M77i2.?>k4{e;j=1<7<50;2x 10?21?0(9>k:02;?!7e?3;;56*;2g80b<=#;?l1?k74H512?M7512.:j<4:559jba<72->=47??9:9l6=3=83.?:54=9198yg5d<3:1=7>50z&72=<5m;1C8>?4H02b?!25n39m56*>b6824<=#9o91>n<4i3g1>5<#h<4;|`0g0<72;0;6=u+47:9<0=#<9n1==64$0`4>46>3->9j7=i9:&02c<4n01C8>?4H00:?!7a93?>86gid;29 10?28:276a=8483>!210382<65rs3d94?4|5==o6?k4=5:1>cb3?7hk;|q0g?6=:r7?;h4:0mh63;b;0f6>{tuQ4b9>21;3l38n>6s|4d83>6}Y>0mh63;f;0f6>{t=90;6>uQ519>2=<7;2938n>6s|5383>6}Y=;16:l4id:?66?4b:2wx9>4?:2y]16=:>k0mh63:3;0f6>{t==0;6>uQ559>2f87;2=38n>6s|5783>6}Y=?16:h4id:?62?4b:2wx9:4?:2y]12=:>o0mh63:7;0f6>{t=10;6>uQ599>3547;2i38n>6s|5c83>6}Y=k16;?4id:?6f?4b:2wx9n4?:2y]1f=:?:0mh63:c;0f6>{t=m0;6>uQ5e9>31h7;2m38n>6s|5g83>6}Y=o16;;4id:?6b?4b:2wx:=4?:2y]25=:?>0mh6390;0f6>{t>80;6>uQ609>3=;1:38n>6s|6283>6}:<>h1=852543950=:>:09485rs7694?5|5==i6<84=472>40<5?>1>5;4}r46>5<4s4>6?6:;|q52?6=;r7?;o4>8:?614<6027=:7<75:p22<72:q68:l5189>107=9016::4=849~w3>=839p199m:0c8903628k01;652978yv0>2908w0:8b;3a?83293;i7086:3:6?xu1i3:1?v3;7c82g>;2=80:o639a;0;1>{t>k0;6>u246`95a=:=<;1=i526c81<0=z{?i1<7=t=55a>4c<5107=:;16:k4=849~w26=838p199m:318926=:1?0q~9>:181820j38>709>:3:6?xu0:3:1>v3:50812>;0:38396s|7283>7}:=<;1>:527281<0=z{>>1<77><5>>1>5;4}r56>5<5s4?>=7<6;<56>7>23ty<:7>52z?614<5i27<:7<75:p32<72;q698?52c9>32<50<1v:650;1x911e2;i018;>:3a892>=:1?0q~96:180820j38o70;:1;0g?81>2;2>7p}8a;293~;3?m0;31m0mh63;8b8a6>;29h0i>63;e18a6>;2;80i>6s|8383>0}:<>h14?525439<7=:<>o14?5246a9<7=:<>k14?5rs9;94?5|V13015o5fe9><<<5m;1v5o50;0x911c213015o52978yv>c2908wS6k;<:f>7>2342o6?k=;|q;a?6=:r7?:?4=949><`{t190;6?u246f9f7<5m;1vho50;1x911c2k80199n:c089`g=:1?0q~h?:180[`734l:6kj4=g296`4=77k;<64a??c34>0g83>7}:<>o1==h4=55g>46a3ty:>>4?:3y>02c=9;90199k:000?xu6:h0;6?u246`957g<5==n6<4583>7}:<>o1=9:4=55g>43?3ty:944?:3y>02c=9<30199l:07:?xu6>90;6>u246`9536<5==n6<8?;<64g?7182wx=;?50;0x911b28<:70:8c;355>{t9?=1<740034>=838p199j:0`;?820l3;ho6s|1bf94?4|5==n65?50;0x91062oh018>l:gf8yv4?:3:1?v3:0b81<0=:<>h19=l4=55g>06e3ty9i54?:3y>02e=:l20199n:3g;?xu5n>0;6>uQ2g5896562;2>70{t:o21<7=t^3d;?854?383963=f981a7=z{;lj6=4<{_0ee>;4;m0948522gc96`453z\1bg=:;:o1>5;4=3da>7c53ty9jn4?:2y]6ce<5:>?6?6:;<0eg?4b:2wx>kj50;1xZ7`c349?m7<75:?1ba<5m;1v>>=:180[57:2788o4=849>754=:l80q~=?3;297~X48:16?8?5297896642;o97p}<0583>6}Y;9>01>;8:3:6?857<38n>6s|31794?5|V::>70=:d;0;1>;48<09i?5rs225>5<4sW9;:63<6281<0=:;9<1>h<4}r133?6=;rT8<:5237;96=3<5::<6?k=;|q04<<72;q68:l531;890362::27p}<0`83>6}:<>h1?=o4=55`>66>34>:23`?820k39:o6s|32394?4|5107=:o201>=8:gf8yv54l3:1>v3:5081bd=:;:n1ji5rs21f>5<5s4?>=7j6kj4}r17f?6=:r7>9<4<039>71d=nm1v>;>:181832939;?63<508e`>{t;<=1<7663349>;7hk;|q01a<72;q698?53178963c2on0q~=93;296~;2=808<;523719ba=z{:<26=4={<765?57?278:44id:p726=839p199m:253?832939<<63;7d8035=z{:=>6=4={<64f?50=27?;n4<719~w6d72909w0;:1;1a5>;3?h08n=5rs2`b>5<5s4?>=7=mb:?73d<4jh1v>j::181820j39o963;7`80`0=z{:o=6=4={<64f?5b>27?;l4v3;8581<0=:<1:1ji5rs5:2>5<5s4>3>7<75:?7<142;2>70:74;de?xu30<0;6>uQ497891>02;2>70:75;0f6>{t<1=1<77>234>3;7hk;|q7<<<72:qU8574=5:b>cb<5=226?k=;|q7;30h09485rs5:a>5<4sW>3n63;8b81<0=:<1h1>h<4}r6;`?6=:r7?;i4;8d9>0=e=nm1v97l:180[2>k27?5h4=849>0;3i80948524`296`4j=7>52z?73`<3i9168l?5fe9~w1g?2908wS:n8:?7e<2909w0:8d;6:b>;3i009485rs5c`>5<4sW>jo63;ae81<0=:h<4}r6b`?6=:r7?;l4;ab9>0db=nm1v9l9:180[2e>27?n:4=849>0g0=:l80q~:m7;296~;2=80?n;524c59ba=z{=hi6=4<{_6af>;3jj0948524c`96`4io7>52z?73f<3jh168om5fe9~w1dc2908wS:md:?7f`;3jl09485rs5a6>5<4sW>h963;c781<0=:h<4}r6`2?6=:r7?;i4;c49>0f0=nm1v9m6:180[2d127?oo4=849>0f?=:l80q~:lb;296~;3?l0?o4524b`9ba=z{=n96=4<{_6g6>;3l=0948524e096`4o87>52z?73a<3l;168i:5fe9~w1b>2908wS:k9:?7`d;3lh09485rs5fg>5<4sW>oh63;dd8e`>;3lm09i?5rs5ff>5<4s4?>=7:kc:?73`<3lj168ik52978yv2cn3:1?vP;dg9>0`6=:1?019ji:3g1?xu3m80;6?u246f90ae<5=o;6kj4}r6e1?6=;rT?j8524g:96=3<5=l>6?k=;|q7b=<72;q698?54g7891`?2on0q~:if;297~X3no169=>5297891`a2;o97p}:0183>7}:=<;18hk4=423>cb53z\644=:=981>5;4=422>7c53ty>107==;2018>=:gf8yv37;3:1?vP:029>15g=:1?018><:3g1?xu28h0;6?u25439155<5<:j6kj4}r73f?6=;rT>;29;09485250296`47>52z?614<299169<<5fe9~w0742908wS;>3:?650<50<169<=52d08yv36=3:1>v3:508656=:=8?1ji5rs434>5<4sW?:;63:198e`>;29>09i?5rs43;>5<4s4?>=7;>6:?73`<29?169<652978yv3613:1?vP:189>14g=:1?018?6:3g1?xu29k0;6?u246f9140<5<;j6kj4}r704?6=;rT>?=5252396=3<5<9;6?k=;|q677<72;q68:j553d890562on0q~;;5;297~X2<<169985297890222;o97p}:4783>7}:<>i199:4=465>cb53z\602=:==21ji5255596`452z?73a<2<=1699652978yv33m3:1?vP:4d9>11`=nm1699k52d08yv33n3:19v3;7c860a=:=<;199j4=55f>02c34>h<4=9a9ba=z{=kj6=4<{_6be>;3ih09i?524``9ba=z{:i26=4<{_1`=>;4k009i?523bc9ba=z{:i=6=4<{_1`2>;4k?09i?523b59ba=z{:i?6=4<{_1`0>;4k=09i?523b79ba=z{1i1<7?t=9a96=3jn7>51z?7eg<50<1v>mn:18285di38396s|3b594?7|5:i<6?6:;|q0g0<728q6?n;52978yxh6j981<7:tH512?xh6j991<7:tH512?xh6j9>1<7=tH512?xh6j9?1<7?tH512?L4b:3;p=7sUf8824`42twe=o>9:182M2492C9i?4>{08~^c?=9r;m?7srn0`33?6=9rB??<5F2d095~7=uSl26f28~yk7e810;67?t1;Yb<<6s8l86psa1c2b>5<6sA>8=6G=e3824:|Xe=?7|9o91qp`>b1f94?7|@=9:7D51zJ774=N:l81=v?5}[d:>4}6n:0vqc?m1183>4}O<:;0E?k=:0y2>x\a13;p=k=5}|l2f47=83;pD9=>;H0f6?7|93wQj44>{0d0>x{i9k;96=4>{I605>O5m;0:w<4rZg;95~7a;3wvb3;295~N3;81B>h<51z39y_`>28q:j>4r}o3a51<728qC8>?4I3g1>4}62tPm57?t1g19yxh6j8?1<7?tH512?L4b:3;p=7sUf8824`42twe=o?9:182M2492C9i?4>{08~^c?=9r;m?7srn0`23?6=9rB??<5F2d095~7=uSl26f28~yk7e910;67?t1;Yb<<6s8l86psa1c3b>5<6sA>8=6G=e3824=4?:2yK067<4?:2yK067?4?:2yK067>4?:2yK06794?:2yK06784?:2yK067;4?:3yK067:4?:2yK06754?:2yK06744?:3yK067l4?:3yK067o4?:3yK067n4?:3yK067i4?:3yK067h4?:3yK067k4?:3yK0674?:8yK067:|Xe=?7|9o91qp`>b2494?7bsA>8=6sa1c14>5<6sA>8=6G=e3827:|Xe=?7|9o91qp`>b2a94?1|@=9:7p`>b2f94?7|@=9:7D3:18vF;309~j4d3?3:19vF;309~j4d303:1;vF;309~j4d313:19vF;309~j4d3i3:1;vF;309~j4d3j3:1?vF;309~j4d3k3:19vF;309~j4d3l3:19vF;309~j4d3m3:1=vF;309J6`4=9r81qWh6:0y2b6b4294?7|@=9:7D>7>51zJ774=N:l81=v<5}[d:>4}6n:0vqc?m5283>4}O<:;0E?k=:0y1>x\a13;p=k=5}|l2f02=83;pD9=>;H0f6?7|:3wQj44>{0d0>x{i9k?>6=4>{I605>O5m;0:w?4rZg;95~7a;3wvbh<51z09y_`>28q:j>4r}o3a12<728qC8>?4I3g1>4}52tPm57?t1g19yxh6j<21<7?tH512?L4b:3;p>7sUf8824`42twe=o;6:182M2492C9i?4>{38~^c?=9r;m?7srn0`6e?6=;rB??<5rn0`6f?6=f28~yk7e?90;67?t1;Yb<<6s8l86psa1c55>5<1sA>8=6sa1c54>5<5sA>8=6G=e3826b6a94?5|@=9:7p`>b6f94?7|@=9:7D54zJ774=zf8h3=7>51zJ774=N:l81=v<5}[d:>4}6n:0vqc?m8383>1}O<:;0qc?m8283>4}O<:;0E?k=:0y1>x\a13;p=k=5}|l2f=2=83>pD9=>;|l2f=3=83;pD9=>;H0f6?7|93wQj44>{0d0>x{i9k2=6=4={I605>{i9k2<6=4>{I605>O5m;0:w?4rZg;95~7a;3wvbh<51z09y_`>28q:j>4r}o3a?4}o3a?4I3g1>4}52tPm57?t1g19yxh6j1i1<7{08~^c?=9r;m?7srn0`:4?6=;rB??<5rn0`:5?6=:rB??<5F2d095~5=uSl26f28~yk7e1;0;68uG4238yk7e1:0;67?t2;Yb<<6s8l86psa1c;5>5<5sA>8=6sa1c;4>5<6sA>8=6G=e382703:1>vF;309~j4d>13:1=vF;309J6`4=9r81qWh6:0y2b6u<:080>x\a13;p=k=5}|l2f;|l2fpD9=>;|l2f;H0f6?7|:3wQj44>{0d0>x{i9k3m6=4={I605>{i9kk;6=4>{I605>O5m;0:w?4rZg;95~7a;3wvbh<51z39y_`>28q:j>4r}o3ae6<72:qC8>?4}o3ae1<72;qC8>?4I3g1>4}42tPm57?t1g19yxh6jh?1<7;tH512?xh6jh<1<7{38~^c?=9r;m?7srn0`b=?6=f28~yk7eik0;6?uG4238yk7eij0;651zJ774=zf8l96=4>{I605>{i9o91<7?tH512?xh6n=0;6;|l2b=<728qC8>?4}o3e=?6=9rB??<5rn0db>5<6sA>8=6sa1g`94?7|@=9:7p`>fe83>7}O<:;0qc?ie;297~N3;81vb=<50;3xL1563td9<>4?:0yK06751zJ774=zf;:=6=4>{I605>{i:9=1<7?tH512?xh5810;6;|l14a<728qC8>?4}o03a?6=9rB??<5rn333>5<6sA>8=6sa20394?7|@=9:7p`=1383>4}O<:;0qc<>3;295~N3;81vb??;:182M2492we><;50;3xL1563td9=;4?:0yK06751zJ774=zf;;36=4>{I605>{i:831<7?tH512?xh59h0;6;|l15`<728qC8>?4}o014?6=9rB??<5rn302>5<6sA>8=6sa23094?7|@=9:7p`=2283>4}O<:;0qc<=4;295~N3;81vb?<::182M2492we>?850;3xL1563td9>:4?:0yK06751zJ774=zf;826=4>{I605>{i:;k1<7?tH512?xh5:k0;6;|l16c<728qC8>?4}o004?6=9rB??<5rn312>5<6sA>8=6sa22094?7|@=9:7p`=3283>4}O<:;0qc<<4;295~N3;81vb?=::182M2492we>>850;3xL1563td9?:4?:3yK06752zJ774=zf;926=4>{I605>{i::k1<7?tH512?xh5;k0;6;|l500<728qC8>?4}o472?6=9rB??<5rn764>5<6sA>8=6sa65:94?7|@=9:7p`94883>4}O<:;0qc8;a;295~N3;81vb;:l:187M2492we:9j50;0xL1563td=8h4?:3yK06751zJ774=zf??>6=4>{I605>{i><<1<7?tH512?xh1=>0;6vF;309~j3072909wE:<1:m237=838pD9=>;|l527<728qC8>?4}o457?6=9rB??<5rn747>5<6sA>8=6sa67794?7|@=9:7p`96783>4}O<:;0qc897;295~N3;81vb;87:182M2492we:;o50;1xL1563td=:o4?:0yK06751zJ774=zf?{I605>{i>?o1<7?tH512?xh1>o0;6;|l536<72:qC8>?4}o440?6==rB??<5rn756>5<4sA>8=6sa66494?5|@=9:7p`97683>4}O<:;0qc888;295~N3;81vb;96:182M2492we::o50;3xL1563td=;o4?:0yK06751zJ774=zf?=o6=4>{I605>{i>>o1<7?tH512?xh1?o0;66290:wE:<1:m2=4=83;pD9=>;|l5<6<728qC8>?4}o4;0?6=9rB??<5rn7:6>5<6sA>8=6sa69494?7|@=9:7p`98683>4}O<:;0qc878;295~N3;81vb;66:182M2492we:5o50;3xL1563td=4o4?:0yK06751zJ774=zf?2o6=4>{I605>{i>1o1<7?tH512?xh10o0;683:1=vF;309~j3?6290:wE:<1:m2<4=83;pD9=>;|l5=6<728qC8>?4}o4:0?6=9rB??<5rn7;6>5<6sA>8=6sa68494?7|@=9:7p`99983>6}O<:;0qc869;291~N3;81vb;7n:186M2492we:4l50;1xL1563td=5n4?:2yK06751zJ774=zf?3n6=4>{I605>{i>0l1<7?tH512?xh1i90;6>uG4238yk0f93:19vF;309~j3g52908wE:<1:m2d5=83;pD9=>;|l5e1<728qC8>?4}o4b1?6=9rB??<5rn7c5>5<6sA>8=6sa6`594?7|@=9:7p`9a983>4}O<:;0qc8n9;293~N3;81vb;on:180M2492we:ll50;1xL1563td=mn4?:2yK06751zJ774=zf?kn6=4>{I605>{i>hl1<7?tH512?xh1j90;6?uG4238yk0e93:1>vF;309~j3d52909wE:<1:m2g5=83;pD9=>;|l5f1<728qC8>?4}o4a1?6=9rB??<5rn7`5>5<6sA>8=6sa6c594?7|@=9:7p`9b983>4}O<:;0qc8m9;295~N3;81vb;ln:182M2492we:oh50;1xL1563td=o?4?:0yK06751zJ774=zf?i?6=4>{I605>{i>j?1<7?tH512?xh1k>0;6;|l5gf<728qC8>?4}o4``?6=9rB??<5rn7f1>5<6sA>8=6sa6e194?7|@=9:7p`9d583>4}O<:;0qc8k5;295~N3;81vbl7n:181M2492wem4j50;1xL1563tdj5h4?:2yK06753zJ774=zfhk?6=4<{I605>{iih<1<7=tH512?xhfi>0;6290:wE:<1:medg=83;pD9=>;|lbeg<728qC8>?4}ocbg?6=9rB??<5rn`cg>5<6sA>8=6saa`g94?7|@=9:7p`nag83>4}O<:;0qcom0;295~N3;81vbll>:182M2492wemo<50;3xL1563tdjn>4?:0yK06751zJ774=zfhh>6=4={I605>{iik<1<7;|lbff<728qC8>?4}ocab?6=;rB??<5rn`a3>5<6sA>8=6saab394?7|@=9:7p`nc383>4}O<:;0qcol4;295~N3;81vblm::182M2492wemn850;3xL1563tdjo:4?:2yK06751zJ774=zfhi26=4>{I605>{iijk1<7?tH512?xhfkk0;6;|lbgc<728qC8>?4}ocg4?6=9rB??<5rn`f2>5<6sA>8=6saae094?7|@=9:7p`nd283>4}O<:;0qcok4;295~N3;81vblj::181M2492wemi850;3xL1563tdjh:4?:0yK06751zJ774=zfhn26=4>{I605>{iimk1<7?tH512?xhflk0;6;|lba5<728qC8>?4}ocf5?6=9rB??<5rn`g1>5<6sA>8=6saad194?7|@=9:7p`ne583>4}O<:;0qcoj5;295~N3;81vblk9:182M2492wemh950;3xL1563tdji54?:0yK06751zJ774=zfhoj6=4>{I605>{iilh1<7?tH512?xhfmj0;6?uG4238ykgbl3:1>vF;309~jdca2908wE:<1:mec6=83;pD9=>;|lbb4<728qC8>?4}oce6?6=9rB??<5rn`d0>5<6sA>8=6saag694?7|@=9:7p`nf483>4}O<:;0qcoi6;295~N3;81vblh8:182M2492wemk650;3xL1563tdjj44?:0yK06751zJ774=zfhli6=4>{I605>{iioi1<7?tH512?xhfnm0;6;|la47<72;qC8>?4}o`37?6=:rB??<5rnc27>5<6sA>8=6sab1794?7|@=9:7p`m0783>4}O<:;0qcl?7;295~N3;81vbo>7:182M2492wen=750;3xL1563tdi53zJ774=zfk:h6=4<{I605>{ij9n1<7?tH512?xhe8l0;68uG4238ykd7n3:1?vF;309~jg77290:wE:<1:mf47=83;pD9=>;|la57<728qC8>?4}o`27?6=9rB??<5rnc37>5<6sA>8=6sab0794?7|@=9:7p`m1783>4}O<:;0qcl>7;295~N3;81vbo?7:182M2492wen<750;3xL1563tdi=n4?:3yK06752zJ774=zfk;n6=4>{I605>{ij8l1<7?tH512?xhe:80;6;|la60<728qC8>?4}o`g0?6=;rB??<5rncf6>5<4sA>8=6sabe494?5|@=9:7p`md983>4}O<:;0qclj2;295~N3;81vbok<:182M2492wenh:50;3xL1563tdii84?:0yK06751zJ774=zfko<6=4>{I605>{ijl21<7?tH512?xhemk0;6;|lab5<728qC8>?4}o`e5?6=;rB??<5rn03a4?6=;rB??<5rn03a5?6=9rB??<5rn03a6?6=9rB??<5rn03a7?6=9rB??<5rn03a0?6=9rB??<5rn03a1?6=9rB??<5rn03a2?6=9rB??<5rn03a3?6=9rB??<5rn03a?4}o32g=<72:qC8>?4}o32g<<728qC8>?4}o32gd<728qC8>?4}o32gg<728qC8>?4}o32gf<728qC8>?4}o32ga<728qC8>?4}o32g`<72=qC8>?4}o32gc<72:qC8>?4}o32`5<728qC8>?4}o32`4<72=qC8>?4}o32`7<72:qC8>?4}o32`6<728qC8>?4}o32`1<72=qC8>?4}o32`0<72:qC8>?4}o32`3<728qC8>?4}o32`2<72;qC8>?4}o32`=<72;qC8>?4}o32`<<728qC8>?4}o32`d<728qC8>?4}o32`g<728qC8>?4}o32`f<728qC8>?4}o32`a<728qC8>?4}o32``<728qC8>?4}o32`c<728qC8>?4}o32a5<728qC8>?4}o32a4<728qC8>?4}o32a7<728qC8>?4}o32a6<728qC8>?4}o32a1<72;qC8>?4}o32a0<72;qC8>?4}o32a3<72;qC8>?4}o32a2<72:qC8>?4}o32a<<72:qC8>?4}o32ad<728qC8>?4}o32ag<728qC8>?4}o32af<728qC8>?4}o32aa<728qC8>?4}o32a`<728qC8>?4}o32ac<728qC8>?4}o32b5<728qC8>?4}o32b4<728qC8>?4}o32b7<728qC8>?4}o32b6<728qC8>?4}o32b1<728qC8>?4}o32b0<728qC8>?4}o32b3<728qC8>?4}o32b2<728qC8>?4}o32b=<728qC8>?4}o32b<<728qC8>?4}o32bg<72:qC8>?4}o32ba<72:qC8>?4}o32b`<728qC8>?4}o32bc<728qC8>?4}o3145<728qC8>?4}o3144<728qC8>?4}o3147<728qC8>?4}o3146<728qC8>?4}o3141<728qC8>?4}o3142<72:qC8>?4}o314=<728qC8>?4}o314<<728qC8>?4}o314d<728qC8>?4}o314g<72:qC8>?4}o314f<728qC8>?4}o314a<728qC8>?4}o314`<728qC8>?4}o314c<72:qC8>?4}o3155<728qC8>?4}o3154<728qC8>?4}o3157<728qC8>?4}o3156<728qC8>?4}o3151<728qC8>?4}o3150<728qC8>?4}o3153<728qC8>?4}o3152<728qC8>?4}o315=<728qC8>?4}o315<<72:qC8>?4}o315d<72?4}o315g<72:qC8>?4}o315f<728qC8>?4}o315a<728qC8>?4}o315`<72:qC8>?4}o315c<72:qC8>?4}o3165<728qC8>?4}o3164<728qC8>?4}o3167<728qC8>?4}o3166<728qC8>?4}o3161<728qC8>?4}o3160<72:qC8>?4}o3163<72?4}o3162<72?4}o316=<72:qC8>?4}o316<<728qC8>?4}o316d<728qC8>?4}o316g<728qC8>?4}o316f<728qC8>?4}o316a<72:qC8>?4}o316`<72:qC8>?4}o316c<728qC8>?4}o3175<728qC8>?4}o3174<728qC8>?4}o3177<728qC8>?4}o3170<72:qC8>?4}o3173<72;qC8>?4}o3172<72:qC8>?4}o317=<72:qC8>?4}o317d<720qC8>?4}o317g<72:qC8>?4}o317f<728qC8>?4}o317a<728qC8>?4}o317`<728qC8>?4}o317c<728qC8>?4}o3105<728qC8>?4}o3104<728qC8>?4}o3107<728qC8>?4}o3106<728qC8>?4}o3101<728qC8>?4}o310=<72:qC8>?4}o310d<728qC8>?4}o310g<728qC8>?4}o310f<728qC8>?4}o310`<728qC8>?4}o310c<728qC8>?4}o3115<728qC8>?4}o3114<728qC8>?4}o3117<728qC8>?4}o3116<728qC8>?4}o3111<728qC8>?4}o3110<728qC8>?4}o3113<728qC8>?4}o3112<72:qC8>?4}o311d<728qC8>?4}o311g<728qC8>?4}o311f<728qC8>?4}o311a<728qC8>?4}o311`<728qC8>?4}o311c<728qC8>?4}o3125<728qC8>?4}o3124<728qC8>?4}o3127<728qC8>?4}o3126<72:qC8>?4}o3120<72;qC8>?4}o3123<72;qC8>?4}o3122<728qC8>?4}o312=<728qC8>?4}o312<<728qC8>?4}o312d<728qC8>?4}o312g<728qC8>?4}o312f<728qC8>?4}o312a<728qC8>?4}o312`<728qC8>?4}o312c<728qC8>?4}o3135<728qC8>?4}o3134<728qC8>?4}o3137<728qC8>?4}o3;3`<72:qC8>?4}o3;3c<728qC8>?4}o3;<5<728qC8>?4}o3;<4<728qC8>?4}o3;<7<728qC8>?4}o3;<6<728qC8>?4}o3;<2<72>qC8>?4}o3;<=<728qC8>?4}o3;<<<72?4}o3;?4}o3;?4}o3;?4}o3;?4}o3;<`<728qC8>?4}o3;?4}o3;=5<72:qC8>?4}o3;=4<728qC8>?4}o3;=7<728qC8>?4}o3;=6<728qC8>?4}o3;=1<728qC8>?4}o3;=0<728qC8>?4}o3;=3<72:qC8>?4}o3;=2<72:qC8>?4}o3;==<72:qC8>?4}o3;=<<728qC8>?4}o3;=d<728qC8>?4}o3;=g<728qC8>?4}o3;=f<728qC8>?4}o3;=a<728qC8>?4}o3;=`<72:qC8>?4}o3;=c<72;qC8>?4}o3;e5<728qC8>?4}o3;e4<728qC8>?4}o3;e7<728qC8>?4}o3;e6<728qC8>?4}o3;e1<728qC8>?4}o3;e0<728qC8>?4}o3;e3<72:qC8>?4}o3;e2<72:qC8>?4}o3;e=<72;qC8>?4}o3;e<<728qC8>?4}o3;ed<72kqC8>?4}o3;eg<72:qC8>?4}o3;ef<72;qC8>?4}o3;ea<728qC8>?4}o3;e`<728qC8>?4}o3;ec<728qC8>?4}o3;f5<728qC8>?4}o3;f4<728qC8>?4}o3;f7<728qC8>?4}o3;f6<728qC8>?4}o3;f1<728qC8>?4}o3;f0<728qC8>?4}o3;f3<728qC8>?4}o3;f2<728qC8>?4}o3;f=<728qC8>?4}o3;f<<728qC8>?4}o3;fd<728qC8>?4}o3;fg<728qC8>?4}o3;ff<728qC8>?4}o3;fa<72;qC8>?4}o3;f`<728qC8>?4}o3;fc<728qC8>?4}o3;g5<728qC8>?4}o3;g4<728qC8>?4}o3;g7<728qC8>?4}o3;g6<728qC8>?4}o3;g1<728qC8>?4}o3;g0<72:qC8>?4}o3;g3<728qC8>?4}o3;g2<728qC8>?4}o3;g=<728qC8>?4}o3;g<<728qC8>?4}o3;gd<728qC8>?4}o3;gg<72:qC8>?4}o3;gf<72;qC8>?4}o3;ga<728qC8>?4}o3;g`<72:qC8>?4}o3;gc<72;qC8>?4}o3;`5<728qC8>?4}o3;`4<72:qC8>?4}o3;`7<72;qC8>?4}o3;`6<728qC8>?4}o3;`1<72:qC8>?4}o3;`0<72;qC8>?4}o3;`3<728qC8>?4}o3;`2<72:qC8>?4}o3;`=<72;qC8>?4}o3;`<<728qC8>?4}o3;`d<72:qC8>?4}o3;`g<72;qC8>?4}o3;`f<728qC8>?4}o3;`a<72:qC8>?4}o3;``<72;qC8>?4}o3;`c<728qC8>?4}o3;a5<72:qC8>?4}o3;a4<72;qC8>?4}o3;a7<728qC8>?4}o3;a6<72;qC8>?4}o3;a1<72;qC8>?4}o3;a0<72;qC8>?4}o3;a3<72;qC8>?4}o3;a2<72;qC8>?4}o3;a=<72;qC8>?4}o3;a<<72;qC8>?4}o3;ad<72;qC8>?4}o3;ag<72;qC8>?4}o3;af<72;qC8>?4}o3;aa<7289pD9=>;|l2<``=839pD9=>;|l2;|l2;|l2;|l2;|l2;|l2;|l2;|l2;|l2=83;pD9=>;|l2;|l2;|l2;|l2;|l2;|l2=56=83;pD9=>;|l2=57=83;pD9=>;|l2=54=83;pD9=>;|l2=55=838pD9=>;|l2=53=838pD9=>;|l2=50=83;pD9=>;|l2=51=83;pD9=>;|l2=5>=83;pD9=>;|l2=5?=83;pD9=>;|l2=5e=839pD9=>;|l2=5b=838pD9=>;|l2=5c=83;pD9=>;|l2=5`=83;pD9=>;|l2=46=839pD9=>;|l2=47=838pD9=>;|l2=44=83;pD9=>;|l2=45=839pD9=>;|l2=42=838pD9=>;|l2=43=83;pD9=>;|l2=40=839pD9=>;|l2=41=838pD9=>;|l2=4>=83;pD9=>;|l2=4?=838pD9=>;|l2=4g=838pD9=>;|l2=4d=838pD9=>;|l2=4e=838pD9=>;|l2=4b=838pD9=>;|l2=4c=83;pD9=>;|l2=4`=83>pD9=>;|l2=76=839pD9=>;|l2=77=83;pD9=>;|l2=74=83>pD9=>;|l2=75=839pD9=>;|l2=72=83>pD9=>;|l2=73=839pD9=>;|l2=70=83>pD9=>;|l2=71=839pD9=>;|l2=7>=838pD9=>;|l2=7?=838pD9=>;|l2=7g=838pD9=>;|l2=7d=838pD9=>;|l2=7e=838pD9=>;|l2=7b=838pD9=>;|l2=7c=838pD9=>;|l2=7`=838pD9=>;|l2=66=838pD9=>;|l2=67=838pD9=>;|l2=64=838pD9=>;|l2=65=838pD9=>;|l2=62=838pD9=>;|l2=63=838pD9=>;|l2=60=838pD9=>;|l2=61=838pD9=>;|l2=6?=839pD9=>;|l2=6g=83;pD9=>;|l2=6d=83;pD9=>;|l2=6e=83;pD9=>;|l2=6b=83;pD9=>;|l2=6c=83;pD9=>;|l2=6`=83;pD9=>;|l2=17=839pD9=>;|l:i?50;1xyk4dj3:1=vsa2g294?5|ug8nm7>51zm75`=839pqc=?9;295~{i;;o1<7=t}o1151zm7=d=839pqc=75;295~{i;hk1<7=t}o1b0?6=9rwe?n750;1xyk5d;3:1=vsa3d:94?5|ug9n>7>51zm051=839pqc:?1;295~{i<;<1<7=t}o614?6=9rwe89;50;1xyk24n3:1=vsa47694?5|ug>>i7>51zm352=83;pqc9=3;297~{i?8n1<7?t}o5053zm3=3=83;pqc9n0;295~{i?kl1<7=t}o5a=?6=9rwe;ik50;1xyk1c03:1=vsa7gf94?5|ug=m;7>51zm<4e=839pqc6>6;295~{i0:h1<7=t}o:01?6=9rwe48o50;1xyk>2<3:1=vsa86;94?5|ug251zm<=c=83;pqc6n3;295~{i0k21<7?t}o:g3?6=;rwe4i?50;3xyk>a>3:1?vsa8g294?7|ugh>97>53zmf1`=83;pqcl84;297~{ij?o1<7?t}o`:1?6=:rwen5k50;3xyke6n3:1=vsac2g94?5|ugi847>51zmg0b=839pqcm:7;295~{ik>i1<7=t}oa42?6=9rweo4l50;1xyke>=3:1=vsaccc94?5|ugii87>51zmga?=839pqcmk3;295~{iko21<7=t}oae6?6=9rweh<950;1xykb693:1=vsad2494?5|ugn8<7>51zm`03=839pqcj;f;295~{il>>1<7=t}of5a?6=9rweh4=50;1xykb?l3:1=vsadc094?5|ugnjo7>51zm`a7=839pqcjlb;295~{ilo:1<7=t}offe?6=9rwei=h50;1xykc713:1=vsae2294?4|ugo957>51zma07=838pqck;a;295~{im>:1<7=t}og5e?6=9rwei5h50;1xykc?13:1=vsae`g94?5|ugoj47>51zmafb=839pqckl7;295~{imli1<7=t}ogf2?6=9rwej=l50;1xyk`7=3:1=vsaf3c94?5|ugl987>51zmb1?=839pqch;3;295~{i9;k86=4<{|l266}zf88io7>51zm57c62908wp`>2e`94?7|ug;8<=4?:2y~j44ai3:1=vsa1236>5<6std:??o50;3xyk74;o0;686:182xh6;>o1<7?t}o30=6<728qvb<=n8;295~{i9:ho6=4>{|l27a4=83;pqc?4}zf89mo7>51zm5176290:wp`>43494?7|ug;?884?:2y~j424n3:1=vsa1547>5<4std:88k50;3xyk730:0;6>urn064`?6=9rwe=9o=:180xh6<0i1<7?t}o37g4<72:qvb<:mb;295~{i9=o;6=4<{|l20ag=83;pqc?;fg83>6}zf8>m57>51zm507b2908wp`>50:94?7|ug;>?>4?:0y~j43303:1=vsa147g>5<6std:9:<50;3xyk72180;6>urn07;f?6=9rwe=8l?:180xh6=hk1<7?t}o3ae`<728qQj44>{0d0>xN50=1vb{i9kh;6=4>{[d:>4}6n:0vD?6;;|l2fg7=83;pVk751z3e7?{O:1>0qc?mb383>4}]n00:w51zm5gd3290:wp`>bc794?7|ug;in;4?:0y~j4de?3:1=vsr}|BCG~7ak00hm4673d4~DED|8tJK\vsO@ \ No newline at end of file +$4715=23-;B8<;4$0K770=#9@?>96*>N506?!7I<=?0(<@;8008 Wcqazcdb7.>.37:$!><.V;B8 X9G>9S964&^3M01Y302,T=C:7_53844=69;1:>7AZTQWW>WG;980;2<>4178JJUSS2H69?76111915?OIX\^1M1<>:0<20>442@D[YY4N_GQA875=97l0?7GAPTV9WR:4284>7L2>0?78E9766<1J0<<15:C?56823H6:83;4A=36:0=F48<596O316<6?D:607?0M1?6>59B84823H69<394A=02>4823H69=3;4A=01:2=F4;9143;4A=00:1=F4;4?7L2<>59B81833H6>295N<7<7?D:06=1J050;;@>::1=FDL:>7LBJ0L78EIC7[j1J@H>Pmtz3456c3HFNGKM9Ufyu>?016g?DJB8Vg~t=>?04f8EIC7Wds<=>?6e9BH@6Xe|r;<=>8d:COA5Yj}q:;<=6k;@NF4Zkrp9:;<4:4AMG2g>GKM8UTc>?00f8EIC6WVey<=>>129BJA2GIL1>0M^|id:CPvcYDdbUBB[?8;@QqbZH7k2KX~kQaou2344b19:C\BVD;98427LQISC>26;?89B[CUE48>556OPFR@?508>3HUM_O2>6?;8EZ@TJ5;<245N_GQA84>912KTJ^L318<;?DYA[K6:245N_GQA876912KTJ^L320<:?DYA[K69>3l4A^DPF94428427LQISC>17;>GXNZH79364A^DPF90902KTJ^L37?:8EZ@TJ52546OPFR@?=;bGXNZHT=?QFBTDg?DYA[KU:?RGMUGf8EZ@TJV;?SDLZFe9B[CUEW8?TEO[Id:C\BVDX9?UBNXHk;@]EWGY6?VCIYKj4A^DPFZ7?W@H^Ji5N_GQA[4?XAK_Mo6OPFR@\5ZOE]On0MRH\B^03[LDRNm1JSK]M_33\MGSAl2KTJ^LP23]JFP@c3HUM_OQ=3^KAQCeGXNZHT?RGMUGa8EZ@TJV>TEO[Ic:C\BVDX=VCIYKm4A^DPFZ0XAK_Mo6OPFR@\3ZOE]Oi0MRH\B^:\MGSAk2KTJ^LP9^KAQC0=f:ClqqIBWds<=>?3g9BkprHMVg~t=>?05d8EjssGLUfyu>?017e?Dir|FOTaxv?01250>DFK]?0NLM[149AEFR5;2H^J<>4BTKO@ZRFZNO_M_MG8:@VWZOINF;0O95L17O:?F71EVCIYK84C32NAKd5LAR`8GDUXe|r;<=>l;BCP[hs89:;=n5LAR]nq}67898h7NO\_lw{4567;j1HM^Qbuy23452d3JKXS`{w01231f=DIZUfyu>?014`?FGTWds<=>?7b9@EVYj}q:;<=6=;BG0?FJL;2IG^>5LNU;8GJKJA]^NH:5LRDCWAA4Bf|h6:2;5KotvLAa=Cg|~DIRa}0123a>Bh}}ENSb|?0122a>Bh}}ENSb|?0121a>Bh}}ENSb|?0120a>Bh}}ENSb|?0127a>Bh}}ENSb|?0126a>Bh}}ENSb|?01255>C23LLj`a84EGcoh403:0=B[5;596K\<3<6?@U;;7>0I^Q?e:GP[5Y7Wge<=>?f:GP[5Y7Wge<=>?1e9FWZ6XWhdo<=>?e:GP[5YXign;<=>>e:GP[5YXign;<=>=e:GP[5YXign;<=>;e:GP[5YXign;<=>:e:GP[5YXign;<=>94:GP[4b0I^Qj;DQ\7ZYffm:;<=?j;DQ\7ZYffm:;<=<>f:GP[HgclVUjhi>?01]N|jtXZly~`y2>>0d8AVYJimnTSljk0123[H~hzVXnxb{<3<2b>CTWDkohRQnde2345YJpfxT^h}zlu>0:4??00c8AVYJimnTSljk0123[Zgil9:;<?0^]bja6788;j7H]PM`fg[Zgcl9:;_np34565m2OXS\Q>_np34564m2OXS\Q>_np34563m2OXS\Q>_np34562m2OXS\Q>_np34561m2OXS\Q>_np34560m2OXS\Q>_np3456?m2OXS\Q>_np3456>m2OXSRokd1234979m2OXSRokd1234949m2OXSRokd123495902OS\L@KEE32?@^WIGNNHRLLD^DJH@733LR[MCJJD^ALJVRUI@D__Cu484?7H|P059FvZ733OIGG45ICMI\MGSA:2LO?6HKC59E@FC33ONH\>5IDQ08BC>;F18CKB63@80E=<4I008M74>0ELM\5:KBGV733@H^J?5FN99JJCCUZLN27D@FTRVBP@5h5FO@AW[hs89:;?h5FO@AW[hs89:;8h5FO@AW[hs89:;9h5FO@AW[hs89:;:h5FO@AW[hs89:;;h5FO@AW[hs89:;4h5FO@AW[hs89:;5;5FO@AWv3=NGKOY^h5FOCGQVZhh|9:;=k5FOCGQVZhh|9:;=<:4IN@N0>OHJZ<0EBLZFD48MJEHEY>0EBM\5:KLGV713@EH_<>9;HM@W4713@EH_<<9;HM@W4523@EH_?;4INAP70=NGJY?96G@CR76?LID[??0EBM\749JKFU?=2CDO^79;HMGKKCc3@EOCCKPos2345cl;HMO4Zkrp9:;h6G@L1]nq}67898o7DAC0^ov|5678:n0EBB?_lw{4567Pmtz34562l2CD@=Qbuy23450c3@EGOHD8i0EBB>_omw4566l2CD@?0031?LIIX[ojhtQPos234546:2CDB]\jae{\[jt789:8=?5FOORQadb~WVey<=>?4008MJHWZlkouRQ`r123400>0:KLVATBYVkeh=>?0033?LIUL[OZSl`k0123646?013254=NG[NYI\Qbuy234575981BC_J]EP]nq}6789;8=<5FOSFQATYj}q:;<=?;119JKWBUMXUfyu>?01024>OHZMXN]Rczx12346773@EYH_K^_lw{4567<8:0EB\KRDS\ip~789:>==5FOSFQATYj}q:;<=8>0:KLVATBYVg~t=>?0633?LIUL[OZS`{w0123<4602CD^I\JQs48MJTBY{=0EB\JQs34?LIUMXx9;6G@RDSq70=NG[]:h6G@RV3\ekb789:n7DA]W0]bja6789;n7DA]W0]bja67898n7DA]W0]bja67899n7DA]W0]bja6789>n7DA]W0]bja6789?n7DA]W0]bja6789k;HMP4Zgil9:;<i5FOR2\ekb789:8o6G@S1]nq}6789n0EB]?_lw{45679l1BC^>Pmtz345668m1BC^>Pmtz34565l2CD_=Qbuy23455c3@EXOH[9Ufyu>?015g?LIT8Vg~t=>?09f8MJU7Wds<=>?9b9JKVYA[DUMJi?l;HMP[CUJWOLo>k5FOR]EWHYANm8&Ec??;HMP[CUJWOLo> Ga1028MJUXNZGTJKj=-Hl155=NGZUM_@QIFe0.Mk5d3@EXSK]B_GDg7c=NGZUM_@QIFe1.Mk773@EXSK]B_GDg7(Oi98:0EB]PFRO\BCb4%@d9==5FOR]EWHYANm9&Ec=>0:KLWZ@TEVLMh>#Fn533?LITWOYFSKHk3,Km146OH[VLXARHId7d8MJUXNZGTJKj9-Hl24>OH[VLXARHId7/Jj4773@EXSK]B_GDg2(Oi:8:0EB]PFRO\BCb1%@d8==5FOR]EWHYANm<&Ec:>0:KLWZ@TEVLMh;#Fn4a8MJUXNZGTJKj8f:KLWZ@TEVLMh:#Fn028MJUXNZGTJKj8-Hl255=NGZUM_@QIFe5.Mk4682CD_RH\M^DE`2+Nf:;;7DA\_GQN[C@c?$Ce8<>4INQ\BVKXNOnn7DAZ0^ov|56788?o7DAZ0^ov|5678;n0EB[?_lw{4567;m1BCX>Pmtz34563l2CDY=Qbuy23453c3@E^OH]9Ufyu>?01;7?LIR9j1BCX?Pnnv3457c3@E^=R``t123543;HMTVWCVWds<=>?1032?LIPZ[OZS`{w012357763@E\^_K^_lw{45679:;:7DAXRSGR[hs89:;=9?>;HMTVWCVWds<=>?1433?LIPZ[OZS`{w0123646:<6G@WSPFUZkrp9:;<8??;HMTVWCVWds<=>?6028MJQUZL[Taxv?012455=NG^XYI\Qbuy2345>682CD[_\JQ^ov|5678020EBY]RDSq0>OT\J?0E^ZL1c9JWZ@TEVLMhh5FS^DPIZ@Al;'Bbk5FS^DPIZ@Al;'Bb Ga4g9JWZ@TEVLMh?#Fn4`8MVYA[DUDyy>l;HQ\BVKXG|~;=n5FS^DPIZIr|98o7D]Pclr\at6789o0GHK__IKFVDTD@P=0@DL]AS[5?IIFLLN87AAL7:NLCLEFDh1G\@QWOS0\5<=K\`gn~1>1a:NWmhcu48:5m6B[ilgq847912F_e`k}<0<:?IRnelx7>374LUknaw:4601GXdcjr=6==>JSadoy0806;MVji`t;>730@Ygbes>4:<=K\`gn~1619:NWmhcu40437AZfmdpWG45?0037?IRnelx_ORmbp^gr4567:8>0@YgbesV@[fkwWl{;<=><159OPlkbz]ITo`~Pep234526<2F_e`k}TB]`iuYby9:;<8?;;MVji`tSKVif|Rk~01232==K\`gn~R>>0:NWmhcuW9Ufyu>?0132?IRnelxT?>;MVji`tX8Vg~t=>?0532?IRnelxTJSadoyS<>P_`lg45679:1GXdcjr^33[Zgil9:;<?01327>JSadoyS>4:NWmhcuW8Uha}Qjq12344723E^bah|P1^antZcv89:;==?:;MVji`tX9Vif|Rk~012354723E^bah|P1^antZcv89:;=??:;MVji`tX9Vif|Rk~012356723E^bah|P1^antZcv89:;=9?:;MVji`tX9Vif|Rk~012350733E^bah|P1^antZcv89:;><:4LUknawY6Wjg{Sh?012051=K\`gn~R?Pclr\at6789>:86B[ilgq[4YdeyUn}=>?0437?IRnelxT=Rmbp^gr4567>8>0@Ygbes]2[fkwWl{;<=>8159OPlkbzV;To`~Pep2345>6<2F_e`k}_0]`iuYby9:;<4??;MVji`tX9Vg~t=>?0038HQojm{U:S`{w0123547_lw{4567:8;0@Ygbes]2[hs89:;??4038HQojm{U:S`{w0123147_lw{4567>8;0@Ygbes]2[hs89:;;?8028HQojm{U:Sca{012254=K\`gn~R?Pnnv34576981GXdcjr^3\jjr789;9=<5CThofvZ7Xff~;<=?<109OPlkbzV;Tbbz?013754=K\`gn~R?Pnnv34572981GXdcjr^3\jjr789;=46B[ilgq[7763E^bah|P2^]bja6789;97AZfmdp\6ZYffm:;<=?>2:NWmhcuW;UTmcj?0121<>JSadoyS>?>;MVji`tX;VUjbi>?0131?IRnelxT?RQnne234576:2F_e`k}_2]\ekb789:946B[ilgq[1763E^bah|P4^]bja6789;97AZfmdp\0ZYffm:;<=?>2:NWmhcuW=UTmcj?0121<>JSadoyS8?>;MVji`tX=VUjbi>?0131?IRnelxT9RQnne234576:2F_e`k}_4]\ekb789:946B[ilgq[3763E^bah|P6^]bja6789;97AZfmdp\2ZYffm:;<=?>2:NWmhcuW?UTmcj?0121<>JSadoyS:?>;MVji`tX?VUjbi>?0131?IRnelxT;RQnne234576:2F_e`k}_6]\ekb789:946B[ilgq[=763E^bah|P8^]bja6789;97AZfmdp\2:NWmhcuW1UTmcj?0121<>JSadoyS4?>;MVji`tX1VUjbi>?0131?IRnelxT5RQnne234576:2F_e`k}_8]\ekb789:996B`ae3f?Iifl8UTmcj?0122g>KflmUTmij?012\I}iuW[oxyaz31?3g?HgclVUjhi>?00]N|jtXZly~`y2>0?3g?HgclVUjhi>?00]N|jtXZly~`y2>1?3`?HgclVUjhi>?00]N|jtXZly~`y2=>0a8IdbcWVkoh=>?1^O{kwYUmzgx1=11b9NeabXWhno<=>>_LzlvZTb{|f090>c:Ob`aYXimn;<=?PMymq[Wct}e~793?l;Lcg`ZYflm:;<KflmUTmij?013\[dhc89:;=<84M`fg[Zgcl9:;=RQnne2344703DkohRQnde2344YXign;<=?>179NeabXWhno<=>>_^cm`567:8=0Aljk_^cg`5679VUjbi>?03322>KflmUTmij?013\[dhc89:8=:5Baef\[dbc89::SRoad12374713DkohRQnde2344YXign;<=:>7:Ob`aYXimn;<=?P_`lg456398<0Aljk_^cg`5679VUjbi>?0434?HgclVUjhi>?00]\ekb789?:=;5Baef\[dbc89::SRoad1232416:Ob`aYXimn;<=?P_`lg45609>1FmijP_`fg4566WVkeh=>?7035?HgclVUjhi>?00]\ekb7892:;6Cnde]\eab789;TSl`k012;540H7;2D;=:5AEUULVN0k;Nl`aZYhz9:;<<5_c:R-657499;8SA=4P020?U76;2Z:>>5_1218T4243Y;>?6^>629S525V58:1[>?=4P310?U43;2Z99>5_2618T7>43Y82>6^<3:R046=W;;90\>=<;Q177>V4=:1[?;=4P251?U243Y>;?6^;129S075V2=:1[9;=4P4:0?U3>:2Z=?6^9029S245?90\;9=;Q50?U17;2Z<>>5_7218T2243Y==?6^8729S3=5397]6<;Q:37>V?9:1[4?=4P970?U>1;2Z3;>5_8808T<53:R:66=W1:90\4:<;Q;67>V>>:1[5:=4P@F7?UGU\h1[ECQMURKG\g=WAGUIY^@NMD18TWC63X20]b]]e`fzb>Wh[[ojhtQ`r123446109RkVTbimsTc>?013154=VgZXnmiwPos234574991Zc^\jae{\kw67898:<6_`SSgb`|Yhz9:;<>??;PmPV`gcqVey<=>?4028UjUUmhnrSb|?012655=VgZXnmiwPos23450682[d__kndx]lv5678>;;7\a\Rdcg}Ziu89:;4<>4QnQQadb~Wfx;<=>65:PB85813[K7==07;SC?54<76?1YM1?>>49QE979=2XJ0?0:;SC?7;00:7084R@>0:13TF4?4>7_O37?78VD:?6<1YM1713:PBI0=UIDIX;6\NMBQ3\==UIDIX7_OBEOg8VDKBFVUjbi>?01d8VDKBFVUjbi>?013g?WGJMGUTc>?01g8VDKBFVUd~=>?00g8VDKBFVUd~=>?03g8VDKBFVUd~=>?02g8VDKBFVUd~=>?05g8VDKBFVUd~=>?04g8VDKBFVUd~=>?07a8VDKBFVey<=>?d:PBI@HXg{:;<=?k;SCNAKYhz9:;0:PBIWcflpUd~=>?0233?WGJZlkouRa}0123046TF[LFTaxv?012f?WGTMEUfyu>?013f?WGTMEUfyu>?0106?WGTzo20^L]}f^L3=>TF[{lTB=?:;SCPvwb0^MAQCb_lw{45679m1YMR?Pmtz34565l2XJSk;SC\6Zkrp9:;<i5]A^0\ip~789:8h6\N_3]nq}6789>27_OP3^MAQC?k;SC\0Zkrp9:;<i5]A^6\ip~789:8h6\N_5]nq}6789>27_OP5^MAQCeS`{w0123`>TFW?013g?WGX=Vg~t=>?03f8VDY2Wds<=>?3e9QEZ3Xe|r;<=>;9:PB[3YHJ\Lh7_OP6^ov|5678m1YMR8Pmtz34566l2XJS;Qbuy23454c3[KT:Rczx12346b?00f8VDY?Wds<=>?2e9QEZ>Xe|r;<=>TBXEKHIRADAX78VJKD[?1YC@M\179QKHET:?1YC@M\379QKHET<>1YC@M\4Y:8VJKD[=R:46\@MBQ7\7>TTWOYFSKHk2c9QWZ@TEVLMh>l4RR]EWHYANm>n7_]PFRO\BCb3%@dm7_]PFRO\BCb3%@d:j6\\_GQN[C@c<$Ce>k5]S^DPIZ@Al='Bb>h4RR]EWHYANm>&Ec:m;SQ\BVKXNOn>n6\\_GQN[C@c>l1Y_RH\M^DE`3+Nfo1Y_RH\M^DE`3+Nf8l0^^QISL]EBa0*Ag8m7_]PFRO\BCb1%@d8j6\\_GQN[C@c>$Ce8k5]S^DPIZ@Al?'Bb8h4RR]EWHYANm<&Ec8i;SQ\BVKXNOn=!D`8b:PP[CUJWOLo;h5]S^DPIZ@Al>'Bbk5]S^DPIZ@Al>'Bbk5]S^DPIZ@Al1'Bb>h4RR]EWHYANm2&Ec::;Sgb`|084Rdcg}10>0:PfbAiimVUd~=>?0033?WcaLfdnSRa}0123646:<6\jfEmmaZYhz9:;<8o4RddEvjkU[9h0^hhIrnoQW57e3[omJabRR21f>TbnOxda_]?3c9Qac@ugdXX<984RddQat>?01126>Tbn[ozSnc_ds345639;1Yik\jq^antZcv89:;9h5]egPfuZhh|9:;=k5]egPfuZhh|9:;=<84RddVvb>?01126>Tbn\xlSnc_ds345639;1Yik[}g^antZcv89:;9;5]erwop6=TM]?0_Z2>>`9PS979W@H^J85\W=0=e>UP4;4TEO[I7:QT86<66<1X[1=1a:QT868XAK_M86]jnua8W`hsWVey<=>?d:QfjqYXg{:;<=?k;RgmpZYhz9:;Uil[KFO^Y]1b9PjaTFEJY\^<>l;RlgVDKD[^X:=n5\nePBIFUPZ88h7^`kR@O@WRT6;j1Xbi\NMBQTV42d3Zdo^LCLSVP21f=TfmXJAN]XR04a?VhcZHGH_Z\=b:Qm`WGJKZ]Y?o5\nePBIFUPZ=h0_cj]ALAPSW3e3Zdo^LCLSVP5f>Uil[KFO^Y]7c9PjaTFEJY\^5l4SofQEHET_[337^`kRNO@W<=TfmXDAN]>a:Qm`WIJKZ;;m6]adSMNGV76i2Yeh_ABCR31e>Uil[EFO^?74SofQKHET<01Xbi\@MBQ6=>Uil[EFO^86;RlgVJKD[>30_cj]OLAP<<=TfmXDAN]61:V0?QTN02^YE^ZNTD68PWSBj2^XSK]B_GDg5`=S[VLXARHId0/Jjc=S[VLXARHId0/Jj4`<\ZUM_@QIFe3.Mk4e3]YTJ^CPFGf1a>RTWOYFSKHk2,Kmb>RTWOYFSKHk2,Km546<\ZUM_@QIFe0.Mk77n2^XSK]B_GDg6(Oi:o1__RH\M^DE`7+Nf:l0X^QISL]EBa4*Ag>m7Y]PFRO\BCb5%@d>j6Z\_GQN[C@c:$Ce:k5[S^DPIZ@Al;'Bb:h4TR]EWHYANm8&Ec6i;UQ\BVKXNOn9!D`6b:VP[CUJWFRnelxT1:Vji`tX9VUjbi>?0104?Qojm{U9==5[ilgq[7YXign;<=>>1:Vji`tX:VUjbi>?01325>RnelxT>RQnne2345403]cfiQ<119WmhcuW:UTmcj?01225>RnelxT?RQnne23457692^bah|P3^]bja678989>6Zfmdp\IdbcWVkoh=>?0^O{kwYUmzgx1?1239WmhcuWDkohRQnde2345YJpfxT^h}zlu>1:74<\`gn~RCnde]\eab789:TAua}_Sgpqir;;7;h7Ygbes]NeabXWhno<=>?_^cm`56788n0Xdcjr^Ob`aYXimn;<=>P_`lg456798i0Xdcjr^Ob`aYXimn;<=>P_`lg45669m1_e`k}_Lcg`ZYflm:;<=QPaof34576981_e`k}_^cg`56785;5=<5[ilgq[Zgcl9:;<1<1109WmhcuWVkoh=>?0=1=`>RnelxTmcj?012f?Qojm{Ujbi>?0135?PHR[LNj7X]JR^COMDUd3\YN^RXFSH@OA6=QKJ30ZDKX_U[SA1=QXHxh7[^Nr^llp5679m1]\L|Pnnv34576j2\bh_OBCRUQ5f=QamXJAN]XR02a?SocZHGH_Z\=b:Tj`WGJKZ]Y?o5YiePBIFUPZ=h0Zdj]ALAPSW3e3_co^LCLSVP5f>Pnl[KFO^Y]7c9UmaTFEJY\^5l4VhfQEHET_[3>7Z]30?78SV:66<1\_1<15:UP86833^YT?0d9TWZ7XWhdo<=>?159TWZ4c3^YT>RQnne2345c<_ZU9SRoad123442<_ZU8h6Y\_2]\ekb789:n7Z]P3^]bja6789;:j6Y\_Lcg`ZYflm:;<=QBxnp\V`urd}6:2?_LzlvZTb{|f0?0>f:UP[HgclVUjhi>?01]N|jtXZly~`y2<>0;8SVYJimnTSljk0123[Zgil9:;<?_^cm`56788;27Z]PM`fg[Zgcl9:;?003f?RUXWhno<=>?<0?<3?<2<5?RguxZO:h6VFLHL[)]BE(9$:,^ZZB!2-5%GTK@C87UA]4:ZLV704Xeo\Ilhhz_oydaa6:Zl8586;2Rxx95UESMa?Zgcl9:;<1?1c:]b`a67886:<3m4_`fg456648;5n6Qnde2344:56k1Tmij?013?7;d><5?1=;=7>ei|;1h>5isc68mjdj<2cdn~74n@FlqqJB8l1eMIaztMG3[JDRN8:0bLJ`uuNF4Ziu89:;=<5aAEmvpIC7Wfx;<=>>109mEAir|EO;Sb|?012154=iIMe~xAK?_np3456412dJHb{{LD3b?kGCg|~GIhF[VLXNn5aAR]EWGYNJ\L<7cO\_HMA`>hF[VCDNRAMUGGb?kGTW@EIcxz9;oCPkpr>3gKXcxzPN1c8jDUh}}UE<0:lBWjssWge<=>>11d8jDUh}}Uecy>?000e?kGTg|~Tbbz?0130b>hF[fSca{01220c=iIZe~xR``t12350`7cLJRS;8jGCUZVLXNh5aBDPQ[CUEWFH^J?0008jGCUZVLXNRQ`r12344763gHN^_QISC]\kw6788;97cLJRS]EWGYXg{:;<2:lAAWTXNZHTSb|?013157=iJLXYSK]M_^mq4566;01eNH\]_HMAa>hEM[XTEBLPICWE3>hEOVCDNn5aBF]JKGYNJ\L<7cL]_HMAg>hEZVCDNRAMUGd8jGTXAFHTaxv?01224>hEZVCDNRczx12344773gHYSDAM_lw{4567:8:0bO\PIN@\ip~789:8==5aBS]JKGYj}q:;<=:>0:lAVZOHJVg~t=>?0433?kDUW@EIS`{w0123246hCagFNSBLZFe9m@lhKMVey<=>?e:lGmkJBWfx;<=>>6:lGmkIB?2dOecAJ1c9m@lhHMVEIYKk4nEkmK@Yffm:;<=h4nEkmK@Yffm:;<=?i;oFjjJCXign;<=>=f:lGmkIBWhdo<=>?3g9m@lhHMVkeh=>?05d8jAoiGLUjbi>?0174?kBh}}EN46`KotvLA4ehCg|~DIRoad123546;4nHRO6d=iAYF9SDLZF79mMUJ5z=1e@I]7;oNGWZ@TJm1e@I]PFR@\MGSA02dGH^QFOCg8jIBTW@EISBLZFD`8jIBTW@EIcxzl;oNGWZgil9:;>i5aLEQ\ekb7898:h6`CDR]bja678;8o7cBKS^cm`567::n0bAJ\_`lg4565hKLZUecy>?00f8jIBTWge<=>>159mHRC23gF\I?0008jJpbzekrSRoad12344773gE}ibny^]lv56788;0bBxjrmcz[Ziu89:;=?2038jJpbzekrSRa}0123747hUID_\In5aR@OVS@YHJ\L?7c\NS49mVDU6=2dYM^<:;oPBW63hUIZ<27c\NS^MAQC2b:lQKHETWFH^J;5aRNOTA2=iZFG\IhRLZUBCOQ@BTDFf>hRLZUBCOaztb9mQAUXign;<=?k;oWGWZgil9:;=i5aUEQ\ekb789;8h6`ZDR]bja6788>o7c[KS^cm`5679m1eYI]Paof34570l2d^H^Qnne2344>c3g_O_Road1235hRLZUecy>?0037?kSPM<1eYZK>c:lVS@Yffm:;<=j4nTUF[dhc89:;=i5aUVG\ekb789:9h6`ZWD]bja67899o7c[XE^cm`5678=>0b[CN8:lUIDYNGKo0b[CN_HMA[JDRNLh0b[CN_HMAkprc3g\FMRQnne2345chQEHUTc>?013g?kPJIVUd~=>?03f8jSKFWVey<=>?3e9mRHGXWfx;<=>;d:lUIDYXg{:;<=;k;oTNEZYhz9:;<;j4nWOB[Ziu89:;;i5aVLC\[jt789:3o6`YM@]bja6789n0b[CN_`lg45679m1eZ@OPaof34565l2d]ALQnne23455c3g\FMRoad12341bhQXHUBCOj4nWRB[LIEW@H^Jn5aVQC\ekb789:o7cX_A^cm`56788i0b[^N_omw4566l2d]\LQaou2344743g]N;6`XE^DPFf=i_LUM_OQFBTD;?kacj|cgh>5}al:8|f?289rg=<5wc87201~k|x;;< ??8:zjhlh}g;37ubax^cvpjY7Wqni#n}{.y```xFGx8l<46NOx576>C<328qXm<4;6781547496=`=9:>i==o58075?V7bm3??o795126a55g=08?j7^o?:46`>2<6;=h:0`8;505<,1<199k4H`58rQc1290:6<4;08yPe4<3>?094k4>35`24dim555d8 `?=82.n;7;:1:&frB?>l5+4149003h=;*733?6=3`2i6=44o5`7>5<5<5<3:17b:74;29?j2>=3:17d:k2;29?j2al3:17d;;3;29?j36=3:17b:je;29?l>a2900e5750;9l02>=831d8:k50;9j0a0=831b8l=50;9l15e=831d8ok50;9l0a>=831d9?m50;9l0f4=831d8nl50;9j11g=831d84750;9l6c5=83.9hh4=f39m6ab=821d>k?50;&1``<5n;1e>ij51:9l756=83.9hh4=f39m6ab=:21d>kh50;&1``<5n;1e>ij53:9l6cc=83.9hh4=f39m6ab=<21d>kj50;&1``<5n;1e>ij55:9l6ce=83.9hh4=f39m6ab=>21d>kl50;&1``<5n;1e>ij57:9l6c0=83.9hh4=f39m6ab=021d>k;50;&1``<5n;1e>ij59:9l6c2=83.9hh4=f39m6ab=i21d>k>50;&1``<5n;1e>ij5b:9j1d<72-8oi7;6;o0g`?6<3`?36=4+2eg91<=i:mn1=65f5683>!4cm3?27cik5589m6ab=;21b994?:%0ga?3>3g8oh7:4;h70>5<#:mo1945a2ef91>=n=;0;6):18'6ac==01e>ij57:9j15<72-8oi7;6;o0g`?><3`>m6=4+2eg91<=i:mn1565f4d83>!4cm3?27cik5589m6ab=j21b8n4?:%0ga?3>3g8oh7m4;h6a>5<#:mo1945a2ef9`>=n>;0;6):18'6ac==01e>ij5f:9j25<72-8oi7;6;o0g`?7732c>j7>5$3ff>0?5<#:mo1945a2ef957=h5lm0:?65f5b83>!4cm3?27co2j3:1(?jj:4;8j7bc28?07d;::18'6ac==01e>ij51798m6e5290/>ik53b38j7bc2910e>li:18'6ac=;j;0b?jk:098m6dc290/>ik53b38j7bc2;10n9;n:182>5<7s->;:7hl;I66=>N3:h1/8;?54758 4cc24<729q/8=8543`8L13>3A>9m6*;6081<1=#9ln198>4o3:f>5<#574;|`731<728n18h492zJ76d=#<9<1m?5U868247=u-;no7=i2:k:`?6=3f9=i7>5;n354?6=3f9;?7>5;n1`a?6=3`296=44i424>5<5<26=44o221>5<5<h5lm0;76g=3;29 7bb2;>0b?jk:098m74=83.9hh4=4:l1`a<532c9<7>5$3ff>7254i0g94?"5ll0986`=de87?>o6l3:1(?jj:368j7bc2<10e2=h5lm0376g>9;29 7bb2;>0b?jk:898m4>=83.9hh4=4:l1`a5$3ff>72o6=3:1(?jj:368j7bc2m10e?j50;&1``<5<2d9hi4j;:k1g?6=,;nn6?:4n3fg>c=:183!27>3lh7E::9:J76d=#0373fli6=4+47396=?<3th?j?4?:481>3}#<9<1j95G44;8L14f3-;;n7k4$0gg>0373`3h6=44i8g94?=n010;66gn6;29?j4b83:17o==7??9:9j=f<72->==77l;:k:`?6=,=<:64j4;h1ag?6=,=<:6>ll;:k033<72->==7=86:9l6=?=83.?:<4=8898yg22m3:1=7>50z&724<3>>1d>5750;&724<50010q~h>529;8yvg12909wSo9;<0f4?7712wx5h4?:3y]=`=::l:1?:84}r;`>5<5sW3h70vP78:?1a5<>l2wxjn4?:3y>6`6=;ki019;j:3::?x{e;9>1<7<50;2x 16128:h7E::9:J76d=#9:02`?M2212B?>l5+4739<0=#9ln198>4igf94?"3>80:<454o3:2>5<#574H543?>{e;9<1<7=50;2x 1612=:0D9;6;I61e>"3>808j6*>ee8615=nnl0;6):91;33=>=nno0;6):91;33=>=h:1;1<7*;6081<<=53;294~"38?0?<6F;589K07g<,=<:6>h4$0gg>0373`ln6=4+473955?<3`lm6=4+473955?<3f83=7>5$542>7>>32wi8k:50;194?6|,=:=6?69;I66=>N3:h1/8;?52978 4cc29:02`?M2212B?>l5+4739<0=#9ln198>4igf94?"3>80:<454o3:2>5<#574H543?>{e;>:1<7<50;2x 16128:h7E::9:J76d=#9:02`?M2212B?>l5+4739<0=#9ln198>4igf94?"3>80:<454o3:2>5<#574H543?>{e;>81<7;50;2x 1612=80D9;6;I61e>"3>808j6*>ee8615=nnl0;6):91;33=>=nno0;6):91;33=>=n99:1<7*;60824<=5$542>7>>32wi==950;;94?6|,=:=69;4H57:?M25i2.?:<450;&724<68010e<>>:18'037=99307d??2;29 10628:276g>0283>!2193;;565f11694?"3>80:<454i026>5<#t$525>14<@=?27E:=a:&724<4n2.:ii4:519jb`<72->==7??9:9jbc<72->==7??9:9j556=83.?:<4>0898m466290/8;?511;8?j4?93:1(98>:3::?>{e<:81<7=50;2x 1612=:0D9;6;I61e>"3>808j6*>ee8615=nnl0;6):91;33=>=nno0;6):91;33=>=h:1;1<7*;6081<<=8?7>53;294~"38?094;5G44;8L14f3->==7<75:&2aa<2=91bjh4?:%655?77121bjk4?:%655?77121d>5?50;&724<50010qo:<4;296?6=8r.?<;4>0b9K00?<@=8j7):91;:6?!7bl3?><6gid;29 10628:276a=8083>!21938356F;6198yg24=3:1>7>50z&743<68j1C8874H50b?!21932>7)?jd;764>oal3:1(98>:02:?>i5080;6):91;0;=>N3>910qo:<6;296?6=8r.?<;4>0b9K00?<@=8j7):91;:6?!7bl3?><6gid;29 10628:276a=8083>!21938356F;6198yg24?3:1?7>50z&743<382B?945G43c8 1062:l0(:3::?>{e<:21<7=50;2x 1612=:0D9;6;I61e>"3>808j6*>ee8615=nnl0;6):91;33=>=nno0;6):91;33=>=h:1;1<7*;6081<<=857>53;294~"38?0?<6F;589K07g<,=<:6>h4$0gg>0373`ln6=4+473955?<3`lm6=4+473955?<3f83=7>5$542>7>>32wi8>?50;694?6|,=:=69?4H57:?M25i2.?:<450;&724<68010c?6>:18'037=:1307pl<6`83>7<729q/8=8511a8L13>3A>9m6*;608;1>"6mm0>9=5ffe83>!2193;;565`29394?"3>809445G4728?xd4>k0;6?4?:1y'050=99i0D9;6;I61e>"3>80396*>ee8615=nnm0;6):91;33=>=h:1;1<7*;6081<<=O7<729q/8=8511a8L13>3A>9m6*;608;1>"6mm0>9=5ffe83>!2193;;565`29394?"3>809445G4728?xd4>m0;6?4?:1y'050=99i0D9;6;I61e>"3>80396*>ee8615=nnm0;6):91;33=>=h:1;1<7*;6081<<=O6<729q/8=852948L13>3A>9m6*;6081<0=#9ln198>4igg94?"3>80:<454igd94?"3>80:<454o3:2>5<#574;|`031<72:0;6=u+414905=O<<30D96;:keb?6=,=<:6<>6;:m1<4<72->==7<79:9~f612290?6=4?{%632?263A>>56F;2`9'037=;o1/=hj55428mcc=83.?:<4>0898mc`=83.?:<4>0898m467290/8;?511;8?j4?93:1(98>:3::?>{e;l:1<7;50;2x 1612=80D9;6;I61e>"3>808j6*>ee8615=nnl0;6):91;33=>=nno0;6):91;33=>=n99:1<7*;60824<=5$542>7>>32wi?h950;194?6|,=:=69>4H57:?M25i2.?:<45?50;&724<50010qo=j8;296?6=8r.?<;4>0b9K00?<@=8j7):91;:6?!7bl3?><6gid;29 10628:276a=8083>!21938356F;6198yg5b13:1>7>50z&743<68j1C8874H50b?!21932>7)?jd;764>oal3:1(98>:02:?>i5080;6):91;0;=>N3>910qo=ja;296?6=8r.?<;4>0b9K00?<@=8j7):91;:6?!7bl3?><6gid;29 10628:276a=8083>!21938356F;6198yg5bj3:1>7>50z&743<68j1C8874H50b?!21932>7)?jd;764>oal3:1(98>:02:?>i5080;6):91;0;=>N3>910qo=jc;296?6=8r.?<;4>0b9K00?<@=8j7):91;:6?!7bl3?><6gid;29 10628:276a=8083>!21938356F;6198yg5bl3:1>7>50z&743<68j1C8874H50b?!21932>7)?jd;764>oal3:1(98>:02:?>i5080;6):91;0;=>N3>910qo=je;296?6=8r.?<;4>0b9K00?<@=8j7):91;:6?!7bl3?><6gid;29 10628:276a=8083>!21938356F;6198yg5b93:1>7>50z&743<68j1C8874H50b?!21932>7)?jd;764>oal3:1(98>:02:?>i5080;6):91;0;=>N3>910qo=j2;297?6=8r.?<;4=879K00?<@=8j7):91;0;1>"6mm0>9=5ffd83>!2193;;565ffg83>!2193;;565`29394?"3>8094454}c1f7?6=03:1N3=01C8?o4$542>6`<,8oo68;?;hdf>5<#5<#6;:k244<72->==7??9:9j554=83.?:<4>0898m464290/8;?511;8?l77<3:1(98>:02:?>i5080;6):91;0;=>=zj:o?6=4<:183!27>3>;7E::9:J76d=#5}#<9<18=5G44;8L14f3->==7=i;%3f`?3282cmi7>5$542>46>32cmj7>5$542>46>32e94<4?:%655?4?121vn>k9:187>5<7s->;:7:>;I66=>N3:h1/8;?53g9'5`b==<:0ekk50;&724<68010ekh50;&724<68010e<>?:18'037=99307b<71;29 1062;2276sm4g494?5=83:p(9>9:528L13>3A>9m6*;6080b>"6mm0>9=5ffd83>!2193;;565ffg83>!2193;;565`29394?"3>8094454}c6e3?6=:3:123-;nh7;:0:ke`?6=,=<:6<>6;:m1<4<72->==7<79:J725=m47>52;294~"38?0:==76:;%3f`?3282cmh7>5$542>46>32e94<4?:%655?4?12B?:=54}c6e=?6=;3:1N3=01C8?o4$542>6`<,8oo68;?;hdf>5<#5<#t$525>7>13A>>56F;2`9'037=:1?0(:3::?>{e;jl1<7750;2x 1612=?0D9;6;I61e>"3>808j6*>ee8615=nnl0;6):91;33=>=nno0;6):91;33=>=n99:1<7*;60824<=7>5$542>46>32c:<>4?:%655?77121b==:50;&724<68010e<>::18'037=99307b<71;29 1062;2276sm3e594?5=83:p(9>9:3:5?M2212B?>l5+47396=3<,8oo68;?;hdf>5<#5<#=83?1<7>t$525>14<@=?27E:=a:&724<4n2.:ii4:519jb`<72->==7??9:9jbc<72->==7??9:9j556=83.?:<4>0898m466290/8;?511;8?j4?93:1(98>:3::?>{e;m31<7<50;2x 16128:h7E::9:J76d=#9:02`?M2212B?>l5+4739<0=#9ln198>4igf94?"3>80:<454o3:2>5<#574H543?>{e;mh1<7<50;2x 16128:h7E::9:J76d=#9:02`?M2212B?>l5+4739<0=#9ln198>4igf94?"3>80:<454o3:2>5<#574H543?>{e;mn1<7<50;2x 16128:h7E::9:J76d=#9:02`?M2212B?>l5+4739<0=#9ln198>4igf94?"3>80:<454o3:2>5<#574H543?>{e;m:1<7<50;2x 16128:h7E::9:J76d=#9:508L13>3A>9m6*;6080b>"6mm0>9=5ffd83>!2193;;565ffg83>!2193;;565f11294?"3>80:<454i022>5<#t$525>16<@=?27E:=a:&724<4n2.:ii4:519jb`<72->==7??9:9jbc<72->==7??9:9l6=7=83.?:<4=8898yg5c;3:1?7>50z&743<50?1C8874H50b?!21938396*>ee8615=nnl0;6):91;33=>=nno0;6):91;33=>=h:1;1<7*;6081<<=54;294~"38?0?=6F;589K07g<,=<:6>h4$0gg>0373`ln6=4+473955?<3`lm6=4+473955?<3`;;<7>5$542>46>32e94<4?:%655?4?121vn>j::180>5<7s->;:7:?;I66=>N3:h1/8;?53g9'5`b==<:0ekk50;&724<68010ekh50;&724<68010c?6>:18'037=:1307pl6<729q/8=85419K00?<@=8j7):91;1e?!7bl3?><6gie;29 10628:276gif;29 10628:276a=8083>!219383565rb00a>5<4290;w):?6;0;2>N3=01C8?o4$542>7>23-;nh7;:0:kea?6=,=<:6<>6;:keb?6=,=<:6<>6;:m1<4<72->==7<79:9~f45729096=4?{%632?77k2B?945G43c8 10621?0(:3::?M21821vn<=>:186>5<7s->;:7:=;I66=>N3:h1/8;?53g9'5`b==<:0ekk50;&724<68010ekh50;&724<68010e<>?:18'037=99307d??1;29 10628:276a=8083>!219383565rb011>5<4290;w):?6;63?M2212B?>l5+47397c=#9ln198>4igg94?"3>80:<454igd94?"3>80:<454o3:2>5<#574;|`276<72:0;6=u+41496=0<@=?27E:=a:&724<50<1/=hj55428mcc=83.?:<4>0898mc`=83.?:<4>0898k7>6290/8;?529;8?xd6;=0;6?4?:1y'050=99i0D9;6;I61e>"3>80396*>ee8615=nnm0;6):91;33=>=h:1;1<7*;6081<<=O3483>6<729q/8=852948L13>3A>9m6*;6081<0=#9ln198>4igg94?"3>80:<454igd94?"3>80:<454o3:2>5<#574;|`273<72:0;6=u+414905=O<<30D96;:keb?6=,=<:6<>6;:m1<4<72->==7<79:9~f45029086=4?{%632?273A>>56F;2`9'037=;o1/=hj55428mcc=83.?:<4>0898mc`=83.?:<4>0898k7>6290/8;?529;8?xd6:j0;6>4?:1y'050=<91C8874H50b?!21939m7)?jd;764>oam3:1(98>:02:?>oan3:1(98>:02:?>i5080;6):91;0;=>=zj88o6=4<:183!27>383:6F;589K07g<,=<:6?6:;%3f`?3282cmi7>5$542>46>32cmj7>5$542>46>32e94<4?:%655?4?121vn<5<7s->;:7:?;I66=>N3:h1/8;?53g9'5`b==<:0ekk50;&724<68010ekh50;&724<68010c?6>:18'037=:1307pl>2g83>6<729q/8=852948L13>3A>9m6*;6081<0=#9ln198>4igg94?"3>80:<454igd94?"3>80:<454o3:2>5<#574;|q21?6=;4l10mi63{t9?0;69uQ179>7`6=no16?i65fg9>7ag=nm1v<950;6xZ41<5:o26kj4=2f;>467349on7hk;|q2;4m90:<=523bd9b`=:9:91jh5rs0;94?0|V83019=<:gd896c728::70=k8;335>;4lj0mh63>328eb>{t9h0;6>uQ1`9>7`g=nm16?nh5fg9~w4d=83cc<5=9;6kh4=2g4>cc<5:im6<>?;<305?`b3ty:o7>56z\2g>;68>0mj63;318ea>;4m>0mj638:023?82483;;<63;4ko0:<>521239556>;|q14?6=1rT9<63<6g8e`>;68>0:c`<58996kk4}r01>5<>sW8970=80;dg?877?3;;?63;338eb>;4>j0mh63;4ko0:<85213`9b`=:9:81jk5rs3194??|V;901>>;:gf896152oo01<>8:027?824<3lo70=83;df?85b<3ln70=kd;dg?874?3ln7p}=5;29=~X5=278<84id:?034049>063=nm16?;j5fe9>7`7=nm16?ik5fe9>57b=nl1v?m50;1xZ7e<5:o96kk4=2f4>cc5<5sW;;:63>0681<4=z{88j6=4={_31e>;6:o094<5rs00a>5<5s4;9n7<71:?270h4=809>57`=no1v<=?:1818748383=63>348eb>{t9:;1<77>634;9i7hj;|q277<72;q6=><52938944d2oo0q~?<3;296~;6;:094<521249b`=z{89?6=4={<300?4?927:?;4if:p563=838p1<=::3:2?874?3lm7p}>3783>7}:9:<1>5?4=00`>c`52z?272<50816=?j5fg9~w4072909wS?90:?774<5081v?9k:181822i3li70:i2;;f?xu48;0;6?uQ310896602;2:7p}<0283>6}Y;9901>>9:3:2?857?3ln7p}<0583>7}:;9>1>5?4=225>cc52z?040<50816?=85fg9~w60>2909wS=99:?030<5081v>8n:181851i383=63<728eb>{t;?h1<77>6349<97hj;|q02f<72;q6?;m5293896132oo0q~=9d;296~;4>m094<523669bc=z{:;4?;094<524g49bc=z{:9?:3:2?850:3;;<6s|36394?4|5:=:6?6>;<146?7792wx?:=50;0x96142;2:70=85;de?xu4?=0;6?u236696=7<5:=>6<>?;|q0g`<72;qU?nk4=2f5>7>63ty8ok4?:3y>7f`=:1;01>j9:gg8yv5c83:1>v35<5s49o=7<71:?0`6{t;m91<77>6349o87hj;|q0`1<72;q6?i:5293896b22oo0q~=k5;296~;4l<094<523e49bc=z{:n<6=4={<1g3?4?9278h94if:p7a>=838p1>j7:3:2?85c;3ln7p}7}:;m31>5?4=2f2>cc52z?0`d<50816?i?5fg9~w6be2909w0=kb;0;5>;4l80:<=5rs2f`>5<5s49oo7<71:?0`4<6881v>jk:18185cl383=63{t;mo1<77>6349o>7hi;|q0`c<72;qU?ih4=2g5>7>63ty8i=4?:3y>7`6=:1;01>k9:gd8yv5b93:1>v37`2=no1v>k<:18185b;383=63k::3:2?85b>3ln7p}7}:;l=1>5?4=2g6>cc52z?0a=<50816?h<5fg9~w6c>2909w0=j9;0;5>;4m:0mi6s|3dc94?4|5:oj6?6>;<1f7?`a3ty8io4?:3y>7`d=:1;01>k<:023?xu4mj0;6?u23da96=7<5:o86<>>;|q0aa<72;q6?hj5293896c428:87p}7}:;lo1>5?4=2g0>4653ty??=4?:3y>066=:1;019=>:gd8yv24:3:1>v3;3381<4=:<:31jh5rs510>5<5s4>8?7<71:?772:50;0x91532;2:70:<7;de?xu3;<0;6?u242796=7<5=936kk4}r602?6=:r7??;4=809>06>=no1v9=8:181824?383=63;388eb>{t<:21<77>634>8=7??0:p06?=838p19=6:3:2?82493ln7p};f383>1}:h>4=224>c`<5:cbm?7>52z?7b727?j94=809~w1`22909w0:i2;;`?82ai383=6s|4g494?4|5=l=6?6>;<6ee?`b3ty?j:4?:3y>0c1=:1;019h6:gg8yv2a03:1>v3;f981<4=:5<5s4>m57<71:?7bdm87hj;<6e844?:5y]11?<5=9=6kj4=2f3>cb<589?6kj4}|`60a<72:914n4nbzJ76d=#<9<1?=?4Z9596~772881q)?jc;1e6>o>l3:17b;=4;29?l2?93:17b;?f;29?j2an3:17b:nf;29?l>52900e>l;:188k1cb2900e9j::188m6gf2900e8:6:188m0752900e>>=:188k06d2900e>86:188k6722900c9k8:188k7`4290/>ik52g08j7bc2910c?h>:18'6ac=:o80b?jk:098k667290/>ik52g08j7bc2;10c?hi:18'6ac=:o80b?jk:298k7`b290/>ik52g08j7bc2=10c?hk:18'6ac=:o80b?jk:498k7`d290/>ik52g08j7bc2?10c?hm:18'6ac=:o80b?jk:698k7`1290/>ik52g08j7bc2110c?h::18'6ac=:o80b?jk:898k7`3290/>ik52g08j7bc2h10c?h?:18'6ac=:o80b?jk:c98m74=83.9hh4=1:l1`a<732c9<7>5$3ff>77o6l3:1(?jj:338j7bc2:10e0=h5lm0=76g>9;29 7bb2;;0b?jk:698m4>=83.9hh4=1:l1`a5$3ff>77o6=3:1(?jj:338j7bc2k10e?j50;&1``<592d9hi4l;:k1g?6=,;nn6??4n3fg>a=h5lm0n76g=a;29 7bb2;;0b?jk:g98m7?=83.9hh4=1:l1`a<6821b>54?:%0ga?463g8oh7?>;:k13?6=,;nn6??4n3fg>44<3`8=6=4+2eg964=i:mn1=>54i0d94?"5ll09=6`=de820>=e<"3>80?::5+1df910655;092~"38?0m86F;589K07g<,8:i6h5+1df9106>o?03:17do9:188k7c72900n?k?:185>5<7s->==7h8;h33=?6=,=<:6<>6;:k:g?6=,=<:64m4;h;g>5<#5<#99;:m1<<<72->==7<79:9~f13b290:6=4?{%655?21?2e9444?:%655?4?121v?k?:181[4b8279i=4=889~wd0=838pRl84=3g3>46>3ty2i7>52z\:a>;5m908;;5rs8a94?4|V0i01?k?:8a8yv>?2909wS67;<0f4??c3tymo7>52z?1a5<4jj1688k529;8yxd4810;684=:7y'050=n=1C8874H50b?!77j3o0(5;n0f4?6=3k8n<7>56;294~"3>80m;6g>0883>!2193;;565f9b83>!21933h76g6d;29 10620n07d=mc;29 1062:hh76g<7783>!21939<:65`29;94?"3>8094454}c66a?6=93:152z\1a5=::l:1>574}rc5>5<5sWk=70{t1l0;6?uQ9d9>6`6=;><0q~7l:181[?d348n<77l;|q;{tnj0;6?u22d297ge<5=?n6?66;|a778t$525>c2<@=?27E:=a:&2aa<2=91b5n4?::k:a?6=3`236=44i`494?=h:l:1<75m2d294?0=83:p(98>:g58m46>290/8;?511;8?l?d290/8;?59b98m:3::?>{e<{ti?0;6?uQa79>6`6=9930q~7j:181[?b348n<7=86:p=f<72;qU5n522d29=f=z{121<753;090~"38?0m>6F;589K07g<,8oo68;?;h:;>5<56;294~"3>80m;6g>0883>!2193;;565f9b83>!21933h76g6d;29 10620n07d=mc;29 1062:hh76g<7783>!21939<:65`29;94?"3>8094454}c66g?6=93:1{t:ml1<77}Y:l:01k;529;8yv25j3:1>v3i5;;`?822k383i6srb383>4<729q/8?759:&743h>52d28yvg12909wSo9;<0f4?g13ty347>52z\;<>;5m90346s|5;297~;5m909hk522d29=`=::3li7):=9;a8yxd49l0;6>4=:5y'050=n;1C8874H50b?!77j3o0(?2900el850;9l6`6=831i>h>50;796?0|,=:=6k;4$0gg>0373f8n<7>5;h0gb?6=3`k=6=44i8g94?=n010;66li5;292?6=8r.?:<4i7:k24<<72->==7??9:9j=f<72->==77l;:k:`?6=,=<:64j4;h1ag?6=,=<:6>ll;:k033<72->==7=86:9l6=?=83.?:<4=8898yg22k3:1=7>50z&724<50=1d>5k50;&724<50010q~o9:181[g134l>6<>6;|q;m3:1>vP6e:?e1?50>2wx>ih50;0xZ7ba34l>6>ll;|q1a5<72;qU>h>4=g796=?9n7>52z?e1??d34>>o7<7e:~f7<7280;6=u+43;9=>"38?0mo6*;608722=#9ln198>4og`94?"3>8094454}r0f4?6=:rT9i=522d296`66`6=1l16>7hm;%61=?e7>53;090~"38?0m>6F;589K07g<,8:i6h5+1df9106>i5m90;66l=e183>0<52?q/8=85f49'5`b==<:0c?k?:188m7ba2900el850;9j=`<722c347>5;cd6>5<1290;w):91;d4?l7713:1(98>:02:?>o>k3:1(98>:8a8?l?c290/8;?59e98m6dd290/8;?53ca8?l50>3:1(98>:255?>i5000;6):91;0;=>=zj=?h6=4>:183!21938386a=8d83>!219383565rs`494?4|Vh<01k;511;8yv>?2909wS67;7}Y:ml01k;53ca8yv4b83:1>vP=e19>b0<5001v950z&76<<>3->;:7hl;%655?21?2.:ii4:519lbg<72->==7<79:9~w7c72909wS5<5sW2370;5m902i63=:g`8 14>2j1vqo==5;297?4=m:d9'5`b==<:0e5650;9je3<722e9i=4?::`1a5<72<096;u+4149b0=#9ln198>4o3g3>5<5$542>46>32c2o7>5$542>5$542>61132e9444?:%655?4?121vn9;l:182>5<7s->==7<74:m1<`<72->==7<79:9~wd0=838pRl84=g7955?6>99;|q1`c<72;qU>ih4=g797ge52z\1a5=:n<09445rs50a>5<5s4l>64m4=57`>7>b3twi>7>51;294~"3:0027):?6;d`?!2193>=;6*>ee8615=hnk0;6):91;0;=>=z{;o;6=4={_0f4>;5m909i=5rs`494?4|Vh<01?k?:`48yv>?2909wS67;<0f4?>?3ty>6=4<{<0f4?4cn279i=46e:?1>cd<,=826n5r}c116?6=;3818v*;078e6>N3=01C8?o4$02a>`=#9ln198>4i9:94?=ni?0;66a=e183>>d5m90;684=:7y'050=n<1/=hj55428k7c72900e?ji:188md0=831b5h4?::k;6=49:183!2193l<7d??9;29 10628:276g6c;29 10620i07d7k:18'037=1m10e>ll:18'037=;ki07d=86;29 1062:==76a=8883>!219383565rb57`>5<6290;w):91;0;0>i50l0;6):91;0;=>=z{h<1<7?34l>64j4}r;f>5<5sW3n70h::255?xu5lo0;6?uQ2ed89c3=;ki0q~446;%632?`d3->==7:97:&2aa<2=91djo4?:%655?4?121v?k?:181[4b8279i=4=e19~wd0=838pRl84=3g3>d0;52oh0(9<6:b9~yg2fn3:1?7<54z&743h>50;9a6`6=83?1>78t$525>c3<,8oo68;?;n0f4?6=3`8oj7>5;hc5>5<>da=3:1:7>50z&7245$542>5$542>6dd32c8;;4?:%655?50>21d>5750;&724<50010qo::c;295?6=8r.?:<4=859l6=c=83.?:<4=8898yvg12909wSo9;46>3ty347>52z\;<>;a=33o7p}6e;296~X>m27m97=86:p6a`=838pR?ji;6dd3ty9i=4?:3y]6`6<5o?1>574}r61f?6=:r7m977l;<66g?4?m2wvn?4?:083>5}#<;3156*;078eg>"3>80?::5+1df910652z\1a5=::l:1>h>4}rc5>5<5sWk=70vP78:?1a553z?1a5<5lo16>h>59d9>6?`e3->957m4}|`032<72:0969u+4149b7=O<<30D95<56;294~"3>80m;6g>0883>!2193;;565f9b83>!21933h76g6d;29 10620n07d=mc;29 1062:hh76g<7783>!21939<:65`29;94?"3>8094454}c66g?6=93:1{t:ml1<77}Y:l:01k;529;8yv25j3:1>v3i5;;`?822k383i6srb383>4<729q/8?759:&743h>52d28yvg12909wSo9;<0f4?g13ty347>52z\;<>;5m90346s|5;297~;5m909hk522d29=`=::3li7):=9;a8yxd4?10;6>4=:5y'050=n;1C8874H50b?!77j3o0(?2900el850;9l6`6=831i>h>50;796?0|,=:=6k;4$0gg>0373f8n<7>5;h0gb?6=3`k=6=44i8g94?=n010;66li5;292?6=8r.?:<4i7:k24<<72->==7??9:9j=f<72->==77l;:k:`?6=,=<:64j4;h1ag?6=,=<:6>ll;:k033<72->==7=86:9l6=?=83.?:<4=8898yg22k3:1=7>50z&724<50=1d>5k50;&724<50010q~o9:181[g134l>6<>6;|q;m3:1>vP6e:?e1?50>2wx>ih50;0xZ7ba34l>6>ll;|q1a5<72;qU>h>4=g796=?9n7>52z?e1??d34>>o7<7e:~f7<7280;6=u+43;9=>"38?0mo6*;608722=#9ln198>4og`94?"3>8094454}r0f4?6=:rT9i=522d296`66`6=1l16>7hm;%61=?e1}#<9<1j?5G44;8L14f3-;;n7k4$0gg>0373`236=44i`494?=h:l:1<75m2d294?3=:39:g78 4cc23:1o6800;6):91;33=>=n1j0;6):91;;`?>o>l3:1(98>:8f8?l5ek3:1(98>:2``?>o4??0;6):91;142>=h:131<7*;6081<<=>o7>51;294~"3>809495`29g94?"3>8094454}rc5>5<5sWk=70h::02:?xu?03:1>vP78:?e1??c3ty2i7>52z\:a>;a=39<:6s|2ed94?4|V;nm70h::2``?xu5m90;6?uQ2d289c3=:130q~:=b;296~;a=33h70::c;0;a>{zj;0;6<4?:1y'07?=12.?<;4ic:&724<3>>1/=hj55428kcd=83.?:<4=8898yv4b83:1>vP=e19>6`6=:l:0q~o9:181[g1348n<7o9;|q;{t=3:1?v3=e181`c=::l:15h522;da?!2513i0qpl<7`83>6<52=q/8=85f39K00?<@=8j7)??b;g8 4cc2h>50;9a6`6=83?1>78t$525>c3<,8oo68;?;n0f4?6=3`8oj7>5;hc5>5<>da=3:1:7>50z&7245$542>5$542>6dd32c8;;4?:%655?50>21d>5750;&724<50010qo::c;295?6=8r.?:<4=859l6=c=83.?:<4=8898yvg12909wSo9;46>3ty347>52z\;<>;a=33o7p}6e;296~X>m27m97=86:p6a`=838pR?ji;6dd3ty9i=4?:3y]6`6<5o?1>574}r61f?6=:r7m977l;<66g?4?m2wvn?4?:083>5}#<;3156*;078eg>"3>80?::5+1df910652z\1a5=::l:1>h>4}rc5>5<5sWk=70vP78:?1a553z?1a5<5lo16>h>59d9>6?`e3->957m4}|`0<5<72:0969u+4149b7=O<<30D95<56;294~"3>80m;6g>0883>!2193;;565f9b83>!21933h76g6d;29 10620n07d=mc;29 1062:hh76g<7783>!21939<:65`29;94?"3>8094454}c66g?6=93:1{t:ml1<77}Y:l:01k;529;8yv25j3:1>v3i5;;`?822k383i6srb383>4<729q/8?759:&743h>52d28yvg12909wSo9;<0f4?g13ty347>52z\;<>;5m90346s|5;297~;5m909hk522d29=`=::3li7):=9;a8yxd4080;6>4=:5y'050=n;1C8874H50b?!77j3o0(?2900el850;9l6`6=831i>h>50;796?0|,=:=6k;4$0gg>0373f8n<7>5;h0gb?6=3`k=6=44i8g94?=n010;66li5;292?6=8r.?:<4i7:k24<<72->==7??9:9j=f<72->==77l;:k:`?6=,=<:64j4;h1ag?6=,=<:6>ll;:k033<72->==7=86:9l6=?=83.?:<4=8898yg22k3:1=7>50z&724<50=1d>5k50;&724<50010q~o9:181[g134l>6<>6;|q;m3:1>vP6e:?e1?50>2wx>ih50;0xZ7ba34l>6>ll;|q1a5<72;qU>h>4=g796=?9n7>52z?e1??d34>>o7<7e:~f7<7280;6=u+43;9=>"38?0mo6*;608722=#9ln198>4og`94?"3>8094454}r0f4?6=:rT9i=522d296`66`6=1l16>7hm;%61=?e1}#<9<1j?5G44;8L14f3-;;n7k4$0gg>0373`236=44i`494?=h:l:1<75m2d294?3=:39:g78 4cc23:1o6800;6):91;33=>=n1j0;6):91;;`?>o>l3:1(98>:8f8?l5ek3:1(98>:2``?>o4??0;6):91;142>=h:131<7*;6081<<=>o7>51;294~"3>809495`29g94?"3>8094454}rc5>5<5sWk=70h::02:?xu?03:1>vP78:?e1??c3ty2i7>52z\:a>;a=39<:6s|2ed94?4|V;nm70h::2``?xu5m90;6?uQ2d289c3=:130q~:=b;296~;a=33h70::c;0;a>{zj;0;6<4?:1y'07?=12.?<;4ic:&724<3>>1/=hj55428kcd=83.?:<4=8898yv4b83:1>vP=e19>6`6=:l:0q~o9:181[g1348n<7o9;|q;{t=3:1?v3=e181`c=::l:15h522;da?!2513i0qpl<8e83>6<52=q/8=85f39K00?<@=8j7)??b;d8 4cc2h>50;9a6`6=83?1>78t$525>c3<,8oo68;?;n0f4?6=3`8oj7>5;hc5>5<>da=3:1:7>50z&7245$542>5$542>6dd32c8;;4?:%655?50>21d>5750;&724<50010qo::c;295?6=8r.?:<4=859l6=c=83.?:<4=8898yvg12909wSo9;46>3ty347>52z\;<>;a=33o7p}6e;296~X>m27m97=86:p6a`=838pR?ji;6dd3ty9i=4?:3y]6`6<5o?1>574}r61f?6=:r7m977l;<66g?4?m2wvn?4?:083>5}#<;3156*;078eg>"3>80?::5+1df910652z\1a5=::l:1>h>4}rc5>5<5sWk=70vP78:?1a553z?1a5<5lo16>h>59d9>6?`e3->957m4}|`64a<72;0;6=u+414955e<@=?27E:=a:&724==7??9:9l6=7=83.?:<4=889K036<3th>>84?:383>5}#<9<1==m4H57:?M25i2.?:<475:&2aa<2=91bji4?:%655?77121d>5?50;&724<5001C8;>4;|`7a=<72;0;6=u+414955e<@=?27E:=a:&724==7??9:9l6=7=83.?:<4=889K036<3th84n4?:283>5}#<9<18=5G44;8L14f3->==7=i;%3f`?3282cmi7>5$542>46>32cmj7>5$542>46>32e94<4?:%655?4?121vn9l=:181>5<7s->;:7??c:J71<=O<;k0(98>:978 4cc2d29096=4?{%632?77k2B?945G43c8 10621?0(:3::?M21821vn?6k:180>5<7s->;:7<76:J71<=O<;k0(98>:3:6?!7bl3?><6gie;29 10628:276gif;29 10628:276a=8083>!219383565rb22b>5<5290;w):?6;33g>N3=01C8?o4$542>=3<,8oo68;?;hdg>5<#=zj:8?6=4=:183!27>3;;o6F;589K07g<,=<:65;4$0gg>0373`lo6=4+473955?<3f83=7>5$542>7>>3A>=<65rb433>5<4290;w):?6;0;2>N3=01C8?o4$542>7>23-;nh7;:0:kea?6=,=<:6<>6;:keb?6=,=<:6<>6;:m1<4<72->==7<79:9~f1ca29096=4?{%632?77k2B?945G43c8 10621?0(:3::?M21821vn9h?:180>5<7s->;:7<76:J71<=O<;k0(98>:3:6?!7bl3?><6gie;29 10628:276gif;29 10628:276a=8083>!219383565rb2;g>5<4290;w):?6;0;2>N3=01C8?o4$542>7>23-;nh7;:0:kea?6=,=<:6<>6;:keb?6=,=<:6<>6;:m1<4<72->==7<79:9~f67c290?6=4?{%632?263A>>56F;2`9'037=;o1/=hj55428mcc=83.?:<4>0898mc`=83.?:<4>0898m467290/8;?511;8?j4?93:1(98>:3::?>{e;;:1<7=50;2x 1612=:0D9;6;I61e>"3>808j6*>ee8615=nnl0;6):91;33=>=nno0;6):91;33=>=h:1;1<7*;6081<<=53;294~"38?094;5G44;8L14f3->==7<75:&2aa<2=91bjh4?:%655?77121bjk4?:%655?77121d>5?50;&724<50010qo==7;297?6=8r.?<;4=879K00?<@=8j7):91;0;1>"6mm0>9=5ffd83>!2193;;565ffg83>!2193;;565`29394?"3>8094454}c11N3=01C8?o4$542>6`<,8oo68;?;hdf>5<#5<#t$525>7>13A>>56F;2`9'037=:1?0(:3::?>{e=;=1<7<50;2x 16128:h7E::9:J76d=#9:02`?M2212B?>l5+4739<0=#9ln198>4igf94?"3>80:<454o3:2>5<#574H543?>{e=;31<7<50;2x 16128:h7E::9:J76d=#9:508L13>3A>9m6*;6080b>"6mm0>9=5ffd83>!2193;;565ffg83>!2193;;565f11294?"3>80:<454i022>5<#t$525>46d3A>>56F;2`9'037=0<1/=hj55428mcb=83.?:<4>0898k7>6290/8;?529;8L10732wi8hl50;094?6|,=:=6<>l;I66=>N3:h1/8;?5849'5`b==<:0ekj50;&724<68010c?6>:18'037=:130D98?;:a0`e=8381<7>t$525>46d3A>>56F;2`9'037=0<1/=hj55428mcb=83.?:<4>0898k7>6290/8;?529;8L10732wi8hj50;794?6|,=:=69<4H57:?M25i2.?:<450;&724<68010e<>>:18'037=99307b<71;29 1062;2276sm33a94?5=83:p(9>9:528L13>3A>9m6*;6080b>"6mm0>9=5ffd83>!2193;;565ffg83>!2193;;565`29394?"3>8094454}c11`?6=:3:123-;nh7;:0:ke`?6=,=<:6<>6;:m1<4<72->==7<79:J725=53;294~"38?0?<6F;589K07g<,=<:6>h4$0gg>0373`ln6=4+473955?<3`lm6=4+473955?<3f83=7>5$542>7>>32wi??h50;194?6|,=:=6?69;I66=>N3:h1/8;?52978 4cc29:528L13>3A>9m6*;6080b>"6mm0>9=5ffd83>!2193;;565ffg83>!2193;;565`29394?"3>8094454}c10e?6=:3:123-;nh7;:0:ke`?6=,=<:6<>6;:m1<4<72->==7<79:J725=53;294~"38?0?<6F;589K07g<,=<:6>h4$0gg>0373`ln6=4+473955?<3`lm6=4+473955?<3f83=7>5$542>7>>32wi?>m50;194?6|,=:=6?69;I66=>N3:h1/8;?52978 4cc29:528L13>3A>9m6*;6080b>"6mm0>9=5ffd83>!2193;;565ffg83>!2193;;565`29394?"3>8094454}c173?6=:3:123-;nh7;:0:ke`?6=,=<:6<>6;:m1<4<72->==7<79:J725=53;294~"38?0?<6F;589K07g<,=<:6>h4$0gg>0373`ln6=4+473955?<3`lm6=4+473955?<3f83=7>5$542>7>>32wi?9750;194?6|,=:=6?69;I66=>N3:h1/8;?52978 4cc29:528L13>3A>9m6*;6080b>"6mm0>9=5ffd83>!2193;;565ffg83>!2193;;565`29394?"3>8094454}c17`?6=:3:123-;nh7;:0:ke`?6=,=<:6<>6;:m1<4<72->==7<79:J725=53;294~"38?0?<6F;589K07g<,=<:6>h4$0gg>0373`ln6=4+473955?<3`lm6=4+473955?<3f83=7>5$542>7>>32wi?9h50;194?6|,=:=6?69;I66=>N3:h1/8;?52978 4cc29:528L13>3A>9m6*;6080b>"6mm0>9=5ffd83>!2193;;565ffg83>!2193;;565`29394?"3>8094454}c167?6=:3:123-;nh7;:0:ke`?6=,=<:6<>6;:m1<4<72->==7<79:J725=87>53;294~"38?0?<6F;589K07g<,=<:6>h4$0gg>0373`ln6=4+473955?<3`lm6=4+473955?<3f83=7>5$542>7>>32wi?8;50;194?6|,=:=6?69;I66=>N3:h1/8;?52978 4cc29:528L13>3A>9m6*;6080b>"6mm0>9=5ffd83>!2193;;565ffg83>!2193;;565`29394?"3>8094454}c16=?6=:3:123-;nh7;:0:ke`?6=,=<:6<>6;:m1<4<72->==7<79:J725=m7>53;294~"38?0?<6F;589K07g<,=<:6>h4$0gg>0373`ln6=4+473955?<3`lm6=4+473955?<3f83=7>5$542>7>>32wi?8l50;194?6|,=:=6?69;I66=>N3:h1/8;?52978 4cc29:528L13>3A>9m6*;6080b>"6mm0>9=5ffd83>!2193;;565ffg83>!2193;;565`29394?"3>8094454}c16b?6=:3:123-;nh7;:0:ke`?6=,=<:6<>6;:m1<4<72->==7<79:J725=53;294~"38?0?<6F;589K07g<,=<:6>h4$0gg>0373`ln6=4+473955?<3`lm6=4+473955?<3f83=7>5$542>7>>32wi?;?50;194?6|,=:=6?69;I66=>N3:h1/8;?52978 4cc29:528L13>3A>9m6*;6080b>"6mm0>9=5ffd83>!2193;;565ffg83>!2193;;565`29394?"3>8094454}c151?6=:3:123-;nh7;:0:ke`?6=,=<:6<>6;:m1<4<72->==7<79:J725=53;294~"38?0?<6F;589K07g<,=<:6>h4$0gg>0373`ln6=4+473955?<3`lm6=4+473955?<3f83=7>5$542>7>>32wi?;950;194?6|,=:=6?69;I66=>N3:h1/8;?52978 4cc29:528L13>3A>9m6*;6080b>"6mm0>9=5ffd83>!2193;;565ffg83>!2193;;565`29394?"3>8094454}c174?6=:3:123-;nh7;:0:ke`?6=,=<:6<>6;:m1<4<72->==7<79:J725=53;294~"38?0?<6F;589K07g<,=<:6>h4$0gg>0373`ln6=4+473955?<3`lm6=4+473955?<3f83=7>5$542>7>>32wi?9<50;194?6|,=:=6?69;I66=>N3:h1/8;?52978 4cc29:528L13>3A>9m6*;6080b>"6mm0>9=5ffd83>!2193;;565ffg83>!2193;;565`29394?"3>8094454}c107?6=:3:123-;nh7;:0:ke`?6=,=<:6<>6;:m1<4<72->==7<79:J725=53;294~"38?0?<6F;589K07g<,=<:6>h4$0gg>0373`ln6=4+473955?<3`lm6=4+473955?<3f83=7>5$542>7>>32wi?>;50;194?6|,=:=6?69;I66=>N3:h1/8;?52978 4cc29:528L13>3A>9m6*;6080b>"6mm0>9=5ffd83>!2193;;565ffg83>!2193;;565`29394?"3>8094454}c0e23-;nh7;:0:ke`?6=,=<:6<>6;:m1<4<72->==7<79:J725=53;294~"38?0?<6F;589K07g<,=<:6>h4$0gg>0373`ln6=4+473955?<3`lm6=4+473955?<3f83=7>5$542>7>>32wi>ko50;194?6|,=:=6?69;I66=>N3:h1/8;?52978 4cc29:02`?M2212B?>l5+4739<0=#9ln198>4igf94?"3>80:<454o3:2>5<#574H543?>{e;0:1<7<50;2x 16128:h7E::9:J76d=#9:538L13>3A>9m6*;6080b>"6mm0>9=5ffd83>!2193;;565ffg83>!2193;;565f11294?"3>80:<454o3:2>5<#574;|`0=7<72:0;6=u+41496=0<@=?27E:=a:&724<50<1/=hj55428mcc=83.?:<4>0898mc`=83.?:<4>0898k7>6290/8;?529;8?xd><3:1?7>50z&743<50?1C8874H50b?!21938396*>ee8615=nnl0;6):91;33=>=nno0;6):91;33=>=h:1;1<7*;6081<<=6=4<:183!27>383:6F;589K07g<,=<:6?6:;%3f`?3282cmi7>5$542>46>32cmj7>5$542>46>32e94<4?:%655?4?121vn4850;194?6|,=:=69>4H57:?M25i2.?:<45?50;&724<50010qo78:181>5<7s->;:7??c:J71<=O<;k0(98>:978 4cc2=8391<7>t$525>16<@=?27E:=a:&724<4n2.:ii4:519jb`<72->==7??9:9jbc<72->==7??9:9l6=7=83.?:<4=8898yg?>29086=4?{%632?273A>>56F;2`9'037=;o1/=hj55428mcc=83.?:<4>0898mc`=83.?:<4>0898k7>6290/8;?529;8?xd>i3:1?7>50z&743<50?1C8874H50b?!21938396*>ee8615=nnl0;6):91;33=>=nno0;6):91;33=>=h:1;1<7*;6081<<=383:6F;589K07g<,=<:6?6:;%3f`?3282cmi7>5$542>46>32cmj7>5$542>46>32e94<4?:%655?4?121vn>6<:180>5<7s->;:7:?;I66=>N3:h1/8;?53g9'5`b==<:0ekk50;&724<68010ekh50;&724<68010c?6>:18'037=:1307pl<8583>7<729q/8=8511a8L13>3A>9m6*;608;1>"6mm0>9=5ffe83>!2193;;565`29394?"3>809445G4728?xd40<0;6>4?:1y'050=<91C8874H50b?!21939m7)?jd;764>oam3:1(98>:02:?>oan3:1(98>:02:?>i5080;6):91;0;=>=zj:2=6=4=:183!27>3;;o6F;589K07g<,=<:65;4$0gg>0373`lo6=4+473955?<3f83=7>5$542>7>>3A>=<65rb2:4>5<4290;w):?6;63?M2212B?>l5+47397c=#9ln198>4igg94?"3>80:<454igd94?"3>80:<454o3:2>5<#574;|`0<=<72:0;6=u+414905=O<<30D96;:keb?6=,=<:6<>6;:m1<4<72->==7<79:9~f6>>29086=4?{%632?4?>2B?945G43c8 1062;2>7)?jd;764>oam3:1(98>:02:?>oan3:1(98>:02:?>i5080;6):91;0;=>=zj:=h6=4=:183!27>3;;o6F;589K07g<,=<:65;4$0gg>0373`lo6=4+473955?<3f83=7>5$542>7>>3A>=<65rb25g>5<4290;w):?6;0;2>N3=01C8?o4$542>7>23-;nh7;:0:kea?6=,=<:6<>6;:keb?6=,=<:6<>6;:m1<4<72->==7<79:9~f61b29086=4?{%632?273A>>56F;2`9'037=;o1/=hj55428mcc=83.?:<4>0898mc`=83.?:<4>0898k7>6290/8;?529;8?xd4?o0;6>4?:1y'050=:1<0D9;6;I61e>"3>809485+1df91065}#<9<1>584H57:?M25i2.?:<4=849'5`b==<:0ekk50;&724<68010ekh50;&724<68010c?6>:18'037=:1307pl7<729q/8=8511a8L13>3A>9m6*;608;1>"6mm0>9=5ffe83>!2193;;565`29394?"3>809445G4728?xd4i80;6?4?:1y'050=99i0D9;6;I61e>"3>80396*>ee8615=nnm0;6):91;33=>=h:1;1<7*;6081<<=O6<729q/8=85419K00?<@=8j7):91;1e?!7bl3?><6gie;29 10628:276gif;29 10628:276a=8083>!219383565rb2c0>5<4290;w):?6;0;2>N3=01C8?o4$542>7>23-;nh7;:0:kea?6=,=<:6<>6;:keb?6=,=<:6<>6;:m1<4<72->==7<79:9~f06729096=4?{%632?77k2B?945G43c8 10621?0(:3::?M21821vn8>>:181>5<7s->;:7??c:J71<=O<;k0(98>:978 4cc2:3::?M21821vn8><:181>5<7s->;:7??c:J71<=O<;k0(98>:978 4cc27)?jd;764>oam3:1(98>:02:?>oan3:1(98>:02:?>o6890;6):91;33=>=h:1;1<7*;6081<<=54;294~"38?0?=6F;589K07g<,=<:6>h4$0gg>0373`ln6=4+473955?<3`lm6=4+473955?<3`;;<7>5$542>46>32e94<4?:%655?4?121vn>o::180>5<7s->;:7<76:J71<=O<;k0(98>:3:6?!7bl3?><6gie;29 10628:276gif;29 10628:276a=8083>!219383565rb2c5>5<5290;w):?6;33g>N3=01C8?o4$542>=3<,8oo68;?;hdg>5<#=zj:k<6=4=:183!27>3;;o6F;589K07g<,=<:65;4$0gg>0373`lo6=4+473955?<3f83=7>5$542>7>>3A>=<65rb2c;>5<3290;w):?6;62?M2212B?>l5+47397c=#9ln198>4igg94?"3>80:<454igd94?"3>80:<454i023>5<#t$525>16<@=?27E:=a:&724<4n2.:ii4:519jb`<72->==7??9:9jbc<72->==7??9:9l6=7=83.?:<4=8898yg56<3:1?7>50z&743<50?1C8874H50b?!21938396*>ee8615=nnl0;6):91;33=>=nno0;6):91;33=>=h:1;1<7*;6081<<=53;294~"38?0?<6F;589K07g<,=<:6>h4$0gg>0373`ln6=4+473955?<3`lm6=4+473955?<3f83=7>5$542>7>>32wi?<650;094?6|,=:=6<>l;I66=>N3:h1/8;?5849'5`b==<:0ekj50;&724<68010c?6>:18'037=:130D98?;:a74?=8391<7>t$525>7>13A>>56F;2`9'037=:1?0(:3::?>{e;8k1<7=50;2x 1612=:0D9;6;I61e>"3>808j6*>ee8615=nnl0;6):91;33=>=nno0;6):91;33=>=h:1;1<7*;6081<<=53;294~"38?094;5G44;8L14f3->==7<75:&2aa<2=91bjh4?:%655?77121bjk4?:%655?77121d>5?50;&724<50010qo=?c;297?6=8r.?<;4=879K00?<@=8j7):91;0;1>"6mm0>9=5ffd83>!2193;;565ffg83>!2193;;565`29394?"3>8094454}c13`?6=:3:123-;nh7;:0:ke`?6=,=<:6<>6;:m1<4<72->==7<79:J725=52;294~"38?0:==76:;%3f`?3282cmh7>5$542>46>32e94<4?:%655?4?12B?:=54}c13b?6=;3:1==7??9:9jbc<72->==7??9:9l6=7=83.?:<4=8898yg5683:1?7>50z&743<382B?945G43c8 1062:l0(:3::?>{e;8;1<7=50;2x 1612;2=7E::9:J76d=#5;4$0gg>0373`ln6=4+473955?<3`lm6=4+473955?<3f83=7>5$542>7>>32wx=84?:3y]50=:;:h1jh5rs0494?4|V8<01>:7:gg8yv702909wS?8;<17a?`b3ty:47>52z\2<>;4==0mi6s|1883>7}Y9016?8o5fd9~w4g=838pRccc;296~X6k278?94ie:p5a<72;qU=i523729b`=z{8o1<72oo0q~?i:181[7a3499o7hi;|q14?6=:rT9<63=f68eb>{t:;0;6?uQ239>70c=no1v?850;0xZ70<5:926kh4}r04>5<5sW8<70=;6;de?xu503:1>vP=8:?00f44?:3y]6<=:;<81jk5rs3c94?4|V;k01>;7:gd8yv4e2908wS7hi;|q1g?6=:rT9o63<658eb>{t:m0;6?uQ2e9>77c=nl1v5<50;5xZ=4<5;2j6l84=3:`>cb<5:;o6kk4=2c4>cb<5:;<6kk4=22g>cb7c734>i>7hk;|q:7?6=:r72>7o9;<;a>7>63ty287>52z?:0?4?9272n7hj;|q:1?6=:r7297<71:?:52z?:2?4?927257hj;|q:3?6=:r72;7<71:?:=?`a3ty247>52z?:52z?:e?4?9272n7hi;|q:`?6=98qU5i5229c9<==:;921455238`9<==:;8?1455230g9<==:1;03463<248;<>;4?>03463<798;<>;4?003463<7`8;<>;40903463<808;<>;40h03463<8e8;<>;4:=0mh6s|26f94?2|5=?j6kl4=3:b>52z?1e2909w0<7a;;`?84?l383=6s|29a94?4|5;2h6?6>;<0;`?`a3ty9j=4?:3y]6c6<5:8m6?6>;|q1b4<72;qU>k?4=216>7>63ty9j94?:3y]6c2<5:9h6?6>;|q1b0<72;qU>k;4=261>7>63ty9j;4?:2y]6c0{t:o=1<77>6348mm7hj;|q1b=<72;q6>k65293897`>2ol0q~;4<0094<5rs3d`>5<5sW8mo63<4g81<4=z{;lo6=4={_0e`>;4=<094<5rs3df>5<5sW8mi63<5c81<4=z{;lm6=4={_0eb>;4>8094<5rs223>5<5sW9;<63<6681<4=z{::96=4<{_136>;49m0mj63:018e`>{t;921<7;t=22;>7c7349:h7??0:?66d>n:3:2?xu48k0;6?u231:9=f=:;8;1>5?4}r13g?6=:r78746=nl1v>>k:181857l383=63<0g8ea>{t;9o1<77>6349;j7hi;|q04c<72;q6?=h5293896772ol0q~=>0;296~;499094<523039bc=z{:;96=4={<13e?`c349:87<71:p745=838p1>?<:3:2?856<3lm7p}<1483>6}Y;8?01>?::3g3?856?3lm7p}<1783>7}:;8?1m;5230`96=752z?052<50816?<75fg9~w67?2909w0=>8;0;5>;49h0mj6s|30;94?4|5:;26?6>;<12f?`b3ty8=l4?:3y>74g=:1;01>?m:gd8yv56k3:1;v3<8b8eb>;49m094<523329bc=:;;21jk5239d9ba=:1<0mi63<878e`>{t;8o1<7?8{<12a?4b8278>n4ie:?06a<>:3:2?xu4:90;6?u233296=7<5:8:6kh4}r116?6=:r78>?4=e19>155=nm1v><<:180855:32370:nf;:;?855<383=6s|33794?4|5:8>6?k?;<736?`c3ty8>;4?:3y>773=i?16??752938yv55?3:1>v3<2681<4=:;;31jh5rs20;>5<5s49947<71:?06<h4=809>77`=no1v>=>:181854:383=63<348ea>{t;:81<77>6349887hi;|q076<72;q6?>:5293896522ol0q~=<8;296~;4;0094<5232a9b`=z{:926=4={<10e?4?9278?o4if:p76g=838p1>=m:3:2?854k3lm7p}<3d83>7}:;:l1>5?4=261>cc52z?005<50816?9?5fg9~w6272909w0=;1;0;5>;4<;0mj6s|35794?4|5:>=6?6>;<17=?`b3ty88;4?:3y>711=:1;01>:7:gd8yv53?3:1>v3<4981<4=:;=31jk5rs26a>5<5s49?o7<71:?00cm6kh4}r165?6=:r789?4=809>703=nl1v>;=:181852;383=63<558eb>{t;<91<77>6349>97hi;|q012<72;q6?8652938963e2oo0q~=:8;296~;4=0094<5234c9bc=z{:?26=4={<16e?4?92789o4if:p70b=838p1>;j:3:2?85193ln7p}<5d83>7}:;5?4=243>c`j7>52z?025<50816?;?5fg9~w6042909w0=94;0;5>;4>>0mi6s|37694?4|5:<>6?6>;<152?`a3ty8:84?:3y>730=:1;01>88:gd8yv5113:1>vP<689>15b=nm1v>98:181850?38n<63<7g8ea>{t;>21<7=t=254>d0<5:=36?k?;<1:b?`a3ty8;44?:5y>72>=i?16?:752d2896402oo01>7i:gg8yv50i3:18v3<7`81a5=:;081jh5230;9b`=:;9i1jk5rs25a>5<5s4972`=no1v>6?:18785?838n<6366;de?85?;3lm70=8e;df?xu4080;69u236;9e3=:;1;1>h>4=204>c`<50>1jk5rs2:1>5<5s493=7o9;<1;=?4?92wx?5=50;0x96>42;2:70=77;df?xu40=0;6?u239696=7<5:2<6kh4}r1;1?6=:r78484=809>7=>=nl1v>69:18185?>383=63<898eb>{t;1=1<77>6349357hj;|q0<=<72;q6?565293896>>2ol0q~=7a;293~;4:;0j:63<818b2>;40h09i=523339b`=:1=0mi63<158ea>;4980mi6s|39`94?5|5:2j6l84=2:`>7>63483h7hj;|q0d2oo01>7>:gd89<>=nl16?5;5fg9>745=nl16?75e=nl1v>6j:18185?l3k=70=62;0;5>{t;1l1<77>63492=7hj;|q0=5<72;q6?4>5293896?628:;7p}<9083>7}:;0;1>5?4=2;1>c`55z?0=g<5m9165:4id:?0<17k:3:2?xu41l0;6?u238`9=f=:;h91>5?4}r1:b?6=:r785k4=809>7d5=nl1v>o?:18185f8383=63{t;h;1<77>6349j>7hi;|q0e7<72;q6?l<5293896g42ol0q~=n4;292~;4190mh6365;de?85?=3ln70=n8;0;5>;49:0mj63<198e`>{t;h?1<77>6349j47hi;|q0e3<72;q6?l85293896g?2oo0q~=n7;296~;4i>094<523`:955654z\0ed=:;0n1jk523`29ba=:;h?1jh5rs2`7>5<0sW9i863<9e8ea>;>>3ln70=73;df?850k3lo70=n1;dg?85f=3lm7p};8083>3}Y<1;018??:gd891`72oo018<8:gf891cf2on018>;:gd8yv2fn3:1>vP;ag9>0d`=:l:0q~:m1;296~;3io0j:63;b381<4=z{=n>6=4={_6g1>;3mj0mh6s|4d594?4|V=o<70:j8;0;5>{tcb<5=oo6?6>;|q7ad<72;q68ho5293891cc2ol0q~:jb;296~;3mk094<524df9556no7>52z?7af<508168hj51138yv2bm3:1>vP;ed9>0c6=:1;0q~:jf;296~;3mo094<524g29bc=z{=lm6=4={_6eb>;28<094<5rs423>5<5s4?;<7<71:?641{t=981<77>634?;97hj;|q646<72;q69==52938906228:;7p}:0583>7}:=9>1>5?4=426>c`52z\64f=:=9n1>5?4}r73b?6=:rT>7>52z\657=:=;31ji5rs407>5<5sW?9863:2481<4=z{<8=6=4={<711?`c34?9m7<71:p171=838p18<8:3:2?835i3lm7p}:2983>7}:=;21>5?4=40b>4673ty>>44?:3y>17?=:1;0180;6<85808;`~N3:h1/8=851358 4cd2:l97d7k:188m4442900e<8?:188m46a2900e<:=:188k4062900e96>:188m=4=831d=;=50;9j0a3=831b=?o50;9j11?=831b9<<50;9l512=831d=n750;9j73?=831d8n<50;9l50?=831d=o:50;9l6`<722e8o7>5;n6:=?6=3k>>m7>51;294~"38?0mo6F;589K07g<,=<:6988;%3f`?3282emn7>5$542>7>>32wi84750;796?0|,=:=6k:4H57:?M25i2.:ii4:519j=f<722c2i7>5;h:;>5<6:18'037=99307d7l:18'037=1j10e4j50;&724<>l21b?om50;&724<4jj10e>99:18'037=;><07b<79;29 1062;2276sm44g94?7=83:p(98>:544?j4?13:1(98>:3::?>{t:l:1<77}Yi?16>h>511;8yv?b2909wS7j;<0f4?50>2wx5n4?:3y]=f=::l:15n5rs9:94?4|V1201?k?:8f8yv`d2909w0;3=l09445r}c1`0?6==381:v*;078e0>N3=01C8?o4$02a>`=#9ln198>4i8a94?=n1l0;66g78;29?lg12900c?k?:188f7c7290=6=4?{%655?`03`;;57>5$542>46>32c2o7>5$542>5$542>61132e9444?:%655?4?121vn9;j:182>5<7s->==7:97:m1<<<72->==7<79:9~w7c72909wS6;|q:a?6=:rT2i63=e18033=z{0i1<7?348n<77k;|qeg?6=:r79i=400c=:130qplr.?<;4i4:J71<=O<;k0(5;n0f4?6=3k8n<7>56;294~"3>80m;6g>0883>!2193;;565f9b83>!21933h76g6d;29 10620n07d=mc;29 1062:hh76g<7783>!21939<:65`29;94?"3>8094454}c66a?6=93:152z\1a5=::l:1>574}rc5>5<5sWk=70{t1l0;6?uQ9d9>6`6=;><0q~7l:181[?d348n<77l;|q;{tnj0;6?u22d297ge<5=?n6?66;|ae1<72:0969u+4149b7=O<<30D95;hc5>5<5<22;0=w):?6;d6?!7bl3?><6a=e183>>o5lo0;66gn6;29?l?b2900e5650;9ab0<72?0;6=u+4739b2=n9931<7*;60824<==n1m0;6):91;;g?>o4jj0;6):91;1ag>=n;><1<7*;608033=5}#5:4o3:f>5<#574;|qb2?6=:rTj:63i5;33=>{t010;6?uQ899>b0<>l2wx5h4?:3y]=`=:n<08;;5rs3fe>5<5sW8oj63i5;1ag>{t:l:1<77}:n<02o63;5b81<`=zuk81<7?50;2x 14>201/8=85fb9'037=279i=4n6:p<=<72;qU45522d29<==z{<0;6>u22d296a`<5;o;64k4=38ef>"3:00h7psm24c94?5=:3>p(9>9:g08L13>3A>9m6*>ee8615=n010;66gn6;29?j4b83:17or.?<;4i5:&2aa<2=91d>h>50;9j6a`=831bm;4?::k:a?6=3`236=44bg794?0=83:p(98>:g58m46>290/8;?511;8?l?d290/8;?59b98m:3::?>{e<7}Yi?16j84>089~w=>=838pR564=g79=a=z{0o1<7<0q~1003-;nh7;:0:mef?6=,=<:6?66;:p6`6=838pR?k?;<0f4?4b82wxm;4?:3y]e3=::l:1m;5rs9:94?4|V1201?k?:9:8yv3=839p1?k?:3fe?84b833n70<5fc9'07?=k2wvn<;6:186>7<1s->;:7h;;I66=>N3:h1/=hj55428m5<ll:18'037=;ki07d=86;29 1062:==76a=8883>!219383565rb57f>5<6290;w):91;653>i5000;6):91;0;=>=z{;o;6=4={_0f4>;5m909445rs`494?4|Vh<01?k?:02:?xu>m3:1>vP6e:?1a5<4??1v4m50;0xZ5<5sW2370v3=e180ff=:<574}|`1a?6=;3818v*;078e6>N3=01C8?o4$0gg>0373`236=44i`494?=h:l:1<75m2d294?3=:39:g78 4cc23:1o6800;6):91;33=>=n1j0;6):91;;`?>o>l3:1(98>:8f8?l5ek3:1(98>:2``?>o4??0;6):91;142>=h:131<7*;6081<<=>o7>51;294~"3>809495`29g94?"3>8094454}rc5>5<5sWk=70h::02:?xu?03:1>vP78:?e1??c3ty2i7>52z\:a>;a=39<:6s|2ed94?4|V;nm70h::2``?xu5m90;6?uQ2d289c3=:130q~:=b;296~;a=33h70::c;0;a>{zj;0;6<4?:1y'07?=12.?<;4ic:&724<3>>1/=hj55428kcd=83.?:<4=8898yv4b83:1>vP=e19>6`6=:l:0q~o9:181[g1348n<7o9;|q;{t=3:1?v3=e181`c=::l:15h522;da?!2513i0qpl>b583>6<52=q/8=85f39K00?<@=8j7)?jd;764>o?03:17do9:188k7c72900n?k?:186>7<1s->;:7h:;%3f`?3282e9i=4?::k1`c<722cj:7>5;h;f>5<3<729q/8;?5f69j55?=83.?:<4>0898m==77k;:k0ff<72->==7=mc:9j720=83.?:<4<7798k7>>290/8;?529;8?xd3=j0;6<4?:1y'037=:1>0c?6j:18'037=:1307p}n6;296~Xf>27m97??9:p<=<72;qU4552f48:`>{t1l0;6?uQ9d9>b0<4??1v?ji:181[4cn27m97=mc:p6`6=838pR?k?;7>>3ty?>o4?:3y>b0<>k27?9n4=8d9~yg4=83;1<7>t$50:><=#<9<1jn5+4739031<,8oo68;?;nda>5<#574;|q1a5<72;qU>h>4=3g3>7c73tyj:7>52z\b2>;5m90j:6s|8983>7}Y0116>h>5899~w0<72:q6>h>52ed897c720o01?4ib:&76<5;n0f4?6=3k8n<7>55;092~"38?0m96*>ee8615=h:l:1<75f2ed94?=ni?0;66g6e;29?l>?2900nk;50;494?6|,=<:6k94i02:>5<#5<#802h65f3ca94?"3>808nn54i255>5<#t$542>7>33f83i7>5$542>7>>32wxm;4?:3y]e3=:n<0:<45rs9:94?4|V1201k;59e9~w52z\1`c=:n<08nn5rs3g3>5<5sW8n<63i5;0;=>{t<;h1<75k4}|`1>5<6290;w):=9;;8 1612oi0(98>:544?!7bl3?><6aib;29 1062;2276s|2d294?4|V;o;70{ti?0;6?uQa79>6`6=i?1v5650;0xZ=><5;o;6564}r794?5|5;o;6?ji;<0f4??b3481jo5+43;9g>{zj8i26=4<:387!27>3l97E::9:J76d=#9ln198>4i9:94?=ni?0;66a=e183>>d5m90;684=:7y'050=n<1/=hj55428k7c72900e?ji:188md0=831b5h4?::k;6=49:183!2193l<7d??9;29 10628:276g6c;29 10620i07d7k:18'037=1m10e>ll:18'037=;ki07d=86;29 1062:==76a=8883>!219383565rb57`>5<6290;w):91;0;0>i50l0;6):91;0;=>=z{h<1<7?34l>64j4}r;f>5<5sW3n70h::255?xu5lo0;6?uQ2ed89c3=;ki0q~446;%632?`d3->==7:97:&2aa<2=91djo4?:%655?4?121v?k?:181[4b8279i=4=e19~wd0=838pRl84=3g3>d0;52oh0(9<6:b9~yg71;3:1?7<54z&743h>50;9a6`6=83?1>78t$525>c3<,8oo68;?;n0f4?6=3`8oj7>5;hc5>5<>da=3:1:7>50z&7245$542>5$542>6dd32c8;;4?:%655?50>21d>5750;&724<50010qo::c;295?6=8r.?:<4=859l6=c=83.?:<4=8898yvg12909wSo9;46>3ty347>52z\;<>;a=33o7p}6e;296~X>m27m97=86:p6a`=838pR?ji;6dd3ty9i=4?:3y]6`6<5o?1>574}r61f?6=:r7m977l;<66g?4?m2wvn?4?:083>5}#<;3156*;078eg>"3>80?::5+1df910652z\1a5=::l:1>h>4}rc5>5<5sWk=70vP78:?1a553z?1a5<5lo16>h>59d9>6?`e3->957m4}|`206<72:0969u+4149b7=O<<30D95;hc5>5<5<22;0=w):?6;d6?!7bl3?><6a=e183>>o5lo0;66gn6;29?l?b2900e5650;9ab0<72?0;6=u+4739b2=n9931<7*;60824<==n1m0;6):91;;g?>o4jj0;6):91;1ag>=n;><1<7*;608033=5}#5:4o3:f>5<#574;|qb2?6=:rTj:63i5;33=>{t010;6?uQ899>b0<>l2wx5h4?:3y]=`=:n<08;;5rs3fe>5<5sW8oj63i5;1ag>{t:l:1<77}:n<02o63;5b81<`=zuk81<7?50;2x 14>201/8=85fb9'037=279i=4n6:p<=<72;qU45522d29<==z{<0;6>u22d296a`<5;o;64k4=38ef>"3:00h7psm13094?5=:3>p(9>9:g08L13>3A>9m6*>0c8f?!7bl3?><6g78;29?lg12900c?k?:188f7c7290>6?49{%632?`23-;nh7;:0:m1a5<722c9hk4?::kb2?6=3`3n6=44i9:94?=en<0;6;4?:1y'037=n>1b==750;&724<68010e4m50;&724<>k21b5i4?:%655??c32c8nn4?:%655?5ek21b?:850;&724<4??10c?66:18'037=:1307pl;5b83>4<729q/8;?52968k7>b290/8;?529;8?xuf>3:1>vPn6:?e1?7712wx454?:3y]<==:n<02h6s|9d83>7}Y1l16j84<779~w7ba2909wSh>50;0xZ7c734l>6?66;|q76g<72;q6j846c:?71f<50l1vqo<50;394?6|,=82645+4149bf=#0373fli6=4+47396=?<3ty9i=4?:3y]6`6<5;o;6?k?;|qb2?6=:rTj:63=e18b2>{t010;6?uQ899>6`6=011v84?:2y>6`6=:ml01?k?:8g89744l;|a5f3=83?1>78t$525>c2<@=?27E:=a:&2aa<2=91b5n4?::k:a?6=3`236=44i`494?=h:l:1<75m2d294?0=83:p(98>:g58m46>290/8;?511;8?l?d290/8;?59b98m:3::?>{e<{ti?0;6?uQa79>6`6=9930q~7j:181[?b348n<7=86:p=f<72;qU5n522d29=f=z{121<797>55;092~"38?0m86F;589K07g<,8oo68;?;h;`>5<>of>3:17b50z&7245$542>5$542>6dd32c8;;4?:%655?50>21d>5750;&724<50010qo::e;295?6=8r.?:<4;669l6=?=83.?:<4=8898yv4b83:1>vP=e19>6`6=:130q~o9:181[g1348n<7??9:p=`<72;qU5h522d29720h>53ca8913b2;227psm16a94?3=:39:g68L13>3A>9m6*>ee8615=n1j0;66g6e;29?l>?2900el850;9l6`6=831i>h>50;494?6|,=<:6k94i02:>5<#5<#802h65f3ca94?"3>808nn54i255>5<#t$542>1003f8357>5$542>7>>32wx>h>50;0xZ7c7348n<7<79:pe3<72;qUm;522d2955?7}Y1j16>h>59b9~w=>=838pR564=3g3>=831bm;4?::m1a5<722h9i=4?:481>3}#<9<1j85+1df91065<>o?03:17oh::185>5<7s->==7h8;h33=?6=,=<:6<>6;:k:g?6=,=<:64m4;h;g>5<#5<#99;:m1<<<72->==7<79:9~f13d290:6=4?{%655?4?<2e94h4?:%655?4?121vl850;0xZd0<5o?1==74}r:;>5<5sW2370h::8f8yv?b2909wS7j;6113ty9hk4?:3y]6a`<5o?1?om4}r0f4?6=:rT9i=52f481<<=z{=8i6=4={3lh7):91;653>"6mm0>9=5`fc83>!219383565rs3g3>5<5sW8n<63=e181a5=z{h<1<7?348n<767;|q6>5<4s48n<7m2796kl4$50:>f=zuk9m;7>53;090~"38?0m>6F;589K07g<,8:i6h5+1df9106>i5m90;66l=e183>0<52?q/8=85f49'5`b==<:0c?k?:188m7ba2900el850;9j=`<722c347>5;cd6>5<1290;w):91;d4?l7713:1(98>:02:?>o>k3:1(98>:8a8?l?c290/8;?59e98m6dd290/8;?53ca8?l50>3:1(98>:255?>i5000;6):91;0;=>=zj=?h6=4>:183!21938386a=8d83>!219383565rs`494?4|Vh<01k;511;8yv>?2909wS67;7}Y:ml01k;53ca8yv4b83:1>vP=e19>b0<5001v950z&76<<>3->;:7hl;%655?21?2.:ii4:519lbg<72->==7<79:9~w7c72909wS5<5sW2370;5m902i63=:g`8 14>2j1vqo?92;296?6=8r.?<;4>0b9K00?<@=8j7):91;:6?!7bl3?><6gid;29 10628:276a=8083>!21938356F;6198yg2d;3:1>7>50z&743<68j1C8874H50b?!21932>7)?jd;764>oal3:1(98>:02:?>i5080;6):91;0;=>N3>910qo?:7;296?6=8r.?<;4>0b9K00?<@=8j7):91;:6?!7bl3?><6gid;29 10628:276a=8083>!21938356F;6198yg7d?3:1>7>50z&743<68j1C8874H50b?!21932>7)?jd;764>oal3:1(98>:02:?>i5080;6):91;0;=>N3>910qo:6c;296?6=8r.?<;4>0b9K00?<@=8j7):91;:6?!7bl3?><6gid;29 10628:276a=8083>!21938356F;6198yg5b29086=4?{%632?4?>2B?945G43c8 1062;2>7)?jd;764>oam3:1(98>:02:?>oan3:1(98>:02:?>i5080;6):91;0;=>=zj=:?6=4=:183!27>3;;o6F;589K07g<,=<:65;4$0gg>0373`lo6=4+473955?<3f83=7>5$542>7>>3A>=<65rb526>5<4290;w):?6;63?M2212B?>l5+47397c=#9ln198>4igg94?"3>80:<454igd94?"3>80:<454o3:2>5<#574;|`7g3<72:0;6=u+414905=O<<30D96;:keb?6=,=<:6<>6;:m1<4<72->==7<79:9~f1e029096=4?{%632?77k2B?945G43c8 10621?0(:3::?M21821vn9m7:181>5<7s->;:7??c:J71<=O<;k0(98>:978 4cc229086=4?{%632?273A>>56F;2`9'037=;o1/=hj55428mcc=83.?:<4>0898mc`=83.?:<4>0898k7>6290/8;?529;8?xd3kh0;6>4?:1y'050=<91C8874H50b?!21939m7)?jd;764>oam3:1(98>:02:?>oan3:1(98>:02:?>i5080;6):91;0;=>=zj8<>6=4<:183!27>3>;7E::9:J76d=#5}#<9<1==m4H57:?M25i2.?:<475:&2aa<2=91bji4?:%655?77121d>5?50;&724<5001C8;>4;|`222<72:0;6=u+414905=O<<30D96;:keb?6=,=<:6<>6;:m1<4<72->==7<79:9~f6`>29096=4?{%632?77k2B?945G43c8 10621?0(:3::?M21821vn>hm:180>5<7s->;:7<76:J71<=O<;k0(98>:3:6?!7bl3?><6gie;29 10628:276gif;29 10628:276a=8083>!219383565rb2d`>5<4290;w):?6;63?M2212B?>l5+47397c=#9ln198>4igg94?"3>80:<454igd94?"3>80:<454o3:2>5<#574;|`0ba<72;0;6=u+414955e<@=?27E:=a:&724==7??9:9l6=7=83.?:<4=889K036<3th8jh4?:383>5}#<9<1==m4H57:?M25i2.?:<475:&2aa<2=91bji4?:%655?77121d>5?50;&724<5001C8;>4;|`0bc<72:0;6=u+414905=O<<30D96;:keb?6=,=<:6<>6;:m1<4<72->==7<79:9~f16729086=4?{%632?273A>>56F;2`9'037=;o1/=hj55428mcc=83.?:<4>0898mc`=83.?:<4>0898k7>6290/8;?529;8?xd3880;6>4?:1y'050=:1<0D9;6;I61e>"3>809485+1df91065}#<9<18=5G44;8L14f3->==7=i;%3f`?3282cmi7>5$542>46>32cmj7>5$542>46>32e94<4?:%655?4?121vn>hn:180>5<7s->;:7<76:J71<=O<;k0(98>:3:6?!7bl3?><6gie;29 10628:276gif;29 10628:276a=8083>!219383565rb2d6>5<4290;w):?6;63?M2212B?>l5+47397c=#9ln198>4igg94?"3>80:<454igd94?"3>80:<454o3:2>5<#574;|`0b3<72:0;6=u+41496=0<@=?27E:=a:&724<50<1/=hj55428mcc=83.?:<4>0898mc`=83.?:<4>0898k7>6290/8;?529;8?xd31l0;6>4?:1y'050=<91C8874H50b?!21939m7)?jd;764>oam3:1(98>:02:?>oan3:1(98>:02:?>i5080;6):91;0;=>=zj=3m6=4=:183!27>3;;o6F;589K07g<,=<:65;4$0gg>0373`lo6=4+473955?<3f83=7>5$542>7>>3A>=<65rb5c3>5<4290;w):?6;0;2>N3=01C8?o4$542>7>23-;nh7;:0:kea?6=,=<:6<>6;:keb?6=,=<:6<>6;:m1<4<72->==7<79:9~f43e29086=4?{%632?273A>>56F;2`9'037=;o1/=hj55428mcc=83.?:<4>0898mc`=83.?:<4>0898k7>6290/8;?529;8?xd6=j0;6?4?:1y'050=99i0D9;6;I61e>"3>80396*>ee8615=nnm0;6):91;33=>=h:1;1<7*;6081<<=O5e83>7<729q/8=8511a8L13>3A>9m6*;608;1>"6mm0>9=5ffe83>!2193;;565`29394?"3>809445G4728?xd6=l0;6>4?:1y'050=<91C8874H50b?!21939m7)?jd;764>oam3:1(98>:02:?>oan3:1(98>:02:?>i5080;6):91;0;=>=zj8?m6=4<:183!27>383:6F;589K07g<,=<:6?6:;%3f`?3282cmi7>5$542>46>32cmj7>5$542>46>32e94<4?:%655?4?121vn<86:180>5<7s->;:7:?;I66=>N3:h1/8;?53g9'5`b==<:0ekk50;&724<68010ekh50;&724<68010c?6>:18'037=:1307pl>6g83>7<729q/8=8511a8L13>3A>9m6*;608;1>"6mm0>9=5ffe83>!2193;;565`29394?"3>809445G4728?xd6?90;6?4?:1y'050=99i0D9;6;I61e>"3>80396*>ee8615=nnm0;6):91;33=>=h:1;1<7*;6081<<=O7083>6<729q/8=85419K00?<@=8j7):91;1e?!7bl3?><6gie;29 10628:276gif;29 10628:276a=8083>!219383565rb051>5<4290;w):?6;63?M2212B?>l5+47397c=#9ln198>4igg94?"3>80:<454igd94?"3>80:<454o3:2>5<#574;|`236<72;0;6=u+414955e<@=?27E:=a:&724==7??9:9l6=7=83.?:<4=889K036<3th:;94?:383>5}#<9<1==m4H57:?M25i2.?:<475:&2aa<2=91bji4?:%655?77121d>5?50;&724<5001C8;>4;|`230<72;0;6=u+414955e<@=?27E:=a:&724==7??9:9l6=7=83.?:<4=889K036<3th:;;4?:283>5}#<9<1>584H57:?M25i2.?:<4=849'5`b==<:0ekk50;&724<68010ekh50;&724<68010c?6>:18'037=:1307pl>6`83>6<729q/8=85419K00?<@=8j7):91;1e?!7bl3?><6gie;29 10628:276gif;29 10628:276a=8083>!219383565rb04a>5<4290;w):?6;63?M2212B?>l5+47397c=#9ln198>4igg94?"3>80:<454igd94?"3>80:<454o3:2>5<#574;|`22f<72:0;6=u+41496=0<@=?27E:=a:&724<50<1/=hj55428mcc=83.?:<4>0898mc`=83.?:<4>0898k7>6290/8;?529;8?xd6>m0;694?:1y'050=<81C8874H50b?!21939m7)?jd;764>oam3:1(98>:02:?>oan3:1(98>:02:?>o6890;6):91;33=>=h:1;1<7*;6081<<=53;294~"38?094;5G44;8L14f3->==7<75:&2aa<2=91bjh4?:%655?77121bjk4?:%655?77121d>5?50;&724<50010qo?lb;297?6=8r.?<;4;0:J71<=O<;k0(98>:2d8 4cc29:02`?M2212B?>l5+4739<0=#9ln198>4igf94?"3>80:<454o3:2>5<#574H543?>{e9m91<7<50;2x 16128:h7E::9:J76d=#9:02`?M2212B?>l5+4739<0=#9ln198>4igf94?"3>80:<454o3:2>5<#574H543?>{e9m?1<7<50;2x 16128:h7E::9:J76d=#9:02`?M2212B?>l5+4739<0=#9ln198>4igf94?"3>80:<454o3:2>5<#574H543?>{e9m=1<7<50;2x 16128:h7E::9:J76d=#9:02`?M2212B?>l5+4739<0=#9ln198>4igf94?"3>80:<454o3:2>5<#574H543?>{e9m31<7=50;2x 1612;2=7E::9:J76d=#5;4$0gg>0373`ln6=4+473955?<3`lm6=4+473955?<3f83=7>5$542>7>>32wi=nm50;194?6|,=:=69>4H57:?M25i2.?:<45?50;&724<50010qo?ld;290?6=8r.?<;4;1:J71<=O<;k0(98>:2d8 4cc2!219383565rb0af>5<4290;w):?6;63?M2212B?>l5+47397c=#9ln198>4igg94?"3>80:<454igd94?"3>80:<454o3:2>5<#574;|`2gc<72:0;6=u+41496=0<@=?27E:=a:&724<50<1/=hj55428mcc=83.?:<4>0898mc`=83.?:<4>0898k7>6290/8;?529;8?xd6l90;694?:1y'050=<81C8874H50b?!21939m7)?jd;764>oam3:1(98>:02:?>oan3:1(98>:02:?>o6890;6):91;33=>=h:1;1<7*;6081<<=53;294~"38?094;5G44;8L14f3->==7<75:&2aa<2=91bjh4?:%655?77121bjk4?:%655?77121d>5?50;&724<50010qo?8e;297?6=8r.?<;4;0:J71<=O<;k0(98>:2d8 4cc29:3:5?M2212B?>l5+47396=3<,8oo68;?;hdf>5<#5<#t$525>46d3A>>56F;2`9'037=0<1/=hj55428mcb=83.?:<4>0898k7>6290/8;?529;8L10732wi=5?50;094?6|,=:=6<>l;I66=>N3:h1/8;?5849'5`b==<:0ekj50;&724<68010c?6>:18'037=:130D98?;:a5=4=8391<7>t$525>16<@=?27E:=a:&724<4n2.:ii4:519jb`<72->==7??9:9jbc<72->==7??9:9l6=7=83.?:<4=8898yg7?;3:1?7>50z&743<382B?945G43c8 1062:l0(:3::?>{e91>1<7:50;2x 1612=;0D9;6;I61e>"3>808j6*>ee8615=nnl0;6):91;33=>=nno0;6):91;33=>=n99:1<7*;60824<=5}#<9<18=5G44;8L14f3->==7=i;%3f`?3282cmi7>5$542>46>32cmj7>5$542>46>32e94<4?:%655?4?121vn5<7s->;:7??c:J71<=O<;k0(98>:978 4cc2:3::?M21821vn5<7s->;:7<76:J71<=O<;k0(98>:3:6?!7bl3?><6gie;29 10628:276gif;29 10628:276a=8083>!219383565rb0a3>5<5290;w):?6;33g>N3=01C8?o4$542>=3<,8oo68;?;hdg>5<#=zj8i:6=4=:183!27>3;;o6F;589K07g<,=<:65;4$0gg>0373`lo6=4+473955?<3f83=7>5$542>7>>3A>=<65rb0a1>5<5290;w):?6;33g>N3=01C8?o4$542>=3<,8oo68;?;hdg>5<#=zj8i86=4<:183!27>3>;7E::9:J76d=#5}#<9<1==m4H57:?M25i2.?:<475:&2aa<2=91bji4?:%655?77121d>5?50;&724<5001C8;>4;|`2f2<72=0;6=u+414904=O<<30D96;:keb?6=,=<:6<>6;:k245<72->==7??9:9l6=7=83.?:<4=8898yg7e03:187>50z&743<392B?945G43c8 1062:l0(:02:?>i5080;6):91;0;=>=zj8h26=4<:183!27>3>;7E::9:J76d=#5}#<9<1>584H57:?M25i2.?:<4=849'5`b==<:0ekk50;&724<68010ekh50;&724<68010c?6>:18'037=:1307pl>bc83>6<729q/8=85419K00?<@=8j7):91;1e?!7bl3?><6gie;29 10628:276gif;29 10628:276a=8083>!219383565rb0``>5<3290;w):?6;0;3>N3=01C8?o4$542>7>23-;nh7;:0:kea?6=,=<:6<>6;:keb?6=,=<:6<>6;:k245<72->==7??9:9l6=7=83.?:<4=8898yg73>3:1?7>50z&743<382B?945G43c8 1062:l0(:3::?>{e9=n1<7<50;2x 16128:h7E::9:J76d=#9:02`?M2212B?>l5+4739<0=#9ln198>4igf94?"3>80:<454o3:2>5<#574H543?>{e9=l1<7=50;2x 1612;2=7E::9:J76d=#5;4$0gg>0373`ln6=4+473955?<3`lm6=4+473955?<3f83=7>5$542>7>>32wi=8>50;094?6|,=:=6<>l;I66=>N3:h1/8;?5849'5`b==<:0ekj50;&724<68010c?6>:18'037=:130D98?;:a507=8381<7>t$525>46d3A>>56F;2`9'037=0<1/=hj55428mcb=83.?:<4>0898k7>6290/8;?529;8L10732wi=8<50;094?6|,=:=6<>l;I66=>N3:h1/8;?5849'5`b==<:0ekj50;&724<68010c?6>:18'037=:130D98?;:a505=8391<7>t$525>16<@=?27E:=a:&724<4n2.:ii4:519jb`<72->==7??9:9jbc<72->==7??9:9l6=7=83.?:<4=8898yg72<3:1>7>50z&743<68j1C8874H50b?!21932>7)?jd;764>oal3:1(98>:02:?>i5080;6):91;0;=>N3>910qo?;7;290?6=8r.?<;4;1:J71<=O<;k0(98>:2d8 4cc2!219383565rb06;>5<3290;w):?6;62?M2212B?>l5+47397c=#9ln198>4igg94?"3>80:<454igd94?"3>80:<454i023>5<#t$525>16<@=?27E:=a:&724<4n2.:ii4:519jb`<72->==7??9:9jbc<72->==7??9:9l6=7=83.?:<4=8898yg73i3:1?7>50z&743<50?1C8874H50b?!21938396*>ee8615=nnl0;6):91;33=>=nno0;6):91;33=>=h:1;1<7*;6081<<=53;294~"38?0?<6F;589K07g<,=<:6>h4$0gg>0373`ln6=4+473955?<3`lm6=4+473955?<3f83=7>5$542>7>>32wi=9m50;694?6|,=:=6?68;I66=>N3:h1/8;?52978 4cc2!219383565rb2a5>5<5290;w):?6;33g>N3=01C8?o4$542>=3<,8oo68;?;hdg>5<#=zj:i<6=4=:183!27>3;;o6F;589K07g<,=<:65;4$0gg>0373`lo6=4+473955?<3f83=7>5$542>7>>3A>=<65rb2a;>5<5290;w):?6;33g>N3=01C8?o4$542>=3<,8oo68;?;hdg>5<#=zj:i26=4=:183!27>3;;o6F;589K07g<,=<:65;4$0gg>0373`lo6=4+473955?<3f83=7>5$542>7>>3A>=<65rb2ab>5<4290;w):?6;63?M2212B?>l5+47397c=#9ln198>4igg94?"3>80:<454igd94?"3>80:<454o3:2>5<#574;|`0gg<72:0;6=u+41496=0<@=?27E:=a:&724<50<1/=hj55428mcc=83.?:<4>0898mc`=83.?:<4>0898k7>6290/8;?529;8?xd4kj0;694?:1y'050=<81C8874H50b?!21939m7)?jd;764>oam3:1(98>:02:?>oan3:1(98>:02:?>o6890;6):91;33=>=h:1;1<7*;6081<<=53;294~"38?094;5G44;8L14f3->==7<75:&2aa<2=91bjh4?:%655?77121bjk4?:%655?77121d>5?50;&724<50010q~;6>;0mh63;6?80mi63>728e`>;6l<0mh63>cb8ea>;6090mh63>c38e`>;6k:0mj63>538e`>;6=:0mj63{t;m0;6?u23b8:g>;4m383=6s|8383>d}Y0;16?n:5a79>50?=i?1684m5fe9>533=no16?km5fg9>0<`=nm16=8j5fe9>52c=nl16?n75fe9~w?349h8767;<1`>=><5h>1455224c9<==:9<3145522d8;<>;6j=03463>458;<>;6k003463>628;<>;6<:03463>238;<>;6k<03463>548;<>;6?j03463;4n>0346s|a583>7}:i=09i=523d8ea>{ti<0;6?u2a58b2>;38<094<5rs02e>5<5sW;;j63>238b2>{t9;81<7;t=001>7c7349m57hk;<1e1?`a34;=j7hk;<3g6?`c3ty:>>4?:3y]575<5=3n6kh4}r31e?6=>rT:>l524b49b`=:9??1jh523ga9b`=:9>o1jk523ba9bc=z{8>96=4={_376>;6<:0j:6s|15194?4|58>86?k?;<36f?`b3ty:894?:5y]512<58>?6?k?;<372?`b34;?;7hi;|q200<72;q6=9:5a79>51e=:1;0q~?;6;296~;6<6=4={<373?4?927:8l4ie:p51>=838p1<:7:3:2?873i3lm7p}>4883>7}:9=31>5?4=06`>4673ty:8l4?:3y>51g=:1;01<:m:gg8yv73j3:1>v3>4c81<4=:9=i1jh5rs06g>5<5s4;?h7<71:?202{t9=l1<77>634;?47hi;|q215<72;q6=8>52938942?2oo0q~?:1;296~;6=8094<5215:9556>7>52z?217<50816=9l5fg9~w4342909w0?:3;0;5>;6<00mi6s|14694?4|58??6?6>;<37=?`a3ty:984?:3y>503=:l:01<;<:gg8yv72>3:1>v3>548b2>;6=>094<5rs07:>5<5sW;>563>5881a5=z{8?j6=4={<36=??d34;>j7<71:p50d=838p1<;m:3:2?872m3ln7p}>5b83>7}:95?4=07f>c`h7>52z?21a<50816=8h5fg9~w43b2909w0?:e;0;5>;6=o0mi6s|17294?2|V8<;70?:c;dg?87?:3ln70=lb;df?xu6>80;6?uQ173894052;2:7p}>6283>6}Y9?901<8<:3g3?87113ln7p}>6583>2}:9?=1>5?4=051>cc<58io6kh4=0`g>cb<58hm6kh4=06f>cb<58>m6kh4}r351?6=:r7::84=809>531=nl1v<89:181871>383=63>668eb>{t9?21<7d0<58;|q22<<72;q6=;752938940e2oo0q~?9a;296~;6>h094<5217a9bc=z{86e83>7}:9?n1>5?4=04f>c`52z?22c<50816=:85fd9~w4172909w0?80;0;5>;6??0mj6s|16394?4|58=:6?6>;<35g?`b3ty:;?4?:3y>524=:1;01<8n:gg8yv70;3:1>v3>7281<4=:9?k1jk5rs057>5<5s4;<87<71:?22a{t9><1<77>634;=n7hi;|q23f<72:q6=:m52d2894162ol01v3>7d81<4=:91>1jk5rs05f>5<5s4;72;2:70?73;df?xu6090;6?u219396=7<58286kh4}r3;5?6=:r7:4?4=809>5=2=nl1v<6=:18187?;383=63>858245=z{8h?6=4;{_3a0>;6j=09i=521c49b`=:9k=1jk5rs0`6>5<5s4;i87o9;<3ag?4?92wx=o850;0x94d12;2:70?mc;de?xu6j>0;6?u21c596=7<58hj6kk4}r3a5gg=no1vbb8245=z{8hj6=4={<3ae?4?927:no4ie:p5gd=838p1be83>7}:9kn1>5?4=0`4>cc52z?2f`<50816=o951128yv7en3:1>v3>bg81<4=:9k21jk5rs0a3>5<5s4;h<7<71:?2f={t9j81<77>634;in7hi;|q2g6<72;q6=n=5293894d>2oo0q~?l4;296~;6k=094<521c;9bc=z{8i>6=4={<3`1?4b827:o>4ie:p5f0=838p1c883>6}Y9j301c`83>7}:9j31m;521e396=752z?2gg<50816=nk5fd9~w4ed2909w0?lc;0;5>;6ko0mi6s|1bf94?4|58io6?6>;<3`b?`a3ty:oh4?:3y>5fc=:1;01:gg8yv7dn3:1>v3>cg81<4=:9m:1jk5rs0f3>5<5s4;o<7<71:?2`45fe=no1vce8ea>{t9m<1<77>634;hh7??0:p5a1=838p1d983>7}:9m21>5?4=0f3>4673ty:h44?:3y>5a?=:1;01c48:g>;6=<02o63u224c9e3=:9>i15n5219696=758z?71dm278o77j;<36=??b34;h977j;<361??b34;cb56z?0g1<5m916=;85fe9>7cb=nm1684k5fd9>50d=no16=5?5fe9~w6e22909w0=l4;;`?85dl383=6s|3b494?4|5:i=6?6>;<1`e?`b3ty8o:4?:3y>7f1=:1;01>mn:gd8yv5d03:1>v34}r1`=?6=:r78o44=809>7fb=no1v>mn:18185di383=63{t;jh1<77>6349ho7hj;|q0gf<72;q6?nm5293896ec2oo0q~=i3;2955}:;o91>h>4=527>cb<5:ln6kj4=2d6>cc<58=;6kj4=057>cb<58n86kj4=0f4>cb<58=m6kh4=0`5>c`<58i:6kj4=0a7>cb<58>=6kh4=072>cb<58??6kj4=2a4>cb52z?0b6278j;4=809~w6`22909w0=i5;0;5>;4n?0mj6s|3g594?77s48n6l84=2d4>7c734>;97hj;<1ef?`a349mj7hj;<1e2?`b34;=57hi;<341?`c34;hn7hi;<3g7c1=i?16?ko52938yv5a13:1>v35<5s49mn7<71:?744054=no1v>hi:18185an383=63{t<9:1<77>634>;=7hi;|q744<72;q68=?5293891652oo0q~:?2;296~;38;094<523gc9bc=z{=:86=4={<630?4?927?<84if:p0=7=838pR96>;<6`3?`c3ty?544?:3y]007}Y5?4}r6`2?6=:r7?o;4=809>0fg=nl1v9m8:18182d?383=63;c88ea>{t7>634>h57hi;|q7g<<72;q68n75293891ef2ol0q~:k5;297~X3l<16=895fe9>506=nm1v8?=:180[36:27:o:4id:?2g534;7hi;<3g2?`c3twi8:;50;f951<6mrB?>l5+4149bd=#9li1?k<4o463>5<5<5<>o5m80;66g<0383>>o4>00;66g>0783>>o49<0;66g>5883>>d3j:0;6>4=:5y'050=n;1C8874H50b?!7bl3?><6g78;29?lg12900c?k?:188f7c7290>6?49{%632?`23-;nh7;:0:m1a5<722c9hk4?::kb2?6=3`3n6=44i9:94?=en<0;6;4?:1y'037=n>1b==750;&724<68010e4m50;&724<>k21b5i4?:%655??c32c8nn4?:%655?5ek21b?:850;&724<4??10c?66:18'037=:1307pl;5b83>4<729q/8;?52968k7>b290/8;?529;8?xuf>3:1>vPn6:?e1?7712wx454?:3y]<==:n<02h6s|9d83>7}Y1l16j84<779~w7ba2909wSh>50;0xZ7c734l>6?66;|q76g<72;q6j846c:?71f<50l1vqo<50;394?6|,=82645+4149bf=#0373fli6=4+47396=?<3ty9i=4?:3y]6`6<5;o;6?k?;|qb2?6=:rTj:63=e18b2>{t010;6?uQ899>6`6=011v84?:2y>6`6=:ml01?k?:8g89744l;|a03<72:0969u+4149b7=O<<30D95<56;294~"3>80m;6g>0883>!2193;;565f9b83>!21933h76g6d;29 10620n07d=mc;29 1062:hh76g<7783>!21939<:65`29;94?"3>8094454}c66g?6=93:1{t:ml1<77}Y:l:01k;529;8yv25j3:1>v3i5;;`?822k383i6srb383>4<729q/8?759:&743h>52d28yvg12909wSo9;<0f4?g13ty347>52z\;<>;5m90346s|5;297~;5m909hk522d29=`=::3li7):=9;a8yxd2<90;694=:4y'050=n?1C8874H50b?k`?281/=hj55428m=>=831bm;4?::k1`c<722e9i=4?::`1a5<72<096;u+4149b0=#9ln198>4o3g3>5<5$542>46>32c2o7>5$542>5$542>61132e9444?:%655?4?121vn9;l:182>5<7s->==7<74:m1<`<72->==7<79:9~wd0=838pRl84=g7955?6>99;|q1`c<72;qU>ih4=g797ge52z\1a5=:n<09445rs50a>5<5s4l>64m4=57`>7>b3twi=7>51;294~"3:0037):?6;d`?!2193>=;6*>ee8615=hnk0;6):91;0;=>=z{;o;6=4={_0f4>;5m909i=5rs3fe>5<5sW8oj63=e181`c=z{h<1<7?348n<767;|q7>5<5s48n<77j;<39bg=#<;31n6srb9194?4=83:p(9>9:02`?M2212B?>l5+4739<0=#9ln198>4igf94?"3>80:<454o3:2>5<#574H543?>{e0=0;6>4?:1y'050=:1<0D9;6;I61e>"3>809485+1df910652;294~"38?0:==76:;%3f`?3282cmh7>5$542>46>32e94<4?:%655?4?12B?:=54}c6a`?6=:3:123-;nh7;:0:ke`?6=,=<:6<>6;:m1<4<72->==7<79:J725=52;294~"38?0:==76:;%3f`?3282cmh7>5$542>46>32e94<4?:%655?4?12B?:=54}c64>5<5290;w):?6;33g>N3=01C8?o4$542>=3<,8oo68;?;hdg>5<#=zj=h26=4<:183!27>3>;7E::9:J76d=#5}#<9<1==m4H57:?M25i2.?:<475:&2aa<2=91bji4?:%655?77121d>5?50;&724<5001C8;>4;|`7fg<72:0;6=u+414905=O<<30D96;:keb?6=,=<:6<>6;:m1<4<72->==7<79:9~f021290?6=4?{%632?263A>>56F;2`9'037=;o1/=hj55428mcc=83.?:<4>0898mc`=83.?:<4>0898m467290/8;?511;8?j4?93:1(98>:3::?>{e;0>1<7=50;2x 1612=:0D9;6;I61e>"3>808j6*>ee8615=nnl0;6):91;33=>=nno0;6):91;33=>=h:1;1<7*;6081<<=52;294~"38?0:==76:;%3f`?3282cmh7>5$542>46>32e94<4?:%655?4?12B?:=54}c1:2?6=;3:1==7??9:9jbc<72->==7??9:9l6=7=83.?:<4=8898yg5>?3:1?7>50z&743<382B?945G43c8 1062:l0(:3::?>{e;021<7=50;2x 1612;2=7E::9:J76d=#5;4$0gg>0373`ln6=4+473955?<3`lm6=4+473955?<3f83=7>5$542>7>>32wi?4750;194?6|,=:=69>4H57:?M25i2.?:<45?50;&724<50010qo=6a;297?6=8r.?<;4=879K00?<@=8j7):91;0;1>"6mm0>9=5ffd83>!2193;;565ffg83>!2193;;565`29394?"3>8094454}r65>5<5s4>=6?k?;<:7>cc36=4={<65>=><5==1>5?4}r6:>5<5s4>=6l84=5c96=732;2:70:m9;df?833>3lm7p}73;296~;?;383=6374;de?xua83:18vPi0:?7f68=478:?73?`c3ty:<;4?:2y]550<5=hj6kj4=465>4673ty:944?:3y]50?<5:3j6kk4}r354?6=:rT::=523879ba=z{8<:6=4={_355>;41?0mi6s|2d394?4|V;o:70=69;df?xu48;0;6?uQ310896?32oo0q~=>5;296~X49<16?4:5fg9~w60>2909wS=99:?0==2ol018:9:gg896?f2;2:7p}<9583>7}:;0>1>5?4=2;4>cc52z?0=0<50816?485fg9~w6?12909w0=66;0;5>;41>0mj6s|38594?4|5:3<6?6>;<1:7<>=:1;01>76:gd8yv5>13:1>v3<9881<4=:;0k1jk5rs5:2>5<3sW>3=63:4181`c=:0:0mh63;a;dg?xu3j:0;6?uQ4c1891d42;o;7p};b983>7}:i57>52z?7f<<508168ol5fd9~w1df2909w0:ma;0;5>;3jk0mj6s|4ca94?4|5=h86l84=5`g>7>63ty>8=4?:3y]116<5<>;6?k?;|q600<72;q69965fe9>110=:1;0q~;;7;296~;2<90j:63:4981<4=zuk><:7>5178a=?e2sA>9m6*;078263=#9li1?k<4o000>5<;6=44o5:4>5<5<>o6>:0;66g79;29?j2c=3:17d?:8;29?l1f2900c8?=:188m4e?2900er.?<;4i4:J71<=O<;k0(5;n0f4?6=3k8n<7>56;294~"3>80m;6g>0883>!2193;;565f9b83>!21933h76g6d;29 10620n07d=mc;29 1062:hh76g<7783>!21939<:65`29;94?"3>8094454}c66a?6=93:152z\1a5=::l:1>574}rc5>5<5sWk=70{t1l0;6?uQ9d9>6`6=;><0q~7l:181[?d348n<77l;|q;{tnj0;6?u22d297ge<5=?n6?66;|agf<72:0969u+4149b7=O<<30D95;hc5>5<5<22;0=w):?6;d6?!7bl3?><6a=e183>>o5lo0;66gn6;29?l?b2900e5650;9ab0<72?0;6=u+4739b2=n9931<7*;60824<==n1m0;6):91;;g?>o4jj0;6):91;1ag>=n;><1<7*;608033=5}#5:4o3:f>5<#574;|qb2?6=:rTj:63i5;33=>{t010;6?uQ899>b0<>l2wx5h4?:3y]=`=:n<08;;5rs3fe>5<5sW8oj63i5;1ag>{t:l:1<77}:n<02o63;5b81<`=zuk81<7?50;2x 14>201/8=85fb9'037=279i=4n6:p<=<72;qU45522d29<==z{<0;6>u22d296a`<5;o;64k4=38ef>"3:00h7psmc983>6<52=q/8=85f39K00?<@=8j7)?jd;764>o?03:17do9:188k7c72900n?k?:186>7<1s->;:7h:;%3f`?3282e9i=4?::k1`c<722cj:7>5;h;f>5<3<729q/8;?5f69j55?=83.?:<4>0898m==77k;:k0ff<72->==7=mc:9j720=83.?:<4<7798k7>>290/8;?529;8?xd3=j0;6<4?:1y'037=:1>0c?6j:18'037=:1307p}n6;296~Xf>27m97??9:p<=<72;qU4552f48:`>{t1l0;6?uQ9d9>b0<4??1v?ji:181[4cn27m97=mc:p6`6=838pR?k?;7>>3ty?>o4?:3y>b0<>k27?9n4=8d9~yg4=83;1<7>t$50:><=#<9<1jn5+4739031<,8oo68;?;nda>5<#574;|q1a5<72;qU>h>4=3g3>7c73tyj:7>52z\b2>;5m90j:6s|8983>7}Y0116>h>5899~w0<72:q6>h>52ed897c720o01?4ib:&76<1}#<9<1j?5G44;8L14f3-;nh7;:0:k;5<3l>7)?jd;764>i5m90;66g=dg83>>of>3:17d7j:188m=>=831ij84?:783>5}#80:<454i8a94?"3>802o65f9e83>!21933o76g!21939io65f36494?"3>808;;54o3::>5<#574;|`71f<7280;6=u+47396=252z\b2>;a=3;;56s|8983>7}Y0116j846d:p=`<72;qU5h52f48033=z{;nm6=4={_0gb>;a=39io6s|2d294?4|V;o;70h::3::?xu3:k0;6?u2f48:g>;3=j094h5r}c094?7=83:p(9<6:89'050=nj1/8;?54758 4cc27}Y:l:01?k?:3g3?xuf>3:1>vPn6:?1a52wx454?:3y]<==::l:1455rs483>6}::l:1>ih4=3g3>4=:5y'050=n;1C8874H50b?!7bl3?><6g78;29?lg12900c?k?:188f7c7290>6?49{%632?`23-;nh7;:0:m1a5<722c9hk4?::kb2?6=3`3n6=44i9:94?=en<0;6;4?:1y'037=n>1b==750;&724<68010e4m50;&724<>k21b5i4?:%655??c32c8nn4?:%655?5ek21b?:850;&724<4??10c?66:18'037=:1307pl;5b83>4<729q/8;?52968k7>b290/8;?529;8?xuf>3:1>vPn6:?e1?7712wx454?:3y]<==:n<02h6s|9d83>7}Y1l16j84<779~w7ba2909wSh>50;0xZ7c734l>6?66;|q76g<72;q6j846c:?71f<50l1vqo<50;394?6|,=82645+4149bf=#0373fli6=4+47396=?<3ty9i=4?:3y]6`6<5;o;6?k?;|qb2?6=:rTj:63=e18b2>{t010;6?uQ899>6`6=011v84?:2y>6`6=:ml01?k?:8g89744l;|a6`<72:0969u+4149b7=O<<30D95;hc5>5<5<22;0=w):?6;d6?!7bl3?><6a=e183>>o5lo0;66gn6;29?l?b2900e5650;9ab0<72?0;6=u+4739b2=n9931<7*;60824<==n1m0;6):91;;g?>o4jj0;6):91;1ag>=n;><1<7*;608033=5}#5:4o3:f>5<#574;|qb2?6=:rTj:63i5;33=>{t010;6?uQ899>b0<>l2wx5h4?:3y]=`=:n<08;;5rs3fe>5<5sW8oj63i5;1ag>{t:l:1<77}:n<02o63;5b81<`=zuk81<7?50;2x 14>201/8=85fb9'037=279i=4n6:p<=<72;qU45522d29<==z{<0;6>u22d296a`<5;o;64k4=38ef>"3:00h7psm11d94?5=:3>p(9>9:g08L13>3A>9m6*>ee8615=n010;66gn6;29?j4b83:17or.?<;4i5:&2aa<2=91d>h>50;9j6a`=831bm;4?::k:a?6=3`236=44bg794?0=83:p(98>:g58m46>290/8;?511;8?l?d290/8;?59b98m:3::?>{e<7}Yi?16j84>089~w=>=838pR564=g79=a=z{0o1<7<0q~1003-;nh7;:0:mef?6=,=<:6?66;:p6`6=838pR?k?;<0f4?4b82wxm;4?:3y]e3=::l:1m;5rs9:94?4|V1201?k?:9:8yv3=839p1?k?:3fe?84b833n70<5fc9'07?=k2wvn<6::180>7<3s->;:7h=;I66=>N3:h1/=hj55428m=>=831bm;4?::m1a5<722h9i=4?:481>3}#<9<1j85+1df91065<>o?03:17oh::185>5<7s->==7h8;h33=?6=,=<:6<>6;:k:g?6=,=<:64m4;h;g>5<#5<#99;:m1<<<72->==7<79:9~f13d290:6=4?{%655?4?<2e94h4?:%655?4?121vl850;0xZd0<5o?1==74}r:;>5<5sW2370h::8f8yv?b2909wS7j;6113ty9hk4?:3y]6a`<5o?1?om4}r0f4?6=:rT9i=52f481<<=z{=8i6=4={3lh7):91;653>"6mm0>9=5`fc83>!219383565rs3g3>5<5sW8n<63=e181a5=z{h<1<7?348n<767;|q6>5<4s48n<7m2796kl4$50:>f=zuk;om7>53;090~"38?0m>6F;589K07g<,8oo68;?;h:;>5<56;294~"3>80m;6g>0883>!2193;;565f9b83>!21933h76g6d;29 10620n07d=mc;29 1062:hh76g<7783>!21939<:65`29;94?"3>8094454}c66g?6=93:1{t:ml1<77}Y:l:01k;529;8yv25j3:1>v3i5;;`?822k383i6srb383>4<729q/8?759:&743h>52d28yvg12909wSo9;<0f4?g13ty347>52z\;<>;5m90346s|5;297~;5m909hk522d29=`=::3li7):=9;a8yxd6?>0;6>4=:5y'050=n;1C8874H50b?!7bl3?><6g78;29?lg12900c?k?:188f7c7290>6?49{%632?`23-;nh7;:0:m1a5<722c9hk4?::kb2?6=3`3n6=44i9:94?=en<0;6;4?:1y'037=n>1b==750;&724<68010e4m50;&724<>k21b5i4?:%655??c32c8nn4?:%655?5ek21b?:850;&724<4??10c?66:18'037=:1307pl;5b83>4<729q/8;?52968k7>b290/8;?529;8?xuf>3:1>vPn6:?e1?7712wx454?:3y]<==:n<02h6s|9d83>7}Y1l16j84<779~w7ba2909wSh>50;0xZ7c734l>6?66;|q76g<72;q6j846c:?71f<50l1vqo<50;394?6|,=82645+4149bf=#0373fli6=4+47396=?<3ty9i=4?:3y]6`6<5;o;6?k?;|qb2?6=:rTj:63=e18b2>{t010;6?uQ899>6`6=011v84?:2y>6`6=:ml01?k?:8g89744l;|a=4<72:0969u+4149b7=O<<30D95;hc5>5<5<22;0=w):?6;d6?!7bl3?><6a=e183>>o5lo0;66gn6;29?l?b2900e5650;9ab0<72?0;6=u+4739b2=n9931<7*;60824<==n1m0;6):91;;g?>o4jj0;6):91;1ag>=n;><1<7*;608033=5}#5:4o3:f>5<#574;|qb2?6=:rTj:63i5;33=>{t010;6?uQ899>b0<>l2wx5h4?:3y]=`=:n<08;;5rs3fe>5<5sW8oj63i5;1ag>{t:l:1<77}:n<02o63;5b81<`=zuk81<7?50;2x 14>201/8=85fb9'037=279i=4n6:p<=<72;qU45522d29<==z{<0;6>u22d296a`<5;o;64k4=38ef>"3:00h7psm50094?5=:3>p(9>9:g08L13>3A>9m6*>ee8615=n010;66gn6;29?j4b83:17or.?<;4i5:&2aa<2=91d>h>50;9j6a`=831bm;4?::k:a?6=3`236=44bg794?0=83:p(98>:g58m46>290/8;?511;8?l?d290/8;?59b98m:3::?>{e<7}Yi?16j84>089~w=>=838pR564=g79=a=z{0o1<7<0q~1003-;nh7;:0:mef?6=,=<:6?66;:p6`6=838pR?k?;<0f4?4b82wxm;4?:3y]e3=::l:1m;5rs9:94?4|V1201?k?:9:8yv3=839p1?k?:3fe?84b833n70<5fc9'07?=k2wvn9j::180>7<3s->;:7h=;I66=>N3:h1/=hj55428m=>=831bm;4?::m1a5<722h9i=4?:481>3}#<9<1j85+1df91065<>o?03:17oh::185>5<7s->==7h8;h33=?6=,=<:6<>6;:k:g?6=,=<:64m4;h;g>5<#5<#99;:m1<<<72->==7<79:9~f13d290:6=4?{%655?4?<2e94h4?:%655?4?121vl850;0xZd0<5o?1==74}r:;>5<5sW2370h::8f8yv?b2909wS7j;6113ty9hk4?:3y]6a`<5o?1?om4}r0f4?6=:rT9i=52f481<<=z{=8i6=4={3lh7):91;653>"6mm0>9=5`fc83>!219383565rs3g3>5<5sW8n<63=e181a5=z{h<1<7?348n<767;|q6>5<4s48n<7m2796kl4$50:>f=zuk>3;7>53;090~"38?0m>6F;589K07g<,8oo68;?;h:;>5<56;294~"3>80m;6g>0883>!2193;;565f9b83>!21933h76g6d;29 10620n07d=mc;29 1062:hh76g<7783>!21939<:65`29;94?"3>8094454}c66g?6=93:1{t:ml1<77}Y:l:01k;529;8yv25j3:1>v3i5;;`?822k383i6srb383>4<729q/8?759:&743h>52d28yvg12909wSo9;<0f4?g13ty347>52z\;<>;5m90346s|5;297~;5m909hk522d29=`=::3li7):=9;a8yxd3jl0;6>4=:5y'050=n;1C8874H50b?!7bl3?><6g78;29?lg12900c?k?:188f7c7290>6?49{%632?`23-;nh7;:0:m1a5<722c9hk4?::kb2?6=3`3n6=44i9:94?=en<0;6;4?:1y'037=n>1b==750;&724<68010e4m50;&724<>k21b5i4?:%655??c32c8nn4?:%655?5ek21b?:850;&724<4??10c?66:18'037=:1307pl;5b83>4<729q/8;?52968k7>b290/8;?529;8?xuf>3:1>vPn6:?e1?7712wx454?:3y]<==:n<02h6s|9d83>7}Y1l16j84<779~w7ba2909wSh>50;0xZ7c734l>6?66;|q76g<72;q6j846c:?71f<50l1vqo<50;394?6|,=82645+4149bf=#0373fli6=4+47396=?<3ty9i=4?:3y]6`6<5;o;6?k?;|qb2?6=:rTj:63=e18b2>{t010;6?uQ899>6`6=011v84?:2y>6`6=:ml01?k?:8g89744l;|aag<72:0969u+4149b7=O<<30D95;hc5>5<5<22;0=w):?6;d6?!7bl3?><6a=e183>>o5lo0;66gn6;29?l?b2900e5650;9ab0<72?0;6=u+4739b2=n9931<7*;60824<==n1m0;6):91;;g?>o4jj0;6):91;1ag>=n;><1<7*;608033=5}#5:4o3:f>5<#574;|qb2?6=:rTj:63i5;33=>{t010;6?uQ899>b0<>l2wx5h4?:3y]=`=:n<08;;5rs3fe>5<5sW8oj63i5;1ag>{t:l:1<77}:n<02o63;5b81<`=zuk81<7?50;2x 14>201/8=85fb9'037=279i=4n6:p<=<72;qU45522d29<==z{<0;6>u22d296a`<5;o;64k4=38ef>"3:00h7psm43f94?5=:3>p(9>9:g08L13>3A>9m6*>ee8615=n010;66gn6;29?j4b83:17or.?<;4i5:&2aa<2=91d>h>50;9j6a`=831bm;4?::k:a?6=3`236=44bg794?0=83:p(98>:g58m46>290/8;?511;8?l?d290/8;?59b98m:3::?>{e<7}Yi?16j84>089~w=>=838pR564=g79=a=z{0o1<7<0q~1003-;nh7;:0:mef?6=,=<:6?66;:p6`6=838pR?k?;<0f4?4b82wxm;4?:3y]e3=::l:1m;5rs9:94?4|V1201?k?:9:8yv3=839p1?k?:3fe?84b833n70<5fc9'07?=k2wvn<<<:187>7<2s->;:7h<;I66=>N3:h1/=hj55428m5;c0f4?6==381:v*;078e1>"6mm0>9=5`2d294?=n:ml1<75fa783>>o>m3:17d67:188fc3=83<1<7>t$542>c1=n;ki1<7*;6080ff=5$542>7>>32wi88m50;394?6|,=<:6?6;;n0;a?6=,=<:6?66;:pe3<72;qUm;52f4824<=z{121<7;a=38356s|43`94?4|5o?15n5244a96=c:183!2513k0(9>9:ga8 1062=<<7)?jd;764>iaj3:1(98>:3::?>{t:l:1<77}Yi?16>h>5a79~w2m1vqo?<8;290?4==r.?<;4i3:J71<=O<;k0(4o3g3>5<5$542>46>32c2o7>5$542>5$542>61132e9444?:%655?4?121vn9;l:182>5<7s->==7<74:m1<`<72->==7<79:9~wd0=838pRl84=g7955?6>99;|q1`c<72;qU>ih4=g797ge52z\1a5=:n<09445rs50a>5<5s4l>64m4=57`>7>b3twi?7>51;294~"3:00j7):?6;d`?!2193>=;6*>ee8615=hnk0;6):91;0;=>=z{;o;6=4={_0f4>;5m909i=5rs`494?4|Vh<01?k?:`48yv?b2909wS7j;<0f4??b3ty347>52z\;<>;5m90346s|6;296~;5m909hk523;da?!2513n0qplna;297?4=m:d9'5`b==<:0e5650;9je3<722e9i=4?::`1a5<72<096;u+4149b0=#9ln198>4o3g3>5<5$542>46>32c2o7>5$542>5$542>61132e9444?:%655?4?121vn9;l:182>5<7s->==7<74:m1<`<72->==7<79:9~wd0=838pRl84=g7955?6>99;|q1`c<72;qU>ih4=g797ge52z\1a5=:n<09445rs50a>5<5s4l>64m4=57`>7>b3twi>7>51;294~"3:0027):?6;d`?!2193>=;6*>ee8615=hnk0;6):91;0;=>=z{;o;6=4={_0f4>;5m909i=5rs`494?4|Vh<01?k?:`48yv>?2909wS67;<0f4?>?3ty>6=4<{<0f4?4cn279i=46e:?1>cd<,=826n5r}c3:1?6=;3818v*;078e6>N3=01C8?o4$02a>`=#9ln198>4i9:94?=ni?0;66a=e183>>d5m90;684=:7y'050=n<1/=hj55428k7c72900e?ji:188md0=831b5h4?::k;6=49:183!2193l<7d??9;29 10628:276g6c;29 10620i07d7k:18'037=1m10e>ll:18'037=;ki07d=86;29 1062:==76a=8883>!219383565rb57`>5<6290;w):91;0;0>i50l0;6):91;0;=>=z{h<1<7?34l>64j4}r;f>5<5sW3n70h::255?xu5lo0;6?uQ2ed89c3=;ki0q~446;%632?`d3->==7:97:&2aa<2=91djo4?:%655?4?121v?k?:181[4b8279i=4=e19~wd0=838pRl84=3g3>d0;52oh0(9<6:b9~yg7>>3:1?7<54z&743=831bm;4?::m1a5<722h9i=4?:481>3}#<9<1j85+1df91065<>o?03:17oh::185>5<7s->==7h8;h33=?6=,=<:6<>6;:k:g?6=,=<:64m4;h;g>5<#5<#99;:m1<<<72->==7<79:9~f13d290:6=4?{%655?4?<2e94h4?:%655?4?121vl850;0xZd0<5o?1==74}r:;>5<5sW2370h::8f8yv?b2909wS7j;6113ty9hk4?:3y]6a`<5o?1?om4}r0f4?6=:rT9i=52f481<<=z{=8i6=4={3lh7):91;653>"6mm0>9=5`fc83>!219383565rs3g3>5<5sW8n<63=e181a5=z{h<1<7?348n<767;|q6>5<4s48n<7m2796kl4$50:>f=zuk;2n7>53;090~"38?0m>6F;589K07g<,8:i6h5+1df9106>i5m90;66l=e183>0<52?q/8=85f49'5`b==<:0c?k?:188m7ba2900el850;9j=`<722c347>5;cd6>5<1290;w):91;d4?l7713:1(98>:02:?>o>k3:1(98>:8a8?l?c290/8;?59e98m6dd290/8;?53ca8?l50>3:1(98>:255?>i5000;6):91;0;=>=zj=?h6=4>:183!21938386a=8d83>!219383565rs`494?4|Vh<01k;511;8yv>?2909wS67;7}Y:ml01k;53ca8yv4b83:1>vP=e19>b0<5001v950z&76<<>3->;:7hl;%655?21?2.:ii4:519lbg<72->==7<79:9~w7c72909wS5<5sW2370;5m902i63=:g`8 14>2j1vqo?n3;297?4=m:d9'5`b==<:0e5650;9je3<722e9i=4?::`1a5<72<096;u+4149b0=#9ln198>4o3g3>5<5$542>46>32c2o7>5$542>5$542>61132e9444?:%655?4?121vn9;l:182>5<7s->==7<74:m1<`<72->==7<79:9~wd0=838pRl84=g7955?6>99;|q1`c<72;qU>ih4=g797ge52z\1a5=:n<09445rs50a>5<5s4l>64m4=57`>7>b3twi>7>51;294~"3:0027):?6;d`?!2193>=;6*>ee8615=hnk0;6):91;0;=>=z{;o;6=4={_0f4>;5m909i=5rs`494?4|Vh<01?k?:`48yv>?2909wS67;<0f4?>?3ty>6=4<{<0f4?4cn279i=46e:?1>cd<,=826n5r}c3b0?6=;3818v*;078e6>N3=01C8?o4$02a>`=#9ln198>4i9:94?=ni?0;66a=e183>>d5m90;684=:7y'050=n<1/=hj55428k7c72900e?ji:188md0=831b5h4?::k;6=49:183!2193l<7d??9;29 10628:276g6c;29 10620i07d7k:18'037=1m10e>ll:18'037=;ki07d=86;29 1062:==76a=8883>!219383565rb57`>5<6290;w):91;0;0>i50l0;6):91;0;=>=z{h<1<7?34l>64j4}r;f>5<5sW3n70h::255?xu5lo0;6?uQ2ed89c3=;ki0q~446;%632?`d3->==7:97:&2aa<2=91djo4?:%655?4?121v?k?:181[4b8279i=4=e19~wd0=838pRl84=3g3>d0;52oh0(9<6:b9~yg7f=3:1?7<54z&743=831bm;4?::m1a5<722h9i=4?:481>3}#<9<1j85+1df91065<>o?03:17oh::185>5<7s->==7h8;h33=?6=,=<:6<>6;:k:g?6=,=<:64m4;h;g>5<#5<#99;:m1<<<72->==7<79:9~f13d290:6=4?{%655?4?<2e94h4?:%655?4?121vl850;0xZd0<5o?1==74}r:;>5<5sW2370h::8f8yv?b2909wS7j;6113ty9hk4?:3y]6a`<5o?1?om4}r0f4?6=:rT9i=52f481<<=z{=8i6=4={3lh7):91;653>"6mm0>9=5`fc83>!219383565rs3g3>5<5sW8n<63=e181a5=z{h<1<7?348n<767;|q6>5<4s48n<7m2796kl4$50:>f=zuk;jo7>53;090~"38?0m>6F;589K07g<,8:i6k5+1df9106>i5m90;66l=e183>0<52?q/8=85f49'5`b==<:0c?k?:188m7ba2900el850;9j=`<722c347>5;cd6>5<1290;w):91;d4?l7713:1(98>:02:?>o>k3:1(98>:8a8?l?c290/8;?59e98m6dd290/8;?53ca8?l50>3:1(98>:255?>i5000;6):91;0;=>=zj=?h6=4>:183!21938386a=8d83>!219383565rs`494?4|Vh<01k;511;8yv>?2909wS67;7}Y:ml01k;53ca8yv4b83:1>vP=e19>b0<5001v950z&76<<>3->;:7hl;%655?21?2.:ii4:519lbg<72->==7<79:9~w7c72909wS5<5sW2370;5m902i63=:g`8 14>2j1vqoj=:180>4<4sA>9m6*;0782b4=ni10;66gn9;29?j5ej3:17o:95;297?6=8r.?<;4;599K00?<@=8j7):91;663>"6mm0>9=5ffd83>!2193;;565ffg83>!2193;;565`29394?"3>8094454}rc;>5<5sWk370:95;de?xuf13:1>vPn9:?720=97<71:~fa7=8391=7=tH50b?!27>3;m=6gn8;29?lg>2900c>lm:188f10229086=4?{%632?2202B?945G43c8 1062=?<7)?jd;764>oam3:1(98>:02:?>oan3:1(98>:02:?>i5080;6):91;0;=>=z{h21<734>=97hj;|q0fg<72;qU?ol4=546>7>63twih=4?:282>6}O<;k0(9>9:0d2?lg?2900el750;9l7gd=831i8;;50;194?6|,=:=69;7;I66=>N3:h1/8;?54458 4cc27}Yi1168;;5fg9~wd?=838pRl74=546>cc52z\0fg=:5?4}|`7gf<72;0;6=u+414955e<@=?27E:=a:&724==7??9:9l6=7=83.?:<4=889K036<3th:;44?:383>5}#<9<1==m4H57:?M25i2.?:<475:&2aa<2=91bji4?:%655?77121d>5?50;&724<5001C8;>4;|`23d<72;0;6=u+414955e<@=?27E:=a:&724==7??9:9l6=7=83.?:<4=889K036<3th:;o4?:283>5}#<9<18=5G44;8L14f3->==7=i;%3f`?3282cmi7>5$542>46>32cmj7>5$542>46>32e94<4?:%655?4?121vn9m>:180>5<7s->;:7<76:J71<=O<;k0(98>:3:6?!7bl3?><6gie;29 10628:276gif;29 10628:276a=8083>!219383565rb50e>5<5290;w):?6;33g>N3=01C8?o4$542>=3<,8oo68;?;hdg>5<#=zj88>6=4=:183!27>3;;o6F;589K07g<,=<:65;4$0gg>0373`lo6=4+473955?<3f83=7>5$542>7>>3A>=<65rb5g5>5<5290;w):?6;33g>N3=01C8?o4$542>=3<,8oo68;?;hdg>5<#=zj<886=4=:183!27>3;;o6F;589K07g<,=<:65;4$0gg>0373`lo6=4+473955?<3f83=7>5$542>7>>3A>=<65rb413>5<5290;w):?6;33g>N3=01C8?o4$542>=3<,8oo68;?;hdg>5<#=zjjo1<7<50;2x 16128:h7E::9:J76d=#6<729q/8=85419K00?<@=8j7):91;1e?!7bl3?><6gie;29 10628:276gif;29 10628:276a=8083>!219383565rbbc94?4=83:p(9>9:02`?M2212B?>l5+4739<0=#9ln198>4igf94?"3>80:<454o3:2>5<#574H543?>{ekk0;6>4?:1y'050=<91C8874H50b?!21939m7)?jd;764>oam3:1(98>:02:?>oan3:1(98>:02:?>i5080;6):91;0;=>=zjj<1<7<50;2x 16128:h7E::9:J76d=#6<729q/8=85419K00?<@=8j7):91;1e?!7bl3?><6gie;29 10628:276gif;29 10628:276a=8083>!219383565rbca94?4=83:p(9>9:02`?M2212B?>l5+4739<0=#9ln198>4igf94?"3>80:<454o3:2>5<#574H543?>{el=0;6>4?:1y'050=<91C8874H50b?!21939m7)?jd;764>oam3:1(98>:02:?>oan3:1(98>:02:?>i5080;6):91;0;=>=zjm<1<7=50;2x 1612=:0D9;6;I61e>"3>808j6*>ee8615=nnl0;6):91;33=>=nno0;6):91;33=>=h:1;1<7*;6081<<=357>52;294~"38?0:==76:;%3f`?3282cmh7>5$542>46>32e94<4?:%655?4?12B?:=54}c3;3?6=:3:123-;nh7;:0:ke`?6=,=<:6<>6;:m1<4<72->==7<79:J725=52;294~"38?0:==76:;%3f`?3282cmh7>5$542>46>32e94<4?:%655?4?12B?:=54}c3;=?6=<3:1N3=01C8?o4$542>6`<,8oo68;?;hdf>5<#5<#6;:m1<4<72->==7<79:9~f4gb29086=4?{%632?4?>2B?945G43c8 1062;2>7)?jd;764>oam3:1(98>:02:?>oan3:1(98>:02:?>i5080;6):91;0;=>=zj8km6=4=:183!27>3;;o6F;589K07g<,=<:65;4$0gg>0373`lo6=4+473955?<3f83=7>5$542>7>>3A>=<65rb0`3>5<4290;w):?6;0;2>N3=01C8?o4$542>7>23-;nh7;:0:kea?6=,=<:6<>6;:keb?6=,=<:6<>6;:m1<4<72->==7<79:9~f4d629086=4?{%632?273A>>56F;2`9'037=;o1/=hj55428mcc=83.?:<4>0898mc`=83.?:<4>0898k7>6290/8;?529;8?xd6j;0;6>4?:1y'050=:1<0D9;6;I61e>"3>809485+1df91065}#<9<18=5G44;8L14f3->==7=i;%3f`?3282cmi7>5$542>46>32cmj7>5$542>46>32e94<4?:%655?4?121vn5<7s->;:7??c:J71<=O<;k0(98>:978 4cc229096=4?{%632?77k2B?945G43c8 10621?0(:3::?M21821vn5<7s->;:7:?;I66=>N3:h1/8;?53g9'5`b==<:0ekk50;&724<68010ekh50;&724<68010c?6>:18'037=:1307pl>ac83>6<729q/8=85419K00?<@=8j7):91;1e?!7bl3?><6gie;29 10628:276gif;29 10628:276a=8083>!219383565rb0;g>5<5290;w):?6;33g>N3=01C8?o4$542>=3<,8oo68;?;hdg>5<#=zj83n6=4=:183!27>3;;o6F;589K07g<,=<:65;4$0gg>0373`lo6=4+473955?<3f83=7>5$542>7>>3A>=<65rb0;e>5<4290;w):?6;63?M2212B?>l5+47397c=#9ln198>4igg94?"3>80:<454igd94?"3>80:<454o3:2>5<#574;|`2e5<72:0;6=u+41496=0<@=?27E:=a:&724<50<1/=hj55428mcc=83.?:<4>0898mc`=83.?:<4>0898k7>6290/8;?529;8?xd6i80;6>4?:1y'050=<91C8874H50b?!21939m7)?jd;764>oam3:1(98>:02:?>oan3:1(98>:02:?>i5080;6):91;0;=>=zj8k96=4<:183!27>383:6F;589K07g<,=<:6?6:;%3f`?3282cmi7>5$542>46>32cmj7>5$542>46>32e94<4?:%655?4?121vn<77:180>5<7s->;:7<76:J71<=O<;k0(98>:3:6?!7bl3?><6gie;29 10628:276gif;29 10628:276a=8083>!219383565rb0;:>5<5290;w):?6;33g>N3=01C8?o4$542>=3<,8oo68;?;hdg>5<#=zj83j6=4;:183!27>3>:7E::9:J76d=#5$542>7>>32wi9>l50;794?6|,=:=69<4H57:?M25i2.?:<450;&724<68010e<>>:18'037=99307b<71;29 1062;2276sm52a94?4=83:p(9>9:02`?M2212B?>l5+4739<0=#9ln198>4igf94?"3>80:<454o3:2>5<#574H543?>{e=:n1<7<50;2x 16128:h7E::9:J76d=#9:528L13>3A>9m6*;6080b>"6mm0>9=5ffd83>!2193;;565ffg83>!2193;;565`29394?"3>8094454}c70b?6=;3:1N3=01C8?o4$542>6`<,8oo68;?;hdf>5<#5<#<@=?27E:=a:&724<50<1/=hj55428mcc=83.?:<4>0898mc`=83.?:<4>0898m467290/8;?511;8?l7793:1(98>:02:?>i5080;6):91;0;=>=zjhn1<7=50;2x 1612=:0D9;6;I61e>"3>808j6*>ee8615=nnl0;6):91;33=>=nno0;6):91;33=>=h:1;1<7*;6081<<=3;;o6F;589K07g<,=<:65;4$0gg>0373`lo6=4+473955?<3f83=7>5$542>7>>3A>=<65rb`d94?5=83:p(9>9:528L13>3A>9m6*;6080b>"6mm0>9=5ffd83>!2193;;565ffg83>!2193;;565`29394?"3>8094454}c`3>5<4290;w):?6;63?M2212B?>l5+47397c=#9ln198>4igg94?"3>80:<454igd94?"3>80:<454o3:2>5<#574;|`a5?6=;3:1==7??9:9jbc<72->==7??9:9l6=7=83.?:<4=8898yg2ck3:1?7>50z&743<50?1C8874H50b?!21938396*>ee8615=nnl0;6):91;33=>=nno0;6):91;33=>=h:1;1<7*;6081<<=oh7>52;294~"38?0:==76:;%3f`?3282cmh7>5$542>46>32e94<4?:%655?4?12B?:=54}c6ga?6=;3:1N3=01C8?o4$542>6`<,8oo68;?;hdf>5<#5<#t$525>46d3A>>56F;2`9'037=0<1/=hj55428mcb=83.?:<4>0898k7>6290/8;?529;8L10732wi8h>50;194?6|,=:=69>4H57:?M25i2.?:<45?50;&724<50010qo:j1;297?6=8r.?<;4;0:J71<=O<;k0(98>:2d8 4cc29:3:5?M2212B?>l5+47396=3<,8oo68;?;hdf>5<#5<#1<7>t$525>7>03A>>56F;2`9'037=:1?0(:02:?>i5080;6):91;0;=>=zj=o?6=4<:183!27>3>;7E::9:J76d=#=44?:283>5}#<9<1>584H57:?M25i2.?:<4=849'5`b==<:0ekk50;&724<68010ekh50;&724<68010c?6>:18'037=:1307pl:1`83>7<729q/8=8511a8L13>3A>9m6*;608;1>"6mm0>9=5ffe83>!2193;;565`29394?"3>809445G4728?xd29k0;6>4?:1y'050=<91C8874H50b?!21939m7)?jd;764>oam3:1(98>:02:?>oan3:1(98>:02:?>i5080;6):91;0;=>=zj<;h6=4=:183!27>3;;o6F;589K07g<,=<:65;4$0gg>0373`lo6=4+473955?<3f83=7>5$542>7>>3A>=<65rb43g>5<4290;w):?6;63?M2212B?>l5+47397c=#9ln198>4igg94?"3>80:<454igd94?"3>80:<454o3:2>5<#574;|`65`<72:0;6=u+414905=O<<30D96;:keb?6=,=<:6<>6;:m1<4<72->==7<79:9~f07a29086=4?{%632?4?>2B?945G43c8 1062;2>7)?jd;764>oam3:1(98>:02:?>oan3:1(98>:02:?>i5080;6):91;0;=>=zj<8;6=4;:183!27>383;6F;589K07g<,=<:6?6:;%3f`?3282cmi7>5$542>46>32cmj7>5$542>46>32c:<=4?:%655?77121d>5?50;&724<50010qo;=1;297?6=8r.?<;4;0:J71<=O<;k0(98>:2d8 4cc29:508L13>3A>9m6*;6080b>"6mm0>9=5ffd83>!2193;;565ffg83>!2193;;565f11294?"3>80:<454i022>5<#t$525>46d3A>>56F;2`9'037=0<1/=hj55428mcb=83.?:<4>0898k7>6290/8;?529;8L10732wi9>:50;094?6|,=:=6<>l;I66=>N3:h1/8;?5849'5`b==<:0ekj50;&724<68010c?6>:18'037=:130D98?;:a163=8381<7>t$525>46d3A>>56F;2`9'037=0<1/=hj55428mcb=83.?:<4>0898k7>6290/8;?529;8L10732wi9>850;094?6|,=:=6<>l;I66=>N3:h1/8;?5849'5`b==<:0ekj50;&724<68010c?6>:18'037=:130D98?;:a161=8391<7>t$525>16<@=?27E:=a:&724<4n2.:ii4:519jb`<72->==7??9:9jbc<72->==7??9:9l6=7=83.?:<4=8898yg3403:1?7>50z&743<50?1C8874H50b?!21938396*>ee8615=nnl0;6):91;33=>=nno0;6):91;33=>=h:1;1<7*;6081<<=54;294~"38?0?=6F;589K07g<,=<:6>h4$0gg>0373`ln6=4+473955?<3`lm6=4+473955?<3`;;<7>5$542>46>32e94<4?:%655?4?121vn<=n:181>5<7s->;:7??c:J71<=O<;k0(98>:978 4cc2:3::?M21821vn<=l:181>5<7s->;:7??c:J71<=O<;k0(98>:978 4cc2:3::?M21821vn<=j:181>5<7s->;:7??c:J71<=O<;k0(98>:978 4cc2:3::?M21821vn<:?:185>5<7s->;:7:<;I66=>N3:h1/8;?53g9'5`b==<:0ekk50;&724<68010ekh50;&724<68010e<>?:18'037=99307d??1;29 10628:276g>0383>!2193;;565`29394?"3>8094454}c375?6=<3:1==7??9:9jbc<72->==7??9:9j556=83.?:<4>0898k7>6290/8;?529;8?xd60k0;6?4?:1y'050=99i0D9;6;I61e>"3>80396*>ee8615=nnm0;6):91;33=>=h:1;1<7*;6081<<=O8e83>7<729q/8=8511a8L13>3A>9m6*;608;1>"6mm0>9=5ffe83>!2193;;565`29394?"3>809445G4728?xd60l0;6?4?:1y'050=99i0D9;6;I61e>"3>80396*>ee8615=nnm0;6):91;33=>=h:1;1<7*;6081<<=O8g83>7<729q/8=8511a8L13>3A>9m6*;608;1>"6mm0>9=5ffe83>!2193;;565`29394?"3>809445G4728?xd6190;6?4?:1y'050=99i0D9;6;I61e>"3>80396*>ee8615=nnm0;6):91;33=>=h:1;1<7*;6081<<=O9083>7<729q/8=8511a8L13>3A>9m6*;608;1>"6mm0>9=5ffe83>!2193;;565`29394?"3>809445G4728?xd61;0;6?4?:1y'050=99i0D9;6;I61e>"3>80396*>ee8615=nnm0;6):91;33=>=h:1;1<7*;6081<<=O9283>6<729q/8=85419K00?<@=8j7):91;1e?!7bl3?><6gie;29 10628:276gif;29 10628:276a=8083>!219383565rb0;7>5l5+47397c=#9ln198>4igg94?"3>80:<454igd94?"3>80:<454i023>5<#6;:k247<72->==7??9:9j555=83.?:<4>0898m463290/8;?511;8?j4?93:1(98>:3::?>{e91i1<7:50;2x 1612;2<7E::9:J76d=#5;4$0gg>0373`ln6=4+473955?<3`lm6=4+473955?<3`;;<7>5$542>46>32e94<4?:%655?4?121vn><50;794?6|,=:=6?67;I66=>N3:h1/8;?52978 4cc20083>!2193;;565`29394?"3>8094454}c17>5<4290;w):?6;63?M2212B?>l5+47397c=#9ln198>4igg94?"3>80:<454igd94?"3>80:<454o3:2>5<#574;|`01?6=:3:123-;nh7;:0:ke`?6=,=<:6<>6;:m1<4<72->==7<79:J725=3;;o6F;589K07g<,=<:65;4$0gg>0373`lo6=4+473955?<3f83=7>5$542>7>>3A>=<65rb2594?4=83:p(9>9:02`?M2212B?>l5+4739<0=#9ln198>4igf94?"3>80:<454o3:2>5<#574H543?>{e;10;6?4?:1y'050=99i0D9;6;I61e>"3>80396*>ee8615=nnm0;6):91;33=>=h:1;1<7*;6081<<=O:2d8 4cc20<729q/8=85439K00?<@=8j7):91;1e?!7bl3?><6gie;29 10628:276gif;29 10628:276g>0183>!2193;;565f11394?"3>80:<454o3:2>5<#574;|`0f?6=;3:1==7??9:9jbc<72->==7??9:9l6=7=83.?:<4=8898yg5429086=4?{%632?4?>2B?945G43c8 1062;2>7)?jd;764>oam3:1(98>:02:?>oan3:1(98>:02:?>i5080;6):91;0;=>=zj8;:6=4<:183!27>383:6F;589K07g<,=<:6?6:;%3f`?3282cmi7>5$542>46>32cmj7>5$542>46>32e94<4?:%655?4?121vn5<7s->;:7??c:J71<=O<;k0(98>:978 4cc2:3::?M21821vn5<7s->;:7:?;I66=>N3:h1/8;?53g9'5`b==<:0ekk50;&724<68010ekh50;&724<68010c?6>:18'037=:1307pl>1e83>7<729q/8=8511a8L13>3A>9m6*;608;1>"6mm0>9=5ffe83>!2193;;565`29394?"3>809445G4728?xd69l0;6?4?:1y'050=99i0D9;6;I61e>"3>80396*>ee8615=nnm0;6):91;33=>=h:1;1<7*;6081<<=O1g83>7<729q/8=8511a8L13>3A>9m6*;608;1>"6mm0>9=5ffe83>!2193;;565`29394?"3>809445G4728?xd6:90;6?4?:1y'050=99i0D9;6;I61e>"3>80396*>ee8615=nnm0;6):91;33=>=h:1;1<7*;6081<<=O2083>7<729q/8=8511a8L13>3A>9m6*;608;1>"6mm0>9=5ffe83>!2193;;565`29394?"3>809445G4728?xd69;0;6>4?:1y'050=<91C8874H50b?!21939m7)?jd;764>oam3:1(98>:02:?>oan3:1(98>:02:?>i5080;6):91;0;=>=zj8;86=4<:183!27>3>;7E::9:J76d=#5}#<9<18>5G44;8L14f3->==7=i;%3f`?3282cmi7>5$542>46>32cmj7>5$542>46>32c:<=4?:%655?77121b==?50;&724<68010e<>=:18'037=99307b<71;29 1062;2276sm10794?5=83:p(9>9:3:5?M2212B?>l5+47396=3<,8oo68;?;hdf>5<#5<#t$525>7>13A>>56F;2`9'037=:1?0(:3::?>{e98=1<7=50;2x 1612=:0D9;6;I61e>"3>808j6*>ee8615=nnl0;6):91;33=>=nno0;6):91;33=>=h:1;1<7*;6081<<=53;294~"38?094;5G44;8L14f3->==7<75:&2aa<2=91bjh4?:%655?77121bjk4?:%655?77121d>5?50;&724<50010qo?>9;290?6=8r.?<;4=869K00?<@=8j7):91;0;1>"6mm0>9=5ffd83>!2193;;565ffg83>!2193;;565f11294?"3>80:<454o3:2>5<#574;|`70898mc`=83.?:<4>0898k7>6290/8;?529;8?xd30m0;6?4?:1y'050=99i0D9;6;I61e>"3>80396*>ee8615=nnm0;6):91;33=>=h:1;1<7*;6081<<=O7<729q/8=8511a8L13>3A>9m6*;608;1>"6mm0>9=5ffe83>!2193;;565`29394?"3>809445G4728?xd30o0;6?4?:1y'050=99i0D9;6;I61e>"3>80396*>ee8615=nnm0;6):91;33=>=h:1;1<7*;6081<<=O7<729q/8=8511a8L13>3A>9m6*;608;1>"6mm0>9=5ffe83>!2193;;565`29394?"3>809445G4728?xd3180;6?4?:1y'050=99i0D9;6;I61e>"3>80396*>ee8615=nnm0;6):91;33=>=h:1;1<7*;6081<<=O6<729q/8=852948L13>3A>9m6*;6081<0=#9ln198>4igg94?"3>80:<454igd94?"3>80:<454o3:2>5<#574;|`7=6<72:0;6=u+414905=O<<30D96;:keb?6=,=<:6<>6;:m1<4<72->==7<79:9~f1?3290?6=4?{%632?263A>>56F;2`9'037=;o1/=hj55428mcc=83.?:<4>0898mc`=83.?:<4>0898m467290/8;?511;8?j4?93:1(98>:3::?>{e<1i1<7=50;2x 1612=:0D9;6;I61e>"3>808j6*>ee8615=nnl0;6):91;33=>=nno0;6):91;33=>=h:1;1<7*;6081<<=hj7>53;294~"38?0?<6F;589K07g<,=<:6>h4$0gg>0373`ln6=4+473955?<3`lm6=4+473955?<3f83=7>5$542>7>>32wi8i>50;194?6|,=:=6?69;I66=>N3:h1/8;?52978 4cc29:528L13>3A>9m6*;6080b>"6mm0>9=5ffd83>!2193;;565ffg83>!2193;;565`29394?"3>8094454}cf;>5<5290;w):?6;33g>N3=01C8?o4$542>=3<,8oo68;?;hdg>5<#=zjmh1<7=50;2x 1612=:0D9;6;I61e>"3>808j6*>ee8615=nnl0;6):91;33=>=nno0;6):91;33=>=h:1;1<7*;6081<<=3;;o6F;589K07g<,=<:65;4$0gg>0373`lo6=4+473955?<3f83=7>5$542>7>>3A>=<65rbef94?4=83:p(9>9:02`?M2212B?>l5+4739<0=#9ln198>4igf94?"3>80:<454o3:2>5<#574H543?>{ell0;6?4?:1y'050=99i0D9;6;I61e>"3>80396*>ee8615=nnm0;6):91;33=>=h:1;1<7*;6081<<=O0b9K00?<@=8j7):91;:6?!7bl3?><6gid;29 10628:276a=8083>!21938356F;6198ygc729086=4?{%632?273A>>56F;2`9'037=;o1/=hj55428mcc=83.?:<4>0898mc`=83.?:<4>0898k7>6290/8;?529;8?xdb93:1?7>50z&743<382B?945G43c8 1062:l0(:3::?>{em;0;6>4?:1y'050=:1<0D9;6;I61e>"3>809485+1df910654;294~"38?0?=6F;589K07g<,=<:6>h4$0gg>0373`ln6=4+473955?<3`lm6=4+473955?<3`;;<7>5$542>46>32e94<4?:%655?4?121vnio50;194?6|,=:=6?69;I66=>N3:h1/8;?52978 4cc27<729q/8=8511a8L13>3A>9m6*;608;1>"6mm0>9=5ffe83>!2193;;565`29394?"3>809445G4728?xden3:187>50z&743<50>1C8874H50b?!21938396*>ee8615=nnl0;6):91;33=>=nno0;6):91;33=>=n99:1<7*;60824<=52;294~"38?0:==76:;%3f`?3282cmh7>5$542>46>32e94<4?:%655?4?12B?:=54}ca2>5<4290;w):?6;63?M2212B?>l5+47397c=#9ln198>4igg94?"3>80:<454igd94?"3>80:<454o3:2>5<#574;|``6?6=;3:1N3=01C8?o4$542>6`<,8oo68;?;hdf>5<#5<#0898mc`=83.?:<4>0898k7>6290/8;?529;8?xu5m3:1?vP=e:?1a?4b8278m7hj;|q05?6=:r79i7o9;<10>7>63ty8>7>52z?06?4?9278?7hj;|q00?6=:r7887<71:?0=?`b3ty897>52z?01?4?927857hi;|q02?6=:r78:7<71:?0e?`a3ty8;7>52z?03?4?9278m7??0:p7=<72;q6?54=809>7d<6881v>750;0x96?=:1;01>l5fd9~w6g=838p1>o5293896d=no1v>l50;0x96d=:1;01>=5fg9~w2g=83=pR:o4=0::>c`<58km6kj4=0c4>c`<5:>1jh5210a9bc=:478:?2e193:1nv361;0f4>;60>0mh63>ad8eb>;6i00mh63>a18ea>;6100mh63ne;dg?82cn3lo70;>c;dg?876=3ln70:7b;de?xufi3:1?v3na;0f4>;fn3ln70:k0;df?xufj3:1>v3na;c5?8d62;2:7p}nc;296~;fk383=63nf;de?xufl3:1>v3nd;0;5>;e83ln7p}ne;296~;fm383=63m0;de?xufn3:1>v3nf;0;5>;e93ln7p}m0;296~;e8383=63m1;de?xue:3:18vPm2:?ff?g134n36kj4=cg9ba=z{k<1<7ot=cc96`6<5m:1m552d58eb>;2;k0:<=525209b`=:=:91ji5212c9ba=:lk0mi63kc;dg?8e72on0q~lm:18:8df212019?34i?6564=ca96=7d0<5j91>5?4}r`f>5<5s4hn6?6>;c`7>634i96kk4}ra3>5<5s4i;6?6>;c`7>634i86kk4}ra1>5<5s4i96?6>;c`7c734n;6l74=e69b`=:=:i1ji525249ba=:9:i1ji52dg8e`>;en3ln7p}l5;296~;d<3k=70m8:3:2?xud>3:1>v3l6;0;5>;d?3lm7p}m8;29=~;d038n<63k1;c:?8b12oo018=m:022?834:3lm70;<4;dg?874l3lo70jj:gf89g`=no1vn750;0x9f>=i?16oo4=809~wfg=838p1no529389fd=no1vo750;:x9fe=:l:01i<5a89>16b=nm169>;5fe9>516=no16ho4if:?g`?`c34hm6<>?;|q``?6=:r7ho7o9;7>63tyhi7>52z?`a?4?927hj7hi;|qg7?6=;r7o=7o7;7>634n=6kh4}rf6>5<5s4n96l64=e496=7cb<5jk1ji52c78e`>;ci383=6s|d983>7}:l1094<52e18eb>{tl00;6?u2d881<4=:lh0mj6s|dc83>7}:lk094<52e38ea>{tlj0;6?u2db81<4=:m80mi6s|de83>7}:lm094<52e08eb>{tll0;6?u2dd81<4=:l00mi6s|dg83>7}:lo094<52d88245=z{l:1<75?4=d09bc=z{l81<71<7{t99l1<7;t^02e?877n38n<63:3c8eb>;2;;0:<=521069bc=z{8;;6=4={<33b?g134;:57<71:p547=838p1:3:2?87613lm7p}>1383>7}:9881>5?4=036>c`52z?256<50816=<85fd9~w4732909w0?>4;0;5>;69?0mj6s|10794?4|58;>6?6>;<323?`a3ty:=;4?:3y>540=:1;010;6?u210596=7<58;36kh4}r3254?=nl1v138ea>{t98h1<77>634;:>7hi;|q25f<72;q6=d;296~;69m094<521019bc=z{8;n6=4={<32a?4?927:=94ie:p54`=838p1;<320?7792wx=??50;0x94462;2:70?>4;336>{t9;91<7;t^000?875;38n<63>9e8e`>;6110mi63>1`8e`>{t9;>1<7d0<588>6?6>;|q27=<7264=01;>7c734;2i7hk;<3:65a79>517=:1;0q~?019~w45d2909w0?;6<90:<<5rs01g>5<5s4;8h7<71:?205<68;1v<=j:181874m383=63>408ea>{t9:l1<77>634;?=7??0:p516=838p1<:?:3:2?87393lm7p}>5983>7}Y9<2019k;:gg8yv71;3:1>vP>629>521=i?1v<98:187870?38n<63>7`8e`>;3ll0mi63:1c8ea>{t9>21<76t=05a>7>634;347hk;<3ba?`b34;j47hk;<16>cb<58;o6kj4=5:a>cc<5=im6kk4}r34=?6=:r7:;44=809>52d=nl1v<9n:181870i383=63>7c8eb>{t91?1<7=t=0:6>7c734;287hj;<6`b?`a3ty:4;4?:3y>5=?=:1;01<6m:gf8yv7??3:1>v3>8681<4=:9131jh5rs0:;>5<5s4;347<71:?2<<<6891v<6n:18187?=3k=70?7c;0;5>{t91h1<77>634;2?7hi;|q252z?283:1>v3>9181<4=:90>1===4}r3:5?6=:r7:5<4=809>5<2=9980q~?62;296~;61;094<52186955252z?2=6<50816=5m5fd9~w4?32909w0?64;0;5>;60j0:<=5rs0;6>5<2s4;2975<0=:l:01<7?:gf8960=nm16=?>5fe9~w4?02909w0?66;c5?87>i383=6s|18:94?4|58336?6>;<3:e?`b3ty:544?:3y>5cc<583j6kh4=`a9557<5=o96kk4=43e>cc<583:6kj4=209b`=:98l1ji521059b`=:<0;1ji5rs0;`>5<5s4;2n7o9;<3b6?4?92wx=4j50;0x94?c2;2:70?6f;df?xu61l0;6?u218g96=7<583m6kh4}r3:b?6=:r7:5k4=809>5d6=no1va08eb>{t9h;1<77>634;j>7hi;|q2e6<72hq6=l=52d2891e62ol012oo01<6i:gf8964=no16=<65fd9>0<6=nm1v;fk3lm70:kc;de?83613lm70?7e;dg?85528::70?>1;df?82?m3lo7p}>a483><}:9h>1m;521`796`6<5hi1jh524d29b`=:=8n1jh5219f9ba=:;;0:<=521039bc=:<1l1ji5rs0c5>5<5s4;j97o9;<3bf?4?92wx=l950;0x94g02;2:70?nb;df?xu6i10;6?u21`:96=7<58kj6kk4}r3b=?6=:r7:m44=809>5dg=no1vac8eb>{t9hi1<7jt=0c`>7c734;i=7hj;<3b3?`b34ko6kk4=5ff>c`<5<;i6kh4=0;1>cb<58386kk4=269bc=:;10mh63>1b8ea>;69l0mh63;8e8e`>{t9hn1<7d0<58h96?6>;|q2e`<72;q6=lk5293894d72oo0q~?nf;296~;6io094<521c29bc=z{8h;6=4={<3a4?4?927:n<4if:p5g7=838p1:3:2?87e:3lm7p}>c983>7}Y9j2018<>:gg8yv7d13:1>vP>c89>5ag=i?1v788e`>;fl3lm7p}=7e83>7}:<?o4ie:?677<6881v9{t<1=1<7:t^5:4?82??38n<63>228:a>;6;102i6s|49:94?3|5=2<6564=431>=><5=n>6564=5`f>=><5=226?6>;|q70=e=:1;0q~:7b;296~;30k094<524809b`=z{=2o6=4={<6;`?4?927?5?4if:p0=c=838p196j:3:2?82><3ln7p};8g83>7}:<1l1>5?4=5;0>cc2<7>52z?7=5<5081684=5fg9~w1?62909w0:61;0;5>;30j0mj6s|48094?4|5=396?6>;<6:0?`a3ty?5>4?:3y>0<5=:1;0197;:023?xu31=0;6?u248696=7<5=2h6kk4}r6:;6;l0mh6s|4cg94?4|V=hn70:me;0f4>{td0<5=i:6?6>;|q7gg<72;qU8nl4=5a`>7>63ty?oh4?:3y>0fe=nm168i?52938yv2dn3:1>v3;cg81<4=:5<5s4>o<7<71:?7`4o970`6=no1v9jj:18182cm383=63;e08ea>{t7>634>n=7hi;|q7a5<72;q68h>5293891c52ol0q~:j1;296~;3m8094<524d19556n>7>52z?7a7<508168h=5fd9~w1c42909w0:j3;0;5>;3m=0mj6s|4d794?4|5=n>6l84=5g5>7>63ty><:4?:3y]151<589m6kj4}r726?6=;rT>=?5250096`6<5<;j6kj4}r72>>4id:?664<5081v8?6:1818361383=63:218eb>{t=8k1<77>634?:h7hi;|q65g<72;q69c;296~;29j094<5250g9bc=z{<;o6=4={<72`?4?927>=k4if:p14c=838p18?j:3:2?83583;;<6s|50d94?4|5<;m6?6>;<714?`b3ty>>=4?:3y>176=:1;018<>:gd8yv35:3:1>v3:138b2>;2::094<5rs40a>5<4sW?9n63:2c81a5=:9:h1ji5rs40e>5<5s4?9n7o9;<704?4?92wx9>?50;0x904e20i018=6:3:2?xu2;;0;6?u252096=7<5<936kk4}r707?6=:r7>?>4=809>161=nl1v8=;:181834<383=63:368eb>{t=:?1<77>634?857hj;|q673<72;q69>852938905>28:;7p}:3683>7}:=:=1>5?4=41;>c`52z?67=<508169>75fg9~w05f2909w0;<0;dg?834n383=6s|52`94?4|5<9i6?6>;<70b?`b3ty>?n4?:3y>16e=:1;018=j:gg8yv34l3:1>v3:3e81<4=:=:o1jk5rs41f>5<5s4?8i7<71:?67c50;0xZ02734>9j7hk;|a025=83o1nk4l7zJ76d=#<9<15k5+1da97c4>oe:3:17d=kf;29?l2c:3:17b;?b;29?j1f2900e8:6:188k7c62900e>>=:188f13f290:6=4?{%632?`d3A>>56F;2`9'037=4=:5y'050=n;1C8874H50b?!77j3o0(?2900el850;9l6`6=831i>h>50;796?0|,=:=6k;4$0gg>0373f8n<7>5;h0gb?6=3`k=6=44i8g94?=n010;66li5;292?6=8r.?:<4i7:k24<<72->==7??9:9j=f<72->==77l;:k:`?6=,=<:64j4;h1ag?6=,=<:6>ll;:k033<72->==7=86:9l6=?=83.?:<4=8898yg22k3:1=7>50z&724<50=1d>5k50;&724<50010q~o9:181[g134l>6<>6;|q;m3:1>vP6e:?e1?50>2wx>ih50;0xZ7ba34l>6>ll;|q1a5<72;qU>h>4=g796=?9n7>52z?e1??d34>>o7<7e:~f7<7280;6=u+43;9=>"38?0mo6*;608722=#9ln198>4og`94?"3>8094454}r0f4?6=:rT9i=522d296`66`6=1l16>7hm;%61=?e1}#<9<1j?5G44;8L14f3-;;n7k4$0gg>0373`236=44i`494?=h:l:1<75m2d294?3=:39:g78 4cc23:1o6800;6):91;33=>=n1j0;6):91;;`?>o>l3:1(98>:8f8?l5ek3:1(98>:2``?>o4??0;6):91;142>=h:131<7*;6081<<=>o7>51;294~"3>809495`29g94?"3>8094454}rc5>5<5sWk=70h::02:?xu?03:1>vP78:?e1??c3ty2i7>52z\:a>;a=39<:6s|2ed94?4|V;nm70h::2``?xu5m90;6?uQ2d289c3=:130q~:=b;296~;a=33h70::c;0;a>{zj;0;6<4?:1y'07?=12.?<;4ic:&724<3>>1/=hj55428kcd=83.?:<4=8898yv4b83:1>vP=e19>6`6=:l:0q~o9:181[g1348n<7o9;|q;{t=3:1?v3=e181`c=::l:15h522;da?!2513i0qpl;4383>6<52=q/8=85f39K00?<@=8j7)??b;g8 4cc2h>50;9a6`6=83?1>78t$525>c3<,8oo68;?;n0f4?6=3`8oj7>5;hc5>5<>da=3:1:7>50z&7245$542>5$542>6dd32c8;;4?:%655?50>21d>5750;&724<50010qo::c;295?6=8r.?:<4=859l6=c=83.?:<4=8898yvg12909wSo9;46>3ty347>52z\;<>;a=33o7p}6e;296~X>m27m97=86:p6a`=838pR?ji;6dd3ty9i=4?:3y]6`6<5o?1>574}r61f?6=:r7m977l;<66g?4?m2wvn?4?:083>5}#<;3156*;078eg>"3>80?::5+1df910652z\1a5=::l:1>h>4}rc5>5<5sWk=70vP78:?1a553z?1a5<5lo16>h>59d9>6?`e3->957m4}|`77`<72:0969u+4149b7=O<<30D95<56;294~"3>80m;6g>0883>!2193;;565f9b83>!21933h76g6d;29 10620n07d=mc;29 1062:hh76g<7783>!21939<:65`29;94?"3>8094454}c66g?6=93:1{t:ml1<77}Y:l:01k;529;8yv25j3:1>v3i5;;`?822k383i6srb383>4<729q/8?759:&743h>52d28yvg12909wSo9;<0f4?g13ty347>52z\;<>;5m90346s|5;297~;5m909hk522d29=`=::3li7):=9;a8yxd59?0;684=:7y'050=n=1C8874H50b?!7bl3?><6g6c;29?l?b2900e5650;9je3<722e9i=4?::`1a5<72?0;6=u+4739b2=n9931<7*;60824<==n1m0;6):91;;g?>o4jj0;6):91;1ag>=n;><1<7*;608033=5}#5<#574;|q1a5<72;qU>h>4=3g3>7>>3tyj:7>52z\b2>;5m90:<45rs8g94?4|V0o01?k?:255?xu>k3:1>vP6c:?1a5<>k2wx454?:3y]<==::l:15i5rsga94?4|5;o;6>ll;<66a?4?12wvn???:186>7<1s->;:7h;;I66=>N3:h1/=hj55428m5<ll:18'037=;ki07d=86;29 1062:==76a=8883>!219383565rb57f>5<6290;w):91;653>i5000;6):91;0;=>=z{;o;6=4={_0f4>;5m909445rs`494?4|Vh<01?k?:02:?xu>m3:1>vP6e:?1a5<4??1v4m50;0xZ5<5sW2370v3=e180ff=:<574}|`113<72<096;u+4149b1=O<<30D95;h;f>5<>i5m90;66l=e183>3<729q/8;?5f69j55?=83.?:<4>0898m==77k;:k0ff<72->==7=mc:9j720=83.?:<4<7798k7>>290/8;?529;8?xd3=l0;6<4?:1y'037=7}Y:l:01?k?:3::?xuf>3:1>vPn6:?1a5<6801v4k50;0xZ99;|q:g?6=:rT2o63=e18:g>{t010;6?uQ899>6`6=1m1vkm50;0x97c72:hh70::e;0;=>{zj;?96=4::385!27>3l?7E::9:J76d=#9ln198>4i8a94?=n1l0;66g78;29?lg12900c?k?:188f7c7290=6=4?{%655?`03`;;57>5$542>46>32c2o7>5$542>5$542>61132e9444?:%655?4?121vn9;j:182>5<7s->==7:97:m1<<<72->==7<79:9~w7c72909wS6;|q:a?6=:rT2i63=e18033=z{0i1<7?348n<77k;|qeg?6=:r79i=400c=:130qpl=4d83>0<52?q/8=85f59K00?<@=8j7)?jd;764>o>k3:17d7j:188m=>=831bm;4?::m1a5<722h9i=4?:783>5}#80:<454i8a94?"3>802o65f9e83>!21933o76g!21939io65f36494?"3>808;;54o3::>5<#574;|`71`<7280;6=u+4739031k279i=46c:p<=<72;qU45522d29=a=z{oi1<76dd34>>i7<79:~f72f290>6?49{%632?`33A>>56F;2`9'5`b==<:0e4m50;9j=`<722c347>5;hc5>5<5<1290;w):91;d4?l7713:1(98>:02:?>o>k3:1(98>:8a8?l?c290/8;?59e98m6dd290/8;?53ca8?l50>3:1(98>:255?>i5000;6):91;0;=>=zj=?n6=4>:183!2193>=;6a=8883>!219383565rs3g3>5<5sW8n<63=e181<<=z{h<1<7m279i=4<779~w3}#<9<1j95G44;8L14f3-;nh7;:0:k:g?6=3`3n6=44i9:94?=ni?0;66a=e183>>d5m90;6;4?:1y'037=n>1b==750;&724<68010e4m50;&724<>k21b5i4?:%655??c32c8nn4?:%655?5ek21b?:850;&724<4??10c?66:18'037=:1307pl;5d83>4<729q/8;?54758k7>>290/8;?529;8?xu5m90;6?uQ2d2897c72;227p}n6;296~Xf>279i=4>089~w6113ty2o7>52z\:g>;5m902o6s|8983>7}Y0116>h>59e9~wce=838p1?k?:2``?822m38356srb361>5<22;0=w):?6;d7?M2212B?>l5+1df9106>o?03:17do9:188k7c72900n?k?:185>5<7s->==7h8;h33=?6=,=<:6<>6;:k:g?6=,=<:64m4;h;g>5<#5<#99;:m1<<<72->==7<79:9~f13b290:6=4?{%655?21?2e9444?:%655?4?121v?k?:181[4b8279i=4=889~wd0=838pRl84=3g3>46>3ty2i7>52z\:a>;5m908;;5rs8a94?4|V0i01?k?:8a8yv>?2909wS67;<0f4??c3tymo7>52z?1a5<4jj1688k529;8yxd5;l0;684=:7y'050=n=1C8874H50b?!7bl3?><6g6c;29?l?b2900e5650;9je3<722e9i=4?::`1a5<72?0;6=u+4739b2=n9931<7*;60824<==n1m0;6):91;;g?>o4jj0;6):91;1ag>=n;><1<7*;608033=5}#5<#574;|q1a5<72;qU>h>4=3g3>7>>3tyj:7>52z\b2>;5m90:<45rs8g94?4|V0o01?k?:255?xu>k3:1>vP6c:?1a5<>k2wx454?:3y]<==::l:15i5rsga94?4|5;o;6>ll;<66a?4?12wvn?=n:186>7<1s->;:7h;;I66=>N3:h1/=hj55428m5<ll:18'037=;ki07d=86;29 1062:==76a=8883>!219383565rb57f>5<6290;w):91;653>i5000;6):91;0;=>=z{;o;6=4={_0f4>;5m909445rs`494?4|Vh<01?k?:02:?xu>m3:1>vP6e:?1a5<4??1v4m50;0xZ5<5sW2370v3=e180ff=:<574}|`75=<72<096;u+4149b1=O<<30D95<>of>3:17b50z&7245$542>5$542>6dd32c8;;4?:%655?50>21d>5750;&724<50010qo::e;295?6=8r.?:<4;669l6=?=83.?:<4=8898yv4b83:1>vP=e19>6`6=:130q~o9:181[g1348n<7??9:p=`<72;qU5h522d29720h>53ca8913b2;227psm40694?3=:39:g68L13>3A>9m6*>0c8f?!7bl3?><6g6c;29?l?b2900e5650;9je3<722e9i=4?::`1a5<72?0;6=u+4739b2=n9931<7*;60824<==n1m0;6):91;;g?>o4jj0;6):91;1ag>=n;><1<7*;608033=5}#5<#574;|q1a5<72;qU>h>4=3g3>7>>3tyj:7>52z\b2>;5m90:<45rs8g94?4|V0o01?k?:255?xu>k3:1>vP6c:?1a5<>k2wx454?:3y]<==::l:15i5rsga94?4|5;o;6>ll;<66a?4?12wvn9??:186>7<1s->;:7h;;I66=>N3:h1/==l5e:&2aa<2=91b5n4?::k:a?6=3`236=44i`494?=h:l:1<75m2d294?0=83:p(98>:g58m46>290/8;?511;8?l?d290/8;?59b98m:3::?>{e<{ti?0;6?uQa79>6`6=9930q~7j:181[?b348n<7=86:p=f<72;qU5n522d29=f=z{121<7;n7>55;092~"38?0m86F;589K07g<,8:i6h5+1df9106>o?03:17do9:188k7c72900n?k?:185>5<7s->==7h8;h33=?6=,=<:6<>6;:k:g?6=,=<:64m4;h;g>5<#5<#99;:m1<<<72->==7<79:9~f13b290:6=4?{%655?21?2e9444?:%655?4?121v?k?:181[4b8279i=4=889~wd0=838pRl84=3g3>46>3ty2i7>52z\:a>;5m908;;5rs8a94?4|V0i01?k?:8a8yv>?2909wS67;<0f4??c3tymo7>52z?1a5<4jj1688k529;8yxdbn3:1?7<54z&743h>50;9a6`6=83?1>78t$525>c3<,8oo68;?;n0f4?6=3`8oj7>5;hc5>5<>da=3:1:7>50z&7245$542>5$542>6dd32c8;;4?:%655?50>21d>5750;&724<50010qo::c;295?6=8r.?:<4=859l6=c=83.?:<4=8898yvg12909wSo9;46>3ty347>52z\;<>;a=33o7p}6e;296~X>m27m97=86:p6a`=838pR?ji;6dd3ty9i=4?:3y]6`6<5o?1>574}r61f?6=:r7m977l;<66g?4?m2wvn?4?:083>5}#<;3156*;078eg>"3>80?::5+1df910652z\1a5=::l:1>h>4}rc5>5<5sWk=70vP78:?1a553z?1a5<5lo16>h>59d9>6?`e3->957m4}|`fa?6=;3818v*;078e6>N3=01C8?o4$0gg>0373`236=44i`494?=h:l:1<75m2d294?3=:39:g78 4cc23:1o6800;6):91;33=>=n1j0;6):91;;`?>o>l3:1(98>:8f8?l5ek3:1(98>:2``?>o4??0;6):91;142>=h:131<7*;6081<<=>o7>51;294~"3>809495`29g94?"3>8094454}rc5>5<5sWk=70h::02:?xu?03:1>vP78:?e1??c3ty2i7>52z\:a>;a=39<:6s|2ed94?4|V;nm70h::2``?xu5m90;6?uQ2d289c3=:130q~:=b;296~;a=33h70::c;0;a>{zj;0;6<4?:1y'07?=12.?<;4ic:&724<3>>1/=hj55428kcd=83.?:<4=8898yv4b83:1>vP=e19>6`6=:l:0q~o9:181[g1348n<7o9;|q;{t=3:1?v3=e181`c=::l:15h522;da?!2513i0qpl;a483>6<52=q/8=85f39K00?<@=8j7)?jd;764>o?03:17do9:188k7c72900n?k?:186>7<1s->;:7h:;%3f`?3282e9i=4?::k1`c<722cj:7>5;h;f>5<3<729q/8;?5f69j55?=83.?:<4>0898m==77k;:k0ff<72->==7=mc:9j720=83.?:<4<7798k7>>290/8;?529;8?xd3=j0;6<4?:1y'037=:1>0c?6j:18'037=:1307p}n6;296~Xf>27m97??9:p<=<72;qU4552f48:`>{t1l0;6?uQ9d9>b0<4??1v?ji:181[4cn27m97=mc:p6`6=838pR?k?;7>>3ty?>o4?:3y>b0<>k27?9n4=8d9~yg4=83;1<7>t$50:><=#<9<1jn5+4739031<,8oo68;?;nda>5<#574;|q1a5<72;qU>h>4=3g3>7c73tyj:7>52z\b2>;5m90j:6s|8983>7}Y0116>h>5899~w0<72:q6>h>52ed897c720o01?4ib:&76<5;n0f4?6=3k8n<7>55;092~"38?0m96*>ee8615=h:l:1<75f2ed94?=ni?0;66g6e;29?l>?2900nk;50;494?6|,=<:6k94i02:>5<#5<#802h65f3ca94?"3>808nn54i255>5<#t$542>7>33f83i7>5$542>7>>32wxm;4?:3y]e3=:n<0:<45rs9:94?4|V1201k;59e9~w52z\1`c=:n<08nn5rs3g3>5<5sW8n<63i5;0;=>{t<;h1<75k4}|`1>5<6290;w):=9;;8 1612oi0(98>:544?!7bl3?><6aib;29 1062;2276s|2d294?4|V;o;70{ti?0;6?uQa79>6`6=i?1v5650;0xZ=><5;o;6564}r794?5|5;o;6?ji;<0f4??b3481jo5+43;9g>{zj>k1<7=52;6x 1612o80D9;6;I61e>"6mm0>9=5f8983>>of>3:17bih50;9je3<722c2i7>5;h:;>5<6:18'037=99307d7l:18'037=1j10e4j50;&724<>l21b?om50;&724<4jj10e>99:18'037=;><07b<79;29 1062;2276sm44a94?7=83:p(98>:3:7?j4?m3:1(98>:3::?>{ti?0;6?uQa79>b0<6801v5650;0xZ=><5o?15i5rs8g94?4|V0o01k;53648yv4cn3:1>vP=dg9>b0<4jj1v?k?:181[4b827m97<79:p07d=838p1k;59b9>00e=:1o0qpl=:182>5<7s->95774$525>ce<,=<:6988;%3f`?3282emn7>5$542>7>>32wx>h>50;0xZ7c7348n<7>56F;2`9'5`b==<:0e5650;9je3<722e9i=4?::`1a5<72<096;u+4149b0=#9ln198>4o3g3>5<5$542>46>32c2o7>5$542>5$542>61132e9444?:%655?4?121vn9;l:182>5<7s->==7<74:m1<`<72->==7<79:9~wd0=838pRl84=g7955?6>99;|q1`c<72;qU>ih4=g797ge52z\1a5=:n<09445rs50a>5<5s4l>64m4=57`>7>b3twi>7>51;294~"3:0027):?6;d`?!2193>=;6*>ee8615=hnk0;6):91;0;=>=z{;o;6=4={_0f4>;5m909i=5rs`494?4|Vh<01?k?:`48yv>?2909wS67;<0f4?>?3ty>6=4<{<0f4?4cn279i=46e:?1>cd<,=826n5r}c3ea?6=;3818v*;078e6>N3=01C8?o4$0gg>0373`236=44i`494?=h:l:1<75m2d294?3=:39:g78 4cc23:1o6800;6):91;33=>=n1j0;6):91;;`?>o>l3:1(98>:8f8?l5ek3:1(98>:2``?>o4??0;6):91;142>=h:131<7*;6081<<=>o7>51;294~"3>809495`29g94?"3>8094454}rc5>5<5sWk=70h::02:?xu?03:1>vP78:?e1??c3ty2i7>52z\:a>;a=39<:6s|2ed94?4|V;nm70h::2``?xu5m90;6?uQ2d289c3=:130q~:=b;296~;a=33h70::c;0;a>{zj;0;6<4?:1y'07?=12.?<;4ic:&724<3>>1/=hj55428kcd=83.?:<4=8898yv4b83:1>vP=e19>6`6=:l:0q~o9:181[g1348n<7o9;|q;{t=3:1?v3=e181`c=::l:15h522;da?!2513i0qpl;d583>6<52=q/8=85f39K00?<@=8j7)?jd;764>o?03:17do9:188k7c72900n?k?:186>7<1s->;:7h:;%3f`?3282e9i=4?::k1`c<722cj:7>5;h;f>5<3<729q/8;?5f69j55?=83.?:<4>0898m==77k;:k0ff<72->==7=mc:9j720=83.?:<4<7798k7>>290/8;?529;8?xd3=j0;6<4?:1y'037=:1>0c?6j:18'037=:1307p}n6;296~Xf>27m97??9:p<=<72;qU4552f48:`>{t1l0;6?uQ9d9>b0<4??1v?ji:181[4cn27m97=mc:p6`6=838pR?k?;7>>3ty?>o4?:3y>b0<>k27?9n4=8d9~yg4=83;1<7>t$50:><=#<9<1jn5+4739031<,8oo68;?;nda>5<#574;|q1a5<72;qU>h>4=3g3>7c73tyj:7>52z\b2>;5m90j:6s|8983>7}Y0116>h>5899~w0<72:q6>h>52ed897c720o01?4ib:&76<=850;796?0|,=:=6k:4H57:?M25i2.:ii4:519j=f<722c2i7>5;h:;>5<6:18'037=99307d7l:18'037=1j10e4j50;&724<>l21b?om50;&724<4jj10e>99:18'037=;><07b<79;29 1062;2276sm44g94?7=83:p(98>:544?j4?13:1(98>:3::?>{t:l:1<77}Yi?16>h>511;8yv?b2909wS7j;<0f4?50>2wx5n4?:3y]=f=::l:15n5rs9:94?4|V1201?k?:8f8yv`d2909w0;3=l09445r}c03b?6==381:v*;078e0>N3=01C8?o4$0gg>0373`3h6=44i8g94?=n010;66gn6;29?j4b83:17o==7??9:9j=f<72->==77l;:k:`?6=,=<:64j4;h1ag?6=,=<:6>ll;:k033<72->==7=86:9l6=?=83.?:<4=8898yg22m3:1=7>50z&724<3>>1d>5750;&724<50010q~h>529;8yvg12909wSo9;<0f4?7712wx5h4?:3y]=`=::l:1?:84}r;`>5<5sW3h70vP78:?1a5<>l2wxjn4?:3y>6`6=;ki019;j:3::?x{e;h31<7;52;4x 1612o>0D9;6;I61e>"6mm0>9=5f9b83>>o>m3:17d67:188md0=831d>h>50;9a6`6=83<1<7>t$542>c1=n;ki1<7*;6080ff=5$542>7>>32wi88k50;394?6|,=<:6988;n0;=?6=,=<:6?66;:p6`6=838pR?k?;<0f4?4?12wxm;4?:3y]e3=::l:1==74}r;f>5<5sW3n70{t1j0;6?uQ9b9>6`6=1j1v5650;0xZ=><5;o;64j4}rd`>5<5s48n<7=mc:?71`<5001vqo=m3;291?4=>r.?<;4i4:J71<=O<;k0(5;n0f4?6=3k8n<7>56;294~"3>80m;6g>0883>!2193;;565f9b83>!21933h76g6d;29 10620n07d=mc;29 1062:hh76g<7783>!21939<:65`29;94?"3>8094454}c66a?6=93:152z\1a5=::l:1>574}rc5>5<5sWk=70{t1l0;6?uQ9d9>6`6=;><0q~7l:181[?d348n<77l;|q;{tnj0;6?u22d297ge<5=?n6?66;|a5ab=8391>7:t$525>c4<@=?27E:=a:&24g5<3l>7)?jd;764>i5m90;66g=dg83>>of>3:17d7j:188m=>=831ij84?:783>5}#80:<454i8a94?"3>802o65f9e83>!21933o76g!21939io65f36494?"3>808;;54o3::>5<#574;|`71f<7280;6=u+47396=252z\b2>;a=3;;56s|8983>7}Y0116j846d:p=`<72;qU5h52f48033=z{;nm6=4={_0gb>;a=39io6s|2d294?4|V;o;70h::3::?xu3:k0;6?u2f48:g>;3=j094h5r}c094?7=83:p(9<6:89'050=nj1/8;?54758 4cc27}Y:l:01?k?:3g3?xuf>3:1>vPn6:?1a52wx454?:3y]<==::l:1455rs483>6}::l:1>ih4=3g3>"68k0n7)?jd;764>o?03:17do9:188k7c72900n?k?:186>7<1s->;:7h:;%3f`?3282e9i=4?::k1`c<722cj:7>5;h;f>5<3<729q/8;?5f69j55?=83.?:<4>0898m==77k;:k0ff<72->==7=mc:9j720=83.?:<4<7798k7>>290/8;?529;8?xd3=j0;6<4?:1y'037=:1>0c?6j:18'037=:1307p}n6;296~Xf>27m97??9:p<=<72;qU4552f48:`>{t1l0;6?uQ9d9>b0<4??1v?ji:181[4cn27m97=mc:p6`6=838pR?k?;7>>3ty?>o4?:3y>b0<>k27?9n4=8d9~yg4=83;1<7>t$50:><=#<9<1jn5+4739031<,8oo68;?;nda>5<#574;|q1a5<72;qU>h>4=3g3>7c73tyj:7>52z\b2>;5m90j:6s|8983>7}Y0116>h>5899~w0<72:q6>h>52ed897c720o01?4ib:&76<of03:17do6:188k6de2900n98::180>5<7s->;:7::8:J71<=O<;k0(98>:574?!7bl3?><6gie;29 10628:276gif;29 10628:276a=8083>!219383565rs`:94?4|Vh20198::gd8yvg>2909wSo6;<651?`b3ty8no4?:3y]7gd<5=<>6?6>;|a04b=8391=7=tH50b?!27>3;m=6gn8;29?lg>2900c>lm:188f10229086=4?{%632?2202B?945G43c8 1062=?<7)?jd;764>oam3:1(98>:02:?>oan3:1(98>:02:?>i5080;6):91;0;=>=z{h21<734>=97hj;|q0fg<72;qU?ol4=546>7>63twi8of03:17do6:188k6de2900n98::180>5<7s->;:7::8:J71<=O<;k0(98>:574?!7bl3?><6gie;29 10628:276gif;29 10628:276a=8083>!219383565rs`:94?4|Vh20198::gd8yvg>2909wSo6;<651?`b3ty8no4?:3y]7gd<5=<>6?6>;|a01b=8391=7=tH50b?!27>3;m=6gn8;29?lg>2900c>lm:188f10229086=4?{%632?2202B?945G43c8 1062=?<7)?jd;764>oam3:1(98>:02:?>oan3:1(98>:02:?>i5080;6):91;0;=>=z{h21<734>=97hj;|q0fg<72;qU?ol4=546>7>63twi89m50;195?5|@=8j7):?6;3e5>of03:17do6:188k6de2900n98::180>5<7s->;:7::8:J71<=O<;k0(98>:574?!7bl3?><6gie;29 10628:276gif;29 10628:276a=8083>!219383565rs`:94?4|Vh20198::gd8yvg>2909wSo6;<651?`b3ty8no4?:3y]7gd<5=<>6?6>;|a01d=8391=7=tH50b?!27>3;m=6gn8;29?lg>2900c>lm:188f10229086=4?{%632?2202B?945G43c8 1062=?<7)?jd;764>oam3:1(98>:02:?>oan3:1(98>:02:?>i5080;6):91;0;=>=z{h21<734>=97hj;|q0fg<72;qU?ol4=546>7>63twi>8h50;195?5|@=8j7):?6;3e5>of03:17do6:188k6de2900n98::180>5<7s->;:7::8:J71<=O<;k0(98>:574?!7bl3?><6gie;29 10628:276gif;29 10628:276a=8083>!219383565rs`:94?4|Vh20198::gd8yvg>2909wSo6;<651?`b3ty8no4?:3y]7gd<5=<>6?6>;|a636=8391=7=tH50b?!27>3;m=6gn8;29?lg>2900c>lm:188f10229086=4?{%632?2202B?945G43c8 1062=?<7)?jd;764>oam3:1(98>:02:?>oan3:1(98>:02:?>i5080;6):91;0;=>=z{h21<734>=97hj;|q0fg<72;qU?ol4=546>7>63twi>;?50;195?5|@=8j7):?6;3e5>of03:17do6:188k6de2900n98::180>5<7s->;:7::8:J71<=O<;k0(98>:574?!7bl3?><6gie;29 10628:276gif;29 10628:276a=8083>!219383565rs`:94?4|Vh20198::gd8yvg>2909wSo6;<651?`b3ty8no4?:3y]7gd<5=<>6?6>;|a634=8391=7=tH50b?!27>3;m=6gn8;29?lg>2900c>lm:188f10229086=4?{%632?2202B?945G43c8 1062=?<7)?jd;764>oam3:1(98>:02:?>oan3:1(98>:02:?>i5080;6):91;0;=>=z{h21<734>=97hj;|q0fg<72;qU?ol4=546>7>63twi>;=50;195?5|@=8j7):?6;3e5>of03:17do6:188k6de2900n98::180>5<7s->;:7::8:J71<=O<;k0(98>:574?!7bl3?><6gie;29 10628:276gif;29 10628:276a=8083>!219383565rs`:94?4|Vh20198::gd8yvg>2909wSo6;<651?`b3ty8no4?:3y]7gd<5=<>6?6>;|a632=8391=7=tH50b?!27>3;m=6gn8;29?lg>2900c>lm:188f10229086=4?{%632?2202B?945G43c8 1062=?<7)?jd;764>oam3:1(98>:02:?>oan3:1(98>:02:?>i5080;6):91;0;=>=z{h21<734>=97hj;|q0fg<72;qU?ol4=546>7>63twi>;;50;195?5|@=8j7):?6;3e5>of03:17do6:188k6de2900n98::180>5<7s->;:7::8:J71<=O<;k0(98>:574?!7bl3?><6gie;29 10628:276gif;29 10628:276a=8083>!219383565rs`:94?4|Vh20198::gd8yvg>2909wSo6;<651?`b3ty8no4?:3y]7gd<5=<>6?6>;|a630=8391=7=tH50b?!27>3;m=6gn8;29?lg>2900c>lm:188f10229086=4?{%632?2202B?945G43c8 1062=?<7)?jd;764>oam3:1(98>:02:?>oan3:1(98>:02:?>i5080;6):91;0;=>=z{h21<734>=97hj;|q0fg<72;qU?ol4=546>7>63twi>8j50;195?5|@=8j7):?6;3e5>of03:17do6:188k6de2900n98::180>5<7s->;:7::8:J71<=O<;k0(98>:574?!7bl3?><6gie;29 10628:276gif;29 10628:276a=8083>!219383565rs`:94?4|Vh20198::gd8yvg>2909wSo6;<651?`b3ty8no4?:3y]7gd<5=<>6?6>;|a60c=8391=7=tH50b?!27>3;m=6gn8;29?lg>2900c>lm:188f10229086=4?{%632?2202B?945G43c8 1062=?<7)?jd;764>oam3:1(98>:02:?>oan3:1(98>:02:?>i5080;6):91;0;=>=z{h21<734>=97hj;|q0fg<72;qU?ol4=546>7>63twi>8m50;195?5|@=8j7):?6;3e5>of03:17do6:188k6de2900n98::180>5<7s->;:7::8:J71<=O<;k0(98>:574?!7bl3?><6gie;29 10628:276gif;29 10628:276a=8083>!219383565rs`:94?4|Vh20198::gd8yvg>2909wSo6;<651?`b3ty8no4?:3y]7gd<5=<>6?6>;|af1<72;0;6=u+414955e<@=?27E:=a:&724==7??9:9l6=7=83.?:<4=889K036<3thi97>53;294~"38?0?<6F;589K07g<,=<:6>h4$0gg>0373`ln6=4+473955?<3`lm6=4+473955?<3f83=7>5$542>7>>32wi8?950;194?6|,=:=69>4H57:?M25i2.?:<45?50;&724<50010qo=m2;296?6=8r.?<;4>0b9K00?<@=8j7):91;:6?!7bl3?><6gid;29 10628:276a=8083>!21938356F;6198yg44k3:1>7>50z&743<68j1C8874H50b?!21932>7)?jd;764>oal3:1(98>:02:?>i5080;6):91;0;=>N3>910qo<:2d8 4cc29:02`?M2212B?>l5+4739<0=#9ln198>4igf94?"3>80:<454o3:2>5<#574H543?>{e:=;1<7=50;2x 1612=:0D9;6;I61e>"3>808j6*>ee8615=nnl0;6):91;33=>=nno0;6):91;33=>=h:1;1<7*;6081<<=52;294~"38?0:==76:;%3f`?3282cmh7>5$542>46>32e94<4?:%655?4?12B?:=54}c071?6=;3:1N3=01C8?o4$542>6`<,8oo68;?;hdf>5<#5<#=8381<7>t$525>46d3A>>56F;2`9'037=0<1/=hj55428mcb=83.?:<4>0898k7>6290/8;?529;8L10732wi>9750;194?6|,=:=69>4H57:?M25i2.?:<45?50;&724<50010qo<;c;296?6=8r.?<;4>0b9K00?<@=8j7):91;:6?!7bl3?><6gid;29 10628:276a=8083>!21938356F;6198yg43l3:1?7>50z&743<382B?945G43c8 1062:l0(:3::?>{e:<:1<7<50;2x 16128:h7E::9:J76d=#9:528L13>3A>9m6*;6080b>"6mm0>9=5ffd83>!2193;;565ffg83>!2193;;565`29394?"3>8094454}c060?6=:3:123-;nh7;:0:ke`?6=,=<:6<>6;:m1<4<72->==7<79:J725=97>53;294~"38?0?<6F;589K07g<,=<:6>h4$0gg>0373`ln6=4+473955?<3`lm6=4+473955?<3f83=7>5$542>7>>32wi>8650;094?6|,=:=6<>l;I66=>N3:h1/8;?5849'5`b==<:0ekj50;&724<68010c?6>:18'037=:130D98?;:a60?=8391<7>t$525>16<@=?27E:=a:&724<4n2.:ii4:519jb`<72->==7??9:9jbc<72->==7??9:9l6=7=83.?:<4=8898yg46=3:1?7>50z&743<50?1C8874H50b?!21938396*>ee8615=nnl0;6):91;33=>=nno0;6):91;33=>=h:1;1<7*;6081<<=7>52;294~"38?0:==76:;%3f`?3282cmh7>5$542>46>32e94<4?:%655?4?12B?:=54}c027?6=;3:1N3=01C8?o4$542>6`<,8oo68;?;hdf>5<#5<#=8381<7>t$525>46d3A>>56F;2`9'037=0<1/=hj55428mcb=83.?:<4>0898k7>6290/8;?529;8L10732wi><750;194?6|,=:=69>4H57:?M25i2.?:<45?50;&724<50010qo<8a;297?6=8r.?<;4;0:J71<=O<;k0(98>:2d8 4cc29:528L13>3A>9m6*;6080b>"6mm0>9=5ffd83>!2193;;565ffg83>!2193;;565`29394?"3>8094454}c042?6=;3:1N3=01C8?o4$542>6`<,8oo68;?;hdf>5<#5<#t$525>16<@=?27E:=a:&724<4n2.:ii4:519jb`<72->==7??9:9jbc<72->==7??9:9l6=7=83.?:<4=8898yg40:3:1?7>50z&743<382B?945G43c8 1062:l0(:3::?>{e:>:1<7=50;2x 1612=:0D9;6;I61e>"3>808j6*>ee8615=nnl0;6):91;33=>=nno0;6):91;33=>=h:1;1<7*;6081<<=53;294~"38?0?<6F;589K07g<,=<:6>h4$0gg>0373`ln6=4+473955?<3`lm6=4+473955?<3f83=7>5$542>7>>32wi>;m50;194?6|,=:=69>4H57:?M25i2.?:<45?50;&724<50010qo<9a;297?6=8r.?<;4;0:J71<=O<;k0(98>:2d8 4cc29:528L13>3A>9m6*;6080b>"6mm0>9=5ffd83>!2193;;565ffg83>!2193;;565`29394?"3>8094454}c67e?6=;3:1N3=01C8?o4$542>6`<,8oo68;?;hdf>5<#5<#t$525>16<@=?27E:=a:&724<4n2.:ii4:519jb`<72->==7??9:9jbc<72->==7??9:9l6=7=83.?:<4=8898yg23<3:1?7>50z&743<382B?945G43c8 1062:l0(:3::?>{e<=:1<7<50;2x 16128:h7E::9:J76d=#9:528L13>3A>9m6*;6080b>"6mm0>9=5ffd83>!2193;;565ffg83>!2193;;565`29394?"3>8094454}c67b?6=;3:1N3=01C8?o4$542>6`<,8oo68;?;hdf>5<#5<#t$525>16<@=?27E:=a:&724<4n2.:ii4:519jb`<72->==7??9:9jbc<72->==7??9:9l6=7=83.?:<4=8898yg26i3:1>7>50z&743<68j1C8874H50b?!21932>7)?jd;764>oal3:1(98>:02:?>i5080;6):91;0;=>N3>910qo:>b;297?6=8r.?<;4;0:J71<=O<;k0(98>:2d8 4cc29:02`?M2212B?>l5+4739<0=#9ln198>4igf94?"3>80:<454o3:2>5<#574H543?>{e<8=1<7=50;2x 1612=:0D9;6;I61e>"3>808j6*>ee8615=nnl0;6):91;33=>=nno0;6):91;33=>=h:1;1<7*;6081<<=:>7>52;294~"38?0:==76:;%3f`?3282cmh7>5$542>46>32e94<4?:%655?4?12B?:=54}c627?6=;3:1N3=01C8?o4$542>6`<,8oo68;?;hdf>5<#5<#t$525>46d3A>>56F;2`9'037=0<1/=hj55428mcb=83.?:<4>0898k7>6290/8;?529;8L10732wi8=k50;094?6|,=:=6<>l;I66=>N3:h1/8;?5849'5`b==<:0ekj50;&724<68010c?6>:18'037=:130D98?;:a05`=8391<7>t$525>16<@=?27E:=a:&724<4n2.:ii4:519jb`<72->==7??9:9jbc<72->==7??9:9l6=7=83.?:<4=8898yg2583:1?7>50z&743<382B?945G43c8 1062:l0(:3::?>{e<;81<7=50;2x 1612=:0D9;6;I61e>"3>808j6*>ee8615=nnl0;6):91;33=>=nno0;6):91;33=>=h:1;1<7*;6081<<=52;294~"38?0:==76:;%3f`?3282cmh7>5$542>46>32e94<4?:%655?4?12B?:=54}c3fe?6=;3:1N3=01C8?o4$542>6`<,8oo68;?;hdf>5<#5<#1<7>t$525>17<@=?27E:=a:&724<4n2.:ii4:519jb`<72->==7??9:9jbc<72->==7??9:9j556=83.?:<4>0898k7>6290/8;?529;8?xd4j?0;6>4?:1y'050=<91C8874H50b?!21939m7)?jd;764>oam3:1(98>:02:?>oan3:1(98>:02:?>i5080;6):91;0;=>=zj:h<6=4=:183!27>3;;o6F;589K07g<,=<:65;4$0gg>0373`lo6=4+473955?<3f83=7>5$542>7>>3A>=<65rb2`;>5<5290;w):?6;33g>N3=01C8?o4$542>=3<,8oo68;?;hdg>5<#=zj:h26=4<:183!27>3>;7E::9:J76d=#5}#<9<18=5G44;8L14f3->==7=i;%3f`?3282cmi7>5$542>46>32cmj7>5$542>46>32e94<4?:%655?4?121vn?>?:18:>5<7s->;:7::;I66=>N3:h1/8;?53g9'5`b==<:0ekk50;&724<68010ekh50;&724<68010e<>?:18'037=99307d??1;29 10628:276g>0383>!2193;;565f11194?"3>80:<454i027>5<#6;:m1<4<72->==7<79:9~f76629086=4?{%632?273A>>56F;2`9'037=;o1/=hj55428mcc=83.?:<4>0898mc`=83.?:<4>0898k7>6290/8;?529;8?xd58;0;6?4?:1y'050=99i0D9;6;I61e>"3>80396*>ee8615=nnm0;6):91;33=>=h:1;1<7*;6081<<=O6<729q/8=85419K00?<@=8j7):91;1e?!7bl3?><6gie;29 10628:276gif;29 10628:276a=8083>!219383565rb327>5<4290;w):?6;63?M2212B?>l5+47397c=#9ln198>4igg94?"3>80:<454igd94?"3>80:<454o3:2>5<#574;|`140<72:0;6=u+414905=O<<30D96;:keb?6=,=<:6<>6;:m1<4<72->==7<79:9~f6gd29086=4?{%632?273A>>56F;2`9'037=;o1/=hj55428mcc=83.?:<4>0898mc`=83.?:<4>0898k7>6290/8;?529;8?xd4im0;6?4?:1y'050=99i0D9;6;I61e>"3>80396*>ee8615=nnm0;6):91;33=>=h:1;1<7*;6081<<=O7<729q/8=8511a8L13>3A>9m6*;608;1>"6mm0>9=5ffe83>!2193;;565`29394?"3>809445G4728?xd4io0;6>4?:1y'050=<91C8874H50b?!21939m7)?jd;764>oam3:1(98>:02:?>oan3:1(98>:02:?>i5080;6):91;0;=>=zj:h;6=4<:183!27>3>;7E::9:J76d=#5}#<9<1==m4H57:?M25i2.?:<475:&2aa<2=91bji4?:%655?77121d>5?50;&724<5001C8;>4;|`167<72;0;6=u+414955e<@=?27E:=a:&724==7??9:9l6=7=83.?:<4=889K036<3th9>>4?:383>5}#<9<1==m4H57:?M25i2.?:<475:&2aa<2=91bji4?:%655?77121d>5?50;&724<5001C8;>4;|`161<72;0;6=u+414955e<@=?27E:=a:&724==7??9:9l6=7=83.?:<4=889K036<3th9>84?:383>5}#<9<1==m4H57:?M25i2.?:<475:&2aa<2=91bji4?:%655?77121d>5?50;&724<5001C8;>4;|`163<72;0;6=u+414955e<@=?27E:=a:&724==7??9:9l6=7=83.?:<4=889K036<3th9>:4?:383>5}#<9<1==m4H57:?M25i2.?:<475:&2aa<2=91bji4?:%655?77121d>5?50;&724<5001C8;>4;|`16=<72;0;6=u+414955e<@=?27E:=a:&724==7??9:9l6=7=83.?:<4=889K036<3th9>44?:383>5}#<9<1==m4H57:?M25i2.?:<475:&2aa<2=91bji4?:%655?77121d>5?50;&724<5001C8;>4;|`15f<72;0;6=u+414955e<@=?27E:=a:&724==7??9:9l6=7=83.?:<4=889K036<3th9=i4?:383>5}#<9<1==m4H57:?M25i2.?:<475:&2aa<2=91bji4?:%655?77121d>5?50;&724<5001C8;>4;|`15`<72;0;6=u+414955e<@=?27E:=a:&724==7??9:9l6=7=83.?:<4=889K036<3th9=k4?:883>5}#<9<1885G44;8L14f3->==7=i;%3f`?3282cmi7>5$542>46>32cmj7>5$542>46>32c:<=4?:%655?77121b==?50;&724<68010e<>=:18'037=99307d??3;29 10628:276g>0583>!2193;;565f11794?"3>80:<454o3:2>5<#574;|`165<72<0;6=u+414907=O<<30D96;:keb?6=,=<:6<>6;:k245<72->==7??9:9j557=83.?:<4>0898k7>6290/8;?529;8?xd5:80;6>4?:1y'050=<91C8874H50b?!21939m7)?jd;764>oam3:1(98>:02:?>oan3:1(98>:02:?>i5080;6):91;0;=>=zj8o86=4=:183!27>3;;o6F;589K07g<,=<:65;4$0gg>0373`lo6=4+473955?<3f83=7>5$542>7>>3A>=<65rb0g7>5<5290;w):?6;33g>N3=01C8?o4$542>=3<,8oo68;?;hdg>5<#=zj8o>6=4<:183!27>3>;7E::9:J76d=#5}#<9<18=5G44;8L14f3->==7=i;%3f`?3282cmi7>5$542>46>32cmj7>5$542>46>32e94<4?:%655?4?121vn5<7s->;:7<76:J71<=O<;k0(98>:3:6?!7bl3?><6gie;29 10628:276gif;29 10628:276a=8083>!219383565rb0fe>5<3290;w):?6;62?M2212B?>l5+47397c=#9ln198>4igg94?"3>80:<454igd94?"3>80:<454i023>5<#t$525>7>13A>>56F;2`9'037=:1?0(:3::?>{e:;h1<7<50;2x 16128:h7E::9:J76d=#9:02`?M2212B?>l5+4739<0=#9ln198>4igf94?"3>80:<454o3:2>5<#574H543?>{e:;n1<7<50;2x 16128:h7E::9:J76d=#9:02`?M2212B?>l5+4739<0=#9ln198>4igf94?"3>80:<454o3:2>5<#574H543?>{e:;l1<7=50;2x 1612;2=7E::9:J76d=#5;4$0gg>0373`ln6=4+473955?<3`lm6=4+473955?<3f83=7>5$542>7>>32wi>>>50;194?6|,=:=69>4H57:?M25i2.?:<45?50;&724<50010qo<<1;297?6=8r.?<;4=879K00?<@=8j7):91;0;1>"6mm0>9=5ffd83>!2193;;565ffg83>!2193;;565`29394?"3>8094454}c006?6=;3:1N3=01C8?o4$542>6`<,8oo68;?;hdf>5<#5<#=8381<7>t$525>46d3A>>56F;2`9'037=0<1/=hj55428mcb=83.?:<4>0898k7>6290/8;?529;8L10732wi>=750;094?6|,=:=6<>l;I66=>N3:h1/8;?5849'5`b==<:0ekj50;&724<68010c?6>:18'037=:130D98?;:a65g=8381<7>t$525>46d3A>>56F;2`9'037=0<1/=hj55428mcb=83.?:<4>0898k7>6290/8;?529;8L10732wi>=l50;694?6|,=:=69?4H57:?M25i2.?:<450;&724<68010c?6>:18'037=:1307pl=0b83>6<729q/8=852948L13>3A>9m6*;6081<0=#9ln198>4igg94?"3>80:<454igd94?"3>80:<454o3:2>5<#574;|`14a<72:0;6=u+414905=O<<30D96;:keb?6=,=<:6<>6;:m1<4<72->==7<79:9~f76b29086=4?{%632?4?>2B?945G43c8 1062;2>7)?jd;764>oam3:1(98>:02:?>oan3:1(98>:02:?>i5080;6):91;0;=>=zj>i1<7<50;2x 16128:h7E::9:J76d=#7<729q/8=8511a8L13>3A>9m6*;608;1>"6mm0>9=5ffe83>!2193;;565`29394?"3>809445G4728?xd0m3:1?7>50z&743<382B?945G43c8 1062:l0(:3::?>{e?o0;6>4?:1y'050=<91C8874H50b?!21939m7)?jd;764>oam3:1(98>:02:?>oan3:1(98>:02:?>i5080;6):91;0;=>=zj1:1<7=50;2x 1612;2=7E::9:J76d=#5;4$0gg>0373`ln6=4+473955?<3`lm6=4+473955?<3f83=7>5$542>7>>32wi4<4?:283>5}#<9<18=5G44;8L14f3->==7=i;%3f`?3282cmi7>5$542>46>32cmj7>5$542>46>32e94<4?:%655?4?121vn9o7:180>5<7s->;:7:?;I66=>N3:h1/8;?53g9'5`b==<:0ekk50;&724<68010ekh50;&724<68010c?6>:18'037=:1307pl;a883>7<729q/8=8511a8L13>3A>9m6*;608;1>"6mm0>9=5ffe83>!2193;;565`29394?"3>809445G4728?xd3ih0;6?4?:1y'050=99i0D9;6;I61e>"3>80396*>ee8615=nnm0;6):91;33=>=h:1;1<7*;6081<<=O6<729q/8=85419K00?<@=8j7):91;1e?!7bl3?><6gie;29 10628:276gif;29 10628:276a=8083>!219383565rb5c`>5<4290;w):?6;0;2>N3=01C8?o4$542>7>23-;nh7;:0:kea?6=,=<:6<>6;:keb?6=,=<:6<>6;:m1<4<72->==7<79:9~f1gc29086=4?{%632?273A>>56F;2`9'037=;o1/=hj55428mcc=83.?:<4>0898mc`=83.?:<4>0898k7>6290/8;?529;8?xd3il0;6>4?:1y'050=:1<0D9;6;I61e>"3>809485+1df91064?:483>5}#<9<18?5G44;8L14f3->==7=i;%3f`?3282cmi7>5$542>46>32cmj7>5$542>46>32c:<=4?:%655?77121b==?50;&724<68010c?6>:18'037=:1307pl=e983>7<729q/8=8511a8L13>3A>9m6*;608;1>"6mm0>9=5ffe83>!2193;;565`29394?"3>809445G4728?xd5m00;6?4?:1y'050=99i0D9;6;I61e>"3>80396*>ee8615=nnm0;6):91;33=>=h:1;1<7*;6081<<=O7<729q/8=8511a8L13>3A>9m6*;608;1>"6mm0>9=5ffe83>!2193;;565`29394?"3>809445G4728?xd5mk0;6?4?:1y'050=99i0D9;6;I61e>"3>80396*>ee8615=nnm0;6):91;33=>=h:1;1<7*;6081<<=O7<729q/8=8511a8L13>3A>9m6*;608;1>"6mm0>9=5ffe83>!2193;;565`29394?"3>809445G4728?xd5mm0;6>4?:1y'050=:1<0D9;6;I61e>"3>809485+1df91065}#<9<18=5G44;8L14f3->==7=i;%3f`?3282cmi7>5$542>46>32cmj7>5$542>46>32e94<4?:%655?4?121vn?ki:180>5<7s->;:7:?;I66=>N3:h1/8;?53g9'5`b==<:0ekk50;&724<68010ekh50;&724<68010c?6>:18'037=:1307pl=e583>6<729q/8=852948L13>3A>9m6*;6081<0=#9ln198>4igg94?"3>80:<454igd94?"3>80:<454o3:2>5<#574;|`1a0<72:0;6=u+41496=0<@=?27E:=a:&724<50<1/=hj55428mcc=83.?:<4>0898mc`=83.?:<4>0898k7>6290/8;?529;8?xd5m?0;6>4?:1y'050=<91C8874H50b?!21939m7)?jd;764>oam3:1(98>:02:?>oan3:1(98>:02:?>i5080;6):91;0;=>=zj;o<6=4<:183!27>383:6F;589K07g<,=<:6?6:;%3f`?3282cmi7>5$542>46>32cmj7>5$542>46>32e94<4?:%655?4?121vn?=;:180>5<7s->;:7:?;I66=>N3:h1/8;?53g9'5`b==<:0ekk50;&724<68010ekh50;&724<68010c?6>:18'037=:1307pl=3483>6<729q/8=85419K00?<@=8j7):91;1e?!7bl3?><6gie;29 10628:276gif;29 10628:276a=8083>!219383565rb315>5<5290;w):?6;33g>N3=01C8?o4$542>=3<,8oo68;?;hdg>5<#=zj;9<6=4<:183!27>383:6F;589K07g<,=<:6?6:;%3f`?3282cmi7>5$542>46>32cmj7>5$542>46>32e94<4?:%655?4?121vn?=7:187>5<7s->;:7:>;I66=>N3:h1/8;?53g9'5`b==<:0ekk50;&724<68010ekh50;&724<68010e<>?:18'037=99307b<71;29 1062;2276sm22;94?5=83:p(9>9:3:5?M2212B?>l5+47396=3<,8oo68;?;hdf>5<#5<#7}:?h0j:6371;0;5>{t?j0;6?u27b81<4=:?l0mj6s|7e83>7}:?m094<527g8eb>{t?l0;6?u27d81<4=:090mi6s|7g83>7}:?o094<52818eb>{t090;6?u28181<4=:080mj6s|8383><}Y0;1689o5fd9>011=nl1689:5fd9>017=nl16>?l5fe9>65d=nl16>h85fd9>663=nl1v4j50;02[?c34>?4767;<671?>?34>?>767;<60a?>?348::767;<024?>?348>:767;<066?>?348?i767;<07e?>?348?:767;<076?>?3488i767;<00e?>?34>:4767;<620?>?34>:<767;<63f?>?34om6564=dg9<==:;6nl03463;d58;<>;58?03463=0g8;<>;4i003463;6lm03463>e08;<>{tj;0;6?uQb39>a`2wxn>4?:`y>7d?=1j16?o=59b9>05d=1j168<659b9>042=1j168<>59b9>f0<508168?95fg9>5ae=nl16>>:5fd9~wg2=838p1o:529389g3=no1vhm50;1x9``=i?16ih4=e19>f1ac<5m916n84ie:p5ad=83>p1de83>=}:9mn1>h>4=0gb>cc<58o86kj4=0g3>cc<51;1jh524`:9b`=:e083>=}:9l;1>h>4=0g:>cb<58o=6kk4=0fe>46734=h6kj4=6d9b`=:e583>7}:9l>1>5?4=0g5>c`52z?2a0<50816=h95fd9~w4c12909w0?j6;0;5>;6m>0mj6s|1d:94?4|58o26?6>;<3fe?`a3ty:io4?:02x906e2h<01?=l:gf897272on01?:;:gf8972?2on01?:l:gf897372on01?;;:gf8973?2on01??::gd897752on01??7:gf894cf2;2:70<=e;dg?84703lo70?;|q2bc<72;q6=kk5a79>653=:1;0q~=:3:2?847;3lm7p}=0283>7}::991>5?4=327>c`52z?141<50816>=;5fg9~w4`5290;5=j0j463=698eb>;58;0mh63=238e`>;58h0mh63=ec8e`>{t:9=1<7d0<5;:n6?6>;|q14=<72;q6>=652938976d2oo0q~m:3:2?847m3lm7p}=0b83>7}::9i1>5?4=32g>cc52z?14a<50816>=k5fd9~w4`2290=w0;5=j0j563=698ea>;5890mi63=1c8e`>;5mj0mh6s|1g194?3|5;;;6?k?;<06`?g>348<>5a79>645=:1;0q~<>2;296~;59;094<522019bc=z{;;?6=4m{<024??d348>:77l;<066??d348?i77l;<07e??d348?:77l;<076??d3488i77l;<00e??d348::77l;<021?4?92wx=k:50;6x97712;o;70<:e;c:?847;3ln70<>e;dg?xu59>0;6?u22049e3=::831>5?4}r0264?=no1v??n:1878459383=63=2e8e`>;5800mh63=368ea>{t:8h1<77>6348:j7hj;|q15f<72;q6>d;296~;59m094<52232955652z?15`<50816>?>51138yv46n3:1>v3=1g81<4=::;;1jh5rs303>5<5s489<7<71:?164?<50;0x97452;2:70<>f;de?xu5::0;6?u223196=7<5;;m6<>?;|q161<72;q6>?:52938977a28::7p}=2483>7}::;?1>5?4=33e>4653ty9>;4?:3y>670=:1;01??i:020?xu5:>0;6?u223596=7<5;;m6<>;;|q16=<72;q6>?652938977a28:>7p}=2883>7}::;31>5?4=303>cc52z?14c279??4=809~w74e2909w0<=b;0;5>;5:o0mi6s|23a94?4|5;8h6?6>;<01b?`a3ty9>i4?:3y>67b=:1;01?=?:gd8yv45m3:1>v3=2d81<4=:::;1jk5rs30e>5<5s489j7<71:?175>>50;0x97572;2:70<<1;df?xu5;80;6?u222396=7<5;996kh4}r007?6=;r79<;46c:?14c<>k279?44=809~w7532909w0<<4;0;5>;5;00mi6s|22794?4|5;9>6?6>;<003?`a3ty9?;4?:3y>660=:1;01?=7:023?xu5;>0;6?u222596=7<5;936kk4}r0066?=no1v;5>h0mi63=018eb>;5::0mh6s|22`94?4|5;9j6l84=31g>7>63ty9?n4?:3y>66e=:1;01?=k:gd8yv7a?3:19v3=3d81a5=::?:1m45227a9b`=::9:1==?4=306>cb52z?17`2798<4=809~w7272909w0<;0;0;5>;5<80mj6s|1g:94?3|5;>96?k?;<055?g>348=i7hj;<034?778279>94id:p615=838p1?:=:`4897222;2:7p}=4583>7}::=>1>5?4=366>c`55z?103<5m916>;<5a89>626=nl16>=>5110897412on0q~<;7;296~;536=4={<07{t:=h1<7d0<5;>o6?6>;|q10f<72;q6>9m52938972c2ol0q~?ib;291~;5>1jh522129555<5;8<6kj4}r07b?6=:r798h4n6:?114<5081v?;?:1818428383=63=508eb>{t9oi1<7;t=371>7c7348=97o6;<042?`b348;<7??5:?16<8=50;0x97352h<01?;::3:2?xu5==0;6?u224696=7<5;?>6kh4}r3e`?6==r799;4=e19>630=i016>:65fd9>657=no16>;<06=?`a3ty9::4?:2y>60`=i116>;o5fg9>63>=:1;0q~<99;297~;5>90j463=6b8eb>;5>h094<5rs34a>5<4s48==7o7;<05a?`a348=o7<71:p63b=839p1?8=:`:897172ol01?8j:3:2?xu5>o0;6>u22719e==::>81jk5226296=753z?121c`<5;==6?6>;|q132<72:q6>8j5a99>62g=no16>:652938yv4013:1>v3=5d8b<>;5?h094<5rs35g>5<6;r7?9l4ib:?153<>m279==46e:?113<>m2799?46e:?10`<>m2798l46e:?103<>m2798?46e:?17`<>m279?l46e:?75=<>m27?=946e:?755<>m27?m279m278n>46e:p6`7=839pR?k>;<0f5?4b8279ii4ie:p6`4=838p1?k>:`4897c02;2:7p}=e283>7}::l91>5?4=3gg>c`52z?1a1<50816>h;5fg9~w7c22909w0;5m?0mj6s|2d494?4|5;o=6?6>;<0f3?`b3ty9i54?:3y>6`>=:1;01?kj:gg8yv4b13:1>v3=e881<4=::lo1jk5rs3gb>5<5s48nm7<71:?1a1hl50;0x97ce2;2:706`3=nl1v?kj:18184bm383=63=e58ea>{t:ll1<77>6348n;7hi;|q047<72;qU?=<4=3g;>cb52z\0e<=:;h31>h>4}r1bf?6=:r78n?4id:?0f5<5081v>ol:18185fk383=63{t;hn1<77>6349jj7hj;|q0e`<72;q6?lk5293896ga2ol0q~=nf;296~;4io094<523c29bc=z{:h:6=4={<1b=?g1349i>7<71:p7g5=83?pR>l<;<1a7?4b827?>:4ie:?2`fl<:`4896df2;2:7p}7}:;k<1>5?4=2`b>cc52z?0f2<50816?o75fd9~w6d?2909w0=m8;0;5>;4j00mj6s|3c;94?4|5:h26?6>;<1ae?`a3ty8oh4?:5y]7fc<5;8h6kj4=32a>467348897hi;|q0`c<72;qU?ih4=3g:>cb;;7>56z?74g<5m916805c=nm168?>5fg9>7g0=nl16?lj5fe9~w16d2909w0:?b;c5?827n383=6s|41f94?4|5=:o6?6>;<63b?`b3ty?05c=:1;019>i:gd8yv2703:19v3;1181a5=:<8i1m4524329b`=:;k=1ji523`a9b`=z{=;:6=4={<624?g134>:?7<71:p044=838p19?=:3:2?826;3lm7p};0883>0}:<8>1>h>4=53g>d?<5=896kk4=2`;>cb<5:kn6kj4}r621?6=:r7?=94n6:?752<5081v9?9:181826>383=63;168eb>{t<9k1<7:t=53;>7c734>:i7o6;<1a2?`a349jo7hi;|q75<<72;q68<65a79>04d=:1;0q~:>a;296~;39h094<5240`9bc=z{=;m6=4<{<62`?g?34>9<7<71:?767041=nl1v9<::181826m39in63;1c8ea>{t<;<1<78t=504>7>6348:97hj;<62e?`c34>::7hk;<626?`c34>;h7hk;|q77d<72k52d28912e2h2019:?:gf8912a2ol01?k<:gg8yv24n3:1>v3;3d8b2>;3<8094<5rs563>5<5s4>?<7<71:?704l50;6x91252;o;70:;b;c:?823n3ln701>5?4}r60g?6=01e=i01688?5fd9>6`5=99:0q~:;6;296~;3<<0j:63;4681<4=z{=9o6=4<{<67{t<=o1<7=t=56`>d><5=>m6?6>;<665?`a3ty?9=4?:3y>01b=i11688?52938yv22:3:1>v3;4c80fg=:<=>1jk5rs570>5<5s4>?o7=mb:?70210;6?u224a97gd<5;996kk4}r65=?6=:r799i4645=nl1v98n:181842m39in63=188ea>{t6de3488h7hj;|q72f<72;q6>;>53c`897262oo0q~:9d;296~;5>808no522579b`=z{=7}::?>1?ol4=372>cc<=7>52z?120<4jk16>8;5fd9~w1152909w0<96;1af>;5=00mi6s|4`794?3|V=k>70:n5;0f4>;0l3lo70:n8;de?82fk3ln7p};a683>7}:j47>52z?7e=<508168lk5fd9~w1g>2909w0:n9;0;5>;3ik0mi6s|4`c94?4|5=kj6?6>;<6bf?`a3ty?mo4?:3y>0dd=:1;019ol:gd8yv2fk3:1>v3;ab81<4=:5<5s4>jh7<71:?7e`o87o9;|q7`1<72:q68i:52d2894ba2ol019on:gf8yv37j3:1>vP:0c9>15d=:l:0q~;;9;296~X2<016>ho5fe9~yg20n3:1>7>50z&743<68j1C8874H50b?!21932>7)?jd;764>oal3:1(98>:02:?>i5080;6):91;0;=>N3>910qo:66;296?6=8r.?<;4>0b9K00?<@=8j7):91;:6?!7bl3?><6gid;29 10628:276a=8083>!21938356F;6198yg20j3:1>7>50z&743<68j1C8874H50b?!21932>7)?jd;764>oal3:1(98>:02:?>i5080;6):91;0;=>N3>910qo:8c;296?6=8r.?<;4>0b9K00?<@=8j7):91;:6?!7bl3?><6gid;29 10628:276a=8083>!21938356F;6198yg20l3:1?7>50z&743<382B?945G43c8 1062:l0(:3::?>{e=9k1<7<50;2x 16128:h7E::9:J76d=#9:02;?M2212B?>l5+4739<0=O9;30(<6gid;29 10628:276a=8083>!219383565rb437>5<5290;w):?6;33<>N3=01C8?o4$542>=3<@8827)?m3;33=>"6mm0>9=5ffe83>!2193;;565`29394?"3>8094454}c6b6?6=:3:123A;956*>b2824<=#9ln198>4igf94?"3>80:<454o3:2>5<#574;|`fe?6=:3:123A;956*>b2824<=#9ln198>4igf94?"3>80:<454o3:2>5<#574;|`e5?6=:3:123A;956*>b2824<=#9ln198>4igf94?"3>80:<454o3:2>5<#574;|`7f2<72;0;6=u+414955><@=?27E:=a:&72445+1c1955?<,8oo68;?;hdg>5<#t$525>46?3A>>56F;2`9'037=0<1C=?74$0`0>46>3-;nh7;:0:ke`?6=,=<:6<>6;:m1<4<72->==7<79:9~f02329096=4?{%632?7702B?945G43c8 10621?0D<<6;%3a7?7712.:ii4:519jba<72->==7??9:9l6=7=83.?:<4=8898yg?729096=4?{%632?7702B?945G43c8 10621?0D<<6;%3a7?7712.:ii4:519jba<72->==7??9:9l6=7=83.?:<4=8898yg>f29096=4?{%632?7702B?945G43c8 10621?0D<<6;%3a7?7712.:ii4:519jba<72->==7??9:9l6=7=83.?:<4=8898yg2c?3:1>7>50z&743<6811C8874H50b?!21932>7E?=9:&2f6<6801/=hj55428mcb=83.?:<4>0898k7>6290/8;?529;8?xd2"3>80396F>289'5g5=9930(:3::?>{e?80;6?4?:1y'050=9920D9;6;I61e>"3>80396F>289'5g5=9930(:3::?>{e?90;6?4?:1y'050=9920D9;6;I61e>"3>80396F>289'5g5=9930(:3::?>{e>o0;6?4?:1y'050=9920D9;6;I61e>"3>80396F>289'5g5=9930(:3::?>{e>l0;6?4?:1y'050=9920D9;6;I61e>"3>80396F>289'5g5=9930(:3::?>{e>j0;6?4?:1y'050=9920D9;6;I61e>"3>80396F>289'5g5=9930(:3::?>{e>k0;6?4?:1y'050=9920D9;6;I61e>"3>80396F>289'5g5=9930(:3::?>{e>h0;6?4?:1y'050=9920D9;6;I61e>"3>80396F>289'5g5=9930(:3::?>{e>00;6?4?:1y'050=9920D9;6;I61e>"3>80396F>289'5g5=9930(:3::?>{e>10;6?4?:1y'050=9920D9;6;I61e>"3>80396F>289'5g5=9930(:3::?>{e>>0;6?4?:1y'050=9920D9;6;I61e>"3>80396F>289'5g5=9930(:3::?>{e>?0;6?4?:1y'050=9920D9;6;I61e>"3>80396F>289'5g5=9930(:3::?>{e><0;6?4?:1y'050=9920D9;6;I61e>"3>80396F>289'5g5=9930(:3::?>{e>=0;6?4?:1y'050=9920D9;6;I61e>"3>80396F>289'5g5=9930(:3::?>{e>:0;6?4?:1y'050=9920D9;6;I61e>"3>80396F>289'5g5=9930(:3::?>{e?00;6?4?:1y'050=9920D9;6;I61e>"3>80396F>289'5g5=9930(:3::?>{e?10;6?4?:1y'050=9920D9;6;I61e>"3>80396F>289'5g5=9930(:3::?>{e?>0;6?4?:1y'050=9920D9;6;I61e>"3>80396F>289'5g5=9930(:3::?>{e??0;6?4?:1y'050=9920D9;6;I61e>"3>80396F>289'5g5=9930(:3::?>{e?<0;6?4?:1y'050=9920D9;6;I61e>"3>80396F>289'5g5=9930(:3::?>{e?=0;6?4?:1y'050=9920D9;6;I61e>"3>80396F>289'5g5=9930(:3::?>{e?:0;6?4?:1y'050=9920D9;6;I61e>"3>80396F>289'5g5=9930(:3::?>{e?;0;6?4?:1y'050=9920D9;6;I61e>"3>80396F>289'5g5=9930(:3::?>{e>m0;6?4?:1y'050=9920D9;6;I61e>"3>80396F>289'5g5=9930(:3::?>{e=921<7:52;6x 16128837E::9:J76d=#9ln198>4igf94?=nj;0;66a=8083>>i68l0;66l>0983>7<729q/8=8511:8 10621?0D<<6;%3a7?7712.:ii4:519jba<72->==7??9:9l6=7=83.?:<4=8898yg4?;3:1?7?53z&743<50:1C=?74$0`0>7>>3-;nh7;:0:ke`?6=3`h96=44o3:2>5<!2193k876a=8083>!21938356F7>52z\a6>;3=m08ik5rs3:2>5<5sW83=63;5e81<4=zuz83=7>52z\1<4=:9921>5?4}r33a?6=;rT:5?4}rdg>5<5sWlo70<73;dg?xue:3:1>vPm2:?1<65<7s->;:7<72:J71<=O<;k0(98>:978L44>3-;i?7<79:&2aa<2=91bji4?:%655?77121d>5?50;&724<50010qo;;2;296?6=8r.?<;4=839K00?<@=8j7):91;:6?M7512.:n>4=889'5`b==<:0ekj50;&724<68010c?6>:18'037=:1307pl:1083>7<729q/8=852908L13>3A>9m6*;608;1>N6:01/=o=529;8 4cc27<729q/8=852908L13>3A>9m6*;608;1>N6:01/=o=529;8 4cc29:3:1?M2212B?>l5+4739<0=O9;30(<6gid;29 10628:276a=8083>!219383565rb5d`>5<5290;w):?6;0;6>N3=01C8?o4$542>=3<@8827)?m3;0;=>"6mm0>9=5ffe83>!2193;;565`29394?"3>8094454}c6a4?6=:3:123A;956*>b281<<=#9ln198>4igf94?"3>80:<454o3:2>5<#574;|`7e3<72;0;6=u+41496=4<@=?27E:=a:&72445+1c196=?<,8oo68;?;hdg>5<#7>43A>>56F;2`9K57?<,8h86?66;%3f`?3282cmh7>5;h`1>5<5<4290;w):91;1e4>oal3:1(98>:02:?>o4mo0;6):91;c0?>i5080;6):91;0;=>N4n810q~hk:181[`c34>>h7hk;|qa6?6=:rTi>63;5e80ac=z{;2:6=4={_0;5>;3=m094<5r}c6:3?6=:3:123A;956*>b281<<=#9ln198>4igf94?"3>80:<454o3:2>5<#574;|`7b`<72;0;6=u+41496=4<@=?27E:=a:&72445+1c196=?<,8oo68;?;hdg>5<#7>43A>>56F;2`9K57?<,8h86?66;%3f`?3282cmh7>5;h`1>5<5<4290;w):91;1e4>oal3:1(98>:02:?>o4mo0;6):91;c0?>i5080;6):91;0;=>N4n810q~hk:181[`c34>>h7hk;|qa6?6=:rTi>63;5e80ac=z{;2:6=4={_0;5>;3=m094<5r}c6e5?6=:3:123A;956*>b281<<=#9ln198>4igf94?"3>80:<454o3:2>5<#574;|`73<<72;0;6=u+41496=4<@=?27E:=a:&72445+1c196=?<,8oo68;?;hdg>5<#t$525>7>53A>>56F;2`9'037=0<1C=?74$0`0>7>>3-;nh7;:0:ke`?6=,=<:6<>6;:m1<4<72->==7<79:9~f06b29096=4?{%632?4?:2B?945G43c8 10621?0D<<6;%3a7?4?12.:ii4:519jba<72->==7??9:9l6=7=83.?:<4=8898yg2en3:1>7>50z&743<50;1C8874H50b?!21932>7E?=9:&2f6<5001/=hj55428mcb=83.?:<4>0898k7>6290/8;?529;8?xd3l00;6>4>:2y'050=:190D9;6;I61e>N6:01/=o=529;8 4cc25?50;9a00b=8391<7>t$542>6`73`lo6=4+473955?<3`9nj7>5$542>d5<3f83=7>5$542>7>>3A9m=65rsgf94?4|Von019;k:gf8yvd52909wSl=;<66`?5bn2wx>5?50;0xZ7>634>>h7<71:~f04c29086<4<{%632?4?;2B?945G43c8L44>3-;i?7<79:&2aa<2=91bji4?::ka6?6=3f83=7>5;c66`?6=;3:1=n;ll1<7*;608b7>=h:1;1<7*;6081<<=O;o;07p}id;296~Xal27?9i4id:pf7<72;qUn?5244f97``52z\1<4=:<5?4}|`7g1<72;0;6=u+41496=4<@=?27E:=a:&72445+1c196=?<,8oo68;?;hdg>5<#t$525>7>53A>>56F;2`9'037=0<1C=?74$0`0>7>>3-;nh7;:0:ke`?6=,=<:6<>6;:m1<4<72->==7<79:9~f1?f29096=4?{%632?4?:2B?945G43c8 10621?0D<<6;%3a7?4?12.:ii4:519jba<72->==7??9:9l6=7=83.?:<4=8898yg54>3:1>7>50z&743<50;1C8874H50b?!21932>7E?=9:&2f6<5001/=hj55428mcb=83.?:<4>0898k7>6290/8;?529;8?xd4;90;6?4?:1y'050=:180D9;6;I61e>"3>80396F>289'5g5=:130(:3::?>{e;?81<7<50;2x 1612;297E::9:J76d=#oal3:1(98>:02:?>i5080;6):91;0;=>=zj:?h6=4=:183!27>383>6F;589K07g<,=<:65;4H00:?!7e;38356*>ee8615=nnm0;6):91;33=>=h:1;1<7*;6081<<=:7>52;294~"38?094?5G44;8L14f3->==76:;I31=>"6j:09445+1df91065}#<9<1>5<4H57:?M25i2.?:<475:J26<=#9k91>574$0gg>0373`lo6=4+473955?<3f83=7>5$542>7>>32wi?9o50;094?6|,=:=6?6=;I66=>N3:h1/8;?5849K57?<,8h86?66;%3f`?3282cmh7>5$542>46>32e94<4?:%655?4?121vn>:;:181>5<7s->;:7<72:J71<=O<;k0(98>:978L44>3-;i?7<79:&2aa<2=91bji4?:%655?77121d>5?50;&724<50010qo=;3;296?6=8r.?<;4=839K00?<@=8j7):91;:6?M7512.:n>4=889'5`b==<:0ekj50;&724<68010c?6>:18'037=:1307pl<3e83>7<729q/8=852908L13>3A>9m6*;608;1>N6:01/=o=529;8 4cc29:3:1?M2212B?>l5+4739<0=O9;30(<6gid;29 10628:276a=8083>!219383565rb20b>5<5290;w):?6;0;6>N3=01C8?o4$542>=3<@8827)?m3;0;=>"6mm0>9=5ffe83>!2193;;565`29394?"3>8094454}c6a>5<6290;w):91;0gf>N3:h1C==o4$0`0>46>3-;nj7==7t$542>7be3A>9m6F>0`9'5g5=9930(:3fa?>{e"6j:0:<45+1dd96<651;294~"3>809ho5G43c8L46f3-;i?7??9:&2ac<5181b>il50;&724<5lk10qo:i:182>5<7s->==76g=dc83>!21938on65rb4294?7=83:p(98>:3fa?M25i2B:50z&724<5lk1C8?o4H02b?!7e;3;;56*>eg81=0=n:mh1<7*;6081`g=:183!21938on6F;2`9K55g<,8h86<>6;%3fb?4>>2c9ho4?:%655?4cj21vn8:50;394?6|,=<:6?jm;I61e>N68h1/=o=511;8 4ca2;3<7d4<729q/8;?52e`8L14f3A;;m6*>b2824<=#9ll1>i94i3fa>5<#il4;|`62?6=93:1n;%3a7?7712.:ik4=999j6ad=83.?:<4=dc98yg30290:6=4?{%655?4cj2B?>l5G11c8 4d428:27)?jf;0:=>o5lk0;6):91;0gf>=zj<21<7?50;2x 1062;ni7E:=a:J24d=#9k91==74$0ge>7?e3`8on7>5$542>7be32wi9l4?:083>5}#il4H50b?M77i2.:n>4>089'5``=:0o0e?jm:18'037=:mh07pl:b;295?6=8r.?:<4=dc9K07g<@8:j7)?m3;33=>"6mo09h55f2e`94?"3>809ho54}c7`>5<6290;w):91;0gf>N3:h1C==o4$0`0>46>3-;nj7==7t$542>7be3A>9m6F>0`9'5g5=9930(:3fa?>{e=l0;6<4?:1y'037=:mh0D9"6j:0:<45+1dd96j7>51;294~"3>809ho5G43c8L46f3-;i?7??9:&2ac<5i:1b>il50;&724<5lk10qo8?:182>5<7s->==7!21938on65rb7394?7=83:p(98>:3fa?M25i2B:50z&724<5lk1C8?o4H02b?!7e;3;;56*>eg81=f=n:mh1<7*;6081`g=:183!21938on6F;2`9K55g<,8h86?66;%3fb?4e?2e9ho4?:%655?4cj21vn5h50;394?6|,=<:6?jm;I61e>N68h1/=o=511;8 4ca2;3o7d4<729q/8;?52e`8L14f3A;;m6*>b2824<=#9ll1>4h4i3fa>5<#il4;|`e4?6=93:1n;%3a7?7712.:ik4=a19j6ad=83.?:<4=dc98yg4a83:1=7>50z&724<5lk1C8?o4H02b?!7e;38356*>eg81f6=h:mh1<7*;6081`g=51;294~"3>809ho5G43c8L46f3-;i?7<79:&2ac<5j<1d>il50;&724<5lk10qo"6mo09nn5`2e`94?"3>809ho54}c0e0?6=93:1n;%3a7?4?12.:ik4=b09l6ad=83.?:<4=dc98yg4a=3:1=7>50z&724<5lk1C8?o4H02b?!7e;38356*>eg81ec=h:mh1<7*;6081`g=51;294~"3>809ho5G43c8L46f3-;i?7<79:&2ac<5im1d>il50;&724<5lk10qo"6mo09mn5`2e`94?"3>809ho54}c0eg?6=93:1n;%3a7?4?12.:ik4=ad9l6ad=83.?:<4=dc98yg4al3:1=7>50z&724<5lk1C8?o4H02b?!7e;38356*>eg81f5=h:mh1<7*;6081`g=51;294~"3>809ho5G43c8L46f3-;i?7<79:&2ac<5j;1d>il50;&724<5lk10qo"6mo09n95`2e`94?"3>809ho54}c134?6=93:1n;%3a7?4?12.:ik4=b79l6ad=83.?:<4=dc98yg2003:1=7>50z&724<5lk1C8?o4H02b?!7e;38356*>eg81g`=h:mh1<7*;6081`g=51;294~"3>809ho5G43c8L46f3-;i?7<79:&2ac<5km1d>il50;&724<5lk10qo:72;295?6=8r.?:<4=dc9K07g<@8:j7)?m3;33=>"6mo09m85f2e`94?"3>809ho54}c6;0?6=93:1n;%3a7?4?12.:ik4=cb9l6ad=83.?:<4=dc9K7c7<3th?584?:083>5}#il4H50b?M77i2.:n>4=889'5``=:m:0c?jm:18'037=:mh07pl;9883>4<729q/8;?52e`8L14f3A;;m6*>b281<<=#9ll1>n<4o3fa>5<#il4;|`7e4<7280;6=u+47396ad<@=8j7E??a:&2f6<6801/=hh52b48m7be290/8;?52e`8?xd3i<0;6<4?:1y'037=:mh0D9"6j:09445+1dd96f55}#il4H50b?M77i2.:n>4=889'5``=:h30c?jm:18'037=:mh07pl;b583>4<729q/8;?52e`8L14f3A;;m6*>b281<<=#9ll1>l?4o3fa>5<#il4;|`7f3<7280;6=u+47396ad<@=8j7E??a:&2f6<6801/=hh52b:8m7be290/8;?52e`8?xd3jl0;6<4?:1y'037=:mh0D9"6j:09445+1dd96f`5}#il4H50b?M77i2.:n>4=889'5``=:m90c?jm:18'037=:mh07pl;cc83>4<729q/8;?52e`8L14f3A;;m6*>b281<<=#9ll1>i?4o3fa>5<#il4;|`7`7<7280;6=u+47396ad<@=8j7E??a:&2f6<6801/=hh52e78m7be290/8;?52e`8?xd3l?0;6<4?:1y'037=:mh0D9"6j:0:<45+1dd96d25}#il4H50b?M77i2.:n>4=889'5``=:j30c?jm:18'037=:mh0D>h>;:a0`c=83;1<7>t$542>7be3A>9m6F>0`9'5g5=:130(:3fa?>{e574$0ge>7db3f8on7>5$542>7be32wi8kj50;394?6|,=<:6?jm;I61e>N68h1/=o=529;8 4ca2;hm7b:3fa?M25i2B:t$542>7be3A>9m6F>0`9'5``=:m>0'?jm:18'037=:mh07pl:0b83>4<729q/8;?52e`8L14f3A;;m6*>b281<<=#9ll1>l64o3fa>5<#il4;|`64c<7280;6=u+47396ad<@=8j7E??a:&2f6<5001/=hh52`58k7be290/8;?52e`8?xd29:0;6<4?:1y'037=:mh0D9"6j:0:<45+1dd96d0=84?:083>5}#il4H50b?M77i2.:n>4=889'5``=:jh0c?jm:18'037=:mh0D>h>;:a17e=83;1<7>t$542>7be3A>9m6F>0`9'5g5=:130(:3fa?M5a921vn8:>:182>5<7s->==7!21938on65rb460>5<6290;w):91;0gf>N3:h1C==o4$0`0>46>3-;nj7==7l5G11c8 4d428:27)?jf;0b6>o5lk0;6):91;0gf>=zj1h1<7?50;2x 1062;ni7E:=a:J24d=#<;21?k<4$0`0>46>3-;nj7==7t$542>=3<,=:=6<>7;%3a7?7712.?>54=;o80D9"6mm0>9=5ffe83>!2193;;565`29394?"3>8094454}c6b7?6=93:1n;%614>089'5``=:j>0e?jm:18'037=:mh07pl;a583>7<729q/8;?5849'050=9920(6*<6980b7=O<;k0D<<6;%3f`?3282cmh7>5$542>46>32e94<4?:%655?4?121vn>m=:182>5<7s->==7eg81g5=n:mh1<7*;6081`g=52;294~"3>80396*;07824==#9k91==74$50;>6`53-9=47=i2:J76d=O9;30(:3::?>{e;kl1<7?50;2x 1062;ni7E:=a:J24d=#<;21?k<4$0`0>46>3-;nj7==723->;:7??8:&2f6<6801/8?653g08 60?2:l97E:=a:J26<=#9ln198>4igf94?"3>80:<454o3:2>5<#574;|`0fa<7280;6=u+47396ad<@=8j7E??a:&76=<4n;1/=o=511;8 4ca2;hi7d:978 16128:37)?m3;33=>"3:108j?5+37:97c4<@=8j7E?=9:&2aa<2=91bji4?:%655?77121d>5?50;&724<50010q~38n70:8b;dg?xu483:1>v3;7681a>;3?j0mh6s|3b83>7}:<>=1?n5246d9ba=z{=h1<7=t^5`8935=nm168o4=dc9~w1e=839pR9m4=769ba=:0a<5lk1v9k50;1xZ1c<5?<1ji524d81`g=z{=l1<7=t^5d8931=nm168k4=dc9~w06=839pR8>4=7:9ba=:=909ho5rs4394?5|V<;01;75fe9>14<5lk1v8<50;1xZ04<5?k1ji525381`g=z{<91<7=t^41893d=nm169>4=dc9~w02=839pR8:4=7a9ba=:==09ho5rs4794?5|V10<5lk1v8850;1xZ00<5?o1ji525781`g=z{<=1<7=t^45893`=nm169:4=dc9~w0>=839pR864=629ba=:=109ho5rs4c94?5|V1d<5lk1v8l50;1xZ0d<5>81ji525c81`g=z{1`<5lk1v8h50;1xZ0`<5><1ji525g81`g=z{?:1<7=t^728921=nm16:=4=dc9~w37=839pR;?4=6:9ba=:>809ho5rs7094?5|V?801:75fe9>27<5lk1v;=50;1x911328?018:k:078935=:1;0q~8;:180820<3;=70;;d;35?8032;2:7p}95;297~;3?=0:;63:4e823>;1=383=6s|6783>6}:<>>1=55255f95==:>?094<5rs7594?5|5==?6<74=46g>4?<5?=1>5?4}r4;>5<4s4><87?n;<77`?7f34<36?6>;|q5=?6=;r7?;94>b:?60a<6j27=57<71:p2d<72:q68::51b9>11b=9j16:l4=809~w3d=839p199;:0f8902c28n01;l52938yv0d2908w0:84;3f?833l3;n708l:3:2?xu1l3:1>v3:4e82b>;1l383=6s|6d83>6}:<>>1>=5255f965=:>l094<5rs7d94?5|5==?6?<4=46g>74<5?l1>5?4}r53>5<5s4><87<<;<53>7>63ty<=7>52z?731<5=27<=7<71:p37<72;q699j5279>37<5081v:=50;0x902c2;=01:=52938yv132909w0;;d;0;?8132;2:7p}85;296~;2{t??0;6?u255f96d=:??094<5rs6594?4|5<>o6?l4=6596=77>63ty<57>53z?731<5l27>8i4=d:?4=?4?92wx;l4?:6y>020=?h168:=57`9>0<0=nm1685;5b39>140=j;168i75b39>17b=j;1v5<50;7x9113218018:k:90891102180199::90891142180q~66:180[>>342j6kj4=9;96ad>342j6?6>;|q;`?6=;rT3h637e;0;5>;?l38on6s|8d83>7}:<

5k4=9g9ba=z{1l1<7=t^9d89<6=nm164k4=dc9~w<6=838p1999:9d89<6=:1;0q~l=:180[d534oj6kj4=c096ad7>63tym<7>53z\e4>;a93lo70h?:3fa?xua93:1:v3;758:`>;2;3?<0m<63;728:`>;a9383=6s|11494?4|5==?6<>9;<641?77>2wx==h50;0x911028:m70:86;33b>{t9;91<744434><:7?=3:p57g=838p199;:00b?820?3;9m6s|12:94?4|5==<6<:=;<642?7402wx=9:50;0x911028>?70:86;36<>{t9<31<743>34><97?:9:p536=839p199;:043?820?3;=<63;748225=z{8<:6=4={<643?71927?;84>609~w4042909w0:87;357>;3??0::>5rs0`7>5<5s4><;7?m4:?733<6k11v{t:l;1<77c634>k?52e`8yv4a;3:1?vP=f29>760=:1;01?h<:3fa?xu5n=0;6>uQ2g6896502;2:70{t:o?1<7=t^3d6?854l383=63=f481`g=z{;l=6=4<{_0e2>;4<:094<522g496ad53z\1bg=:;=>1>5?4=3da>7be3ty9jn4?:2y]6ce<5:>j6?6>;<0eg?4cj2wx>kj50;1xZ7`c349><7<71:?1ba<5lk1v?hj:180[4am2789;4=809>6cc=:mh0q~6}Y;9:01>8=:3:2?857838on6s|31094?4|5==?6>>=;<77`?57:2wx?==50;1x91132::870:85;136>;3?:085<5s4??h7=>5:?730<49<1v>{t;::1<77`63498<7hk;|q073<72;q699j52g1896512on0q~=<7;296~;27}:==n1>kl4=267>cb52z?60a<5nj16?9o5fe9~w6372909w0;;d;0e`>;4=90mh6s|34494?4|5<>o6?hj;<162?`c3ty89n4?:3y>11b=:ol01>;l:gf8yv51:3:1>v3:4e8045=:;?81ji5rs24:>5<4s4><87=99:?60a<4>0168:9537;8yv51m3:1>v3;75802`=:<>?1?;74}r1b=?6=:r7>8i4025=;h30q~=m3;296~;252z?731<4kl168:=53bg8yv5cn3:1>v3;7580`c=:<>91?ih4}r642on0q~:8a;296~;3?k094<5246f9b`=z{==i6=4={<64g?4?927?;i4if:p02c=839pR99j;<6;4?4?927?;h4=dc9~w1>72909w0:8f;0;5>;3090mh6s|49094?5|V=2970:73;dg?82?:38on6s|49194?2|5<>o696>;<643?2?927?;84;809>0=5=:1;0q~:74;297~X30=1685;5293891>32;ni7p};8783>7}:<><18594=5:6>cb297>53z\7=0=:<0=1>5?4=5;6>7be3ty?5:4?:3y>0<0=:1;01978:gf8yv2>13:1?vP;989>0il4}r6b6?6=:r7?;;4;999>0d4=:1;0q~:n5;297~X3i<168l85293891g22;ni7p};a783>7}:<>918l;4=5c5>cbjj7>53z\7ec=:5?4=5ce>7be3ty?n=4?:3y>11b=0g3=:1;019l;:3fa?xu3j<0;6?u246790g5<5=h>6kj4}r6a2?6=;rT?n;524c59ba=:il4}r6a3?6=:r7?;;4;b29>0g1=:1;0q~:me;297~X3jl168oh5293891db2;ni7p};bg83>7}:<><18ok4=5`e>cbh>7>53z\7g7=:1>5?4=5a1>7be3ty?o94?:3y>021=0fb=:1;019mm:3fa?xu3km0;6?u246490fd<5=io6kj4}r6g6?6=;rT?h?524e19ba=:il4}r6g7?6=:r7?;>4;d39>0a5=:1;0q~:k6;297~X3l?168i95fe9>0a0=:mh0q~:k7;297~;2;|q7`=<72:qU8i64=5f:>7>634>o476}Y:3:2?82bm38on6s|4g394?4|5<>o69kj;<6e5?`c3ty?jo4?:2y]0cd<5=lh6?6>;<6ef?4cj2wx8km50;0x902c2=o<70:ic;dg?xu3nm0;6>uQ4gf891`b2;2:70:id;0gf>{t04334>mi7hk;|q7bc<72:qU8kh4=425>7>634>mj73lo7p}:0683>6}Y=9=018>7:02f?837?38on6s|51;94?4|5<:j6?6>;<73025==9h018>n:gf8yv37k3:1?vP:0b9>15c=:1;018>l:3fa?xu28l0;6?u255f915e<5<:n6kj4}r73b?6=;rT>3;297~X29:169<:5fe9>145=:mh0q~;>4;297~;2=?524659144<5<;?6?6>;|q650<72:qU9<;4=435>7>634?:973lo7p}:2b83>6}Y=;i0188<4?:2y]117<5<>96?6>;<775?4cj2wx99<50;0x91122<>;70;;2;dg?xu2<:0;6>uQ551890232on018:<:3fa?xu2<=0;6?u24649116<5<>?6?6>;|q60d<72:qU99o4=46a>cb<5<>j6?jm;|q60g<72270:87;77=>;3?:0>845255`96=7e2;ni706l:gf8yv2f;3:1?vP;a29>0d5=:mh019o;:gf8yv5d:3:1?vP7f4=:mh01>m<:gf8yv5en3:1?vP7g`=:mh01>m?:gf8yv5el3:1?vP7gb=:mh01>lj:gf8yv>d290:w06l:3:2?xu3i=0;651z?0g6<5081v>m?:18285d8383=6s|3cg94?7|5:hn6?6>;|m5dd>290?wE:=a:m5ddf290?wE:=a:m5dde2908wE:=a:m5ddd290:wE:=a:K1`g<6s80vVk751z3fb?{zf8kih7>51zJ76d=N:mh1=v?5}[d:>4}6mo0vqc?nbd83>4}O<;k0E?jm:0y2>x\a13;p=hh5}|l2eg`=83;pD9{0ge>x{i9hi;6=4>{I61e>O5lk0:w<4rZg;95~7bn3wvbil51z39y_`>28q:ik4r}o3bg7<728qC8?o4I3fa>4}62tPm57?t1dd9yxh6ij91<7?tH50b?L4cj3;p=7sUf8824ca2twe=lm;:182M25i2C9ho4>{08~^c?=9r;nj7srn0c`1?6=9rB?>l5F2e`95~7=uSl26eg8~yk7fk?0;65<6sA>9m6G=dc824:|Xe=?7|9ll1qp`>ab`94?7|@=8j7D51zJ76d=N:mh1=v?5}[d:>4}6mo0vqc?ncd83>4}O<;k0E?jm:0y2>x\a13;p=hh5}|l2ef`=83;pD9{0ge>x{i9hn;6=4>{I61e>O5lk0:w<4rZg;95~7bn3wvbil51z39y_`>28q:ik4r}o3b`7<728qC8?o4I3fa>4}62tPm57?t1dd9yxh6im91<7=tH50b?xh6im>1<7=tH50b?xh6im?1<7=tH50b?xh6im<1<7=tH50b?xh6im=1<7=tH50b?xh6im21<7=tH50b?xh6im31<7=tH50b?xh6imk1<7=tH50b?xh6imh1<7=tH50b?xh6imi1<7=tH50b?xh6imn1<71<7il51z09y_`>28q:ik4r}o3bac<728qC8?o4}o3bb5<728qC8?o4I3fa>4}62tPm57?t1dd9yxh6io;1<7;tH50b?xh6io81<7?tH50b?L4cj3;p=7sUf8824ca2twe=lh<:184M25i2we=lh;:182M25i2C9ho4>{08~^c?=9r;nj7srn0ce1?6=?8qC8?o4}o3bb3<72:qC8?o4}o3bb2<72>qC8?o4}o3bb=<72qC8?o4}o3bbg<72qC8?o4}o3a45<72qC8?o4}o3a47<72:qC8?o4}o3a46<72=qC8?o4}o3a41<724}52tPm57?t1dd9yxh6j9<1<7?tH50b?L4cj3;p>7sUf8824ca2twe=o>8:182M25i2C9ho4>{38~^c?=9r;nj7srn0`3l5F2e`95~4=uSl26eg8~yk7e800;65<6sA>9m6G=dc827b1g94?7|@=8j7D51zJ76d=N:mh1=v<5}[d:>4}6mo0vqc?m1083>6}O<;k0qc?m1383>1}O<;k0qc?m1283>0}O<;k0qc?m1583>7}O<;k0qc?m1483>7}O<;k0qc?m1783>6}O<;k0qc?m1683>7}O<;k0qc?m1983>7}O<;k0qc?m1`83>7}O<;k0qc?m1c83>7}O<;k0qc?m1b83>7}O<;k0qc?m1e83>7}O<;k0qc?m1d83>7}O<;k0qc?m1g83>7}O<;k0qc?m2183>1}O<;k0qc?m2083>6}O<;k0qc?m2383>1}O<;k0qc?m2283>1}O<;k0qc?m2583>6}O<;k0qc?m2483>6}O<;k0qc?m2783>4}O<;k0E?jm:0y1>x\a13;p=hh5}|l2f71=83;pD9=83;pD9{0ge>x{i9k8i6=4>{I61e>{i9k8h6=4>{I61e>O5lk0:w<4rZg;95~7bn3wvbil51z19y_`>28q:ik4r}o3a6c<720qC8?o4}o3a75<728qC8?o4I3fa>4}52tPm57?t1dd9yxh6j:;1<7?tH50b?xh6j:81<7?tH50b?L4cj3;p>7sUf8824ca2twe=o=<:180M25i2we=o=;:182M25i2C9ho4>{08~^c?=9r;nj7srn0`01?6=:rB?>l5rn0`02?6=9rB?>l5F2e`95~4=uSl26eg8~yk7e;>0;69uG43c8yk7e;10;6750;6xL14f3td:n>o50;3xL14f3@8on7?t2;Yb<<6s8om6psa1c1a>5<3sA>9m6sa1c1`>5<6sA>9m6G=dc824vF;2`9~j4d4m3:1=vF;2`9J6ad=9r81qWh6:0y2acb5394?4|@=8j7p`>b5094?7|@=8j7D54zJ76d=zf8h?:7>51zJ76d=N:mh1=v?5}[d:>4}6mo0vqc?m4683>6}O<;k0qc?m4983>7}O<;k0E?jm:0y0>x\a13;p=hh5}|l2f1?=83?pD9{0ge>x{i9k>i6=4={I61e>{i9k>h6=4>{I61e>O5lk0:w?4rZg;95~7bn3wvbil51z09y_`>28q:ik4r}o3a0c<72;qC8?o4}o3a15<728qC8?o4I3fa>4}52tPm57?t1dd9yxh6j<;1<75<4sA>9m6sa1c77>5<3sA>9m6sa1c76>5<6sA>9m6G=dc8273:1>vF;2`9~j4d2?3:1=vF;2`9J6ad=9r81qWh6:0y2ac:|Xe=?7|9ll1qp`>b4c94?5|@=8j7p`>b4`94?4|@=8j7DwE:=a:m5g3c2909wE:=a:K1`g<6s:0vVk751z3fb?{zf8h>i7>54zJ76d=zf8h>j7>51zJ76d=N:mh1=v<5}[d:>4}6mo0vqc?m6183>1}O<;k0qc?m6083>4}O<;k0E?jm:0y2>x\a13;p=hh5}|l2f34=838pD9{0ge>x{i9k{i9m?1<7?tH50b?xh6l?0;6l5rn0f`>5<6sA>9m6sa1ef94?7|@=8j7p`>dd83>4}O<;k0qc?kf;295~N3:h1vb51zJ76d=zf8o36=4>{I61e>{i9l31<7?tH50b?xh6mh0;6l5rn0d2>5<6sA>9m6sa1g194?7|@=8j7p`>f583>4}O<;k0qc?i5;295~N3:h1vb51zJ76d=zf8lj6=4>{I61e>{i9oh1<7?tH50b?xh6nj0;6l5rn320>5<6sA>9m6sa21694?7|@=8j7p`=0483>4}O<;k0qc8:182M25i2we>=650;3xL14f3td9<44?:0yK07g51zJ76d=zf;:i6=4>{I61e>{i:9i1<7?tH50b?xh58m0;6l5rn330>5<6sA>9m6sa20694?7|@=8j7p`=1483>4}O<;k0qc<>6;295~N3:h1vb??8:182M25i2we><650;3xL14f3td9=44?:0yK07g52zJ76d=zf;;i6=4={I61e>{i:8i1<7l5rn70:>5<6sA>9m6sa63c94?7|@=8j7p`92c83>4}O<;k0qc8=c;295~N3:h1vb;k4?:0yK07g54zJ76d=zf?996=4={I61e>{i>:91<7l5rn765>5<5sA>9m6sa65594?7|@=8j7p`94983>4}O<;k0qc8;9;295~N3:h1vb;:n:182M25i2we:9l50;3xL14f3td=8n4?:0yK07g51zJ76d=zf?>m6=4<{I61e>{i><:1<7?tH50b?xh1=80;6l5rn774>5<6sA>9m6sa64:94?5|@=8j7p`95883>0}O<;k0qc8:a;297~N3:h1vb;;m:180M25i2we:8m50;3xL14f3td=9i4?:0yK07gi7>51zJ76d=zf??m6=4>{I61e>{i>?:1<7?tH50b?xh1>80;6l5rn744>5<6sA>9m6sa67:94?7|@=8j7p`96883>4}O<;k0qc89a;295~N3:h1vb;8m:182M25i2we:;m50;3xL14f3td=:i4?:0yK07g51zJ76d=zf?{I61e>{i>>:1<7?tH50b?xh1?80;6l5rn754>5<6sA>9m6sa66:94?7|@=8j7p`97883>4}O<;k0qc88a;295~N3:h1vb;9m:182M25i2we::j50;1xL14f3td=;h4?:4yK07g55zJ76d=zf?2;6=4<{I61e>{i>1;1<7=tH50b?xh10;0;63290:wE:=a:m2=3=839pD9l5rn7:;>5<6sA>9m6sa69;94?7|@=8j7p`98`83>4}O<;k0qc87b;295~N3:h1vb;6l:182M25i2we:5j50;3xL14f3td=4h4?:6yK07g53zJ76d=zf?3;6=4<{I61e>{i>0;1<7=tH50b?xh11;0;6;3:1=vF;2`9~j3?3290:wE:=a:m2<3=838pD9l5rn7;;>5<6sA>9m6sa68;94?7|@=8j7p`99`83>4}O<;k0qc86b;295~N3:h1vb;7l:182M25i2we:4j50;3xL14f3td=5h4?:0yK07g51zJ76d=zf?k?6=4<{I61e>{i>h=1<7?tH50b?xh1i10;6l5rn7`3>5<6sA>9m6sa6c394?7|@=8j7p`9b383>4}O<;k0qc8m7;295~N3:h1vb;l7:182M25i2we:o750;3xL14f3td=nl4?:0yK07g97>52zJ76d=zfh?36=4<{I61e>{ii<31<7=tH50b?xhf=k0;6>uG43c8ykg2n3:1?vF;2`9~jd062908wE:=a:me34=83;pD9l5rn`46>5<6sA>9m6saa7494?7|@=8j7p`n6683>4}O<;k0qco98;295~N3:h1vbl86:182M25i2wem;o50;3xL14f3tdj:o4?:0yK07g51zJ76d=zfh{I61e>{ii?o1<7?tH50b?xhf>o0;6vF;2`9~jd162909wE:=a:me25=838pD9l5rn`55>5<6sA>9m6saa6594?7|@=8j7p`n7c83>4}O<;k0qco8c;295~N3:h1vbl9k:182M25i2wem:k50;1xL14f3tdj;k4?:0yK07g51zJ76d=zfh2:6=4>{I61e>{ii181<7?tH50b?xhf0:0;62290:wE:=a:me=0=83;pD9l5rn`::>5<6sA>9m6saa9c94?7|@=8j7p`n8c83>4}O<;k0qco7c;296~N3:h1vbl6k:182M25i2wem5k50;3xL14f3tdj4k4?:0yK07g51zJ76d=zfh3:6=4>{I61e>{ii081<7?tH50b?xhf1=0;6>uG43c8ykg>=3:1=vF;2`9~jd?1290:wE:=a:me<1=83;pD9l5rn`;b>5<6sA>9m6saa8`94?7|@=8j7p`n9b83>4}O<;k0qco6d;295~N3:h1vbl7j:182M25i2wem4h50;3xL14f3tdjm=4?:0yK07g51zJ76d=zfhk96=4>{I61e>{iih91<73:1?vF;2`9~jdg0290:wE:=a:med>=83;pD9l5rn`ca>5<6sA>9m6saa`a94?7|@=8j7p`nae83>4}O<;k0qcone;295~N3:h1vbloi:182M25i2wemo>50;3xL14f3tdjn<4?:0yK07g7>51zJ76d=zfhh86=4>{I61e>{iik>1<7?tH50b?xhfj<0;6;uG43c8ykge>3:18vF;2`9~jdd02903wE:=a:meg?=838pD9l5rn```>5<6sA>9m6saacf94?7|@=8j7p`nbd83>4}O<;k0qcomf;295~N3:h1vblm?:182M25i2wemn?50;3xL14f3tdjo?4?:2yK07g53zJ76d=zfhi?6=4>{I61e>{iij?1<7;tH50b?xhfk?0;6>uG43c8ykgd?3:1=vF;2`9~jde?290:wE:=a:mef?=83;pD9l5rn`a`>5<6sA>9m6saabf94?7|@=8j7p`ncd83>4}O<;k0qcolf;295~N3:h1vblj?:182M25i2wemi=50;0xL14f3tdjh94?:3yK07g51zJ76d=zfhn=6=4>{I61e>{iim21<7?tH50b?xhfl00;6l5rnc:g>5<4sA>9m6sab9d94?7|@=8j7p`m9883>4}O<;k0qcl6a;295~N3:h1vbo7m:182M25i2wen4m50;3xL14f3tdi5i4?:0yK07g51zJ76d=zfk3m6=4>{I61e>{ijh81<7?tH50b?xhei:0;6pD9=83>pD9pD9=83;pD9=83;pD9=83;pD9=83;pD9=83;pD9=83;pD9=83;pD9=83;pD9=83;pD9=83;pD9=83;pD9=83;pD9=83;pD9=83;pD9=83;pD9=839pD9=838pD9290:wE:=a:m5=gf290:wE:=a:m5=ge290:wE:=a:m5=gd290:wE:=a:m5=gc290:wE:=a:m5=gb290:wE:=a:m5=ga290:wE:=a:m5=d7290:wE:=a:m5=d6290:wE:=a:m5=d5290:wE:=a:m5=d2290:wE:=a:m5=d1290:wE:=a:m5=d0290:wE:=a:m5=d?290:wE:=a:m5=d>290:wE:=a:m5=df2909wE:=a:m5=dd2909wE:=a:m5=dc290:wE:=a:m5=db290:wE:=a:m5=da290:wE:=a:m5=e7290:wE:=a:m5=e42908wE:=a:m5=e32909wE:=a:m5=e2290:wE:=a:m5=e1290:wE:=a:m5=e02908wE:=a:m5=e?2909wE:=a:m5=e>290:wE:=a:m5=ef2908wE:=a:m5=ee2909wE:=a:m5=ed290:wE:=a:m5=ec2908wE:=a:m5=eb2909wE:=a:m5=ea290:wE:=a:m5=b72909wE:=a:m5=b62909wE:=a:m5=b52909wE:=a:m5=b42909wE:=a:m5=b32909wE:=a:m5=b2290:wE:=a:m5=b1290?wE:=a:m5=b02908wE:=a:m5=b?290:wE:=a:m5=b>290?wE:=a:m5=bf2908wE:=a:m5=be290?wE:=a:m5=bd2908wE:=a:m5=bc290?wE:=a:m5=bb2908wE:=a:m5=ba2909wE:=a:m5=c72909wE:=a:m5=c62909wE:=a:m5=c52909wE:=a:m5=c42909wE:=a:m5=c32909wE:=a:m5=c22909wE:=a:m5=c12909wE:=a:m5=c02909wE:=a:m5=c?2909wE:=a:m5=c>2909wE:=a:m5=cf2909wE:=a:m5=ce2909wE:=a:m5=cd2909wE:=a:m5=cc2909wE:=a:m5=cb2909wE:=a:m5=`72908wE:=a:m5=`6290:wE:=a:m5=`5290:wE:=a:m5=`4290:wE:=a:m5=`3290:wE:=a:m5=`2290:wE:=a:m5=`1290:wE:=a:m5=`?2908wE:=a:m<`<728qvb?8k:182xh50;0;65<6std9n;4?:2y~j7d7290:wp`=d483>6}zf;im6=4>{|l1b1<72:qvb?kj:182xh49:0;6>urn22g>5<6std8??4?:2y~j64d290:wp`<5083>6}zf:>i6=4>{|l035<72:qvb>8n:182xh40o0;6>urn2::>5<6std8mh4?:2y~j6g?290:wp`6}zf:i<6=4>{|l0af<72:qvb>k9:182xh38k0;6>urn526>5<6std?>l4?:2y~j143290:wp`;4883>6}zf=>86=4>{|l5a<<728qvb;hj:182xh09:0;65<4std<>n4?:0y~j2362908wp`84c83>4}zf><=6=4>{|l4<0<72:qvb:9i:182xh0i=0;6>urn6;f>5<6std4?:2y~j2dc290:wp`8e383>6}zf>nh6=4>{|l;44<72:qvb:hm:182xh?:90;6>urn93b>5<6std3?k4?:2y~j=5>290:wp`75583>4}zf1<26=4>{|l;3`<728qvb57k:180xh?1>0;65<4std3n;4?:0y~jg6d2908wp`m0783>4}zfk8i6=4<{|la60<728qvbo:l:181xhe<<0;65<6stdij84?:2y~jgca290:wp`l1583>6}zfj:n6=4>{|l`76<72:qvbnurnb6`>5<6stdh;<4?:2y~jf0e290:wp`l9183>6}zfj2j6=4>{|l`ec<72:qvbno6:182xhdkl0;6>urnba;>5<6stdhii4?:2y~jfc0290:wp`k0b83>6}zfm:=6=4>{|lg6g<72:qvbi<::182xhcurne67>5<6stdo:44?:2y~ja04290:wp`k8983>6}zfm296=4>{|lge2<72:qvbio>:182xhck?0;6>urnea3>5<6stdoi:4?:3y~jac7290:wp`j0983>7}zfl::6=4>{|lf62<72:qvbh<>:182xhburnd63>5<6stdn:84?:2y~j`3a290:wp`j8583>6}zfl=n6=4>{|lfe6<72:qvbh7k:182xhbk;0;6>urnd``>5<6stdni<4?:2y~j`be290:wp`i0183>6}zfllj6=4>{|l260g=839pqc?=5583>4}zf88<57>53zm5714290:wp`>28:94?5|ug;95?4?:0y~j44e?3:1?vsa13`2>5<6std:>nm50;3xyk75m80;6>m:182xh6;;:1<7?t}o3070<728qvb<=;a;295~{i9:?m6=4>{|l2722=83;pqc?<8883>4}zf892i7>51zm56d4290:wp`>3b:94?7|ug;8hi4?:0y~j45ak3:1?vsa12d5>5<6std:8{|l20<1=839pqc?;9083>4}zf8>i:7>53zm51d7290:wp`>4e794?5|ug;?ok4?:0y~j42bi3:1=vsa15de>5<6std:9<:50;3xyk72:00;6{i9k<=6=4>{[d:>4}6mo0vD?6?;|l2f31=83;pVk751z3fb?{O:1:0qc?m6983>4}]n00:w51zXe=?7|9ll1qE<70:m5g0f290:wp`>b7`94?7|ug;i:n4?:0y~j4d1l3:1=vsa1c4f>5<6stwvqMNL{0d4;1;84Zhh|9:;<<640^llp5678Vcf|R>Pnnv3456692;n7<<5D`vbE976294:86?=:HLSQQ:1<26>752F__\XZ5R@>25?699?1:>7AZTQWW>Wct}e~7=<4?>c926?TF48;1<3h4138Qavsk|5;:6=0>1:31>Zgcl9:;=1?>:10:35>LHW]]0J0?=58?386d=593K7><4>>02864442HUM_O2=3;3=51=5;3CE\XZ5A^DPF94428437?4K<083:f=52MkmL2>:1<:?7:14=Whno<=>?<083:4=4n291EC^ZT;QT86<6611?6I2<:1<`?14?>897>@U;;3:5i6:5IORVP?B;;3:5=?5;:HLSQQ4?>g97>JSSX\^1]1=50?37?119:69SV:4294m794Paef3456;;3:596O311<6?D:697?0M1?=>49B8459=2K7=90:;@>21;3G;914>7L2>9?68E979=2K7>=08;@>15?79=2K7><0:;@>16;117;2G;?7>0M1614:C?=;2GTzoUecy>?00]jiuYF[{lTbbz?0132=>GXNZH7==06;@]EWG:69730MRH\B=31:<=FWOYI0<=19:C\BVD;9=427LQISC>21;?89B[CUE48=556OPFR@?5=8>3HUM_O2>9?:8EZ@TJ5;556OPFR@?658>3HUM_O2=1?;8EZ@TJ5892o5N_GQA875=9730MRH\B=00:==FWOYI0?07;@]EWG:4611JSK]M<5<;?DYA[K6>255N_GQA838?3HUM_O28>99B[CUE41437LQISC>::1=Fkex=7LaztNGf?Dir|FOTaxv?012e?Dir|FOTaxv?012255=Fg|~DIRczx123447682KdyyAJ_lw{4567:8l0Mb{{OD]nq}67899:>6O`uuMF[hs89:;Sdcd:ClqqIBWfx;<=>=0:ClqqIBWfx;<=>Pilr\EjssGLUd~=>?0068Evcs<2HJOYk4B@AW[lkwWKKHX<:4BTDF<>DR[VCEJB?4C59@53K13J8;AH@9;B06I@H43J2F86M7Ms18GDUe3JKXS`{w0123g>EF[Vg~t=>?00f8GDUXe|r;<=>>1e9@EVYj}q:;<=<>c:ABWZkrp9:;<>j4C@Q\ip~789:8=i5LAR]nq}6789>:o6MNS^ov|5678EKZ:1HBY74CNONMQRBL>1H^HO[EE08GV0EkcVCEZRgbp^AooZOI^8;0H95K<1<5?A:6294?7I2>>59G87813M686=0;;E>0:2=Ci}k7<394D`vb84823MkmL64D`vbE96912NjxlO31119:FbpdG;984j7Io{a@>2>58?3MkmL2>>99GeqgF4;4j7Io{a@>0>58?3MkmL2<>99GeqgF4=437Io{a@>6:==Ci}kJ0;07;EcweD:0611OmyoN<9<;?AgsiH622;5KotvLA`=Cg|~DIRoad123474?1e9GkprHMVey<=>>219GkprHMVey<=>>_hos[Air|FOTc>?0032?@34EGcohZojxVOMmab>2:GP1>CT494>7H]31?78AV:56>1N_1=50?78AV:46h1N_Road12344g?01]jiuYB[Vkeh=>?00c8AVYffm:;<=5JS^antZcv89::Sdc_DQ\ghvXmx:;<=6:GWEFMXkdzTi|>?01]jiuYB\HI@Snc_ds34566991NXLMD_hos[@RFKB;37H`nbmgWv7=Bz<1N~1>17:Gq84<76<1N~1?14:D@HN4@Al8'Bb55IFe3.Mk7>3OLo= Ga10:8BCb6%@d9n6HId0/JjZojx=1MJi<8;GDg6(Oi02LMh?#Fn0;8BCb5%@d:=55IFe0.Mk4>3OLo> Ga20:8BCb5%@d856HId3/Jj67>3OLo> Ga40:8BCb5%@d>n6HId3/JjZojx=1MJi=8;GDg7(Oi02LMh>#Fn0;8BCb4%@d:=45IFe1.Mk4602LMh>#Fn2`8BCb4%@dTe`~;;GDg02=ANm>&Ec64FGf7)Lh612LMh9#Fn03;?C@c<$Ce>o5IFe6.MkYney>0JKj:4:DE`31@Al?'Bb??7;GDg2(Oi;k1MJi8"Io]jiu733OLo: Ga_hos[C@c>$Ce=95IFe54?C@c?$Ce46HId6/Jj4?199EBa1*Ag827KHk7,Km64?i7KHk7,Km[lkw9=1MJi9"Io]jiuYANm=&Ec?;;GDg<2=ANm2&Ec64FGf;)Lh612LMh5#Fn03;?C@c0$Ce>o5IFe:.MkYney90J^L<;GQNg>@TEV:&|l|jnua8BVKX9$zj~h`{c:DPIZ4*xhxnbym4FRO\7(vfzld=6I<;FLG5>O53@:97D?=;H01?L553@>97D;=;H41?L133@KH_h5FABQ\mhvXAHIX=95FNHV0?LHQ=2CDMNZk;HMBGQYffm:;<=?1e9JKDESWhdo<=>>219JKDESWhdo<=>>_hos[LIFK]Ujbi>?003g?LIFK]Ufyu>?01g8MJGD\Vg~t=>?00d8MJGD\Vg~t=>?003f?LIFK]Ufyu>?010e?LIFK]Ufyu>?0102b>OHIJ^Taxv?01205`=NGHI_S`{w01230472CDMNZ}6:KLF@TUm2CDNH\]_omw4566:;1BCOK]R^llp5679Vcf|RG@BDPQ[kis89::=95FOCO7?LIE[=1BCN]:;HM@W4385FOBQ0g>OHKZUjbi>?013f?LID[Vkeh=>?0^kntZOHKZUjbi>?013e?LID[Vif|Rk~012361=NGJYTo`~Pep2345YneyUBCN]Pclr\at6789;m7DALS^antZcv89::>95FOBQ\ghvXmx:;<?003e?LID[Vif|Rk~012161=NGJYTo`~Pep2347YneyUBCN]Pclr\at678;;m7DALS^antZcv89:8>95FOBQ\ghvXmx:;<>Qfmq]JKFUXkdzTi|>?023e?LID[Vif|Rk~012761=NGJYTo`~Pep2341YneyUBCN]Pclr\at678=;m7DALS^antZcv89:>>95FOBQ\ghvXmx:;<8Qfmq]JKFUXkdzTi|>?043e?LID[Vif|Rk~012561=NGJYTo`~Pep2343YneyUBCN]Pclr\at678?;m7DALS^antZcv89:<>95FOBQ\ghvXmx:;<:Qfmq]JKFUXkdzTi|>?063e?LID[Vif|Rk~012;61=NGJYTo`~Pep234=YneyUBCN]Pclr\at6781;m7DALS^antZcv89:2>95FOBQ\ghvXmx:;<4Qfmq]JKFUXkdzTi|>?083e?LID[Vif|Rk~013361=NGJYTo`~Pep2355YneyUBCN]Pclr\at6799;37DALS^knt3=NGMEEIi5FOEMMAZiu89:;>=5FOEMMAZiu89:;Sdc_HMGKKCXg{:;<=?7;HMGKKCnf>1BCIAAEs68MJJ7k2CD@=Qbuy2345bOHD8i0EBB>_omw45669l1BCA?Pnnv3457XadzTEBB>_omw45669<1BCAJ\9:KLJUTbims:=6G@NQPfeaXign;<=>=8:KLJUTbimsTmcj?012\mhvXAFD[^hoky^cm`56788<0EB^XCR;8MJVPZlkou?03:8MJVPZlkouRczx1234ZojxVCD\Z\jae{\ip~789::;6G@REPFUc=NG[NYI\Qnne2345433@EYH_K^_`lg4567W`g{SDA]DSGR[dhc89:;=k5FOSFQATYj}q:;<=??;HMQ@WCVWds<=>?1038MJTCZL[Taxv?01225474INPGV@WXe|r;<=>;109JKWBUMXUfyu>?016255=NG[NYI\Qbuy23453692CD^I\JQ^ov|5678<;:=6G@REPFUZkrp9:;<;?>0:KLVATBYVg~t=>?0630?LIUL[OZS`{w0123[lkw02CD^I\JQs48MJTBY{;97DA]EPp\mhvXAFXN]?:;HMQS4b_np34566m2CD^Z?Pos2345YneyUBC_Y>_np34566;2CD_95FOR2`?LIT8Vkeh=>?00g8MJU7Whdo<=>?_hos[LIT8Vkeh=>?00a8MJU7Wds<=>?d:KLW5Yj}q:;<=?j;HMP4Zkrp9:;<??;HMP4Zkrp9:;h5FOR]`iuYby9:;?h5FOR]`iuYby9:;8h5FOR]`iuYby9:;9h5FOR]`iuYby9:;:95FOT2`?LIR8Vg~t=>?0e9JKP6Xe|r;<=>>f:KLQ5Yj}q:;<=??1d9JKP6Xe|r;<=>>1g9JKP6Xe|r;<=>>10d8MJS7Wds<=>?133f?LIR8Vg~t=>?001f?LIR8Vg~t=>?006g?LIR8Vg~t=>?03g8MJS7Wds<=>?20g8MJS7Wds<=>?30g8MJS7Wds<=>?40g8MJS7Wds<=>?50g8MJS7Wds<=>?60f8MJS7Wds<=>?7d9JKP6Xe|r;<=>81e9JKP6Xe|r;<=>7e:KLQ5Yj}q:;<=6>e:KLQ5Yj}q:;<=7>119JKP6Xe|r;<=>Pilr7?LIR9j1BCX?Pnnv34576m2CDY109JKRTUMXUfyu>?010254=NG^XYI\Qbuy234556991BCZ\]EP]nq}6789>:=6G@WSPFUZkrp9:;<9?>0:KLSWTBYVg~t=>?0432?LIPZ[OZS`{w012314763@E\^_K^_lw{4567>8;;7DAXRSGR[hs89:;;<=4INUQV@WXe|r;<=>Pilr;?LIPZ[OZ~?5FS59JWQEb3@Y_ORgbp^KPPF7c3@YTo`~Pep2345bOTWjg{Sh?011g?LUXkdzTbh>?0118Mk643@d:?6Ga229Jj65n7FKJP^JJAWGUKAS<7AAHIBCO`>JWEVKOHR]ZB^3g?IVJWHNOS^[M_3f8HUKXIMNT_XLP3c9OTHYE]Z^YEa:NSIZBANV;;m6B_M^FEBZ76i2F[ARJIF^31e>JWEVNMJR?JWEVNMJR?8a:NSIZBANV;3m6B_M^FEBZ7>12F[ARJIF^0b?IVJWMLMS?>n;MRN[A@AW;;j7A^B_EDE[74f3EZFSIHI_31b?IVJWMLMS?:n;MRN[A@AW;?j7A^B_EDE[70f3EZFSIHI_35b?IVJWMLMS?6n;MRN[A@AW;327A^B_EDE[6gJWEVRD^?Q>9:NWmhcu494j7AZfmdp?558d3E^bah|31083:d=K\`gn~1?>>89OPlkbz5;556B[ilgq878>3E^bah|33?;8HQojm{6?245CThofv93912F_e`k}<7<:?IRnelx7;374LUknaw:?601GXdcjr=;=<>JSadoyXN?<;MVji`tSKVif|Rk~012351=K\`gn~YMPclr\at6789;:86B[ilgqPFYdeyUn}=>?0337?IRnelx_ORmbp^gr4567;8=0@YgbesV@[fkwWl{;<=>Pilr;?IRnelxT<<>4LUknawY7Wds<=>?109OPlkbzV:Taxv?012257=K\`gn~R>Pmtz345669880@Ygbes]3[hs89:;>?02326>JSadoyS=Qbuy234526981GXdcjr^2\ip~789:>=95CThofvZ6Xe|r;<=>Pilr;?IRnelxT=45CThofvZ7712F_e`k}_0324>JSadoyS0:NWmhcuW8Ujbi>?0005?IRnelxT=Road1235ZojxVF_e`k}_0]bja6788;:<6B[ilgq[4Yffm:;?2^kntZJSadoyS?0234?IRnelxT=Rmbp^gr4567W`g{==5CThofvZ7Xe|r;<=>>1:NWmhcuW8Ufyu>?01326>JSadoyS?3068HQojm{U:S`{w0123[lkw991GXdcjr^3\jjr789;9:6B[ilgq[4Yig}:;<JSadoyS_np3456XadzT@Ygbes]2[jt789::j6B[ilgq[4Yhz9:;=?:4LUknawY6Wfx;<=?Pilr\HQojm{U:Sb|?0132b>JSadoyS_np3454XadzT@Ygbes]2[jt7898:46B[ilgq[7>JSadoyS;64LUknawY002F_e`k}_9:8HQojm{U296B`ae3g?Iifl8Uecy>?0003?Iifl8Uecy>?00]jiuYKghn:Sca{01225a=JimnTSljk0123`>KflmUTmij?013e?H~hzVXnxb{<02=b>Kg{UYi~{ct=32:`=JpfxT^h}zlu>2:`=JpfxT^h}zlu>1:`=JpfxT^h}zlu>0:`=JpfxT^h}zlu>7:`=JpfxT^h}zlu>6:`=JpfxT^h}zlu>5:`=JpfxT^h}zlu>4:`=JpfxT^h}zlu>;:`=JpfxT^h}zlu>::7=I8;1E=?5A239M77=I<;1E995AOS:2?J3=5@DBQq[dhc89:;Sdc_NF@WwYffm:;<=?<;NP11>IU:K;>7B\=B318KW523FX8N?=4OS66?JT3J890C_;<;NP57>IU?:1D^5:4Ooafg>IiklUjbi>?013f?JhdmVkeh=>?0^kntZIiklUjbi>?0137?Jss8l1Dyy>Pilr\Kpr79=1Dyy?j;Nww5ZojxVE~x??12]O0>VFZ]k0\D@PBTQJ@]d79R84<76=1Z0<0;;P>1:3=V4:0;295^<2>a:S\5Ziu89:;Sdc_P]2[jt789::46_`SSgb`|773XeX^hoky^cm`5678;<0]b]]e`fz[dhc89:;Sdc_PmPV`gcqVkeh=>?0033?TiTZlkouRoad123570?01327>Wh[[ojhtQlmq]fu5679;i0]b]]e`fz[fkwWl{;<=?Pilr\UjUUmhnrSnc_ds34576n2[d__kndx]lv5678;>0]b]]e`fz[jt789:Te`~PQnQQadb~Wfx;<=>>f:SlWWcflpUd~=>?1368UjUUmhnrSb|?013\mhvXYfYYiljv_np34576=2XJ0=09;SC?558?3[K7=<4?>79QE9766<1YM1?15:PB87823[K7?3;4R@>7:0=UI5?596\N<7<6?WG;?7?0^L27>49QE9?9;2XJA85]ALAP3>TFEJY;T<:4R@O@W5^XadzT^LCLS1Z255=UIDIXSdc_SCNGV723[KFICj4R@OFJZgil9:;4R@OFJZgil9:;>d:PBI@HXign;<=?=0:PBI@HXign;<=?Pilr\VDKBFVkeh=>?10f8VDKBFVkeh=>?2328VDKBFVkeh=>?2^kntZTFELDTmcj?0102`>TFELDTmcj?01114>TFELDTmcj?011\mhvXZHGNBRoad12374b0:PBIWcflpUjbi>?0105?WGJZlkouRoad1234ZojxVXJA_kndx]bja6789;:<6\NMSgb`|Yffm:;<<<9;SCNV`gcqVkeh=>?1^kntZTFE[ojhtQnne23447a3[KF^hoky^mq4567:=1YM@\jae{\kw6789Uba}Q]ALPfeaXg{:;<=?7;SCNWqgu|8<0^LC\t`pw[lkwW[KF_yo}t078VDUBDm1YM^KC_lw{4567m2XJ_HBPmtz34566n2XJ_HBPmtz345669l1YM^KC_lw{4567:8;0^L]JL^ov|5678Vcf|85]ARpe1>TF[{xo7_O\rs]nq}6789o0^L]}r^ov|56788l0^L]}r^ov|56788;n7_O\rs]nq}67898:=6\NSsp\ip~789:Te`~l;SC\4Zkrp9:;1:PB[46Xe|r;<=>Pilrg?WGX98Ufyu>?01g8VDY69Vg~t=>?00d8VDY69Vg~t=>?003e?WGX98Ufyu>?0102a>TFW8;Taxv?012054=UIV;:S`{w0123[lkwk2XJSRczx1234a=UIV8Taxv?0122a>TFW;Ufyu>?0132a>TFW;Ufyu>?0102`>TFW;Ufyu>?01124>TFW;Ufyu>?01]jiueTFW:Ufyu>?013f?WGX;Vg~t=>?003f?WGX;Vg~t=>?033g?WGX;Vg~t=>?0233?WGX;Vg~t=>?0^kntf=UIV>Taxv?012g?WGX?00g8VDY3Wds<=>?10g8VDY3Wds<=>?20f8VDY3Wds<=>?3028VDY3Wds<=>?_hosg>TFW?01f8VDY2Wds<=>?1d9QEZ3Xe|r;<=>>1d9QEZ3Xe|r;<=>=1e9QEZ3Xe|r;<=><119QEZ3Xe|r;<=>Pilr`?WGX>Vg~t=>?0e9QEZ0Xe|r;<=>>e:PB[3Yj}q:;<=?>e:PB[3Yj}q:;<=<>d:PB[3Yj}q:;<==>0:PB[3Yj}q:;<=Qfmqa8VDY0Wds<=>?d:PB[2Yj}q:;<=?j;SC\3Zkrp9:;<??;SC\3Zkrp9:;Xe|r;<=>k;SC\4R@];[hs89:;Sdcc:PB[4:PLIFU3PVcf|R\@MBQ7\4bTSD<1Yiljvd:PfeaXign;<=>=0:PfeaXign;<=>Pilr\V`gcqVkeh=>?00f8V`gcqVkeh=>?1328V`gcqVkeh=>?1^kntZTbimsTmcj?013255=UmhnrSdc_Sgb`|7d3[ojhtQ`r12344c?259QacBhflUjbi>?01]jiuYUmoNdbhQnne23457a3[omHb`j_omw4566:=1YikJ`nd]mkq6788Uba}Q]egFlj`Yig}:;<=2:PfbAiimVey<=>?_hos[WcaLfdnSb|?0122e>TbnOxda_]?139Qac@ugdXX?0031?WcaN{ef^^>Paof34575i2XnjK|`mSQ3[dhc89::Sdc_SgeBwijZZ:Tmcj?01325d=UmoLyc`\\0^kntZTbnOxda_]?179QacTby11Yik\jqHl25>Tbn[ozSnc_ds3456502Xnj_k~_bos[`w789:Te`~PRddQatYdeyUn}=>?0031?WcaZl{Te`~PRddQat7b3[om^hPnnv34575:2Xnj_k~_omw4566W`g{S_kiRds\jjr789;::6\jfTpd<>Tbn\xlEc?>;SgeQwaXkdzTi|>?010;?Wca]{mTo`~Pep2345YneyUYik[}g^antZcv89:;=<<4RddVvbYneyUYik[}g048V`urd}30^h}zlu>3:d=Umzgx1??>b9Qavsk|5;:6=0n;Sgpqir;984i7_k|umv?5?6912Xnxb{<0<:?Wct}e~7>3l4Rdqvhq:429427_k|umv?7;?89Qavsk|5?556\jstnw838>3[oxyaz37?;8V`urd}63245]erwop9?9:?1Yi~{ct^2\jjr789:Te`~PRdqvhqY7Wge<=>?1c9PMBDR[VCEJBo4SHE\FPUNLQh0_DIPBTQMEHC23Z]7=3;4SV>1:2=T_591=3;4SV>0:1=Tkex?7^m|t59Pakrd3ZoexRoad12344c<[ldSl`k0123[lkwWZoexRoad12344d<[ldSb|?0122g>Ubf}Ud~=>?0^kntZUbf}Ud~=>?00c8WkbUIDIX[_?=;RlgVDKD[^XTmcj?0121e>Uil[KFO^Y]_`lg4567W`g{S^`kR@O@WRTXign;<=>>139PjaTFEJY\^Road12357g<[gnYM@M\WS]bja6788Uba}Q\nePBIFUPZVkeh=>?1036?VhcZHGH_Z\Pclr\at67899;7^`kR@O@WRTXkdzTi|>?01]jiuYTfmXJAN]XR^antZcv89:;=<;4SofQEHET_[Uha}Qjq123566<[gnYM@M\WS]`iuYby9:;=Rgbp^Qm`WGJKZ]YSnc_ds345769<1Xbi\NMBQTVZejxVoz<=>=319PjaTFEJY\^Rmbp^gr4565W`g{S^`kR@O@WRTXkdzTi|>?03321>Uil[KFO^Y]_bos[`w78998<6]adSCNGVQUWjg{Sh?011\mhvX[gnYM@M\WS]`iuYby9:;??5228WkbUIDIX[_Qlmq]fu567=Vcf|R]adSCNGVQUWjg{Sh?01725d=TfmXJAN]XR^kntZUil[KFO^Y]1038WkbUIDIX[_Q`r12347><[gnYM@M\WS]lv5678Vcf|R]adSCNGVQUWfx;<=>>109PjaTFEJY\^Ra}01226==TfmXJAN]XR^mq4566W`g{S^`kR@O@WRTXg{:;<1:Qm`WGJKZ]YSb|?0101<>Uil[KFO^Y]_np3454XadzT_cj]ALAPSWYhz9:;><64SofQKHET9:1Xbi\@MBQ\ghvXmx:;<=?;;RlgVJKD[Vif|Rk~0123542<[gnYC@M\_bos[`w789:9=95\nePLIFUXkdzTi|>?01120>Uil[EFO^Qlmq]fu5678=;<7^`kRNO@WZejxVoz<=>?_hos56=TfmXDAN]Pclr\at6788;?7^`kRNO@WZejxVoz<=>>1068WkbUGDIXSnc_ds345759=1Xbi\@MBQ\ghvXmx:;<<=>4:Qm`WIJKZUha}Qjq12351703Zdo^BCLS^antZcv89::Sdc129PjaTHEJYTo`~Pep2347733Zdo^BCLS^antZcv89:9=<:4SofQKHETWjg{Sh?010151=TfmXDAN]Pclr\at678;9:;6]adSMNGVYdeyUn}=>?2^knt40<[gnYC@M\_hos[VhcZFGH_=4:Qm`WIJKZUd~=>?0^kntZUil[EFO^Q`r123442<[zo>6Z\d:VP[fkwWl{;<=>k;UQ\ghvXmx:;<i5[S^antZcv89:886ZVPD78Plkbz11_e`k}<1<;?Qojm{6:255[ilgq878f3]cfi2<:1<;?Qojm{682i5[ilgq[dhc89:;>=5[ilgq[dhc89:;Sdc_UknawYffm:;<=?8;Upj@drf;2\HO45YIDU\P\VB<2\[Mm4VQCq[kis89::=h5YP@p\jjr789;Te`~PVQCq[kis89::=l5YiePBIFUPZm1]ei\NMBQTV32l911]ei\NMBQTV32lWjg{Sh?01202>Pnl[KFO^Y]65i\ghvXmx:;<=Qfmq]UmaTFEJY\^;:d_bos[`w789::=55YiePBIFUPZ?>`Snc_ds34574>2\bh_OBCRUQ21mXkdzTi|>?00]jiuYQamXJAN]XR76h[fkwWl{;<=?>219UmaTFEJY\^;:d_hos[SocZHGH_Z\94j321>Pnl[KFO^Y]_bos[`w789:8<6XfdSCNGVQUWjg{Sh?012\mhvX^`nYM@M\WS]`iuYby9:;<?2228RlbUIDIX[_Qlmq]fu567:Vcf|RXfdSCNGVQUWjg{Sh?010250=QamXJAN]XR^antZcv89:8?=5YiePBIFUPZVif|Rk~0120[lkwW_co^LCLSVP\ghvXmx:;<>?>5:Tj`WGJKZ]YSnc_ds3452482\bh_OBCRUQ[fkwWl{;<=:Pilr\RlbUIDIX[_Qlmq]fu567<8;>7[gkR@O@WRTXkdzTi|>?0413?SocZHGH_Z\Pclr\at678>4VhfQEHET_[Uha}Qjq1232ZojxV\bh_OBCRUQ[fkwWl{;<=8>149UmaTFEJY\^Rmbp^gr4560;91]ei\NMBQTVZejxVoz<=>8_hos[SocZHGH_Z\Pclr\at678>;:96XfdSCNGVQUWjg{Sh?01:04>Pnl[KFO^Y]_bos[`w7892Te`~PVhfQEHET_[Uha}Qjq123<4723_co^LCLSVP\ghvXmx:;<4=?;WkgVDKD[^XTo`~Pep23461078RlbUIDIX[_Qlmq]fu5668::0Zdj]ALAPSWYdeyUn}=>>0^kntZPnl[KFO^Y]_bos[`w788::=85YiePBIFUPZVif|Rk~013275=QamXJAN]XR^antZcv89;:Sdc_WkgVDKD[^XTo`~Pep235476i2\bh_OBCRUQ[lkwW_co^LCLSVP254=QamXJAN]XR^mq45679;1]ei\NMBQTVZiu89:;=<<4VhfQEHET_[Ud~=>?0331?SocZHGH_Z\Pos234556=2\bh_OBCRUQ[jt789:Te`~=;VQ6?RU;87?0[^2>>49TW949?2]X0>4?>49TW959>2]j~}]J1e9[MIOIP$RON->!1!QWQG&7&8*J_NGF6:ZPPIOE?2RXXRIAD0g8\VRXADZGI@KAT@VJKKYDGGY_^LGATR33?]USW[^GS]\@PDPW]2=_[]U]ON84Xe`\Ma773QnfS@oeosTfvvohf8:0TicPMhllvScu{`ee96V`<1<5?]i;87;=7Ua30?05?]i;87927Ua30?]jiu5?<1?00>3:f=Ximn;<=?311:1<`?Zgcl9:;=1?>>c9\eab789;7=3l4_`fg45664;4i7Rokd1235959j2Ujhi>?00>7:g=Ximn;<=?35?`8[dbc89::0;0m;^cg`56795=5n6Qnde2344:?6k1Tmij?013?=;>?179\ekb789:Te`~P_`lg4567911Tmcj?01322>Yffm:;<?2^kntZYffm:;?30:8[dhc89:?=;5Paof3452XadzTSl`k01275==Xign;<=;>6:]bja678?0735?Zgil9:;:Rgbp^]bja678?;37Road1233408_hos[Zgil9:;;<64_`lg456?9?1Tmcj?01:\mhvXWhdo<=>7199\ekb7893::6Qnne234Yj}q:;<=94_np34566<2Ud~=>?0^kntZYhz9:;<<94_np34576<2Ud~=>?1^kntZYhz9:;=<;4aeffv`=ffm:;<=Q`r123474Pos2345YneyUjbi>?01]lv5678890ocz8;bmvjqcu:2ix?6jif89gmwcd|`ee?6h|b59jkgk33`ei45aAEmvpIC7911eMIaztMG3[lkwWgKOcxzCE1324>hFLf@H>Pos2345413gKOcxzCE1]lv5678Vcf|R`NDnwwH@6Xg{:;<=?6;oCGkprKM8;37cOKotvOA4YneyUeMIaztMG256=iIZ=0bL]PFR@4?kGTW@EI=?5aAR]JKGYiIZUBCOazt`9mEVYNGKe~x;5aARmvp`=iIZe~xRoad123474?169mEjssGL;?7cO`uuMF[lkwWgKdyyAJ149mF@TU12dII_\PFR@25>hEM[XTJ^LPaof3456502dII_\PFR@\ekb789:Te`~PnCGQVZ@TJVkeh=>?00;8jGCUZVCDN:5aBF]JKG1?259mFWYNGKUjbi>?01]jiuYiJ[UBCOQnne23457a3gHYSDAM_lw{4567991eN_QFOC]nq}6789;:=6`MR^KLFZkrp9:;<1:lAVZOHJVg~t=>?03324>hEZVCDNRczx12346743gHYSDAM_lw{4567W`g{i6`MR^KLFZiu89:;>?5aBS]JKGYhz9:;?159mGDUd3gIJ_R``t12354c6`KT@AH[kis89::Sdc_oFWEFMXff~;<=?>6:lGmkJBl2dOecBJ_np3456582dOecBJ_np3456XadzTbIgaLD]lv56788<0bIgaODg8jAoiGLUjbi>?0101?kBnfFOTmcj?012\mhvXfMceCHQnne234576:2dOecAJ_hos[kBnfFO:;6`KotvLAc=iLfCHQnne2345433gNdyyAJ_`lg4567W`g{ScJ`uuMF[dhc89:;=k5aDnwwK@Yffm:;<<<;;oFlqqIBWhdo<=>>_hos[kBh}}ENSl`k0122542?5aDnwwK@Yhz9:;?149mMUJ5>2dB\A<}4:lO@V>2dDzh|cax]bja6789Uba}QaOwgqhdXign;<=>>119mKscudhsTbbz?01312>hH~lxgmtQaou2344YneyUeC{k}l`{\jjr789;:j6`@vdpoe|Yhz9:;Pilr\jJpbzekrSb|?01223>hUIDF\I:5aR@OVS@22:lQKHQBW`g{Sc\@MVG20>hRLZ20bXJ\_GQA<>hRLZUBCO?;;oWGWZOHJVd^H^QFOCmvpg=i]MYTEBL`uua8jPBTWhdo<=>?1d9mQAUXign;<=>Pilr\jPBTWhdo<=>?1b9mQAUXign;<=?>e:lV@VYffm:;<c:lV@VYig}:;<>e:lVS@Yffm:;<=Qfmq]mQRCXign;<=>>e:lVS@YneyUeYZK>4:lUID>hQEHUjbi>?013f?kPJIVkeh=>?0^kntZhQEHUjbi>?013e?kPJIVif|Rk~012361=i^DKTo`~Pep2345YneyUeZ@OPclr\at6789;h7cXBA^llp56798o0b[CN_omw4566W`g{ScXBA^llp56798>0b[^N8:lUTDYA[K20b[^N_HMAg>hQXHUjbi>?013f?kPWIVkeh=>?0^kntZhQXHUjbi>?013`?kPWIVddx=>?10g8jSVFWge<=>>_hos[kPWIVddx=>?1018jRC03g]NSK]M7:rlhZekc01{caQlljgm<>vhdVyh`64pnn\wvcs02zd~yQlljc8tjtsWjf`ic74pnpw[agsi11{czPoqc5?uiu|V{i7}a}t^pfwpjs12zd~yQ|cmp:?uiu|Vyxiy?4r29qeh>uh}{inSagaeo`1?pv>3kgyhQlio;8rdjrmVe{n55wc8734}jb3qi29>8wlqa70(3zHIz:m=64@Az741:520>7?f289?n<>6:04341}i;oi1=6`088225603m9m87>51;3xWd7=<991>4o5126a55?=9?:;86xI35494?7=93:p_l?541196i==7517230>"4k<0:j>5+28`90`03?|D:l36?u+28`90`3<@8l97pB\?j39pi7?6:379y_50138p==4>8;(0d4=831b4h4?::m720<722c?8>4?::m7g=<722c?mi4?::m7e=<722e3j7>5;n6:g?6=3`>>87>5;n6:e?6=3f>==7>5;n662?6=3`ij6=44id494?=n3:17b:9b;29?j20m3:17b:me;29?j21m3:17b:82;29?l2dn3:17b::1;29?j4e03:1(?7k:3`4?k4>k3:07bk3807bk3>07bk3<07bk3207bk3k07b4j5729m65<#:0n1;>5a28a96>=n?90;6)<6d;50?k4>k3907d8j:18'64m54:9j2a<72-82h79<;o0:g?3<3`!4>l3=87c<6c;58?l0f290/>4j5729m65<#:0n1;>5a28a9e>=n>>0;6)<6d;50?k4>k3h07d89:18'64m5c:9j20<72-82h79<;o0:g?b<3`=h6=4+28f936=i:0i1i65f7c83>!4>l3=87c<6c;d8?l1f290/>4j5729m61:9j3=<72-82h79<;o0:g?7532c<;7>5$3;g>255<#:0n1;>5a28a951=?1<7*=9e847>h51j0:965f6g83>!4>l3=87c<6c;35?>o4?o0;6)<6d;14a>h51j0;76g<7e83>!4>l39o4?j0;6)<6d;14a>h51j0976l4<729q/?oo513c8L6`13f;957>5;|`0bd<7280;6=u+3cc97f2<@:l=7b<69;29?xd3;?0;696:0y27?{n1m0;66a<3683>>i60m0;66a=c583>>i40>0;66g78;29?l2f:3:17b=6f;29?j73l3:17d:le;29?j4d;3:17b=<6;29?j74<3:17d:=:18'64m50:9j05<72-82h7:>;o0:g?7<3`9m6=4+28f904=i:0i1>65f3e83>!4>l3>:7c<6c;18?l5e290/>4j5409m65<#:0n18<5a28a92>=n;10;6)<6d;62?k4>k3=07d=8:18'64m58:9j73<72-82h7:>;o0:g??<3`9>6=4+28f904=i:0i1m65f3583>!4>l3>:7c<6c;`8?l54290/>4j5409m65<#:0n18<5a28a9a>=n<00;6)<6d;62?k4>k3l07o<8b;297?7=;rF8j54>{I3e6>{K;o31=v*;e182?x"4jh0:jn5fa983>>i51o0;66gn4;29?g1=8391<7>t$2`b>`1<@:l=7d6l:188md>=831d>4h50;9~wd2=838pRl:4=68;g>{t:>91<7h6:0y'0`6=92w/?oo52158md>=831d>4h50;9je1<722cj97>5;h;f>5<0;684?:1y'7gg=m01C?k84L2d;>4}#9:<18im4}h;`>5<>of03:17b<6f;29?xd4n>0;6<4?:1y'7gg=9;k0D>h9;n31=?6=3tyj87>52z\b0>;021i0q~o::181[g234=15n5rs350>5<5s49m;7?=9:?4>52z?4>7?a3W82j6s|26794?4|Vh201:4n8:~f40>290n6>4>0z&0fd<6>01d=9j50;9j505=831b=8;50;9j501=831b=8750;9j50d=831b=8j50;9j50`=831b=;?50;9j535=831b=;;50;9j531=831b=8?50;9j7f?=831i=9k50;;94?6|,:hj6?67;I1e2>o6:j0;66g>2e83>>o6:l0;66g>2g83>>o6;90;66g>3083>>o6;;0;66g>3283>>i5?h0;66sm15d94?0=83:p(>ln:3:6?M5a>2c:>n4?::k26a<722c:>h4?::k26c<722c:?=4?::m13d<722wi=8>50;194?6|,:hj6?9j;I1e2>o6:j0;66g>2e83>>i5?h0;66s|15f94?4|V8>o70?:0;04e>{t9<91<770?;e;31`>{t9<=1<7{t9{t96s|17394?4|V8<:70?;f;31`>{t9?91<770?;f;31g>{t9?=1<7{t;j31<7n6?9n;<364?75k2wx=9h50;0x942a2;=j70?:0;31`>{zj:k>6=4j:5825~"4jh08m85`3`294?=n<00;66g;a;29?l552900e>=50;9j71<722c897>5;h15>5<>o413:17d=n:188m6d=831b?i4?::`0e4<72<0;6=u+3cc91g=O;o<0e<50z&0fd<2l2B8j;5f13a94?=n9;n1<75f13g94?=n9;l1<75`26c94?=zj:k86=49:183!5ei3<;7E=i6:k26f<722c:>i4?::k26`<722c:>k4?::k275<722e9;l4?::a7d2=83>1<7>t$2`b>0><@:l=7d?=c;29?l75l3:17d?=e;29?j40i3:17p}7}Y;h:01>o;:35b?xu313:1>vP;9:?0e7<6:j1v9o50;0xZ1g<5:k96<634>2e9~w63=838pR>;4=2c2>44b3ty8:7>52z\02>;4i80:>k5rs2594?4|V:=01>o<:00`?xu403:1>vP<8:?0e7<6:l1v>750;0xZ6?<5:k96<4>319~w6g62909w0=n1;04e>;4i=0:>i5rs2c1>5<5s49j>7<8a:?0e1<6:j1v>o<:18185f;385e;6954}#;kk1?ll4o2c5>5<>o4:3:17d=<:188m62=831b?84?::k02?6=3`9<6=44i2:94?=n;00;66gj50;9a7d1=83?1<7>t$2`b>0d<@:l=7d?=c;29?l75l3:17d?=e;29?l75n3:17b<8a;29?xd4i10;684?:1y'7gg==j1C?k84i00`>5<5<5<56;294~"4jh0=<6F:o50;9~f6gf290?6=4?{%1ae?3?3A9m:6g>2b83>>o6:m0;66g>2d83>>i5?h0;66s|3`494?4|V:k=70=na;04e>{t<00;6?uQ489>7d1=9;i0q~:n:181[2f349j47?=c:p77<72;qU??523`5957b7}Y;=16?l7513f8yv522909wS=:;<1b3?75n2wx?;4?:3y]73=:;h21=?j4}r14>5<5sW9<70=n9;31g>{t;10;6?uQ399>7d>=9;o0q~=6:181[5>349j47?=f:p7d<72;qU?l523`;957`7}Y;m16?l751228yv5f?3:1>v37dg=9;i0q~=n9;296~;4i009;l523`c957c46|,:hj6>l?;n1bg?6=3`>26=44i5c94?=n;;0;66g<3;29?l532900e>;50;9j73<722c8;7>5;h1;>5<>o4j3:17d=k:188f6gc29026=4?{%1ae?063A9m:6g>2b83>>o6:m0;66g>2d83>>o6:o0;66g>3183>>o6;80;66g>3383>>o6;:0;66a=7`83>>{e;ho1<7850;2x 6df2?:0D>h9;h31g?6=3`;9h7>5;h31a?6=3`;9j7>5;h304?6=3f85;|`0ec<72:0;6=u+3cc910=O;o<0e<vP7d`=:>k0q~:6:181[2>349jh7?=c:p0d<72;qU8l523`f957b7}Y;:16?lj513d8yv532909wS=;;<1ba?75l2wx?84?:3y]70=:;hn1=>>4}r15>5<5sW9=70=nd;305>{t;>0;6?uQ369>7dc=9;i0q~=7:181[5?349jh7?<2:p7<<72;qU?4523`f95657}Y;k16?lk513g8yv5c2909wS=k;<1ba?7482wx?lj50;0x96gc2;=j70=nf;31g>{t;ho1<771f349jj7?=d:~f6cc290o6>4i{%1ae?5bl2e8i44?::k0`5<722c8h?4?::k0`1<722c8h;4?::k0`=<722c8hl4?::k0`f<722c8hh4?::k0a5<722c8i?4?::k0a1<722c8i;4?::`0ad<7200;6=u+3cc96=><@:l=7d?=c;29?l75l3:17d?=e;29?l75n3:17d?<0;29?l7493:17d?<2;29?l74;3:17b<8a;29?xd4mk0;684?:1y'7gg=:190D>h9;h31g?6=3`;9h7>5;h31a?6=3`;9j7>5;n04e?6=3th8in4?:283>5}#;kk1>:k4H2d5?l75k3:17d?=d;29?j40i3:17p}7}Y;l301>kl:35b?xu4l90;6?uQ3e2896cf288o7p}7}Y;m801>kn:00`?xu4l=0;6?uQ3e6896cf288n7p}7}Y;m<01>kn:013?xu4l10;6?uQ3e:896cf288m7p}7}Y;mk01>kn:012?xu4lj0;6?uQ3ea896cf28987p}7}Y;mo01>kn:011?xu4m90;6?uQ3d2896ce288h7p}7}Y;l801>km:00f?xu4m=0;6?uQ3d6896ce288o7p}7}Y;l<01>km:00e?xu4mh0;6?u23dc962g<5:oh6<ln:4g8L6`13`;9o7>5;h31`?6=3`;9i7>5;h31b?6=3f85;|`07a<72:0;6=u+3cc962c<@:l=7d?=c;29?l75l3:17b<8a;29?xd4j80;6>4?:1y'7gg==<1C?k84i00`>5<5<53;294~"4jh0>;6F5<7s-9im7;:;I1e2>o6:j0;66g>2e83>>i5?h0;66sm3bd94?3=83:p(>ln:4a8L6`13`;9o7>5;h31`?6=3`;9i7>5;h31b?6=3f85;|`0a=<72:0;6=u+3cc910=O;o<0e<03:1?7>50z&0fd<2=2B8j;5f13a94?=n9;n1<75`26c94?=zj89>6=46:183!5ei3<:7E=i6:k26f<722c:>i4?::k26`<722c:>k4?::k275<722c:?<4?::k277<722c:?>4?::m13d<722wi>:j50;194?6|,:hj68;4H2d5?l75k3:17d?=d;29?j40i3:17pl<3b83>0<729q/?oo55g9K7c05<5<N4n?1b=?m50;9j57b=831b=?k50;9j57`=831d>:o50;9~f6eb290>6=4?{%1ae?3e3A9m:6g>2b83>>o6:m0;66g>2d83>>o6:o0;66a=7`83>>{e;m;1<7;50;2x 6df2h9;h31g?6=3`;9h7>5;h31a?6=3`;9j7>5;n04e?6=3th8h>4?:483>5}#;kk19i5G3g48m44d2900e<k1<75rb2f4>5<2290;w)=ma;7g?M5a>2c:>n4?::k26a<722c:>h4?::k26c<722e9;l4?::a7a?=83?1<7>t$2`b>0b<@:l=7d?=c;29?l75l3:17d?=e;29?l75n3:17b<8a;29?xd4lk0;684?:1y'7gg==j1C?k84i00`>5<5<5<55;294~"4jh0>h6Fji:186>5<7s-9im7;l;I1e2>o6:j0;66g>2e83>>o6:l0;66g>2g83>>i5?h0;66sm3d394?3=83:p(>ln:4f8L6`13`;9o7>5;h31`?6=3`;9i7>5;h31b?6=3f85;|`0a6<72<0;6=u+3cc91f=O;o<0e<50z&0fd<2k2B8j;5f13a94?=n9;n1<75f13g94?=n9;l1<75`26c94?=zj:o<6=4::183!5ei3?i7E=i6:k26f<722c:>i4?::k26`<722c:>k4?::m13d<722wi?5j50;794?6|,:hj68m4H2d5?l75k3:17d?=d;29?l75m3:17d?=f;29?j40i3:17pl<8g83>0<729q/?oo55b9K7c05<5<N4n?1b=?m50;9j57b=831b=?k50;9j57`=831d>:o50;9~f6?4290>6=4?{%1ae?3b3A9m:6g>2b83>>o6:m0;66g>2d83>>o6:o0;66a=7`83>>{e;0?1<7;50;2x 6df2h9;h31g?6=3`;9h7>5;h31a?6=3`;9j7>5;n04e?6=3th85:4?:483>5}#;kk19i5G3g48m44d2900e<k1<75rb075>5<2290;w)=ma;7`?M5a>2c:>n4?::k26a<722c:>h4?::k26c<722e9;l4?::a50>=83?1<7>t$2`b>0e<@:l=7d?=c;29?l75l3:17d?=e;29?l75n3:17b<8a;29?xd6=h0;684?:1y'7gg==m1C?k84i00`>5<5<5<o7>55;294~"4jh0>o6F5<7s-9im7;k;I1e2>o6:j0;66g>2e83>>o6:l0;66g>2g83>>i5?h0;66sm17294?3=83:p(>ln:4f8L6`13`;9o7>5;h31`?6=3`;9i7>5;h31b?6=3f85;|`227<72<0;6=u+3cc91`=O;o<0e<50z&0fd<2k2B8j;5f13a94?=n9;n1<75f13g94?=n9;l1<75`26c94?=zj8<=6=4::183!5ei3?o7E=i6:k26f<722c:>i4?::k26`<722c:>k4?::m13d<722wi=;650;794?6|,:hj68j4H2d5?l75k3:17d?=d;29?l75m3:17d?=f;29?j40i3:17pl<3`83>6<729q/?oo5579K7c05<5<5<53;294~"4jh0>96F7l:187>5<7s-9im7<71:J0b3=n9;i1<75f13f94?=n9;o1<75`26c94?=zj:2i6=4<:183!5ei3?>7E=i6:k26f<722c:>i4?::m13d<722wi?4k50;194?6|,:hj6?9j;I1e2>o6:j0;66g>2e83>>i5?h0;66sm14094?5=83:p(>ln:448L6`13`;9o7>5;h31`?6=3f85;|`7=0<72:0;6=u+3cc913=O;o<0e<13:1?7>50z&0fd<5?l1C?k84i00`>5<5<2;7>52;294~"4jh0:?:5G3g48m44e2900c?9n:188yv?c2908wS7k;<04f?g334>2?7o;;|q072<72?qU?>94=21;>71f3498h7?=d:?0f4<6:j16>:j513a89435288o7p}=c583>1}Y:j>01?m::35b?84d>3;9o63;41109;l5rs9:94?5|V1201?9k:00g?82>13;9h6s|4`094?5|V=k970:63;c;?82>=3;9h6s|38d94?4|V:3m70=m1;04e>{t9=n1<7{t:j91<738{t9:>1<728?>70?:6;04e>{t9<=1<743034;>47<8a:p50?=838p1<86:07:?872i3828?o70?:e;04e>{t9?=1<740034;=47<8a:p50`=838p1<86:07e?871838;<356?40i2wx=;=50;0x940>28<870?94;04e>{t9??1<740234;=:7<8a:p76?=838p1>=k:00`?854i38:m50;0x971e2h201?9k:35b?xu40j0;6?u239f962g<5:3j6<7}:;0;1>:o4=2;b>44b3ty85?4?:3y>7<5=:>k01>7l:00`?xu41=0;6?u2387962g<5:3h6<7}:;131>:o4=2;f>44d3ty84l4?:3y>7=d=:>k01>7j:00g?xu31?0;6?u24819e0=:<0=1>:o4}r1b4?6=:r78m847g5=9;i0q~=52z?0eg<4i?16?o=513f8yv5fk3:1>v32d9>7fe=:>k0q~=ld;296~;4ko0:>k523bg962g=7>52z?22<<6=816=8<526c8yv5b13:1>v30<1=9;h0q~:63;290~;31:095k522b4957b<5:9j6<;4110:>n5rs5;7>5<5s4>297<8a:?7=<<6:j1v>76:18185>i382e9~w6?c2909w0=68;31`>;41l09;l5rs2`1>5<5s49i=7?=d:?0f6<5?h1v>j?:18185bl39o<634=7`9~w6b32909w0=jd;1g0>;4l<09;l5rs2f5>5<5s49nh7=k6:?0`2<5?h1v>j7:18185bl39o463;4m<09;l5rs2a:>5<4sW;3h63>6880g<=:;l21>:o4}r1f2?6=;r78ii47`1=:>k01>66:00`?xu4lj0;6>u23df97ae<5:no6?9n;<1;f?75k2wx?ik50;0x96cc2:nn70=kf;04e>{t;l:1<76c7349n=7<8a:p7`4=838p1>kk:2g1?85b;3847|V=801>=7:00f?84d=3;9h63>348276=:;:i1=?h4=2a`>44c34;>87?=f:?213<6:o16=86513d8943f288m70?:c;31b>;6=l0:>k52172957`<58<96<2e9>53>=9;n0(>9m:538j61f291v9>50;32[27349847?=f:?1g0<6:j16=>;51208965d288n70=lc;31g>;6==0:>h52144957c<58?36<2b9>50c=9;n01<8?:00g?871:3;9i63>65826c=:9?<1=?h4=04;>44a3-9;o14e?70z\0b>;4;10:>i521279567<5:9h6<2e9>500=9;n01<;7:00`?872i3;9h63>5b826`=:944d34;=>7?=d:?221<6:l16=;8513g8940?288h7)=8b;62?k50i380q~=k:1827~X4l278m84;51228965d288h70=lc;31a>;6==0:>n52144957e<58?36<2e9>50c=9;i01<8?:00f?871:3;9o63>65826a=:9?<1=?m4=04;>44b3-9;o14e?5;4kl0:>k5+36`904=i;>k186s|3`83>3}Y;h16?l;53`9>7dd=;h16?o>53`9>563=9;o01>mj:00f?!50j3>:7c=8a;78yv5>290=wS=6;<1b1?5>349jn7=6;<1a4?5>34;897?=d:?0g`<6:m1/?:l5409m72g=>2wx?54?:7y]7==:;h?1?5523``97==:;k:1?552127957e<5:in6<5<68rT8;63;4ik08;63;4l80:>h523e1957b<5:n>6<2e9>7ad=9;i01>jk:00e?85cn3;9j6344a349n;7?=f:&03g<392d8;l47;|q02?6=99qU?;523`7973=:;hh1?;523c2973=:;m;1=?j4=2f0>44d349o97?=f:?0`2<6:o16?i7513d896be288m70=kd;31`>;4lo0:>n523d3957c<5:o86<2d9'72d=<81e?:o59:p70<728:pR>;4=2c6>63<5:ki6>;4=2`3>63<5:n:6<2e9>7a1=9;i01>j6:00f?85cj3;9i6344c349n?7?=c:?0a0<6:m16?h9513f8 61e2=;0b>9n:`9~w62=83;;wS=;;<1b1?53349jn7=;;<1a4?53349o=7?=c:?0`6<6:l16?i;513a896b0288n70=k9;31g>;4lk0:>i523ef957e<5:nm6<4>2e9>7`3=9;i01>k8:00`?!50j3>:7c=8a;`8yv54290jwS=<;<1b1?54349jn7=<;<1a4?543493h7?=f:?0;41>0:>i5+36`904=i;>k1o6s|3383>d}Y;;16?l;5339>7dd=;;16?o>5339>7=b=9;o01>6i:00f?85>93;9i63<92826a=:;0?1=?j4=2;4>44d3-9;o14e?bj6=4n{_6b?85f=3>j70=nb;6b?85e83>j70=7d;31g>;40o0:>i52383957b<5:386<2g9'72d=<81e?:o5e:p0<<72hqU84523`790<=:;hh184523c290<=:;1n1=?j4=2:e>44d3492=7?=c:?0=6<6:o16?4;513a896?0288n7)=8b;62?k50i3l0qpl;de83>65=;k0=31>v??:049yl?c2900c9l6:188m1252900c9o7:188k1?d2900c98>:188m=>=831b?;:50;9l0=`=831b8:m50;9j70b=831b8nk50;9j0de=831b>n=50;9l0d0=831b?>850;9l6a>=831d85l50;9l6g>=83.95i4=b69m6o850;&1=a<5j>1e>4m51:9l6f7=83.95i4=b69m6n>50;&1=a<5j>1e>4m53:9l6g`=83.95i4=b69m6ok50;&1=a<5j>1e>4m55:9l6gb=83.95i4=b69m621d>om50;&1=a<5j>1e>4m57:9l6gd=83.95i4=b69m6oo50;&1=a<5j>1e>4m59:9l6g?=83.95i4=b69m6o;50;&1=a<5j>1e>4m5b:9j7c<72-82h7=j;o0:g?6<3`9o6=4+28f97`=i:0i1=65f3c83>!4>l39n7c<6c;08?l5f290/>4j53d9m65<#:0n1?h5a28a91>=n;>0;6)<6d;1f?k4>k3<07d=9:18'64m57:9j70<72-82h7=j;o0:g?><3`9?6=4+28f97`=i:0i1565f3283>!4>l39n7c<6c;c8?l55290/>4j53d9m65<#:0n1?h5a28a9`>=n<10;6)<6d;1f?k4>k3o07d:8:18'64m5f:9j03<72-82h7=j;o0:g?7732c?97>5$3;g>6c5<#:0n1?h5a28a957=h51j0:?65f3b83>!4>l39n7c<6c;37?>d4;l0;6n4>:byO7c>=9rB:j?5rL2d:>4}#1<75`29a94?=h:1o1<75`28294?=h:081<75`28694?=h:0<1<75`28594?=h:021<75f1df94?=n9lo1<75f1dd94?=e9;>1<7m5c;33I5a03;pD53;397~J4n10:wE?i2:'7gg=mk1bm54?::m1=c<722cj87>5;c594?5=83:p(>ln:d58L6`13E9m47?t$015>1bd3tc3o7>5;hc;>5<5<5sWk?70958b9~w7142909w09528d8Z7?a3ty9;94?:3y]e==:?3k37psmf383>6<62:qG?k651zJ2b7=z,:hj6hl4i`:94?=h:0l1<75fa583>>d029086=4?{%1ae?c03A9m:6B3>oo6sf8b83>>of03:17b<6f;29?xuf<3:1>vPn4:?4>=e52z?4>7?a3W82j6s|26694?4|Vh201:4n8:~fcb=8391=7=tL2d;>4}O9o80q)=ma;ga?lg?2900c?7i:188md2=831i;7>53;294~"4jh0n;6F=9r.:?;4;db9~m=e=831bm54?::m1=c<722wxm94?:3y]e1=:?32h7p}=7283>7}:?382j6P=9g9~w7132909wSo7;<59e==zuk;;87>53;397~J4n10:wE?i2:'7gg=mk1bm54?::m1=c<722cj87>5;c594?5=83:p(>ln:d58L6`13E9m47?t$015>1bd3tc3o7>5;hc;>5<5<5sWk?70958b9~w7142909w09528d8Z7?a3ty9;94?:3y]e==:?3k37psm11c94?5=939p@>h7:0yK5c45<50z&0fd>{ti=0;6?uQa59>3?>d3ty9;>4?:3y>3?4>n2T95k5rs357>5<5sWk37095a99~yg77j3:1?7?53zN0b=<6sA;m>6s+3cc9ag=ni10;66a=9g83>>of<3:17o950;194?6|,:hj6h94H2d5?I5a03;p(<=9:5f`?xo?k3:17do7:188k7?a2900q~o;:181[g334=14n5rs350>5<5s4=1>4h4^3;e?xu5?=0;6?uQa99>3?g?3twi=<=50;195?5|D:l36N4n?1G?k651z&273<3lj1ve5m50;9je=<722e95k4?::pe1<72;qUm9527;:`?xu5?:0;6?u27;0:b>X51o1v?9;:181[g?34=1m55r}c32`?6=;3;1?vBh9;M1e5<k1<75rb024>5<4290;w)=ma;04a>N4n?1b=?m50;9j57b=831d>:o50;9~w7?02909wS<67:?242<6:j1v2b9~w7?32909wS<64:?24=<6:m1v<>::181[77=27:<:4=7`9~w4612909w0??8;04e>;68>0:>i5r}c325?6=>3914v*h9;h31g?6=3`;9h7>5;n04e?6=3th:5}#;kk1945G3g48m44d2900e<50z&0fd<5?l1C?k84i00`>5<5<52z\2ac=:98:1=?m4}r0:0?6=:rT95952102957b52z\1=5=:99o1=?k4}r3f`?6=:rT:ii5211g957e52z\2a`=:99o1=?j4}r33g?6=:rT:52z?255<5?h16==h513a8yv77m3:1>v3>0d813d=:99l1=?j4}|`267<72<096;u+3cc95745<5<5<3290;w)=ma;7b?M5a>2c:>n4?::k26a<722c:>h4?::m13d<722wi=?>50;194?6|,:hj6?9j;I1e2>o6:j0;66g>2e83>>i5?h0;66s|28:94?4|V;3370?=0;31g>{t:0:1<7{t9lo1<7{t98l1<771f34;9<7?=d:~f47d29086=4?{%1ae?323A9m:6g>2b83>>o6:m0;66a=7`83>>{t9ln1<7:t^0gg?87693;nh63>2382aa=:98i1=?m4}r3fa?6=;rT:ih5210395`c<588964ca34;:=7?jf:pe3<720qUm;52eb8b0>;a:3k?70hk:`6894632h>01<>n:`68946e2h>010q~<7c;297~X50j16j?4n8:?e`?4>n2wx>5k50;1xZ7>b34oh6l64=g096<`55z\1=5=:98n1>4h4=032>7?734;9>7<60:?25f<6:m1v?7=:180[4>:27:<27:55?=:0>01:3;7?xu51?0;6>uQ28489cb=i116==l528d8yv4>?3:1?vP=969>af<51o16==752858yv4>03:1?vP=999>552=:0l01<<=:3;;?xu68<0;6?u21169e==:9931==;4}r33g?6=:r7:c;04e>{t98o1<7d><58896;6:=094n5rs3:f>5<5sW83i63>2581<`=z{;3;6=4={_0:4>;6:=095=5rs3;1>5<5sW82>63>2581=7=z{;3?6=4={_0:0>;6:=09595rs3;5>5<5sW82:63>2581=3=z{;3<6=4={_0:3>;6:=095:5rs3;;>5<5sW82463>2581===z{8oo6=4={_3f`>;6:=0:ii5rs0gf>5<5sW;ni63>2582a`=z{8om6=4={_3fb>;6:=0:ik5r}c17b?6==3819vBoi7:<7:&7`351:&7`0;%6ge?5?82d?h?4?;|&0fd<59;1bm94?::kb1?6=3`k36=44o3;e>5<0<525<t$2`b>`?<@:l=7d7l:188m5;|`0b2<7280;6=u+3cc957g<@:l=7b?=9;29?xuf<3:1>vPn4:?4>=e6=4={_c6?81=1j1v?9<:18185a?3;95638:8g8yv40<3:1>v38:3;e?[4>n2wx>:;50;0xZd><5>0j46srb50f>5<4290;w)=ma;04b>N4n?1b=?m50;9j57b=831d>:o50;9~wd2=838pRl:4=68b0>{ti<0;6?uQa49>07c=9;n0q~<6f;296~X51o16;7<6f:p7=6=839pR>6?;<59e==:<;o1=?m4}r61`?6=:r7<6l;4=50f>71f3twi>h?50;195?5|D:l36N4n?1G?k651z&273<3lj1ve5m50;9je=<722e95k4?::pe1<72;qUm9527;:`?xu5?:0;6?u27;0:b>X51o1v?9;:181[g?34=1m55r}c;1>5<42808wA=i8;3xL4`53tF8j44>{%6f4?3d:kb5;hc7>5<0;6>4?:1y'7gg=m>1C?k84L2d;>4}#9:<18im4}h:`>5<1<7:=50;0x92<51o1U>4h4}r040?6=:rTj4638:`:8yxd5m10;6>4>:2yO7c>=9rB:j?5rL2d:>4}#5<7s-9im7k8;I1e2>J4n10:w)?<6;6gg>{n0j0;66gn8;29?j4>n3:17p}n4;296~Xf<27<65m4}r047?6=:r7<6?7i;_0:b>{t:>>1<77<3sE9m47?tH0d1?xJ4n00:w):j0;78y!5ei38:j6gn8;29?j4>n3:17do;:188f2<72:0;6=u+3cc9a2=O;o<0@>h7:0y'560==831d>4h50;9~fd1=8381<7>t$2`b>4503A9m:6g>2c83>>i5?h0;66s|a583>7}Yi=16m:4>2c9~w7142909w0958b9>e2<5?h1v?9;:18181=:0l0R?7i;|q130<72;qUm5527;c;?x{e7473`k36=44o3;e>5<1<75m7;297?6=8r.8nl4j7:J0b3=n0j0;66gn8;29?j4>n3:17pln7;296?6=8r.8nl4>369K7c05<7}:?32h70o8:35b?xu5?=0;6?u27;0:b>X51o1v?9::181[g?34=1m55r}c0`3?6=>391;vBoi7:<7:&7`351:&7`0;%6ge?5?82d?h?4>;%6gf?5e<2d?h>4>;|&0fd<5:81bm94?::kb1?6=3`k36=44o3;e>5<5<0;684=:4yO7c>=9rB:j?5r$2`b>4`e3`k36=44o3;e>5<1<75fa483>>o>m3:17o950;794?6|,:hj6h74H2d5?I5a03;p(<=9:5f`?xo>k3:17d7j:188m=e=831bm54?::m1=c<722wi?k950;394?6|,:hj6<i6:00;66s|a583>7}Yi=16;76l;|qb1?6=:rTj9638:8a8yv40;3:1>v37}:?382j6P=9g9~w7122909wSo7;<59e==zuk>:57>52;294~"4jh0:?:5G3g48m44e2900c?9n:188yg25m3:187>50z&0fd<5081C?k84i00`>5<5<5<5sWk?7095a59~wd3=838pRl;4=50f>44b3ty95k4?:3y]6<`<5>095k5rs2`7>5<5sW9i863;2d826a=z{:2;6=4<{_1;4>;3900:>o5243g957e:47>52z?4>d><5=;26?9n;|q76a<72;q6;7o:;<61a?40i2wvn?66:186>7<2sE9m47?tH0d1?xJ4n00jw):j0;78 1bb2=9<7):k6;c;?!2c0382j6*;d58b0>h3l90:7):k5;c6?k2c93;0(9jn:2:3?k2c:3:0q)=ma;3e`>of<3:17do::188md>=831d>4h50;9j7=6=831i;7>55;091~J4n10:wE?i2:'7gg=9oh0el650;9l6<`=831bm94?::kb1?6=3`3n6=44b683>0<729q/?oo5e89K7c0n3:17pl4<729q/?oo513c8L6`13f;957>5;|qb0?6=:rTj8638:9a8yvg22909wSo:;<59=f=z{;=86=4={<1e3?75127<64k4}r040?6=:r7<6?7i;_0:b>{t:>?1<75<7s-9im7<8f:J0b3=n9;i1<75f13f94?=h:>k1<75rs`694?4|Vh>01:4n4:pe0<72;qUm85243g957b52z\1=c=:?382j6s|39294?5|V:2;7095a99>07c=9;i0q~:=d;296~;02h?0194`d3`k36=44o3;e>5<1<75m7;297?6=8r.8nl4j7:J0b3=n0j0;66gn8;29?j4>n3:17p}n4;296~Xf<27<65m4}r047?6=:r7<6?7i;_0:b>{t:>>1<77<2s-9im750z&0fd<5?l1C?k84i00`>5<5<7>52z\1a7=::l>1>:o4}r175?6=:rT88<522d7957e52z\1`c=::l?1=?j4}r176?6=:rT88?522d6957b52z?1a0<5?h16>h:513a8yxd>j3:147;5cz&0fd<>j2e2?7>5;h175?6=3`8oj7>5;h162?6=3`9?>7>5;h177?6=3`9=?7>5;h170?6=3k3j6=4<:183!5ei3?=7E=i6:k26f<722c:>i4?::m13d<722wi584?:283>5}#;kk1>:k4H2d5?l75k3:17d?=d;29?j40i3:17pl66;297?6=8r.8nl4:5:J0b3=n9;i1<75f13f94?=h:>k1<75rb8:94?5=83:p(>ln:478L6`13`;9o7>5;h31`?6=3f85;|`:=?6==3:171f3ty88<4?:3y]717<50<1=?m4}r0gb?6=;rT9hk529`826f=:1<0:>n5rs275>5<5sW9>:636a;31`>{t;=81<7288o7p}<4283>7}Y;=90146513a8yv51;3:1>vP<629>==<6:m1v>:;:181[53<27257?=f:p=1<72;q65l4=7`9>=0<6:m1v?9<:1818?22;=j7079:00g?xu5?=0;6?u297813d=:100:>n5rs8594?4|5021>:o4=8;957c3}#;kk1>hj4o3g:>5<:6=44i3fe>5<?6=44i21e>5<7E=i6:k26f<722c:>i4?::m13d<722wi>hl50;694?6|,:hj6?6>;I1e2>o6:j0;66g>2e83>>o6:l0;66a=7`83>>{t:l31<7:70{t:ml1<7?70{t;:l1<76<1s-9im75<4290;w)=ma;75?M5a>2c:>n4?::k26a<722e9;l4?::a6c6=8391<7>t$2`b>03<@:l=7d?=c;29?l75l3:17b<8a;29?xd5n80;6>4?:1y'7gg=:>o0D>h9;h31g?6=3`;9h7>5;n04e?6=3ty9n84?:3y]6g3<5;l:6?9n;|q1a4<72:qU>h?4=3d1>44d348m<7?=c:p0d<72;qU8l522g0957bhh50;0x97`72;=j70{zj::>6=4;:285!5ei39;96a=b883>>o5m80;66g<2;29?l242900n>>;:180>5<7s-9im7;9;I1e2>o6:j0;66g>2e83>>i5?h0;66sm31094?5=83:p(>ln:478L6`13`;9o7>5;h31`?6=3f85;|`046<72:0;6=u+3cc962c<@:l=7d?=c;29?l75l3:17b<8a;29?xu5j00;6?uQ2c;896642;=j7p}=e083>6}Y:l;01>>;:00`?857:3;9o6s|3383>7}Y;;16?=:513f8yv242909wS:<;<136?75l2wx?=>50;0x96632;=j70=?3;31g>{t;9;1<771f349;?7?=d:~f670290?6>49{%1ae?56?2e9nn4?::k1a4<722c8?7>5;h67>5<i4?::m13d<722wi?<:50;194?6|,:hj68;4H2d5?l75k3:17d?=d;29?j40i3:17pl<1483>6<729q/?oo526g8L6`13`;9o7>5;h31`?6=3f85;|q1ff<72;qU>om4=236>71f3ty9i<4?:2y]6`7<5:;=6<4?:3y]76=:;8<1=?j4}r67>5<5sW>?70=>4;31`>{t;881<771f349:97?=c:p745=838p1>?;:35b?856=3;9h6srb23g>5<32:0=w)=ma;12`>i5jm0;66g=e083>>o4<3:17d:::188f67d29086=4?{%1ae?313A9m:6g>2b83>>o6:m0;66a=7`83>>{e;8k1<7=50;2x 6df2h9;h31g?6=3`;9h7>5;n04e?6=3th8=o4?:283>5}#;kk1>:k4H2d5?l75k3:17d?=d;29?j40i3:17p}=be83>7}Y:kn01>?m:35b?xu5m80;6>uQ2d38967d288h70=>a;31g>{t;=0;6?uQ359>74e=9;n0q~:::181[22349:m7?=d:p74>=838p1>?l:35b?856j3;9o6s|30;94?4|5:;j6?9n;<12f?75l2wvn><<:187>6<1s-9im7==3:m1f`<722c9i<4?::k01?6=3`>=6=44b201>5<4290;w)=ma;75?M5a>2c:>n4?::k26a<722e9;l4?::a776=8391<7>t$2`b>03<@:l=7d?=c;29?l75l3:17b<8a;29?xd4:80;6>4?:1y'7gg=:>o0D>h9;h31g?6=3`;9h7>5;n04e?6=3ty9nh4?:3y]6gc<5:8:6?9n;|q1a4<72:qU>h?4=201>44d3499<7?=c:p70<72;qU?852330957b=6=4={_65?85583;9h6s|30g94?4|5:896?9n;<115?75k2wx?{zj:826=4;:285!5ei39956a=bg83>>o5m80;66g<6;29?l202900n><7:180>5<7s-9im7;9;I1e2>o6:j0;66g>2e83>>i5?h0;66sm33494?5=83:p(>ln:478L6`13`;9o7>5;h31`?6=3f85;|`062<72:0;6=u+3cc962c<@:l=7d?=c;29?l75l3:17b<8a;29?xu5jo0;6?uQ2cd896402;=j7p}=e083>6}Y:l;01><7:00`?855>3;9o6s|3783>7}Y;?16??6513f8yv202909wS:8;<112?75l2wx??:50;0x964?2;=j70==7;31g>{t;;?1<771f3499;7?=d:~f64a290?6>49{%1ae?55n2e9o=4?::k1a4<722c8m7>5;h1e>5<i4?::m13d<722wi??m50;194?6|,:hj68;4H2d5?l75k3:17d?=d;29?j40i3:17pl<2e83>6<729q/?oo526g8L6`13`;9o7>5;h31`?6=3f85;|q1g5<72;qU>n>4=20g>71f3ty9i<4?:2y]6`7<5:8n6<5<5sW9m70==c;31`>{t;;k1<771f3499h7?=c:p77d=838p1>5<32:0=w)=ma;101>i5k80;66g=e083>>o4?3:17d:6:188f65329086=4?{%1ae?313A9m:6g>2b83>>o6:m0;66a=7`83>>{e;:81<7=50;2x 6df2h9;h31g?6=3`;9h7>5;n04e?6=3th8?>4?:283>5}#;kk1>:k4H2d5?l75k3:17d?=d;29?j40i3:17p}=c083>7}Y:j;01>=<:35b?xu5m80;6>uQ2d389653288h70=<2;31g>{t;>0;6?uQ369>762=9;n0q~:6:181[2>3498>7?=d:p766=838p1>=;:35b?854;3;9o6s|32394?4|5:996?9n;<107?75l2wvn>>m:187>6<1s-9im7=?b:m1fd<722c9i<4?::k036=44b22b>5<4290;w)=ma;75?M5a>2c:>n4?::k26a<722e9;l4?::a75>=8391<7>t$2`b>03<@:l=7d?=c;29?l75l3:17b<8a;29?xd4800;6>4?:1y'7gg=:>o0D>h9;h31g?6=3`;9h7>5;n04e?6=3ty9nl4?:3y]6gg<5::26?9n;|q1a4<72:qU>h?4=22b>44d349;47?=c:p7=<72;qU?55231c957b36=4={_6;?85703;9h6s|31494?4|5::j6?9n;<13=?75k2wx?=950;0x966?2;=j70=?9;31`>{zj;l26=4;:285!5ei38m56a=b783>>o5m80;66g<9;29?l2?2900n?h7:180>5<7s-9im7;9;I1e2>o6:j0;66g>2e83>>i5?h0;66sm2g494?5=83:p(>ln:478L6`13`;9o7>5;h31`?6=3f85;|`1b2<72:0;6=u+3cc962c<@:l=7d?=c;29?l75l3:17b<8a;29?xu5j?0;6?uQ2c4897`02;=j7p}=e083>6}Y:l;01?h7:00`?84a>3;9o6s|3883>7}Y;016>k6513f8yv2?2909wS:7;<0e2?75l2wx>k:50;0x97`?2;=j70{t:o?1<771f348m;7?=d:~f676290?6>49{%1ae?5692e9no4?::k1a4<722c8n7>5;h1g>5<i4?::m13d<722wi?=k50;194?6|,:hj68;4H2d5?l75k3:17d?=d;29?j40i3:17pl<0g83>6<729q/?oo526g8L6`13`;9o7>5;h31`?6=3f85;|q1fg<72;qU>ol4=22e>71f3ty9i<4?:2y]6`7<5:;;6<5<5sW9o70=?e;31`>{t;9i1<771f349;j7?=c:p75b=838p1>>j:35b?857n3;9h6srb3de>5<32:0=w)=ma;0eb>i5j10;66g=e083>>o4j3:17d=k:188f7`b29086=4?{%1ae?313A9m:6g>2b83>>o6:m0;66a=7`83>>{e:oi1<7=50;2x 6df2h9;h31g?6=3`;9h7>5;n04e?6=3th9ji4?:283>5}#;kk1>:k4H2d5?l75k3:17d?=d;29?j40i3:17p}=b983>7}Y:k201?hk:35b?xu5m80;6>uQ2d3897`b288h70{t;k0;6?uQ3c9>6cc=9;n0q~=k:181[5c348mo7?=d:p6cg=838p1?hj:35b?84al3;9o6s|2g`94?4|5;lh6?9n;<0e`?75l2wvn?k?:187>5<7s-9im7;7;I1e2>o6:j0;66g>2e83>>o6:l0;66a=7`83>>{e;i4?::m13d<722wi8ll50;094?6|,:hj6<=8;I1e2>o6:k0;66a=7`83>>{et$2`b>4503A9m:6g>2c83>>i5?h0;66sm49g94?4=83:p(>ln:014?M5a>2c:>o4?::m13d<722wi84<50;094?6|,:hj6<=8;I1e2>o6:k0;66a=7`83>>{e<0l1<7<50;2x 6df289<7E=i6:k26g<722e9;l4?::a731=8391<7>t$2`b>00<@:l=7d?=c;29?l75l3:17b<8a;29?xd4>90;6>4?:1y'7gg==?1C?k84i00`>5<5<97>53;294~"4jh09;h5G3g48m44d2900e<5<50z&0fd<5?l1C?k84i00`>5<5<53;294~"4jh0>96F5<7s-9im7<71:J0b3=n9;i1<75f13f94?=n9;o1<75`26c94?=zj:?36=4<:183!5ei3?=7E=i6:k26f<722c:>i4?::m13d<722wi?8o50;194?6|,:hj6884H2d5?l75k3:17d?=d;29?j40i3:17pl;a`83>6<729q/?oo5569K7c05<N4n?1b=?m50;9j57b=831b=?k50;9j57`=831d>:o50;9~f1>c290>6=4?{%1ae?3b3A9m:6g>2b83>>o6:m0;66g>2d83>>o6:o0;66a=7`83>>{e<0;1<7=50;2x 6df2<<0D>h9;h31g?6=3`;9h7>5;n04e?6=3th?5h4?:583>5}#;kk1945G3g48m44d2900e<50z&0fd<5081C?k84i00`>5<5<N4n?1b=?m50;9j57b=831d>:o50;9~f7e>29086=4?{%1ae?323A9m:6g>2b83>>o6:m0;66a=7`83>>{e:jh1<7=50;2x 6df2<<0D>h9;h31g?6=3`;9h7>5;n04e?6=3th9oi4?:283>5}#;kk19;5G3g48m44d2900e<k1<75rb3f2>5<4290;w)=ma;75?M5a>2c:>n4?::k26a<722e9;l4?::a6ag=8391<7>t$2`b>03<@:l=7d?=c;29?l75l3:17b<8a;29?xd5lj0;6>4?:1y'7gg==?1C?k84i00`>5<5<?7>52;294~"4jh0:?:5G3g48m44e2900c?9n:188yg21;3:1>7>50z&0fd<6;>1C?k84i00a>5<501?k7:`6897c02h>0198>:`6897e02h>01?66:`6897b?2h>0q~:m9;296~X3j0168om526c8yv23:3:1:vP;439>0dg=9;i019lm:00`?82?l3;9o63;90826f=:<0o1=?m4}r6b2o7>52z\7=f=:<0l1>:o4}r655?6=:rT?:<5247396<`44d348hn7?=c:?1ga<6:j16>io513a8yv51<3:1?vP<659>731=9;n01>;>:00g?xu30o0;6?uQ49d891?52;=j7p};7b83>7}Y<>i0196k:00f?xu4=m0;6>uQ34f89607288o70=:1;31g>{t44c3ty?mn4?:3y]0de<5=hi6<n=4=3g3>44c34>2i7?=d:p0d0=838pR9o9;<6b3?40i2wx?>850;0xZ65134>j;7?=b:p6a>=839pR?j7;<0gn279hl4>2e9~w1>e2909wS:7b:?7<`<5?h1v>8<:187854m3;nj636b;157>;4>>09;l5234c957b52z?1a=;5l:09;l5rs3f7>5<5s48h;7=m4:?1`0<5?h1v?j9:18184d?3k>70{t;=l1<7=t=26e>7?a349=;7?=c:?025<6:j1v>;=:181853n393<63<52813d=z{;nm6=49{<10a?7bl279i;4=dg9>=g<5lo16>hj52ed897c72;=j70<7b;31`>{t<0:1<744e34>2=7<8a:p0gg=838p19ll:00a?82ej382b9~w63d2909w0=90;04e>;4=10:>i5rs263>5<5s498i7<7e:?010<6:m1v>:>:18:854m382<63=e78004=:1k088<522df9717<5;2i6<2e9>6a7=9;n01?jl:00g?xu5l00;6?u22eg957e<5;nj6?9n;|q007<72k5280897c02h201?k9:261?8?e2:>970{t:mh1<744b348oo7<8a:p715=838p1>=j:3;7?8?e2:>87p}=c983>7}::m91=?m4=3a:>71f3ty8894?:2y>76c=:0<014l5356897cc2:>?7p}=c`83>7}::m?1=?m4=3aa>71f3ty9on4?:3y>6a3=9;n01?mk:35b?xu5000;6>u229;96<`<5;im6<nk50;0x97b0288h70{t;=?1<7:t=21f>7??348oi7?=d:?1gg<6:m16>nh513f8yv4c83:1>v3=d6826a=::m;1>:o4}r6;g?6=:r7?4h4>2c9>0=b=:>k0q~=:7;296~;4=k0:>n5234:962g57>52z?01g<6:m16?8o526c8yv2>l3:1>v3;9d813d=::18g84b9382j63=f281a4=:;9?1>h?4=234>7c6349:h7;48k09i<522g;96`7<5:;:6?k>;<0eb?4b92wx>h<50;0x97c62h201?k9:3g1?xu4=?0;68u232g95`c<50h1?884=27a>71f348h57?=d:?1`f<6:j1v>;;:181853n3k>70=:5;04e>{t:1k1<7d3<5;2i6?9n;|q1`a<72;q6>i65a99>6ac=:>k0q~=:0;296~;4=809;l52341957d7?a34>=?7?=b:p6f1=83?p1?m8:3;e?84b83;9i63;bc826c=:<1n1=?h4=5;f>44b3ty?m=4?:3y>0<`=9;h019o>:35b?xu>;3:1>v362;c;?8?e2090q~095k524`3957c52z?1a=<51o168l?513f8yv2f13:1>v3;ac826g=::o4}r0a<,:=i6?l8;o14e?652z\1f3=::o31>o84$25a>7d03g952z\1g5=:;;l1>n>4$25a>7d03g952z\1f`=:;;91>ok4$25a>7d03g952z\1ff=:;8=1>om4$25a>7d03g952z\1fd=:;9h1>oo4$25a>7d03g952z\1f0=::o91>o;4$25a>7d03g95<5sW9m70==f;1e?!50j39n7c=8a;28yv5c2908wS=k;<125?5c348mj7=k;%14f?5b3g95<4sW9i70=>1;1a?84an39i7)=8b;1f?k50i380q~=n:181[5f3499j7=n;%14f?5b3g95<5sW92705<5sW9>70==3;16?!50j39n7c=8a;:8yv532909wS=;;<12`?533-95<5sW>j702909wS:6;<101?2>3-936=4<{_6;?857j3>370=6=4={_65?855;3>=7)=8b;1f?k50i3;;7p};5;296~X3=278=i4;5:&03g<4m2d8;l4>1:p01<72;qU8952305901=#;>h1?h5a36c957=z{=91<79m:2g8j61f2890q~=l:181[5d348m?7=l;%14f?5b3g9=9rB:j?5rL2d:>7}i{#;kk1=9m4i8f94?=n9=21<75f19f94?=n9:21<75f17f94?=h91o1<75f45094?=n010;66a>9083>>o3?j0;66g>4e83>>o3kl0;66g;ab83>>i6>o0;66a>d683>>o4;?0;66a;6d83>>i60h0;66a>bd83>>i3j3:17b;;:188k1362900n>l::18a>483:17b<62;29?j4><3:17d?jd;29?l7bm3:17d?jf;29?l7a83:17d?i1;29?g75<3:1n765ezN0b=<6sA;m>6s+3cc97g35<5<1<75meb83>6<62:qG?k651zJ2b7=z,:hj6hl4i`:94?=h:0l1<75fa583>>d029086=4?{%1ae?c03A9m:6B3>oo6sf8b83>>of03:17b<6f;29?xuf<3:1>vPn4:?4>=e52z?4>7?a3W82j6s|26694?4|Vh201:4n8:~fc4=8391=7=tL2d;>4}O9o80q)=ma;ga?lg?2900c?7i:188md2=831i;7>53;294~"4jh0n;6F=9r.:?;4;db9~m=e=831bm54?::m1=c<722wxm94?:3y]e1=:?32h7p}=7283>7}:?382j6P=9g9~w7132909wSo7;<59e==zukl:6=4;:386!5ei3l:7dkl:188m4`62900e?7;:188k`b=831ij=4?:283>5}#;kk1985G3g48m44d2900e<5<7s-9im7<8e:J0b3=n9;i1<75f13f94?=h:>k1<75rsda94?4|Vli01k>513a8yv7a93:1>vP>f09>b5<6:m1v?7;:181[4><27nj7?=d:paa<72;qUii52eg813d=z{lo1<7o6mm0;66gjc;29?l4><3:17d?i1;29?l7bm3:17d?jf;29?l7a83:17bh<:188fcd=8391<7>t$2`b>00<@:l=7d?=c;29?l75l3:17b<8a;29?xda=3:1?7>50z&0fd<2>2B8j;5f13a94?=n9;n1<75`26c94?=zjo=1<7=50;2x 6df2<<0D>h9;h31g?6=3`;9h7>5;n04e?6=3thm57>55;294~"4jh0>h6Fo6:j0;66g>2e83>>o6:l0;66g>2g83>>i5?h0;66s|1df94?4|V8oo70hm:00g?xubk3:18vPjc:?ef?75k27m97?=c:?e=?75l2wx>4:50;1xZ7?334l>6<44c3ty:j<4?:3y]5c7<5o=1=?m4}r3fa?6=:rT:ih52f8826`=z{8om6=4={_3fb>;a13;9j6s|1g294?4|V8l;70h6:00`?xua;3:1>vPi3:?ee?40i2wxj94?:3y>bg<5?h16jl4>2b9~wc3=838p1k;526c89cg=9;n0q~h9:1818`02;=j70hn:00f?xua03:1>v3i9;04e>;ai3;9j6srb3:g>5<4290;w)=ma;75?M5a>2c:>n4?::k26a<722e9;l4?::a6=`=8391<7>t$2`b>03<@:l=7d?=c;29?l75l3:17b<8a;29?xd5180;6>4?:1y'7gg==?1C?k84i00`>5<5<53;294~"4jh0>;6Fbf2b9>6=`=9;n01?7>:00g?84>;3;9h6s|1df94?4|V8oo70hl:0gg?xu6ml0;6?uQ1dg89ce=9lo0q~?jf;296~X6mo16jn4>eg9~w4`72909wS?i0:?eg?7a82wx=k?50;1xZ4`634l:6;4`63tyj:7>53z\b2>;bk3k?70h=:`68yv4?k3:1>vP=8b9>6=b=:>k0q~<7e;296~X50l16>5h526c8yv4>83:1>vP=919>6<7=:>k0q~<62;296~X51;16>4=526c8yv4><3:14vP=959>b7<51o16j<4=959>bf<51=16>5j513f897>a288h70<61;31g>;51:0:>n5rsdf94?4|5li1m552f08f`>{tn:0;6?u2f38b<>;ak3l87ps|a583>7}Yi=16=?:5a79~w7>d2909wS<7c:?261<50j1v?6j:181[4?m27:>94=8d9~w7?72909wS<60:?261<5191v?7=:181[4>:27:>94=939~w7?32909wS<64:?261<51=1v94>ee9~w4cb2909wS?je:?261<6ml1v94>eg9~w4`72909wS?i0:?261<6n91v:181[7a927:>94>f09~yg73?3:1?7?53zN0b=<6sA;m>6sC3g;95~"3m90?7p*>of<3:17o950;194?6|,:hj6h94H2d5?I5a03;p(<=9:5f`?xo?k3:17do7:188k7?a2900q~o;:181[g334=14n5rs350>5<5s4=1>4h4^3;e?xu5?=0;6?uQa99>3?g?3twi=;k50;195?5|D:l36N4n?1b4n4?::kb5;|qb0?6=:rTj8638:9a8yv40;3:1>v38:3;e?[4>n2wx>::50;0xZd><5>0j46srb0c0>5<22;0>wA=i8;3xL4`53tF8j44>{%6f4?25;hc7>5<>d0290>6=4?{%1ae?c>3A9m:6g6c;29?l?b2900e5m50;9je=<722e95k4?::a7c1=83;1<7>t$2`b>44f3A9m:6a>2883>>{ti=0;6?uQa59>3?>d3tyj97>52z\b1>;020i0q~<83;296~;4n>0:>4527;;f?xu5?=0;6?u27;0:b>X51o1v?9::181[g?34=1m55r}c057?6=<3818vBoi7:<7:&7`351:&7`d<4091e8i<51:'7gg=:9:0el:50;9je=<722e95k4?::k0<5<722h<6=4<:080I5a03;pD5;c594?5=83:p(>ln:d58L6`13`2h6=44i`:94?=h:0l1<75rs`694?4|Vh>01:47c:p625=838p1:4=9g9]6<`52z\b<>;02h20qpl;1883>7<729q/?oo51258L6`13`;9n7>5;n04e?6=3tyj87>52z\b0>;02h>0q~<6f;296~X51o16;7<6f:p7=6=838pR>6?;<62=?75j2wx8<650;0x920(9jj:514?!2c>3k37):k8;0:b>"3l=0j86`;d182?!2ci393<6`;d383?x"4jh09<<5fa583>>of03:17b<6f;29?l5?83:17o950;195?5|D:l36n3:17do;:188f2<72:0;6=u+3cc9a2=O;o<0e5m50;9je=<722e95k4?::pe1<72;qUm9527;:`?xu5?:0;6?u27;0:b>X51o1v?9;:181[g?34=1m55r}rc7>5<5sWk?7095a59~w7?a2909wS<6f:?4>7?a3ty84=4?:3y]7=6<5>0j46srb4694?3=:3?p@>h7:0yK5c41=#94$5f5>d><,=n36?7i;%6g0?g33g>o<7?4$5f6>d37653`k?6=44i`794?=ni10;66a=9g83>>o4j=0;66l8:186>7<2sE9m47?tH0d1?x"4jh0:jo5fa983>>i51o0;66gn4;29?lg22900e4k50;9a3?6==3:1N4n?1b5n4?::k:a?6=3`2h6=44i`:94?=h:0l1<75rb2d4>5<6290;w)=ma;31e>N4n?1d=?750;9~wd2=838pRl:4=68;g>{ti<0;6?uQa49>3??d3ty9;>4?:3y>7c1=9;301:46e:p622=838p1:4=9g9]6<`52z\b<>;02h20qpl;3183>6<729q/?oo526g8L6`13`;9o7>5;h31`?6=3f85;|qb0?6=:rTj8638:`68yvg22909wSo:;<604?75l2wx>4h50;0xZ7?a34=1>4h4}r1a0?6=;rT8n9527;c;?82483;9o6s|43d94?4|5>0j963;31813d=zuk;3:7>55;091~J4n10:wE?i2:O7c?=9r.?i=4;;|&0fd<6no1bm54?::m1=c<722cj87>5;hc6>5<d2900el650;9l6<`=831vn>h8:182>5<7s-9im7?=a:J0b3=h9;31<75rs`694?4|Vh>01:47c:pe0<72;qUm8527;;`?xu5?:0;6?u23g5957?<5>02i6s|26694?4|5>095k5Q28d8yv40=3:1>vPn8:?4>d>4?:481>0}K;o21=vF>f39~H6`>28q/8h>54:'7gg=9ol0el650;9l6<`=831bm94?::kb1?6=3`3n6=44b683>0<729q/?oo5e89K7c0>o?k3:17do7:188k7?a2900qo=i7;295?6=8r.8nl4>2`9K7c05<5sWk?70958b9~wd3=838pRl;4=68:g>{t:>91<744>34=15h5rs357>5<5s4=1>4h4^3;e?xu5?<0;6?uQa99>3?g?3twi=4?50;195?5|D:l36N4n?1b4n4?::kb5;|qb0?6=:rTj8638:9a8yv40;3:1>v38:3;e?[4>n2wx>::50;0xZd><5>0j46srb0f4>5<42808wA=i8;3xL4`53tF8j44>{%6f4?25;hc7>5<0;6>4?:1y'7gg=m>1C?k84i9a94?=ni10;66a=9g83>>{ti=0;6?uQa59>3?>d3ty9;>4?:3y>3?4>n2T95k5rs357>5<5sWk37095a99~yg71n3:1?7?53zN0b=<6sA;m>6sC3g;95~"3m90?7p*>of<3:17o950;194?6|,:hj6h94H2d5?l>d2900el650;9l6<`=831vl:50;0xZd2<5>03o6s|26194?4|5>095k5Q28d8yv40<3:1>vPn8:?4>d>6}K;o21=vF>f39~H6`>28q/8h>54:'7gg=9oi0el650;9l6<`=831bm94?::`4>5<4290;w)=ma;g4?M5a>2c3o7>5;hc;>5<5<5sWk?70958b9~w7142909w09528d8Z7?a3ty9;94?:3y]e==:?3k37psm4c83>6<62:qG?k651zJ2b7=zD:l26{#;kk1=km4i`:94?=h:0l1<75fa583>>d029086=4?{%1ae?c03A9m:6g7c;29?lg?2900c?7i:188yvg32909wSo;;<597}Yi116;7o7;|a7=4=83?1>7;tL2d;>4}O9o80qA=i9;cx 1c72=1/8ik54258 1b12h20(9j7:3;e?!2c<3k?7c:k0;38 1b22h?0b9j>:09'0ag=;1:0b9j=:19~ 6df2;:87do;:188md3=831bm54?::m1=c<722c84=4?::`4>5<22;0>wA=i8;3xL4`53t.8nl4>fc9je=<722e95k4?::kb0?6=3`k>6=44i8g94?=e?3:197>50z&0fd50z&0fd<6:h1C?k84o00:>5<02o6s|26194?4|5:l<6<<6;<59=`=z{;=?6=4={<596<`7}Yi116;7o7;|a07c=8391<7>t$2`b>71a3A9m:6g>2b83>>o6:m0;66a=7`83>>{ti=0;6?uQa59>3?g33tyj97>52z\b1>;3:l0:>i5rs3;e>5<5sW82j638:3;e?xu4090;6>uQ392892h4>2b9~w14c2909w095a49>07c=:>k0qpl>8`83>0<52"3ll0??:5+4e49e==#4h4$5f7>d21<75fa483>>of03:17b<6f;29?l5?83:17o950;796?3|D:l36n3:17do;:188md3=831b5h4?::`4>5<2290;w)=ma;g:?M5a>2c2o7>5;h;f>5<>i51o0;66sm3g594?7=83:p(>ln:00b?M5a>2e:>44?::pe1<72;qUm9527;:`?xuf=3:1>vPn5:?4>52z?0b2<6:016;77j;|q131<72;q6;7<6f:\1=c=z{;=>6=4={_c;?81=i11vqo:=e;297?6=8r.8nl4=7g9K7c05<5<5sWk?7095a59~wd3=838pRl;4=50f>44c3ty95k4?:3y]6<`<5>095k5rs2:3>5<4sW93<638:`:8914b288h7p};2e83>7}:?3k>70:=e;04e>{zj82n6=4;:386I5a03;pDn<7:4$5ff>1503->o:7o7;%6gn2.?h94n4:l7`5<63->on7=m4:l7`6<63t.8nl4=059je1<722cj47>5;n0:b?6=3`9i87>5;c594?5=939p@>h7:0yK5c45;hc7>5<0;6>4?:1y'7gg=m>1C?k84i9a94?=ni10;66a=9g83>>{ti=0;6?uQa59>3?>d3ty9;>4?:3y>3?4>n2T95k5rs357>5<5sWk37095a99~yg25m3:1?7>50z&0fd<5?l1C?k84i00`>5<5<<5=8n6<4h4=681=c=z{:h?6=4={_1a0>;3:l0:>n5rs50g>5<5s4=1m55243g962g3}K;o21=vF>f39~H6`>2hq/8h>54:&7``<3;>1/8i85a99'0a>=:0l0(9j;:`68j1b7281/8i;5a49m0a7=92.?ho45;h1a0?6=3k=1<7;52;7xH6`?28qC=k<4}%1ae?7aj2cj47>5;n0:b?6=3`k?6=44i`794?=n1l0;66l8:186>5<7s-9im7k6;I1e2>o>k3:17d7j:188m=e=831bm54?::m1=c<722wi?k950;394?6|,:hj6<i6:00;66s|a583>7}Yi=16;76l;|qb1?6=:rTj9638:8a8yv40;3:1>v37}:?382j6P=9g9~w7122909wSo7;<59e==zuk>9i7>52;294~"4jh0:?:5G3g48m44e2900c?9n:188yg2483:1?7>50z&0fd<5?o1C?k84i00`>5<5<4h4=681=c=z{:h?6=4<{_1a0>;3:l0:>o52422957e9j7>52z?4>d3<5=9;6?9n;|q76a<72;q6;7o7;<61a?40i2wvn6<>s-9im7?n8:m2e1<722c347>5;h37`?6=3`??6=44i2:1>5<5<6=4::183!5ei3?i7E=i6:k26f<722c:>i4?::k26`<722c:>k4?::m13d<722wi=l850;194?6|,:hj6894H2d5?l75k3:17d?=d;29?j40i3:17pl>a683>6<729q/?oo5549K7c05<o70?n5;31g>{t==0;6?uQ559>5d0=9;i0q~=72;296~X40;16=l8513f8yv7?l3:1>vP>8e9>5d3=9;o0q~?n9;296~X6i016=l;513d8yv7f=3:1>v3>a4813d=:9h=1=?m4}r3b2?6=:r7:m;4=7`9>5d1=9;n0qpl>9g83>d<028:p(>ln:0;e?j7><3:17d=m9;29?l332900e:188m6d02900e<:8:188f4?b29086=4?{%1ae?323A9m:6g>2b83>>o6:m0;66a=7`83>>{e90<1<7:50;2x 6df2<30D>h9;h31g?6=3`;9h7>5;h31a?6=3f85;|`2=2<72:0;6=u+3cc962c<@:l=7d?=c;29?l75l3:17b<8a;29?xd6110;6>4?:1y'7gg==<1C?k84i00`>5<5<53;294~"4jh0>96F5<7s-9im7;6;I1e2>o6:j0;66g>2e83>>o6:l0;66a=7`83>>{e90n1<7:50;2x 6df2;2:7E=i6:k26f<722c:>i4?::k26`<722e9;l4?::p5<2=838pR<7;;<3:`?40i2wx?o750;0xZ6d>34;247?=c:p11<72:qU995218g957e<583=6<44c3ty:5?4?:3y]5<4<583=6<44b3ty8n;4?:3y]7g0<583j6<44c34;2o7?=d:p7g1=838pR>l8;<3:g?75m2wx=9950;0xZ42034;2o7?=c:p5<3=838p1<7j:35b?87>?3;9o6s|18494?4|583=6?9n;<3:3?75l2wx>:=50;0x94?02;=j70?68;31`>{t:>>1<771f34;2h7?=c:p5l3;9h6s|18`94?4|583h6?9n;<3:`?75m2wvn2<68r.8nl4>e29l5a>=831b?o750;9j11<722c:m>4?::k2=7<722c?oh4?::k0f3<722c:h:4?::k0f2<722c:8:4?::`2a7<72:0;6=u+3cc913=O;o<0e<50z&0fd<2i2B8j;5f13a94?=n9;n1<75f13g94?=h:>k1<75rb0fa>5<4290;w)=ma;04a>N4n?1b=?m50;9j57b=831d>:o50;9~f4bd29086=4?{%1ae?323A9m:6g>2b83>>o6:m0;66a=7`83>>{e9mo1<7=50;2x 6df2h9;h31g?6=3`;9h7>5;n04e?6=3th:i=4?:583>5}#;kk1945G3g48m44d2900e<50z&0fd<5081C?k84i00`>5<5<52z\0f<=:9mi1=?m4}r77>5<4sW??70?j2;31`>;6lh0:>i5rs0c0>5<5sW;j?63>e3826f=z{8396=4={_3:6>;6lh0:>h5rs5af>5<5sW>hi63>d`826f=z{:h=6=4={_1a2>;6ll0:>n5rs0f4>5<4sW;o;63>dd826a=:9l:1=?j4}r1a3?6=:rT8n:521d2957c52z\202=:9l:1=?m4}r3g=?6=:r7:i?4=7`9>5ad=9;i0q~?ka;296~;6lh09;l521e`957b52z?2`g<5?h16=im513f8yv40<3:1>v3>db813d=:9l;1=?m4}r3g`?6=:r7:hh4=7`9>5`7=9;n0q~?kf;296~;6m909;l521d3957c4?:88g>43|,:hj6<6<;n344?6=3`9i57>5;h77>5<5<5<5<7E=i6:k26f<722c:>i4?::m13d<722wi=:950;194?6|,:hj6884H2d5?l75k3:17d?=d;29?j40i3:17pl>7883>6<729q/?oo5579K7c05<50z&0fd<2>2B8j;5f13a94?=n9;n1<75`26c94?=zj8=h6=4<:183!5ei385<7s-9im7;:;I1e2>o6:j0;66g>2e83>>i5?h0;66sm16d94?5=83:p(>ln:478L6`13`;9o7>5;h31`?6=3f85;|`2<4<72:0;6=u+3cc912=O;o<0e<50z&0fd<5?l1C?k84i00`>5<5<7>53;294~"4jh0>96F5<7s-9im7;9;I1e2>o6:j0;66g>2e83>>i5?h0;66sm16794?2=83:p(>ln:3:2?M5a>2c:>n4?::k26a<722c:>h4?::m13d<722wx=:>50;0xZ41734;<97<8a:p7g?=838pR>l6;<34`?75k2wx994?:4y]11=:9><1=?m4=05a>44d34;27:;;4>2e9>52`=9;n0q~?62;297~X61;16=:9513f8941>288h7p};7b83>6}Y<>i01<98:00`?87?93;9h6s|17d94?5|V8;6?=0:>i5rs2`5>5<5sW9i:63>73826f=z{82?6=4={_3;0>;6?=0:>n5rs052>5<5s4;<:7<8a:?23f<6:j1v<9<:181870?387`826f=z{8=36=4={<34=?40i27:;l4>2e9~w7142909w0?8a;04e>;6?k0:>i5rs357>5<5s4;7e826a=z{;==6=4={<34`?40i27:;84>2b9~w41b2909w0?8f;04e>;60;0:>n5rs0:3>5<5s4;3=7<8a:?2<7<6:m1v?98:18187?:3873826a=z{;=36=4={<346?40i27:;84>2e9~w4132909w0?84;04e>;6?<0:>h5r}c3g6?6=13n1=8u+3cc95a45<1<75f1e194?=n9081<75f4`a94?=n9ko1<75f3c494?=n91>1<75m1b794?5=83:p(>ln:478L6`13`;9o7>5;h31`?6=3f85;|`2g3<72:0;6=u+3cc913=O;o<0e<50z&0fd<2>2B8j;5f13a94?=n9;n1<75`26c94?=zj8i26=4<:183!5ei385<7s-9im7;9;I1e2>o6:j0;66g>2e83>>i5?h0;66sm1b`94?5=83:p(>ln:35f?M5a>2c:>n4?::k26a<722e9;l4?::a5fe=8391<7>t$2`b>03<@:l=7d?=c;29?l75l3:17b<8a;29?xd6kl0;6>4?:1y'7gg==<1C?k84i00`>5<5<53;294~"4jh0>;6F:180>5<7s-9im7<8e:J0b3=n9;i1<75f13f94?=h:>k1<75rb0a2>5<4290;w)=ma;76?M5a>2c:>n4?::k26a<722e9;l4?::a5f5=8391<7>t$2`b>00<@:l=7d?=c;29?l75l3:17b<8a;29?xd6k=0;694?:1y'7gg=:1;0D>h9;h31g?6=3`;9h7>5;h31a?6=3f85;|q2fc<72;qU=oh4=0a7>71f3ty8n44?:3y]7g?<58ih6<863>c4826f=:9jk1=?m4=0af>44d34;o<7?=c:p5a5=839pR2e9~w4?52908wS?62:?2g3<6:m16=n6513a8yv2fk3:1?vP;ab9>5f0=9;i01uQ1cg894e?288o70?l3;31`>{t;k<1<7{t9j:1<771f34;hn7?=c:p5f4=838p1:=50;0x94e>2;=j70?la;31`>{t:>>1<771f34;hn7?=d:p623=838p1{t9jl1<771f34;o=7?=d:p621=838p1:35b?87d93;9h6s|26:94?4|58i:6?9n;<3`0?75l2wx=n=50;0x94e42;=j70?l4;31a>{zj==:6=4=:183!5ei3;8;6F:o50;9~f6>3290?6=4?{%1ae?3>3A9m:6g>2b83>>o6:m0;66g>2d83>>i5?h0;66sm19a94?2=83:p(>ln:4;8L6`13`;9o7>5;h31`?6=3`;9i7>5;n04e?6=3th:5=4?:283>5}#;kk19:5G3g48m44d2900e<k1<75rb553>5<2290;w)=ma;7g?M5a>2c:>n4?::k26a<722c:>h4?::k26c<722e9;l4?::a5dg=83>1<7>t$2`b>7>63A9m:6g>2b83>>o6:m0;66g>2d83>>i5?h0;66sm18194?2=83:p(>ln:4;8L6`13`;9o7>5;h31`?6=3`;9i7>5;n04e?6=3th:484?:283>5}#;kk1>:k4H2d5?l75k3:17d?=d;29?j40i3:17pl<8783>6<729q/?oo526g8L6`13`;9o7>5;h31`?6=3f85;|`120<72;0;6=u+3cc9561<@:l=7d?=b;29?j40i3:17pl>8983>7<729q/?oo51258L6`13`;9n7>5;n04e?6=3th:h84?:383>5}#;kk1=>94H2d5?l75j3:17b<8a;29?xu>l3:1=>uQ9e9>7g3=i=16=995a59>53c=i=16=l=5a59>635=i=16m>4n4:?60?g334;3:7o;;<3g7?g334;2=7o;;<3g3?g334;=j7o;;<3aa?g334>i6l:4=2:1>d2<582j6l:4=0:f>d2<5=?:6l:4}r3754z\244d3493:7?=c:p56>=838pR<=7;<373?g?3ty::i4?:3y]53b<58?>7>52z\707=:<>:1=?m4}r:;>5<0sW2370=m5;3fa>;40;084=5219c97=6<5=?:6>l;;<3b?34;2?7?=e:p5<7=839pR<7>;<3:5?4>n27:5k4>909~w11d2908wS:8c:?2<6<3?j16=56513`8yv73l3:1:vP>4e9>7g3=9ll011eb34><<7?=f:p0de=839pR9ol;<3g6?2fk27:h84>2c9~w40a2908wS?9f:?22c<51o16=5=517d8yv7c?3:1?vP>d69>5a1=:0l017}Y:35b?xu60h0;6?uQ19c894>f2;3m7p}>bd83>6}Y9ko017}Y4cc34??6?7i;<3b:181[22927?9<4=9g9~w6d?2909w0=m5;0:4>;6ih0:>h5rs0f;>5<5s4;o;7o7;<3f7?7c02wx?o750;5x96d22;3970?6f;1a=>;6m:08n45219197g?<58n96>l6;<3;1?75k2784;4>2e9~w10a2909w0:81;31f>;3?909;l5rs0`e>5<5s4;ii7o7;<3g6?7en2wx=l:50;1x94g42h?016n27:m54<839>5=e=9;o019;<:00`?87>;3;9o6s|39194?4|5:296l;4=2:7>71f3ty:8:4?:5y>7g3=9o;01<:8:3;e?87>n3;?;63>e28202=z{83?6=4={<3:5?g?34;2j7?64:p5=`=838p1<6j:`:894?72;=j7p};2b83>7}:;k?1>4:4=5`9e==z{h91<7=t=`196<`<5<>1m85219g97g252z?126<40916>;;526c8yv7??3:1>v3>878b<>;60109;l5rs0c:>5<5s4;j47?n9:?2ed<5?h1v9g82e6=:9l91=l=4}r057?6==r79:>4=9g9>11<4j=16=585a49>5a5=i<16=4>513a8yv7?>3:1>v3>8781=c=:9191=584}r344?6=:r7::k4n8:?2<6<6?91v<7=:18687>n3;2>63>e282=7=:9191=4<4=0f1>4?534;2?7<8a:p5=2=839p1<6<:0:7?87c:3;3863>84813d=z{=?96=4={<665?g234>>?7<8a:p53c=838p1<8j:3;e?87?k3;9h6s|19`94?4|582j6l;4=0:`>71f3ty:h94?:3y>5a5=i116=i;526c8yv7c;3:1>v3>d281=c=:9m81=i=4}r1;1?6=:r78494>2e9>7=0=:>k0q~=m6;29<~;4j<094n52a280<5=:90l1?o84=0g0>6d134;3?7=m6:?2`7<4j?16=lo513a894>2288o7p}1}:;k?1>5k4=0;e>6d034;n?7=m7:?2ed<6:m1vqo:n<784}%1ae?73j2P8;44>{3:9yj7303:17d:l7;29?j23?3:17d:94;29?j7403:17dmn:188m1g52900c<8n:188m<6=831b=4?50;9j5;n6bg?6=3`;o:7>5;h3g3?6=3`>><7>5;n65f?6=3f><>7>5;n6a>5<5{%6f4?55;n0;a?6=3f82<7>5;n0:6?6=3f8287>5;n0:2?6=3f82;7>5;h3f`?6=3`;ni7>5;h3fb?6=3`;m<7>5;h3e5?6=3k;987>5d;`954}K;o21=vF>f39~ 6df28ki7d?jd;29?l7bm3:17d?jf;29?l7a83:17d?i1;29?lg12900c?6l:188k7>b2900c?7?:188k7?52900c?7;:188k7?12900c?78:188f`e=8391=7=tL2d;>4}O9o80q)=ma;ga?lg?2900c?7i:188md2=831i;7>53;294~"4jh0n;6F=9r.:?;4;db9~m=e=831bm54?::m1=c<722wxm94?:3y]e1=:?32h7p}=7283>7}:?382j6P=9g9~w7132909wSo7;<59e==zukl96=4<:080I5a03;pD5;n0:b?6=3`k?6=44b683>6<729q/?oo5e69K7c001:47c:p625=838p1:4=9g9]6<`52z\b<>;02h20qplid;297?7=;rF8j54>{I3e6>{#;kk1io5fa983>>i51o0;66gn4;29?g1=8391<7>t$2`b>`1<@:l=7A=i8;3x 4512=nh7pg7c;29?lg?2900c?7i:188yvg32909wSo;;<597}Yi116;7o7;|a552=8391=7=tL2d;>4}O9o80q)=ma;ga?lg?2900c?7i:188md2=831i;7>53;294~"4jh0n;6F=9r.:?;4;db9~m=e=831bm54?::m1=c<722wxm94?:3y]e1=:?32h7p}=7283>7}:?382j6P=9g9~w7132909wSo7;<59e==zuk;;m7>53;397~J4n10:wE?i2:'7gg=mk1bm54?::m1=c<722cj87>5;c594?5=83:p(>ln:d58L6`13E9m47?t$015>1bd3tc3o7>5;hc;>5<5<5sWk?70958b9~w7142909w09528d8Z7?a3ty9;94?:3y]e==:?3k37psm11`94?5=939p@>h7:0yK5c45<50z&0fd>{ti=0;6?uQa59>3?>d3ty9;>4?:3y>3?4>n2T95k5rs357>5<5sWk37095a99~yg76;3:1?7?53zN0b=<6sA;m>6s+3cc9ag=ni10;66a=9g83>>of<3:17o950;194?6|,:hj6h94H2d5?I5a03;p(<=9:5fe?xo?k3:17do7:188k7?a2900q~o;:181[g334=14n5rs350>5<5s4=1>4h4^3;e?xu5?=0;6?uQa99>3?g?3twijn4?:480>2}#;kk1jn5f28694?=n9lo1<75f1g294?=n9o;1<75`f283>>da03:187>50z&0fd<212B8j;5f13a94?=n9;n1<75f13g94?=h:>k1<75rbg794?2=83:p(>ln:4;8L6`13`;9o7>5;h31`?6=3`;9i7>5;n04e?6=3thm;7>53;294~"4jh09;h5G3g48m44d2900e<2d9>b0<6:l1v50;0xZ4`734l36<{tn<0;6?u2f4813d=:n>0:>i5r}c337?6=>3914v*1<75f1g294?=n9o;1<75f1dg94?=n:081<75`fd83>>d68;0;694?:1y'7gg==h1C?k84i00`>5<5<N4n?1b=?m50;9j57b=831d>:o50;9~f466290?6=4?{%1ae?4?92B8j;5f13a94?=n9;n1<75f13g94?=h:>k1<75rs3;7>5<4sW82863>03826`=:99:1=?m4}r3e4?6=:rT:j=52110957b52z\2b4=:9981=?m4}r3fa?6=:rT:ih52112957b7>52z\1=7=:99;1=?k4}rdf>5<5sWln70??1;04e>{tno0;6?u2110962g<58::6<526c89466288o7psm10`94?0=<33p(>ln:03a?l4?k3:17d?jf;29?l7bl3:17d<67;29?l7bm3:17b?>4;29?g76i3:1?7>50z&0fd<2>2B8j;5f13a94?=n9;n1<75`26c94?=zj8;=6=4<:183!5ei3?=7E=i6:k26f<722c:>i4?::m13d<722wi=<650;194?6|,:hj68;4H2d5?l75k3:17d?=d;29?j40i3:17pl>1883>0<729q/?oo52918L6`13`;9o7>5;h31`?6=3`;9i7>5;h31b?6=3f85;|q15m4=03b>44c34;::7?=d:?25=<6:m1v2b9~w4cc2909wS?jd:?253<6:j1v?78:181[4>?27:=44>2d9~w4cb2909wS?je:?25=<6:j1va;04e>;6900:>n5rs035>5<5s4;::7<8a:?25<<6:m1v18826c=zuk;:>7>55;294~"4jh0>o6Fee9>544=9;o0q~?je;291~X6ml16jn4>ed9>555=9lo01b;3fb>;69;0:>k5rs0d3>5<4sW;m<63ic;3e4>;68:0:j=5rs0d2>5<4sW;m=63ic;3e5>;68:0:j<5rs`494?>|Vh<01hm5a59>b75m4=030>7?a34;:n7<7c:?257<6:m1v?6j:180[4?m27:827:<94n8:?24d<51o1v?7=:180[4>:27:<94=9g9>555=:080q~<64;290~X51=16ji4=9g9>bf<51=16===52868yv4>>3:1?vP=979>af7<6f:p6<1=839pR?78;7?a34;:n7<67:pb6<72;q6j?4n8:?eg?`43tymi7>52z?e`?g?34;;?7hj;|q24f<72;q6==l5a99>544=:>k0q~?>4;296~;69:0j463>1c8251=zuzk?6=4={_c7?875<3k=7p}=8b83>7}Y:1i01<<;:3:`?xu50l0;6?uQ29g894432;2n7p}=9183>7}Y:0:01<<;:3;3?xu51;0;6?uQ280894432;397p}=9583>7}Y:0>01<<;:3;7?xu51?0;6?uQ284894432;3=7p}=9683>7}Y:0=01<<;:3;4?xu6mm0;6?uQ1df8944328oo7p}>ed83>7}Y9lo01<<;:0gf?xu6mo0;6?uQ1dd8944328om7p}>f183>7}Y9o:01<<;:0d3?xu6n80;6?uQ1g38944328l:7psm9083>6<62:qG?k651zJ2b7=zD:l26{#;kk1=km4i`:94?=h:0l1<75fa583>>d029086=4?{%1ae?c03A9m:6g7c;29?lg?2900c?7i:188yvg32909wSo;;<597}Yi116;7o7;|a5d6=8391=7=tL2d;>4}O9o80qA=i9;3x 1c72:1v(>ln:0d`?lg?2900c?7i:188md2=831i;7>53;294~"4jh0n;6F5;n0:b?6=3tyj87>52z\b0>;021i0q~<83;296~;02;3m7S<6f:p622=838pRl64=68b<>{zj8o?6=4<:080I5a03;pDn<7=4}%1ae?7ak2cj47>5;n0:b?6=3`k?6=44b683>6<729q/?oo5e69K7c0>i51o0;66s|a583>7}Yi=16;76l;|q136<72;q6;7<6f:\1=c=z{;=?6=4={_c;?81=i11vqo=l6;297?4={I3e6>{K;o31=v*;e180?x"4jh09>=5fa983>>i51o0;66gn4;29?g1=8391<7>t$2`b>`1<@:l=7d6l:188md>=831d>4h50;9~fd1=8381<7>t$2`b>4503A9m:6g>2c83>>i5?h0;66s|a583>7}Yi=16m:4>2c9~w7142909w0958b9>e2<5?h1v?9;:18181=:0l0R?7i;|q130<72;qUm5527;c;?x{em;0;6>4=:5yO7c>=9rB:j?5rL2d:>4}#5<7s-9im7k8;I1e2>o?k3:17do7:188k7?a2900qoo8:181>5<7s-9im7?<7:J0b3=n9;h1<75`26c94?=z{h>1<7v38:3;e?[4>n2wx>:;50;0xZd><5>0j46srbbf94?1=:3hp@>h7:0yK5c46=#;4$51;>1c53->o47<6f:&7`1;|&0fd<6n11Q4o4>{3`9y_50138p>i4<1;j7=7=831bm94?::k0<5<722e9m84?:%0:`?4f<2d95n4?;:m1e6<72-82h7oi7:<7:&7`350:&7`d<4091e8i<51:'7gg=:9<0V5l52z0a>7?=uS9<57>o4090;66a=a483>!4>l38j86`=9b83?>i5i:0;6)<6d;0b0>h51j0:76a=a383>!4>l38j86`=9b81?>i5i90;6)<6d;0b0>h51j0876gne;29 7?c2hn0b?7l:198mde=83.95i4nd:l1=f<632cjn7>5$3;g>dbd0290>6947{M1e8;6*;d78b<>"3l1095k5+4e69e1=i1<75fa983>>i51o0;66g>o4090;66l8:180>4<4sE9m47?tH0d1?x"4jh0:jo5fa983>>i51o0;66gn4;29?g1=8391<7>t$2`b>`1<@:l=7d6l:188md>=831d>4h50;9~wd2=838pRl:4=68;g>{t:>91<750z&0fd<5?l1C?k84i00`>5<5<{ti10;6?uQa99>065=9;n0q~<6f;296~X51o16;7<6f:p7g2=838pR>l;;<607?75k2wx?5>50;0xZ6>734>:57?=c:p4=7`9~w17?2909w095a99>04?=:>k0qpln:187>6<1sE9m47?tH0d1?xJ4n00"3l?0j46*;d981=c=#1m95a4e294>"3lh084=5a4e095>{#;kk1>=74i`694?=ni10;66a=9g83>>o4090;66l8:180>4<4sE9m47?tH0d1?x"4jh0:jo5fa983>>i51o0;66gn4;29?g1=8391<7>t$2`b>`1<@:l=7d6l:188md>=831d>4h50;9~wd2=838pRl:4=68;g>{t:>91<7t$2`b>4503A9m:6g>2c83>>i5?h0;66s|a583>7}Yi=1657?=b:pe=<72;qUm55240;957b52z\1=c=:?382j6s|39294?4|V:2;70:>9;31g>{t03:1>v38:`689<<5?h1v9?7:18181=i1168<7526c8yxd6=3:187=56zN0b=<6sA;m>6sC3g;93~"3ll0??:5+4e49e==#4h4$5f7>d276>3`k?6=44i`:94?=h:0l1<75f39294?=e?3:1?7?53zN0b=<6sA;m>6s+3cc95cd5<7s-9im7k8;I1e2>o?k3:17do7:188k7?a2900q~o;:181[g334=14n5rs350>5<5s4=1>4h4^3;e?xu5?=0;6?uQa99>3?g?3twi8<750;194?6|,:hj6884H2d5?l75k3:17d?=d;29?j40i3:17pl6:181>5<7s-9im7?<7:J0b3=n9;h1<75`26c94?=z{h>1<7<5=;26<4h4=681=c=z{:2;6=4={_1;4>;3900:>n5rs983>7}:?3k?707526c8yv2603:1>v38:`:8917>2;=j7psm2583>1<42?qG?k651zJ2b7=zD:l26:u+4eg9061<,=n=6l64$5f;>7?a3->o87o;;o6g4?6<,=nj6>6?;o6g6?75<6<62:qG?k651zJ2b7=z,:hj65<50z&0fd>of03:17b<6f;29?xuf<3:1>vPn4:?4>=e52z?4>7?a3W82j6s|26694?4|Vh201:4n8:~f17>29086=4?{%1ae?313A9m:6g>2b83>>o6:m0;66a=7`83>>{e13:1>7>50z&0fd<6;>1C?k84i00a>5<5<5sWk?707513`8yvg?2909wSo7;<62=?75l2wx>4h50;0xZ7?a34=1>4h4}r1;4?6=:rT84=5240;957e;>2;=j7p};1983>7}:?3k370:>9;04e>{zj;k<6=4<:183!5ei386>:180[5?927<6>l;;<0b3?75l2wxm94?:4y]e1=:?3k?70o5a59>504=680<5=::h=1=?m4}r0b2?6=6?;<36>6>7348?6>6?;<0b3?40i2wx>l;50;0xZ7g2348?6?7i;%14f?4f<2d8;l4?;|q1e6<72;qU>l=4=0796<`<,:=i6?o;;o14e?77>52z\1e7=:i382j6*<7c81e1=i;>k1>6s|2`294?4|V;k;709528d8 61e2;k?7c=8a;18yvgb2909wSoj;<07>d><,:=i6lj4n25b>5=z{hi1<734=1m55+36`9ea=i;>k1?6srb345>5o57=98:&7a6<03t.8nl4>f79Yl4rZ25:>7}5m38<6pa<7083>!4>l39<<6`=9b83?>i4>o0;6)<6d;144>h51j0:76a<6d83>!4>l39<<6`=9b81?>i4>00;6)<6d;144>h51j0876gma;29 7?c2k30b?7l:198mg>=83.95i4m9:l1=f<632ci;7>5$3;g>g?d5>h0;6>4>:2yO7c>=9rB:j?5r$2`b>7453`km6=44ic294?=h;?21<75m41094?5=;3?p(>ln:521?lga2900eo>50;9l73>=831i?kh50;194?6|,:hj6884H2d5?l75k3:17d?=d;29?j40i3:17pl;0183>6<729q/?oo5579K7c05<2b9~wg6=839pRo>4=2de>44d34>;<7?=d:p73>=838pR>87;<635?40i2wx?kk50;0x96`a2;=j70:?1;31g>{t;ol1<771f34>;=7?=d:~wd`=838pRlh4=521>d`7}Y;?2019>=:24;?x{e:?h1<7=51;1xH6`?28qC=k<4}%1ae?45:2cjj7>5;h`3>5<5<42:0>w)=ma;636>ofn3:17dl?:188k60?2900n>hi:180>5<7s-9im7;9;I1e2>o6:j0;66g>2e83>>i5?h0;66sm41294?5=83:p(>ln:448L6`13`;9o7>5;h31`?6=3f85;|`744<72:0;6=u+3cc962c<@:l=7d?=c;29?l75l3:17b<8a;29?xufn3:1?vPnf:?0bc<6:m168=>513a8yvd72908wSl?;<1eb?75k27?<=4>2e9~w60?2909wS=98:?744<5?h1v>hj:18185an382e9~yvga2909wSoi;<636?ga3tyi<7>52z\a4>;38;0i<6s|37:94?4|V:<370:?2;15<>{zj;5;c636?6=;3919v*k1<75rb523>5<4290;w)=ma;75?M5a>2c:>n4?::k26a<722e9;l4?::a057=8391<7>t$2`b>71b3A9m:6g>2b83>>o6:m0;66a=7`83>>{tio0;6>uQag9>7c`=9;n019>?:00`?xue83:1?vPm0:?0bc<6:j168=>513f8yv5103:1>vP<699>057=:>k0q~=ie;296~;4no09;l52413957e52z?745<5?h168=?513f8yxufn3:1>vPnf:?7475<5sW9=463;03802==zuk>:57>53;294~"4jh0>96F5<7s-9im7;:;I1e2>o6:j0;66g>2e83>>i5?h0;66sm36:94?4=83:p(>ln:014?M5a>2c:>o4?::m13d<722wx8<650;1x970e2hl019?6:35b?826j3;9h6s|40c94?4|5;71f3ty8;<4?:3y]727<5;
87;%14f?5082d8;l4?;|q02c<72;qU?;h4=34a>60?3-987;%14f?5082d8;l4=;|q02<<72;qU?;74=25;>71f3-952z\ae>;5>j0i<6*<7c8a=>h4?h0;7p}m8;297~Xe0279:o4m0:?75g<6:j1/?:l5b89m72g=92wxn:4?:2y]f2=::?k1n=5240;957e<,:=i6o74n25b>7=z{k81<7:t^c08970f2hl019?6:00g?85003;9n6*<7c8a=>h4?h087ps|39394?4|V:2:70953938yvg32909wSo;;<59e1=z{:2;6=4={_1;4>;02:2;7p}=a483>6}Y:h?01:4=a49>630=jh1/?:l52`68j61f291v?o<:180[4f;27<6?o<;<052?d?3-909m?522749f2=#;>h1>l:4n25b>7=z{;k;6=4<{_0b4>;02;k;70<96;`1?!50j38j86`<7`80?xu38h0;6?u27;cf?841>39<=6*<7c874<=i;>k1<6s|41:94?4|5>0jo63=67802c=#;>h18=74n25b>4=z{=:<6=4={<59eg=::?<1?;k4$25a>16>3g960>3-9ln:32b?lg32900el;50;9je=<722e95k4?::k0<5<722h<6=4::386I5a03;pD5;hc6>5<d2900el650;9l6<`=831vn>h8:182>5<7s-9im7?=a:J0b3=h9;31<75rs`694?4|Vh>01:47c:pe0<72;qUm8527;;`?xu5?:0;6?u23g5957?<5>02i6s|26694?4|5>095k5Q28d8yv40=3:1>vPn8:?4>d>5}#;kk1=>94H2d5?l75j3:17b<8a;29?xd3:l0;6>4?:1y'7gg=:>l0D>h9;h31g?6=3`;9h7>5;n04e?6=3tyj87>52z\b0>;02h>0q~o::181[g234>9i7?=c:p6<`=838pR?7i;<596<`53z\0<5=:<831=?l4=50f>44c3ty?=54?:3y>3?g?34>:57<8a:p07b=838p1:4n5:?76`<5?h1vqo?9a;290?4==rF8j54>{I3e6>{K;o31=v*;e180?x"4jh09>i51o0;66gn4;29?l1c2900n:4?:583>5}#;kk1i55G3g48m5;|`b3?6=:3:171f3ty52z\4`>;020o0q~<84;296~;02;3m7S<6f:p623=838pRl64=68b<>{zj8>36=4;:386I5a03;pDn<7=4}%1ae?47j2cj47>5;n0:b?6=3`k?6=44i6f94?=e?3:187>50z&0fd>o?k3:17do7:188k7?a2900qoo8:181>5<7s-9im7?<7:J0b3=n9;h1<75`26c94?=z{h>1<77}Yi116;7o7;|a5de=8391=7=tL2d;>4}O9o80qA=i9;3x 1c72:1v(>ln:0d`?lg?2900c?7i:188md2=831i;7>53;294~"4jh0n;6F5;n0:b?6=3tyj87>52z\b0>;021i0q~<83;296~;02;3m7S<6f:p622=838pRl64=68b<>{zj8936=4<:080I5a03;pDn<7=4}%1ae?7ak2cj47>5;n0:b?6=3`k?6=44b683>6<729q/?oo5e69K7c0>i51o0;66s|a583>7}Yi=16;76l;|q136<72;q6;7<6f:\1=c=z{;=?6=4={_c;?81=i11vqo:m:180>4<4sE9m47?tH0d1?xJ4n00:w):j0;18y!5ei3;mo6gn8;29?j4>n3:17do;:188f2<72:0;6=u+3cc9a2=O;o<0e5m50;9je=<722e95k4?::pe1<72;qUm9527;:`?xu5?:0;6?u27;0:b>X51o1v?9;:181[g?34=1m55r}c65f?6=;3818vBh9;h:`>5<09;l5rs357>5<5s4=1>4h4^3;e?xu5?<0;6?uQa99>3?g?3twio<4?:282>6}K;o21=vF>f39~H6`>28q/8h>53:'7gg=:9i0el650;9l6<`=831bm94?::`4>5<4290;w)=ma;g4?M5a>2F8j54>{%302?2ck2wb4n4?::kb5;|qb0?6=:rTj8638:9a8yv40;3:1>v38:3;e?[4>n2wx>::50;0xZd><5>0j46srb564>5<42;0?wA=i8;3xL4`53tF8j44>{%6f4?55;hc7>5<0;6>4?:1y'7gg=m>1C?k84i9a94?=ni10;66a=9g83>>{ei>0;6?4?:1y'7gg=9:=0D>h9;h31f?6=3f85;|qb0?6=:rTj863n7;31f>{t:>91<7;f?38095k5Q28d8yv40=3:1>vPn8:?4>d>1}K;o21=vF>f39~H6`>28q/8h>53:'7gg=:;:0el650;9l6<`=831bm94?::`4>5<4290;w)=ma;g4?M5a>2c3o7>5;hc;>5<5<5290;w)=ma;303>N4n?1b=?l50;9l62g=831vl:50;0xZd2<5h=1=?l4}r047?6=:r7<65m4=`5962g52z?4>7?a3W82j6s|26794?4|Vh201:4n8:~f1gd29086?4;{M1eof03:17b<6f;29?lg32900n:4?:283>5}#;kk1i:5G3g48m=e=831bm54?::m1=c<722wim:4?:383>5}#;kk1=>94H2d5?l75j3:17b<8a;29?xuf<3:1>vPn4:?b3?75j2wx>:=50;0x9252z\b<>;02h20qpl>b583>3<421q/?oo51c68k4ga2900e2b83>>o6:m0;66a=7`83>>{e9k;1<7=50;2x 6df2<<0D>h9;h31g?6=3`;9h7>5;n04e?6=3th:n?4?:583>5}#;kk1>5?4H2d5?l75k3:17d?=d;29?l75m3:17b<8a;29?xu6io0;6?uQ1`d894d52;=j7p}>b683>7}Y9k=01be83>7}Y9kn01ab83>7}Y9hi01:00g?xu6j90;6?u21c1962g<58h96<ln:067?j74l3:17d?m7;29?l7413:17d?m8;29?l7e13:17d?ma;29?l7ej3:17d?50z&0fd<2=2B8j;5f13a94?=n9;n1<75`26c94?=zj89m6=4<:183!5ei3?=7E=i6:k26f<722c:>i4?::m13d<722wi=9?50;194?6|,:hj6884H2d5?l75k3:17d?=d;29?j40i3:17pl>4383>2<729q/?oo52948L6`13`;9o7>5;h31`?6=3`;9i7>5;h31b?6=3`;8<7>5;h305?6=3f85;|q27a<72;qU=>j4=061>71f3ty:n:4?:3y]5g1<58>86<74=060>44c3ty:n54?:3y]5g><58>96<44b3ty:nl4?:3y]5gg<58>96<44c3ty:?o4?:3y]56d<589m6<44d3ty:?54?:3y]56><58>:6<3g83>7}:9:l1>:o4=061>4573ty:8=4?:3y>517=:>k01<:=:012?x{e=:0;644<:cy'7gg==:1d8h4?::k2f2<722c:?44?::k2f=<722c:n44?::k2fd<722c:no4?::k2f0<722c?n7>5;c71>5<4290;w)=ma;76?M5a>2c:>n4?::k26a<722e9;l4?::a15<72:0;6=u+3cc913=O;o<0e<2B8j;5f13a94?=n9;n1<75f13g94?=n9;l1<75f12294?=n9:;1<75`26c94?=z{=o1<7k0q~?m7;296~X6j>169?4>2b9~w45>2909wS?<9:?66?75l2wx=o650;0xZ4d?34?:6<52z\2fd=:=80:>k5rs0`a>5<5sW;in63:1;304>{t9k?1<7<7?=d:p0c<72;q69?4=7`9>14<6:j1v8>50;0x906=:>k018?51238yxd3?>0;6?4?:1y'7gg=9:=0D>h9;h31f?6=3f85;|`f4?6=;3:1N4n?1b=?m50;9j57b=831d>:o50;9~f4g529086=4?{%1ae?40m2B8j;5f13a94?=n9;n1<75`26c94?=zjm>1<7=50;2x 6df2<<0D>h9;h31g?6=3`;9h7>5;n04e?6=3tho:7>53;294~"4jh0>:6F5<7s-9im7;7;I1e2>o6:j0;66g>2e83>>o6:l0;66a=7`83>>{e9?i1<7:50;2x 6df2;297E=i6:k26f<722c:>i4?::k26`<722e9;l4?::a03b=8391<7>t$2`b>71b3A9m:6g>2b83>>o6:m0;66a=7`83>>{ek>0;6>4?:1y'7gg=:>o0D>h9;h31g?6=3`;9h7>5;n04e?6=3thoj7>55;294~"4jh0>i6Fk1<75rb5a7>5<2290;w)=ma;7g?M5a>2c:>n4?::k26a<722c:>h4?::k26c<722e9;l4?::a022=8391<7>t$2`b>00<@:l=7d?=c;29?l75l3:17b<8a;29?xd3?00;6>4?:1y'7gg=:>o0D>h9;h31g?6=3`;9h7>5;n04e?6=3th?;;4?:283>5}#;kk1985G3g48m44d2900e<5<7s-9im7<8e:J0b3=n9;i1<75f13f94?=h:>k1<75rb0cf>5<3290;w)=ma;7:?M5a>2c:>n4?::k26a<722c:>h4?::m13d<722wi=o850;:94?6|,:hj6?68;I1e2>o6:j0;66g>2e83>>o6:l0;66g>2g83>>o6;90;66g>3083>>o6;;0;66a=7`83>>{e9:k1<7=50;2x 6df2h9;h31g?6=3`;9h7>5;n04e?6=3th:8;4?:283>5}#;kk1>:k4H2d5?l75k3:17d?=d;29?j40i3:17pl>3b83>6<729q/?oo5579K7c05<5<3290;w)=ma;7:?M5a>2c:>n4?::k26a<722c:>h4?::m13d<722wio44?:483>5}#;kk1>5=4H2d5?l75k3:17d?=d;29?l75m3:17d?=f;29?j40i3:17pll5;297?6=8r.8nl4:5:J0b3=n9;i1<75f13f94?=h:>k1<75rb56:>5<3290;w)=ma;7:?M5a>2c:>n4?::k26a<722c:>h4?::m13d<722wi89j50;494?6|,:hj6?6:;I1e2>o6:j0;66g>2e83>>o6:l0;66g>2g83>>o6;90;66a=7`83>>{e<181<7:50;2x 6df2<30D>h9;h31g?6=3`;9h7>5;h31a?6=3f85;|`7<1<72:0;6=u+3cc913=O;o<0e<50z&0fd<50<1C?k84i00`>5<5<5<N4n?1b=?m50;9j57b=831d>:o50;9~f1d529086=4?{%1ae?313A9m:6g>2b83>>o6:m0;66a=7`83>>{e7E=i6:k26f<722c:>i4?::k26`<722c:>k4?::k275<722e9;l4?::a0g2=8391<7>t$2`b>03<@:l=7d?=c;29?l75l3:17b<8a;29?xd4k10;6?4?:1y'7gg=9:=0D>h9;h31f?6=3f85;|`7g3<72;0;6=u+3cc9561<@:l=7d?=b;29?j40i3:17pl>4`83>7<729q/?oo51258L6`13`;9n7>5;n04e?6=3th?8o4?:383>5}#;kk1=>94H2d5?l75j3:17b<8a;29?xd3010;6?4?:1y'7gg=9:=0D>h9;h31f?6=3f85;|`7f3<72;0;6=u+3cc9561<@:l=7d?=b;29?j40i3:17p}>4983>1}Y9=20147826a=z{=i<6=4={_6`3>;4k10:>o5rs564>5<3sW>?;63>6`84`>;6<10;6>j0:>i5rs01;>5<3sW;8463>3981=c=:9=>1=>64=5a1>44c3tyhm7>53z\`e>;b:3k370j9:00`?xu3i;0;6?uQ4`08940d288h7p}>6`83>1}Y9?k0147826f=z{0:1<76t^8289<7=i116?n85a59>a750101019l5a59>03d=i=16o<4n4:?7022b9~w2c=83?pR:k4=0ca>4ca34><:7?=c:?2e`<6:m16=>o513f8yv2fk3:1?vP;ab9>0de=:0l019l=:00`?xu6l?0;6?uQ1e4891d3288h7p}>d683>7}Y9m=01vP;519>51g=9;h0q~:9b;296~X3>k168;l528d8yv20:3:1>vP;739>021=:>k0q~:m:180[2e34>i6?7i;<70>1dih7>53z\7fa=:4h4=d2957e44c34nm6?9n;|q:5?6=?r7:mo4>ed9>=4<51o16=lk513a8945d288h70m<:00`?82313;9o63;83826f=z{8k;6=4<{<3b4?4>n27:m?4>2b9>0=4=9;n0q~m9:1818e62h201n9526c8yve?2909w0m6:35b?8e2288o7p}>6c83>7}:9?k1m55217a962g<47>52z?73<<5?h168:8513f8yv5d>3:1>v301b=9;i0q~:m7;296~;3j109;l524c6957b7?a34><57?=c:?`1?75k2wx8o?50;0x91d52;=j70:m8;31`>{t71f34>i:7?=b:pa7<72;q6i?4=9g9>`3<6:m1v<:::181873>383b826a=z{m91<71>:o4}rf6>5<4s4io6>6>;44d34n=6?9n;|qgf?6=:r7o87?=d:?gg?40i2wx89m50;0x912c2;=j70:;b;31f>{t6>734>h>7<8a:p51?=838p1<:7:`:8942f2;=j7p};8083>6}:<181>:o4=5:b>44d34>i47?=c:p0=5=838p196;:35b?82?i3;9h6s|49794?4|5=2=6?9n;<6;u21d696<`<58k96<44c3tyoh7>52z?f4?40i27::n4>2d9~w4g6290=w0?nb;3f`>;6i;09;l52466957e<58kn6<2e9~wf4=838p1n9513a89f5=:>k0q~m;:1818e0288o70m::35b?xu6io0;6?u21`a9e==:9k>1=lh4}r647?6=:r7?;94=7`9>02?=9;n0q~:85;296~;3?>0:>o52464962g54z?2f1<6j<16=9:51c78905=9k?010;6?u23b49e==:;j21>:o4}r30`?6=:r7:?54n8:?201<6;m1v9k50;0x91d=i1169>4;e:p`2<72:q6h54=7`9>`f<6:j168n8513`8yvb>2909w0jn:35b?8bd288o7p}>b683>=}:9hh1>5m4=0`7>4d034;?87?m7:?67?7e?27:n;4>2b9>g6<6:l16897513g891>5288n7p}>b983>=}:9hh1>5k4=067>4d?34?86b883>=}:9hh1>4>4=067>4d>34?86b`83><}:9hh1>4<4=067>4df34?862g9>g<<6:l1689j513d891>f288m70:m8;31b>{t9kh1<77t=0ca>7?334;?87?mb:?67?7ej27?:i4>2e9>5g0=9::01n7513d8912c289;70:7a;304>;3j10:?=5rs0``>5<5s4;jn7<66:?2f3<6;81vb582fa=:9k<1=><4}r67e?6=:r7?8:4n8:?70g<5?h1v9l::18182fk3k370:m6;04e>{td3<5=i=6?9n;|q7<<<72;q685o526c891>1288o7p};8683>7}:<>i1m55249:962g52z?2f1<6im16=lk526c8yv7fk3:1?v3>ab81=c=:9k>1=lm4=557>44c3ty:?44?:2y>512=9:3018=512;8945f2;=j7p}>3c83>7}:9=>1=>l4=01`>71f3ty?o>4?:3y>0f4=9;i019m;:35b?xuc:3:19v3ld;0b1>;cn3;9j63k8;31b>;ci3;9j63;c5826a=#;>h1h<5a36c94>{tl90;68u2ce81e6=:lo0:>h52d9826`=:lh0:>i524b6957`<,:=i6i?4n25b>4=z{jl1<7;t=bf96d4<5ml1=?j4=e:957b<5mk1=?m4=5a7>44d3-9;o14e?47g734nm6<44d34nj6<:50;g973<2=rF8j54>{I3e6>{K;o31>v`;e08;6>"3m90=7p*\4?00=w<<5d;3`>7`=::08<7s`37194?=n1m0;66g<8683>>i4=j0;66g78;29?j22>3:17dmn:188m6?a2900e99n:188k1g22900c:k50;9j0fc=831d>l650;9j6f5=831i=h;50;:95?>|D:l36d2900c?6j:188k7?72900c?7=:188k7?32900e<>i50j0;66a=8d83>>i5190;66a=9383>>i51=0;66ljc;297?7=;rF8j54>{I3e6>{#;kk1io5fa983>>i51o0;66gn4;29?g1=8391<7>t$2`b>`1<@:l=7A=i8;3x 4512=nh7pg7c;29?lg?2900c?7i:188yvg32909wSo;;<597}Yi116;7o7;|ab7<72:0:6>uC3g:95~N6n;1v(>ln:d`8md>=831d>4h50;9je1<722h<6=4<:183!5ei3o<7E=i6:N0b=<6s-;8:7:kc:j5;n0:b?6=3tyj87>52z\b0>;021i0q~<83;296~;02;3m7S<6f:p622=838pRl64=68b<>{zjo;1<7;52;4x 6df2o;0ehm50;9j5`b=831b=hk50;9jb7<722enh7>5;cd3>5<3290;w)=ma;7;?M5a>2c:>n4?::k26a<722c:>h4?::m13d<722wiik4?:283>5}#;kk1>:k4H2d5?l75k3:17d?=d;29?j40i3:17p}jc;296~Xbk27nj7?=c:p5`b=838pR44d3ty:ih4?:3y]5`c<5o:1=?j4}rd1>5<5sWl970h?:00f?xubl3:1>vPjd:?fb?40i2wxih4?:3y>b5<5?h16ik4>2e9~yg`d290?6>49{%1ae?`d3`;nh7>5;hd1>5<>da03:1?7>50z&0fd<2>2B8j;5f13a94?=n9;n1<75`26c94?=zjo?1<7=50;2x 6df2<<0D>h9;h31g?6=3`;9h7>5;n04e?6=3thm;7>53;294~"4jh09;h5G3g48m44d2900e<2b9>b0<6:m1vk<50;0xZc4<5o21=?j4}rg`>5<5sWoh70h::00`?xua;3:1>vPi3:?e3?40i2wxj94?:3y>b=<5?h16j:4>2b9~wc3=838p1k;526c89c1=9;n0qpl=8e83>6<729q/?oo5579K7c05<93:1?7>50z&0fd<2?2B8j;5f13a94?=n9;n1<75`26c94?=zj;386=4<:183!5ei3?=7E=i6:k26f<722c:>i4?::m13d<722wi>4;50;194?6|,:hj68;4H2d5?l75k3:17d?=d;29?j40i3:17p}jc;29<~;bk382j63i1;g`?8`d2li01?6k:00g?84?n3;9o63=90826a=::091=?m4=3;6>44c3tym>7>58z?e6?4>n27m=7h=;c4<5;2o6<2b9>6<5=9;n01?7::00`?xu6mm0;6>uQ1df89c7=9ln01km51df8yv7bm3:1>vP>ed9>b4<6ml1vl850;1xZd0<5li1m952f38b0>{t:1i1<7{t:0:1<7938{t:0>1<7=387}:mj0j463i1;gg?xua;3:1>v3i2;c;?8`d2o90qp}n4;296~Xf<27:>94n6:p6=e=838pR?6l;<310?4?k2wx>5k50;0xZ7>b34;987<7e:p6<6=838pR?7?;<310?4>82wx>4<50;0xZ7?534;987<62:p6<2=838pR?7;;<310?4><2wx=hj50;0xZ4cc34;987?jd:p5`c=838pR\?j38p=k4>d;Y72?=:r8:65<5<#:0n1>l?4n3;`>4=h51j0;76gn9;29 7?c2hk0b?7l:098f2<72:0:6>uC3g:95~N6n;1v(>ln:0d`?lg?2900c?7i:188md2=831i;7>53;294~"4jh0n;6F5;n0:b?6=3tyj87>52z\b0>;021i0q~<83;296~;02;3m7S<6f:p622=838pRl64=68b<>{zjh0;6>4>:2yO7c>=9rB:j?5r$2`b>4`d3`k36=44o3;e>5<1<75m7;297?6=8r.8nl4j7:J0b3=n0j0;66gn8;29?j4>n3:17p}n4;296~Xf<27<65m4}r047?6=:r7<6?7i;_0:b>{t:>>1<70j863n:`68yv4f:3:1>vP=a39>e?4>n2.8;o4=a09m72g=82wx>l>50;0xZ7g734=1>4h4$25a>7g63g95<5sWki70o5a99'72d=ih1e?:o50:pe<<72;qUm4527;c;?!50j3kj7c=8a;38yxd3?k0;6>4>:2yO7c>=9rB:j?5rL2d:>4}#5<7s-9im7k8;I1e2>o?k3:17do7:188k7?a2900q~o;:181[g334=14n5rs350>5<5s4=1>4h4^3;e?xu5?=0;6?uQa99>3?g?3twi?ol50;596?d|D:l36h3l90:7):k5;c6?k2c93;0(9jn:2:3?k2c:3;0q)=ma;3e=>\?j3;p>o4rZ25:>7}5l39:6pgn4;29?lg22900e>6?:188k7g2290/>4j52`68j7?d2910c?o<:18'60b?7l:098k7g5290/>4j52`68j7?d2;10c?o?:18'60b?7l:298f2<72k0?6ouC3g:95~N6n;1v@>h6:8y'0ac=<:=0(9j9:`:8 1b?2;3m7):k4;c7?k2c83;0(9j::`78j1b6281/8io53928j1b5281v(>ln:32f?_>e2;q9n7<6:|X03<<5s;n1>;4ri`694?=ni<0;66g<8183>>i5i<0;6)<6d;0b0>h51j0;76a=a283>!4>l38j86`=9b82?>i5i;0;6)<6d;0b0>h51j0976a=a183>!4>l38j86`=9b80?>ofm3:1(?7k:`f8j7?d2910elm50;&1=a;:kbf?6=,;3o6lj4n3;`>7=h51j0876l8:186>6<0sE9m47?tH0d1?xJ4n002w):ke;603>"3l?0j46*;d981=c=#1m95a4e295>"3l<0j96`;d082?!2ci393<6`;d382?x"4jh09>of=3:17do7:188k7?a2900e>6?:188f2<72<0968uC3g:95~N6n;1v(>ln:0da?lg?2900c?7i:188md2=831bm84?::k:a?6=3k=1<7;50;2x 6df2l30D>h9;M1e>{e;o=1<7?50;2x 6df288j7E=i6:m26<<722wxm94?:3y]e1=:?32h7p}n5;296~Xf=27<64m4}r047?6=:r78j:4>289>3??b3ty9;94?:3y>3?4>n2T95k5rs356>5<5sWk37095a99~yg2613:1?7>50z&0fd<2>2B8j;5f13a94?=n9;n1<75`26c94?=zj=8n6=4<:183!5ei380j86s|a483>7}Yi<168?k513f8yvg?2909wSo7;<62=?75l2wx>4h50;0xZ7?a34=1>4h4}r1;4?6=;rT84=5240;957e<5=8n6<h4=7`9~ygg=83?1?79tL2d;>4}O9o80qA=i9;;x 1bb2=9<7):k6;c;?!2c0382j6*;d58b0>h3l90:7):k5;c6?k2c93;0(9jn:2:3?k2c:3;0q)=ma;024>of<3:17do::188md>=831d>4h50;9j7=6=831i;7>55;091~J4n10:wE?i2:'7gg=9oh0el650;9l6<`=831bm94?::kb1?6=3`3n6=44b683>0<729q/?oo5e89K7c0n3:17pl4<729q/?oo513c8L6`13f;957>5;|qb0?6=:rTj8638:9a8yvg22909wSo:;<59=f=z{;=86=4={<1e3?75127<64k4}r040?6=:r7<6?7i;_0:b>{t:>?1<75<7s-9im7;9;I1e2>o6:j0;66g>2e83>>i5?h0;66sm43g94?5=83:p(>ln:35f?M5a>2c:>n4?::k26a<722e9;l4?::pe1<72;qUm9527;c7?xuf=3:1>vPn5:?76`<6:m1vl650;0xZd><5=;26<4h4=681=c=z{:2;6=4<{_1;4>;3900:>n5243g957e:47>52z?4>d><5=;26?9n;|q76a<72;q6;7o:;<61a?40i2wvn<;50;797?1|D:l363k37):k8;0:b>"3l=0j86`;d182?!2c=3k>7c:k1;38 1bf2:2;7c:k2;38y!5ei38:=6gn4;29?lg22900el650;9l6<`=831b?5>50;9a3?6==3819vB=831d>4h50;9je1<722cj97>5;h;f>5<0;684?:1y'7gg=m01C?k84L2d;>4}#9:<18im4}h;`>5<>of03:17b<6f;29?xd4n>0;6<4?:1y'7gg=9;k0D>h9;n31=?6=3tyj87>52z\b0>;021i0q~o::181[g234=15n5rs350>5<5s49m;7?=9:?4>52z?4>7?a3W82j6s|26794?4|Vh201:4n8:~f17>29086=4?{%1ae?313A9m:6g>2b83>>o6:m0;66a=7`83>>{e<;o1<7=50;2x 6df2;=n7E=i6:k26f<722c:>i4?::m13d<722wxm94?:3y]e1=:?3k?7p}n5;296~Xf=27?>h4>2e9~wd>=838pRl64=53:>44c3ty95k4?:3y]6<`<5>095k5rs2:3>5<4sW93<63;18826f=:<;o1=?m4}r6271f3ty?>i4?:3y>3?g234>9i7<8a:~f72=83?1?79tL2d;>4}O9o80qA=i9;;x 1bb2=9<7):k6;c;?!2c0382j6*;d58b0>h3l90:7):k5;c6?k2c93;0(9jn:2:3?k2c:3;0q)=ma;027>of<3:17do::188md>=831d>4h50;9j7=6=831i;7>55;091~J4n10:wE?i2:'7gg=9oh0el650;9l6<`=831bm94?::kb1?6=3`3n6=44b683>0<729q/?oo5e89K7c0n3:17pl4<729q/?oo513c8L6`13f;957>5;|qb0?6=:rTj8638:9a8yvg22909wSo:;<59=f=z{;=86=4={<1e3?75127<64k4}r040?6=:r7<6?7i;_0:b>{t:>?1<75<7s-9im7;9;I1e2>o6:j0;66g>2e83>>i5?h0;66sm43g94?5=83:p(>ln:35f?M5a>2c:>n4?::k26a<722e9;l4?::pe1<72;qUm9527;c7?xuf=3:1>vPn5:?76`<6:m1vl650;0xZd><5=;26<4h4=681=c=z{:2;6=4<{_1;4>;3900:>n5243g957e:47>52z?4>d><5=;26?9n;|q76a<72;q6;7o:;<61a?40i2wvl:50;7xZd2<5>0j863n:`68943=i=16>94n4:pe0<72084=52a;1;4>;6=393<63=4;1;4>{t:h?1<7h4?h0;7p}=a283>7}Y:h901<;528d8 61e2;k?7c=8a;38yv4f:3:1>vP=a39>e?4>n2.8;o4=a59m72g=:2wx>l>50;0xZ7g734=1>4h4$25a>7g33g95<5sWkn70<;:`:8 61e2hn0b>9n:19~wde=838pRlm4=079e==#;>h1mi5a36c95>{tik0;6?uQac9>e?g?3-93w):ke;605>"3l>0i=6*;e58b?!2c139=46*;e284?x"4jh0:j;5U8c817e=:h0vV>96:3y1a?402te8;<4?:%0:`?5082d95n4?;:m02c<72-82h7=80:l1=f<632e8:h4?:%0:`?5082d95n4=;:m02<<72-82h7=80:l1=f<432cim7>5$3;g>g?oe?3:1(?7k:c;8j7?d2;10eo<50;&1=auC3g:95~N6n;1v(>ln:301?lga2900eo>50;9l73>=831i8=<50;197?3|,:hj69>=;hce>5<h9;h31g?6=3`;9h7>5;n04e?6=3th?<=4?:283>5}#;kk19;5G3g48m44d2900e<5<5<4sWkm70=if;31`>;3890:>n5rsc294?5|Vk:01>hi:00`?82783;9h6s|37:94?4|V:<370:?1;04e>{t;oo1<771f34>;=7?=c:p7c`=838p19>?:35b?82793;9h6srs`d94?4|Vhl019>=:`d8yvd72909wSl?;<636?d73ty8:54?:3y]73><5=:96>87;|a63d=8391=7=tL2d;>4}O9o80q)=ma;016>ofn3:17dl?:188k60?2900n9>=:180>6<2s-9im7:?2:kbb?6=3`h;6=44o24;>5<i4?::m13d<722wi8=>50;194?6|,:hj6884H2d5?l75k3:17d?=d;29?j40i3:17pl;0083>6<729q/?oo526g8L6`13`;9o7>5;h31`?6=3f85;|qbb?6=;rTjj635<4sWh;70=if;31g>;3890:>i5rs24;>5<5sW9=463;00813d=z{:ln6=4={<1eb?40i27?<<4>2b9~w6`a2909w0:?0;04e>;3880:>i5r}rce>5<5sWkm70:?2;ce?xue83:1>vPm0:?747;>7=98:~f70d29086<4<{M1e5;n1553;294~"4jh0>:6F?:180>5<7s-9im7;9;I1e2>o6:j0;66g>2e83>>i5?h0;66sm41394?5=83:p(>ln:35f?M5a>2c:>n4?::k26a<722e9;l4?::pec<72:qUmk523gd957b<5=:;6<52z?0bc<5?h168=?513a8yv5an3:1>v3;01813d=:<9;1=?j4}|qbb?6=:rTjj63;038bb>{tj90;6?uQb19>054=j91v>87:181[51027?50z&0fd<2=2B8j;5f13a94?=n9;n1<75`26c94?=zj=;i6=4<:183!5ei3?>7E=i6:k26f<722c:>i4?::m13d<722wi?:650;094?6|,:hj6<=8;I1e2>o6:k0;66a=7`83>>{t<821<7=t=34a>d`<5=;26?9n;<62f?75l2wx8h4?h0;7p}<6g83>7}Y;?l01?8m:24;?!50j39<<6`<7`82?xu4>l0;6?uQ37g8970f2:<37)=8b;144>h4?h097p}<6883>7}Y;?301>97:35b?!50j39<<6`<7`80?xuei3:1>vPma:?12f53z\a<>;5>k0i<63;1c826f=#;>h1n45a36c95>{tj>0;6>uQb69>63g=j9168<7513a8 61e2k30b>9n:39~wg4=83>pRo<4=34b>d`<5=;26<{t:h?1<7=t^3c6?81=:h?01?89:cc8 61e2;k?7c=8a;28yv4f;3:1?vP=a29>3?4f;279:;4m8:&03g<5i=1e?:o51:p6d4=839pR?o=;<596d4<5;<=6o94$25a>7g33g9;5>?0i>6*<7c81e1=i;>k1?6s|41c94?4|5>0ji63=678034=#;>h18=74n25b>5=z{=:36=4={<59ef=::?<1?;h4$25a>16>3g960b3-93?g>348=:7=99:&03g<3801e?:o53:~f604290>6?4:{M1eof03:17b<6f;29?lg32900el;50;9j=`<722h<6=4::183!5ei3o27E=i6:k:g?6=3`3n6=44i9a94?=ni10;66a=9g83>>{e;o=1<7?50;2x 6df288j7E=i6:m26<<722wxm94?:3y]e1=:?32h7p}n5;296~Xf=27<64m4}r047?6=:r78j:4>289>3??b3ty9;94?:3y>3?4>n2T95k5rs356>5<5sWk37095a99~yg52k3:197<55zN0b=<6sA;m>6sC3g;95~"3m90;7p*>of<3:17do::188m55;294~"4jh0n56F5;h:`>5<:183!5ei3;9m6F03o6s|a483>7}Yi<16;77l;|q136<72;q6?k9513;892<>m2wx>::50;0x92<51o1U>4h4}r041?6=:rTj4638:`:8yxd5<80;684<:6yO7c>=9rB:j?5rL2d:>d}#4=#"3lh084=5a4e095>{#;kk1><:4i`694?=ni<0;66gn8;29?j4>n3:17d=70;29?g1=83?1>7;tL2d;>4}O9o80q)=ma;3ef>of03:17b<6f;29?lg32900el;50;9j=`<722h<6=4::183!5ei3o27E=i6:k:g?6=3`3n6=44i9a94?=ni10;66a=9g83>>{e;o=1<7?50;2x 6df288j7E=i6:m26<<722wxm94?:3y]e1=:?32h7p}n5;296~Xf=27<64m4}r047?6=:r78j:4>289>3??b3ty9;94?:3y>3?4>n2T95k5rs356>5<5sWk37095a99~yg2613:1?7>50z&0fd<2>2B8j;5f13a94?=n9;n1<75`26c94?=zj=8n6=4<:183!5ei380j86s|a483>7}Yi<168?k513f8yvg?2909wSo7;<62=?75l2wx>4h50;0xZ7?a34=1>4h4}r1;4?6=;rT84=5240;957e<5=8n6<h4=7`9~yg4383:197=57zN0b=<6sA;m>6sC3g;9e~"3m90;7):ke;603>"3l?0j46*;d981=c=#1m95a4e295>"3l<0j96`;d082?!2ci393<6`;d382?x"4jh09=95fa583>>of=3:17do7:188k7?a2900e>6?:188f2<72<0968uC3g:95~N6n;1v(>ln:0da?lg?2900c?7i:188md2=831bm84?::k:a?6=3k=1<7;50;2x 6df2l30D>h9;h;`>5<>of03:17b<6f;29?xd4n>0;6<4?:1y'7gg=9;k0D>h9;n31=?6=3tyj87>52z\b0>;021i0q~o::181[g234=15n5rs350>5<5s49m;7?=9:?4>52z?4>7?a3W82j6s|26794?4|Vh201:4n8:~f17>29086=4?{%1ae?313A9m:6g>2b83>>o6:m0;66a=7`83>>{e<;o1<7=50;2x 6df2;=n7E=i6:k26f<722c:>i4?::m13d<722wxm94?:3y]e1=:?3k?7p}n5;296~Xf=27?>h4>2e9~wd>=838pRl64=53:>44c3ty95k4?:3y]6<`<5>095k5rs2:3>5<4sW93<63;18826f=:<;o1=?m4}r6271f3ty?>i4?:3y>3?g234>9i7<8a:~f705290>6>48{M1e3k37):k8;0:b>"3l=0j86`;d182?!2c=3k>7c:k1;38 1bf2:2;7c:k2;38y!5ei38:86gn4;29?lg22900el650;9l6<`=831b?5>50;9a3?6==3819vB=831d>4h50;9je1<722cj97>5;h;f>5<0;684?:1y'7gg=m01C?k84i8a94?=n1l0;66g7c;29?lg?2900c?7i:188yg5a?3:1=7>50z&0fd<6:h1C?k84o00:>5<02o6s|26194?4|5:l<6<<6;<59=`=z{;=?6=4={<596<`7}Yi116;7o7;|a04?=8391<7>t$2`b>00<@:l=7d?=c;29?l75l3:17b<8a;29?xd3:l0;6>4?:1y'7gg=:>o0D>h9;h31g?6=3`;9h7>5;n04e?6=3tyj87>52z\b0>;02h>0q~o::181[g234>9i7?=d:pe=<72;qUm55240;957b52z\1=c=:?382j6s|39294?5|V:2;70:>9;31g>;3:l0:>n5rs53;>5<5s4=1m55240;962g9h7>52z?4>d3<5=8n6?9n;|a637=83?1?79tL2d;>4}O9o80qA=i9;cx 1c7291/8ik54258 1b12h20(9j7:3;e?!2c<3k?7c:k0;38 1b22h?0b9j>:09'0ag=;1:0b9j=:09~ 6df2;;?7do;:188md3=831bm54?::m1=c<722c84=4?::`4>5<22;0>wA=i8;3xL4`53t.8nl4>fc9je=<722e95k4?::kb0?6=3`k>6=44i8g94?=e?3:197>50z&0fd>o>m3:17d6l:188md>=831d>4h50;9~f6`0290:6=4?{%1ae?75i2B8j;5`13;94?=z{h>1<77}:;o=1=?74=68:a>{t:>>1<750z&0fd<5?l1C?k84i00`>5<5<;02;3m7p}<8183>6}Y;1:019?6:00`?825m3;9o6s|40:94?4|5>0j463;18813d=z{=8o6=4={<59e0=:<;o1>:o4}|`125<72<086:uC3g:95~N6n;1v@>h6:`y'0`6=82.?hh4;369'0a0=i11/8i6528d8 1b32h>0b9j?:09'0a3=i<1e8i?51:&7`d<4091e8i<51:'7gg=:8>0el:50;9je0<722cj47>5;n0:b?6=3`93<7>5;c594?3=:3?p@>h7:0yK5c45;hc7>5<>d0290>6=4?{%1ae?c>3A9m:6g6c;29?l?b2900e5m50;9je=<722e95k4?::a7c1=83;1<7>t$2`b>44f3A9m:6a>2883>>{ti=0;6?uQa59>3?>d3tyj97>52z\b1>;020i0q~<83;296~;4n>0:>4527;;f?xu5?=0;6?u27;0:b>X51o1v?9::181[g?34=1m55r}c62=?6=;3:1N4n?1b=?m50;9j57b=831d>:o50;9~f14b29086=4?{%1ae?40m2B8j;5f13a94?=n9;n1<75`26c94?=z{h>1<75<5sWk370:>9;31`>{t:0l1<77}:?3k370:>9;04e>{t<;n1<7;3:l09;l5r}c06b?6==391;vBoi7:<7:&7`351:&7`0;%6ge?5?82d?h?4>;|&0fd<59=1bm94?::kb1?6=3`k36=44o3;e>5<0<525<t$2`b>`?<@:l=7d7l:188m5;|`0b2<7280;6=u+3cc957g<@:l=7b?=9;29?xuf<3:1>vPn4:?4>=e6=4={_c6?81=1j1v?9<:18185a?3;95638:8g8yv40<3:1>v38:3;e?[4>n2wx>:;50;0xZd><5>0j46srb53:>5<4290;w)=ma;75?M5a>2c:>n4?::k26a<722e9;l4?::a07c=8391<7>t$2`b>71b3A9m:6g>2b83>>o6:m0;66a=7`83>>{ti=0;6?uQa59>3?g33tyj97>52z\b1>;3:l0:>i5rs`:94?4|Vh2019?6:00g?xu51o0;6?uQ28d892<51o1v>6?:180[5?827?=44>2b9>07c=9;i0q~:>8;296~;02h2019?6:35b?xu3:m0;6?u27;c6?825m385<22:0o47<6f:&7`1;%6g1?g23g>o=7?4$5fb>6>73g>o>7?4}%1ae?46<2cj87>5;hc6>5<{I3e6>{#;kk1=kl4i`:94?=h:0l1<75fa583>>of=3:17d7j:188f2<72<0;6=u+3cc9a<=O;o<0e4m50;9j=`<722c3o7>5;hc;>5<k2wx>:=50;0x96`0288270959d9~w7132909w09528d8Z7?a3ty9;84?:3y]e==:?3k37psm40;94?5=83:p(>ln:448L6`13`;9o7>5;h31`?6=3f85;|`76`<72:0;6=u+3cc962c<@:l=7d?=c;29?l75l3:17b<8a;29?xuf<3:1>vPn4:?4>d26=4={_c6?825m3;9h6s|a983>7}Yi1168<7513f8yv4>n3:1>vP=9g9>3?4>n2wx?5>50;1xZ6>734>:57?=c:?76`<6:j1v9?7:18181=i1168<7526c8yv25l3:1>v38:`78914b2;=j7psm24f94?3=;3=p@>h7:0yK5c45=#94$5f5>d><,=n36?7i;%6g0?g33g>o<7?4$5f6>d37733`k?6=44i`794?=ni10;66a=9g83>>o4090;66l8:186>7<2sE9m47?tH0d1?x"4jh0:jo5fa983>>i51o0;66gn4;29?lg22900e4k50;9a3?6==3:1N4n?1b5n4?::k:a?6=3`2h6=44i`:94?=h:0l1<75rb2d4>5<6290;w)=ma;31e>N4n?1d=?750;9~wd2=838pRl:4=68;g>{ti<0;6?uQa49>3??d3ty9;>4?:3y>7c1=9;301:46e:p622=838p1:4=9g9]6<`52z\b<>;02h20qpl;1883>6<729q/?oo5579K7c05<2e9~w7?a2909wS<6f:?4>7?a3ty84=4?:2y]7=6<5=;26<07c=:>k0qpl=5b83>0<42>qG?k651zJ2b7=zD:l26lu+4d294>"3ll0??:5+4e49e==#4h4$5f7>d21<75fa483>>of03:17b<6f;29?l5?83:17o950;796?3|D:l36n3:17do;:188md3=831b5h4?::`4>5<2290;w)=ma;g:?M5a>2c2o7>5;h;f>5<>i51o0;66sm3g594?7=83:p(>ln:00b?M5a>2e:>44?::pe1<72;qUm9527;:`?xuf=3:1>vPn5:?4>52z?0b2<6:016;77j;|q131<72;q6;7<6f:\1=c=z{;=>6=4={_c;?81=i11vqo:>9;297?6=8r.8nl4:6:J0b3=n9;i1<75f13f94?=h:>k1<75rb50f>5<4290;w)=ma;04a>N4n?1b=?m50;9j57b=831d>:o50;9~wd2=838pRl:4=68b0>{ti<0;6?uQa49>07c=9;n0q~o7:181[g?34>:57?=d:p6<`=838pR?7i;<596<`53z\0<5=:<831=?m4=50f>44d3ty?=54?:3y>3?g?34>:57<8a:p07b=838p1:4n5:?76`<5?h1vqo<:b;291?5=?rF8j54>{I3e6>{K;o31mv*;e183?!2cm3>8;6*;d78b<>"3l1095k5+4e69e1=ih3l80:7):ka;1;4>h3l;0:7p*72900n:4?:481>0}K;o21=vF>f39~ 6df28li7do7:188k7?a2900el:50;9je0<722c2i7>5;c594?3=83:p(>ln:d;8L6`13`3h6=44i8g94?=n0j0;66gn8;29?j4>n3:17pl4<729q/?oo513c8L6`13f;957>5;|qb0?6=:rTj8638:9a8yvg22909wSo:;<59=f=z{;=86=4={<1e3?75127<64k4}r040?6=:r7<6?7i;_0:b>{t:>?1<75<7s-9im7;9;I1e2>o6:j0;66g>2e83>>i5?h0;66sm43g94?5=83:p(>ln:35f?M5a>2c:>n4?::k26a<722e9;l4?::pe1<72;qUm9527;c7?xuf=3:1>vPn5:?76`<6:m1vl650;0xZd><5=;26<4h4=681=c=z{:2;6=4<{_1;4>;3900:>n5243g957e:47>52z?4>d><5=;26?9n;|q76a<72;q6;7o:;<61a?40i2wvn?=i:186>7<2sE9m47?tH0d1?xJ4n00:w):j0;28y!5ei3;mj6gn8;29?j4>n3:17do;:188md3=831b5h4?::`4>5<2290;w)=ma;g:?M5a>2c2o7>5;h;f>5<>i51o0;66sm3g594?7=83:p(>ln:00b?M5a>2e:>44?::pe1<72;qUm9527;:`?xuf=3:1>vPn5:?4>52z?0b2<6:016;77j;|q131<72;q6;7<6f:\1=c=z{;=>6=4={_c;?81=i11vqo<<6;291?4==rF8j54>{I3e6>{K;o31=v*;e183?x"4jh0:jk5fa983>>i51o0;66gn4;29?lg22900e4k50;9a3?6==3:1N4n?1b5n4?::k:a?6=3`2h6=44i`:94?=h:0l1<75rb2d4>5<6290;w)=ma;31e>N4n?1d=?750;9~wd2=838pRl:4=68;g>{ti<0;6?uQa49>3??d3ty9;>4?:3y>7c1=9;301:46e:p622=838p1:4=9g9]6<`52z\b<>;02h20qpl=3183>6<62:qG?k651zJ2b7=zD:l26{#;kk1=km4i`:94?=h:0l1<75fa583>>d029086=4?{%1ae?c03A9m:6g7c;29?lg?2900c?7i:188yvg32909wSo;;<597}Yi116;7o7;|a7`c=83<1>7otL2d;>4}O9o80qA=i9;:x 1c7291/8ik54278 15?2=o97):k8;0:b>"3l=0j86`;d182?!2ci393<6`;d383?x"4jh0:jl5U8c827d=uS9<57>i5i<0;6)<6d;0b0>h51j0;76a=a283>!4>l38j86`=9b82?>i5i;0;6)<6d;0b0>h51j0976a=a183>!4>l38j86`=9b80?>d0290j694n{M1e8;6*;d78b<>"3l1095k5+4e69e1=ivi4=6;je1<722c84=4?::m1e0<72-82h74?:%0:`?4f<2d95n4>;:m1e7<72-82h75=h51j0:76gnb;29 7?c2hn0b?7l:398md?=83.95i4nd:l1=f<432h<6=4;:386I5a03;pDoi7:<7:&7`351:&7`d<4091e8i<50:'7gg=:8<0el:50;9je=<722e95k4?::k0<5<722h<6=4<:080I5a03;pD5;c594?5=83:p(>ln:d58L6`13E9m47?t$015>1bd3tc3o7>5;hc;>5<5<5sWk?70958b9~w7142909w09528d8Z7?a3ty9;94?:3y]e==:?3k37psm40;94?5=83:p(>ln:478L6`13`;9o7>5;h31`?6=3f85;|qb0?6=:rTj8638:`68yvg?2909wSo7;<62=?75l2wx>4h50;0xZ7?a34=1>4h4}r1;4?6=:rT84=5240;957e:47>52z?4>d><5=;26?9n;|ae?6=<3819vB1/8i85a99'0a>=:0l0(9j;:`68j1b7281/8io53928j1b5291v(>ln:334?lg32900el650;9l6<`=831b?5>50;9a3?6=;3;1?vB=831d>4h50;9je1<722h<6=4<:183!5ei3o<7E=i6:N0b=<6s-;8:7:kc:j5;n0:b?6=3tyj87>52z\b0>;021i0q~<83;296~;02;3m7S<6f:p622=838pRl64=68b<>{zj=;26=4<:183!5ei3?>7E=i6:k26f<722c:>i4?::m13d<722wxm94?:3y]e1=:?3k?7p}n8;296~Xf027?=44>2e9~w7?a2909wS<6f:?4>7?a3ty84=4?:3y]7=6<5=;26<3k37):k8;0:b>"3l=0j86`;d182?!2ci393<6`;d383?x"4jh09=55fa583>>of03:17b<6f;29?l5?83:17o950;195?5|D:l36n3:17do;:188f2<72:0;6=u+3cc9a2=O;o<0@>h7:0y'560==831d>4h50;9~wd2=838pRl:4=68;g>{t:>91<7288o7p}=9g83>7}Y:0l01:4=9g9~w6>72909wS=70:?75<<6:j1v9?7:18181=i1168<7526c8yxd5<3:187<55zN0b=<6sA;m>6sC3g;93~"3ll0??:5+4e49e==#4h4$5f7>d277>3`k?6=44i`:94?=h:0l1<75f39294?=e?3:1?7?53zN0b=<6sA;m>6s+3cc95cd5<7s-9im7k8;I1e2>J4n10:w)?<6;6gg>{n0j0;66gn8;29?j4>n3:17p}n4;296~Xf<27<65m4}r047?6=:r7<6?7i;_0:b>{t:>>1<75<7s-9im7;:;I1e2>o6:j0;66g>2e83>>i5?h0;66s|a583>7}Yi=16;7o;;|qb;02;3m7p}<8183>7}Y;1:019?6:00`?xu3910;6?u27;c;?82613801:4n4:?b>d2<58?1m952258b0>{t;1:1<7;t^2:3?81=;1:01l4<819>50<40916>94<819~w7g22909wSn2.8;o4=a59m72g=82wx>l=50;0xZ7g434;>6?7i;%14f?4f<2d8;l4>;|q1e7<72;qU>l<4=`81=c=#;>h1>l:4n25b>7=z{;k;6=4={_0b4>;02;3m7)=8b;0b0>h4?h087p}ne;296~Xfm27987o7;%14f?gc3g94}rc`>5<5sWkh70?::`:8 61e2hn0b>9n:09~wdd=838pRll4=`8b<>"4?k0jh6`<7`81?xuf13:1>vPn9:?4>d><,:=i6lj4n25b>6=zuk8=:7>58;49e~J4n10:wE?i2:O7c?==r.?hh4;309'0a1=j81/8h:5a:&7`<<4>11/8h=57:'7gg=9o<0V5l52z0`>7g=uS9<57;1<7*=9e8035=i:0i1<65`37d94?"51m08;=5a28a95>=h;?o1<7*=9e8035=i:0i1>65`37;94?"51m08;=5a28a97>=njh0;6)<6d;`:?k4>k3:07dl7:18'64m51:9jf2<72-82h7l6;o0:g?4<3`h96=4+28f9f<=i:0i1?65m27c94?5=939p@>h7:0yK5c45<;>6gnf;29?ld72900c>87:188f6`a29086=4?{%1ae?313A9m:6g>2b83>>o6:m0;66a=7`83>>{e<9:1<7=50;2x 6df2<<0D>h9;h31g?6=3`;9h7>5;n04e?6=3th?<<4?:283>5}#;kk1>:k4H2d5?l75k3:17d?=d;29?j40i3:17p}nf;297~Xfn278jk4>2e9>056=9;i0q~l?:180[d7349mj7?=c:?745<6:m1v>87:181[51027?<<4=7`9~w6`b2909w0=if;04e>;3880:>n5rs2de>5<5s4>;<7<8a:?744<6:m1vq~oi:181[ga34>;>7oi;|qa4?6=:rTi<63;038a4>{t;?21<75<42808wA=i8;3xL4`53t.8nl4=239jec<722ci<7>5;n15;>7>53;191~"4jh0?>oe83:17b=98;29?g5an3:1?7>50z&0fd<2>2B8j;5f13a94?=n9;n1<75`26c94?=zj=:;6=4<:183!5ei3?=7E=i6:k26f<722c:>i4?::m13d<722wi8=?50;194?6|,:hj6?9j;I1e2>o6:j0;66g>2e83>>i5?h0;66s|ag83>6}Yio16?kh513f89167288h7p}m0;297~Xe8278jk4>2b9>056=9;n0q~=98;296~X4>1168=?526c8yv5am3:1>v3057=9;n0qp}nf;296~Xfn27?;38;08:55r}c05g?6=;3;1?vB0}#;kk18=<4i`d94?=nj90;66a<6983>>d4no0;6>4?:1y'7gg==?1C?k84i00`>5<5<;<7>53;294~"4jh0>:6F>:180>5<7s-9im7<8e:J0b3=n9;i1<75f13f94?=h:>k1<75rs`d94?5|Vhl01>hi:00g?82783;9o6s|b183>6}Yj916?kh513a89167288o7p}<6983>7}Y;?2019>>:35b?xu4nl0;6?u23gd962g<5=::6<526c89166288o7ps|ag83>7}Yio168=<5ag9~wg6=838pRo>4=521>g652z\02==:<981?;64}|`75<<72:0;6=u+3cc910=O;o<0e<50z&0fd<2=2B8j;5f13a94?=n9;n1<75`26c94?=zj:=36=4=:183!5ei3;8;6F:o50;9~w17?2908w0<9b;ce?826138:n7<8a:p727=838pR>9>;<05g?5102.8;o4<719m72g=82wx?;h50;0xZ60a348=n7=98:&03g<4?91e?:o51:p73c=838pR>8j;<05e?5102.8;o4<719m72g=:2wx?;750;0xZ60>349<47<8a:&03g<4?91e?:o53:pfd<72;qUnl5227a9f5=#;>h1n45a36c94>{tj10;6>uQb99>63d=j91689n:09~wg1=839pRo94=34b>g6<5=;26<3g95<3sWh970<9a;ce?82613;9h63<79826g=#;>h1n45a36c97>{z{h>1<750;0xZ6>734=1?5>4}r0b1?6=;rT9m8527;0b1>;5>?0im6*<7c81e1=i;>k1<6s|2`194?5|V;k870952`1897012k20(>9m:3c7?k50i3;0q~l>50;1xZ7g734=1>l>4=345>g4<,:=i6?o;;o14e?5;m7>52z?4>dc<5;<=6>9>;%14f?2712d8;l4?;|q74=<72;q6;7ol;<052?51n2.8;o4;089m72g=92wx8=950;0x929n:39~w1622909w095a89>630=;?30(>9m:52:?k50i390qpl=a983>6<62:qG?k651zJ2b7=zD:l26{#;kk1=km4i`:94?=h:0l1<75fa583>>d029086=4?{%1ae?c03A9m:6g7c;29?lg?2900c?7i:188yvg32909wSo;;<597}Yi116;7o7;|a3`<72:0:6>uC3g:95~N6n;1v@>h6:0y'0`6=82w/?oo51ga8md>=831d>4h50;9je1<722h<6=4<:183!5ei3o<7E=i6:k;g?6=3`k36=44o3;e>5<4`d3`k36=44o3;e>5<1<75m7;297?6=8r.8nl4j7:J0b3=n0j0;66gn8;29?j4>n3:17p}n4;296~Xf<27<65m4}r047?6=:r7<6?7i;_0:b>{t:>>1<74<4sE9m47?tH0d1?xJ4n00:w):j0;28y!5ei3;mo6gn8;29?j4>n3:17do;:188f2<72:0;6=u+3cc9a2=O;o<0e5m50;9je=<722e95k4?::pe1<72;qUm9527;:`?xu5?:0;6?u27;0:b>X51o1v?9;:181[g?34=1m55r}c053?6=910::7<={M1e87):ke;605>"3l>0i=6*;e58b?!2c139=46*;e284?!2b83:0q)=ma;3e0>\?j38pj7m5}[14=?4|980i6pa<6b83>!4>l39=n6`=9b83?>i4>h0;6)<6d;15f>h51j0:76a<7683>!4>l39=n6`=9b81?>i4??0;6)<6d;15f>h51j0876a<7483>!4>l39=n6`=9b87?>i4?=0;6)<6d;15f>h51j0>76a<7283>!4>l39=n6`=9b85?>i4?;0;6)<6d;15f>h51j0<76a<7083>!4>l39=n6`=9b8;?>i4>o0;6)<6d;15f>h51j0276a<6d83>!4>l39=n6`=9b8b?>i4>00;6)<6d;15f>h51j0i76gm5;29 7?c2k>0b?7l:198mg5=83.95i4m4:l1=f<632ch<7>5$3;g>g2oem3:1(?7k:c68j7?d2=10eoj50;&1=a3=h51j0<76gma;29 7?c2k>0b?7l:998mg>=83.95i4m4:l1=f<>32ci;7>5$3;g>g2d5>h0;6>4>:2yO7c>=9rB:j?5r$2`b>7453`km6=44ic294?=h;?21<75m41094?5=;3?p(>ln:521?lga2900eo>50;9l73>=831i?kh50;194?6|,:hj6884H2d5?l75k3:17d?=d;29?j40i3:17pl;0183>6<729q/?oo5579K7c05<2b9~wg6=839pRo>4=2de>44d34>;<7?=d:p73>=838pR>87;<635?40i2wx?kk50;0x96`a2;=j70:?1;31g>{t;ol1<771f34>;=7?=d:~wd`=838pRlh4=521>d`7}Y;?2019>=:24;?x{e:?h1<7=51;1xH6`?28qC=k<4}%1ae?45:2cjj7>5;h`3>5<5<42:0>w)=ma;636>ofn3:17dl?:188k60?2900n>hi:180>5<7s-9im7;9;I1e2>o6:j0;66g>2e83>>i5?h0;66sm41294?5=83:p(>ln:448L6`13`;9o7>5;h31`?6=3f85;|`744<72:0;6=u+3cc962c<@:l=7d?=c;29?l75l3:17b<8a;29?xufn3:1?vPnf:?0bc<6:m168=>513a8yvd72908wSl?;<1eb?75k27?<=4>2e9~w60?2909wS=98:?744<5?h1v>hj:18185an382e9~yvga2909wSoi;<636?ga3tyi<7>52z\a4>;38;0i<6s|37:94?4|V:<370:?2;15<>{zj;5;c636?6=;3919v*k1<75rb523>5<4290;w)=ma;75?M5a>2c:>n4?::k26a<722e9;l4?::a057=8391<7>t$2`b>71b3A9m:6g>2b83>>o6:m0;66a=7`83>>{tio0;6>uQag9>7c`=9;n019>?:00`?xue83:1?vPm0:?0bc<6:j168=>513f8yv5103:1>vP<699>057=:>k0q~=ie;296~;4no09;l52413957e52z?745<5?h168=?513f8yxufn3:1>vPnf:?7475<5sW9=463;03802==zuk8=h7>53;397~J4n10:wE?i2:'7gg=:;80elh50;9jf5<722e8:54?::`747<72:0868u+3cc9054>i4>10;66l6<729q/?oo5579K7c05<N4n?1b=?m50;9j57b=831d>:o50;9~f16629086=4?{%1ae?40m2B8j;5f13a94?=n9;n1<75`26c94?=z{hl1<7=t^`d896`a288o70:?0;31g>{tj90;6>uQb19>7c`=9;i019>?:00g?xu4>10;6?uQ37:891662;=j7p}7}:;ol1>:o4=522>44d3ty8jk4?:3y>056=:>k019>>:00g?x{tio0;6?uQag9>054=io1vo>50;0xZg6<5=:96o>4}r156}K;o21=vF>f39~ 6df2;897doi:188mg6=831d?;650;9a054=8391?7;t$2`b>1653`km6=44ic294?=h;?21<75m3gd94?5=83:p(>ln:448L6`13`;9o7>5;h31`?6=3f85;|`745<72:0;6=u+3cc913=O;o<0e<50z&0fd<5?l1C?k84i00`>5<5<{t;?21<7{z{hl1<7;>7l?;|q02=<72;qU?;64=521>60?3twi>;h50;195?5|D:l366gnf;29?ld72900c>87:188f16529086>4:{%1ae?27:2cjj7>5;h`3>5<5<4290;w)=ma;75?M5a>2c:>n4?::k26a<722e9;l4?::a056=8391<7>t$2`b>00<@:l=7d?=c;29?l75l3:17b<8a;29?xd3880;6>4?:1y'7gg=:>o0D>h9;h31g?6=3`;9h7>5;n04e?6=3tyjj7>53z\bb>;4no0:>i52412957e;38809;l5rs2df>5<5s49mj7<8a:?744<6:j1v>hi:181827838=838pR>87;<636?5102wvn?9?:180>4<4sE9m47?tH0d1?x"4jh09>?5fag83>>oe83:17b=98;29?g27:3:1?7=55z&0fd<38;1bmk4?::ka4?6=3f9=47>5;c1eb?6=;3:1N4n?1b=?m50;9j57b=831d>:o50;9~f16729086=4?{%1ae?313A9m:6g>2b83>>o6:m0;66a=7`83>>{e<9;1<7=50;2x 6df2;=n7E=i6:k26f<722c:>i4?::m13d<722wxmk4?:2y]ec=:;ol1=?j4=523>44d3tyi<7>53z\a4>;4no0:>n52412957b52z\02==:<9;1>:o4}r1ea?6=:r78jk4=7`9>057=9;i0q~=if;296~;38909;l52413957b52z\bb>;38;0jj6s|b183>7}Yj9168=<5b19~w60?2909wS=98:?747<4>11vqo<81;297?7=;rF8j54>{I3e6>{#;kk1>?<4i`d94?=nj90;66a<6983>>d38;0;6>4<:4y'7gg=<980elh50;9jf5<722e8:54?::`0bc<72:0;6=u+3cc913=O;o<0e<50z&0fd<2>2B8j;5f13a94?=n9;n1<75`26c94?=zj=::6=4<:183!5ei3844c3ty8:54?:3y]73><5=::6?9n;|q0b`<72;q6?kh526c89166288h7p}7}:<9:1>:o4=522>44c3twxmk4?:3y]ec=:<981mk5rsc294?4|Vk:019>=:c28yv5103:1>vP<699>054=;?20qpl=7383>6<62:qG?k651zJ2b7=z,:hj6?<=;hce>5<t$2`b>00<@:l=7d?=c;29?l75l3:17b<8a;29?xd3890;6>4?:1y'7gg==?1C?k84i00`>5<5<;=7>53;294~"4jh09;h5G3g48m44d2900e<50;1xZg6<5:lm6<;=7<8a:p7cc=838p1>hi:35b?82793;9o6s|3gd94?4|5=:;6?9n;<635?75l2wvlh50;0xZd`<5=:96lh4}r`3>5<5sWh;70:?2;`3?xu4>10;6?uQ37:891652:<37psm27:94?5=939p@>h7:0yK5c45<;>6gnf;29?ld72900c>87:188f6`a29086=4?{%1ae?313A9m:6g>2b83>>o6:m0;66a=7`83>>{e<9:1<7=50;2x 6df2<<0D>h9;h31g?6=3`;9h7>5;n04e?6=3th?<<4?:283>5}#;kk1>:k4H2d5?l75k3:17d?=d;29?j40i3:17p}nf;297~Xfn278jk4>2e9>056=9;i0q~l?:180[d7349mj7?=c:?745<6:m1v>87:181[51027?<<4=7`9~w6`b2909w0=if;04e>;3880:>n5rs2de>5<5s4>;<7<8a:?744<6:m1vq~oi:181[ga34>;>7oi;|qa4?6=:rTi<63;038a4>{t;?21<75<42808wA=i8;3xL4`53t.8nl4=239jec<722ci<7>5;n15;>7>53;191~"4jh0?>oe83:17b=98;29?g5an3:1?7>50z&0fd<2>2B8j;5f13a94?=n9;n1<75`26c94?=zj=:;6=4<:183!5ei3?=7E=i6:k26f<722c:>i4?::m13d<722wi8=?50;194?6|,:hj6?9j;I1e2>o6:j0;66g>2e83>>i5?h0;66s|ag83>6}Yio16?kh513f89167288h7p}m0;297~Xe8278jk4>2b9>056=9;n0q~=98;296~X4>1168=?526c8yv5am3:1>v3057=9;n0qp}nf;296~Xfn27?;38;08:55r}c62=?6=;3:1N4n?1b=?m50;9j57b=831d>:o50;9~f17e29086=4?{%1ae?323A9m:6g>2b83>>o6:m0;66a=7`83>>{e<8n1<7=50;2x 6df2h9;h31g?6=3`;9h7>5;n04e?6=3th?=k4?:283>5}#;kk1985G3g48m44d2900e<k1<75rb500>5<4290;w)=ma;76?M5a>2c:>n4?::k26a<722e9;l4?::a073=8391<7>t$2`b>03<@:l=7d?=c;29?l75l3:17b<8a;29?xd3:>0;6>4?:1y'7gg==<1C?k84i00`>5<5<957>53;294~"4jh0>96F5<7s-9im7;:;I1e2>o6:j0;66g>2e83>>i5?h0;66sm36:94?4=83:p(>ln:014?M5a>2c:>o4?::m13d<722wx8<650;1x970e2hl019?6:35b?826j3;9h6s|40c94?5|5;71f34>:h7?=d:p04e=839p1?8k:`d8917c2;=j70:>f;31`>{t<8o1<7=t=34f>d`<5=;m6?9n;<615?75l2wx8?>50;1x970a2hl019<>:35b?825;3;9h6s|43094?5|5;=;6lh4=500>71f34>997?=d:p072=839p1?9>:`d891422;=j70:=7;31`>{t<;<1<7=t=351>d`<5=8<6?9n;<61=?75l2wx8?650;1x970?2hl019<6:35b?825j3;9h6s|43c94?4|5;<26lh4=50a>71f3ty8:n4?:3y]73e<5;<26>87;%14f?51j2d8;l4?;|q02d<72;qU?;o4=34;>60?3-987;%14f?51j2d8;l4=;|q033<72;qU?:84=352>60?3-987;%14f?51j2d8;l4;;|q031<72;qU?::4=34e>60?3-94?:3y]725<5;87;%14f?51j2d8;l49;|q037<72;qU?:<4=34g>60?3-987;%14f?51j2d8;l47;|q02c<72;qU?;h4=34a>60?3-93ty8:h4?:3y]73c<5;87;%14f?51j2d8;l4n;|q02<<72;qU?;74=25;>71f3-952z\a1>;5>00i<6*<7c8a0>h4?h0;7p}m3;297~Xe;279:54m0:?76g<6:j1/?:l5b59m72g=92wxo=4?:2y]g5=::>81n=5243;957e<,:=i6o:4n25b>7=z{kl1<7=t^cd897162k:019<8:00`?!50j3h?7c=8a;18yvdb2908wSlj;<044?d734>997?=c:&03g;3::0:>n5+36`9f1=i;>k196s|bb83>6}Yjj16>;k5b19>077=9;i0(>9m:c68j61f2?1vol50;1xZgd<5;4=53e>44d3-9d;31g>"4?k0i86`<7`8;?xue03:1?vPm8:?12g2b9'72d=j=1e?:o59:pf2<72:qUn:5227c9f5=:<831=?m4$25a>g2288o70=88;31f>"4?k0i86`<7`8a?x{e:?<1<7:52;6xH6`?28qC=k<4}M1e=?1|f=o:69<4$5ff>1563->o;7l>;%6f0?g<,=n26>87;%6f7?1<,=o;6=5r$2`b>4`23S2i6?u=0;3f>x\4?009w?<51c8~k60b290/>4j537f8j7?d2910c>86:18'67>5$3;g>g05<42808wA=i8;3xL4`53t.8nl4=239jec<722ci<7>5;n15;>7>53;191~"4jh0?>oe83:17b=98;29?g5an3:1?7>50z&0fd<2>2B8j;5f13a94?=n9;n1<75`26c94?=zj=:;6=4<:183!5ei3?=7E=i6:k26f<722c:>i4?::m13d<722wi8=?50;194?6|,:hj6?9j;I1e2>o6:j0;66g>2e83>>i5?h0;66s|ag83>6}Yio16?kh513f89167288h7p}m0;297~Xe8278jk4>2b9>056=9;n0q~=98;296~X4>1168=?526c8yv5am3:1>v3057=9;n0qp}nf;296~Xfn27?;38;08:55r}c14l16>;o537:8 61e2:vP<689>72>=:>k0(>9m:24g?k50i3;0q~l8:181[d0348=m7l?;%14f?d13g94}r`1>5<4sWh970<9a;ce?85003;9n6*<7c8a2>h4?h0:7psm24094?0=;32p(>ln:371?j43l3:17d?j8;29?l27n3:17d<;6;29?l4303:17d:?7;29?g4293:1?7>50z&0fd<2>2B8j;5f13a94?=n9;n1<75`26c94?=zj;>m6=4::183!5ei3?o7E=i6:k26f<722c:>i4?::k26`<722c:>k4?::m13d<722wi>8>50;194?6|,:hj6?9j;I1e2>o6:j0;66g>2e83>>i5?h0;66s|25f94?4|V;>o70<:0;04e>{t9l21<7=t^0g;?84293;9o63=4g826`=z{=:m6=4={_63b>;5=80:>i5rs365>5<5sW8?:63=4g826f=z{;>36=4={_07<>;5i5rs524>5<5sW>;;63=4g826c=z{;>n6=4={<065?40i2799=4>2b9~w72a2909w0<;f;04e>;5=90:>i5r}c00a?6=>3?1mv*h9;h31g?6=3`;9h7>5;n04e?6=3th9?44?:583>5}#;kk19l5G3g48m44d2900e<50z&0fd<5?l1C?k84i00`>5<5<53;294~"4jh0>96F5<7s-9im7<8e:J0b3=n9;i1<75f13f94?=h:>k1<75rs314>5<5sW88;63=3b813d=z{8o36=4<{_3f<>;5;m0:>n5222`957e;n7>52z\74g=:::n1=?j4}r072?6=;rT98;5222;957e<5;9j6<964=31:>44c3ty?<84?:3y]053<5;926<>j526c8975d288h7p}=3883>7}:::31>:o4=31b>44c3ty9;>4?:3y>66g=:>k01?=m:00g?xu5?=0;6?u222`962g<5;9h6<7523f88=7>5;h017?6=3`89;7>5;h015;h01e?6=3`89n7>5;h01g?6=3`89h7>5;h01a?6=3`89j7>5;h010?6=3`89:7>5;c006?6=13:1N4n?1b=?m50;9j57b=831b=?k50;9j57`=831b=>>50;9j567=831b=><50;9j565=831d>:o50;9~f754290>6=4?{%1ae?3d3A9m:6g>2b83>>o6:m0;66g>2d83>>o6:o0;66a=7`83>>{e::>1<7=50;2x 6df2h9;h31g?6=3`;9h7>5;n04e?6=3ty9?<4?:3y]667<5;9?6?9n;|q166<72;qU>?=4=310>44d3ty9>:4?:3y]671<5;996<?64=311>44c3ty9>44?:3y]67?<5;996<?o4=311>44b3ty9>o4?:3y]67d<5;996<=?;|q16f<72;qU>?m4=311>4553ty9>i4?:3y]67b<5;996<=>;|q16`<72;qU>?k4=311>4543ty9>k4?:3y]67`<5;986<?:4=310>44c3ty9>;4?:3y]670<5;986<><526c89753288h7p}=3283>7}:::91>:o4=317>44c3twi494?:480>2}#;kk1495`7g83>>o6mk0;66g;5783>>o?=3:17d9j:188f=5=8391<7>t$2`b>00<@:l=7d?=c;29?l75l3:17b<8a;29?xd?93:1?7>50z&0fd<2>2B8j;5f13a94?=n9;n1<75`26c94?=zj181<7=50;2x 6df2;=n7E=i6:k26f<722c:>i4?::m13d<722wx;k4?:3y]3c=:0;09;l5rs0ga>5<5sW;nn6373;31`>{t<<<1<74288h7p}75;296~X?=273=7?=c:p3`<72;qU;h5280826a=z{1:1<75<5s42:6?9n;<:1>44c3twi88k50;797?1|,:hj69;j;n66=?6=3`;nm7>5;h663?6=3`>>j7>5;h662?6=3k>>h7>53;294~"4jh0>:6F5<7s-9im7;9;I1e2>o6:j0;66g>2e83>>i5?h0;66sm44a94?5=83:p(>ln:35f?M5a>2c:>n4?::k26a<722e9;l4?::p00?=838pR9;6;<66g?40i2wx=ho50;0xZ4cf34>>h7?=d:p001=838pR9;8;<66`?75k2wx88h50;0xZ13a34>>n7?=c:p000=838pR9;9;<66f?75l2wx88o50;0x913c2;=j70::c;31g>{t<71f34>>o7?=d:~f72d290o6>4i{%1ae?43k2e9854?::k166<722c9>:4?::k16=<722c9>44?::k16d<722c9>o4?::k16f<722c9>i4?::k16`<722c9>k4?::k161<722c9>;4?::`10<<7200;6=u+3cc962?<@:l=7d?=c;29?l75l3:17d?=e;29?l75n3:17d?<0;29?l7493:17d?<2;29?l74;3:17b<8a;29?xd55<5<5<53;294~"4jh0>96F2b9~w74?2909wS<=8:?10<<6:l1v?<6:181[451279844>319~w74f2909wS<=a:?10<<6:o1v?309~w74d2909wS<=c:?10<<6;:1v?339~w74b2909wS<=e:?10d<6:j1v?2d9~w7432909wS<=4:?10d<6:m1v?<9:181[45>2798l4>2g9~w72>2909w0<;9;04e>;5n5rs36b>5<5s48?m7<8a:?10g<6:m1vqo?j7;290?6=8r.8nl4:8:J0b3=n9;i1<75f13f94?=n9;o1<75`26c94?=zjji1<7=50;2x 6df2<<0D>h9;h31g?6=3`;9h7>5;n04e?6=3th8o?4?:283>5}#;kk1985G3g48m44d2900e<k1<75rb3`1>5<4290;w)=ma;04a>N4n?1b=?m50;9j57b=831d>:o50;9~f63a290>6=4?{%1ae?3c3A9m:6g>2b83>>o6:m0;66g>2d83>>o6:o0;66a=7`83>>{e:ho1<7=50;2x 6df2<=0D>h9;h31g?6=3`;9h7>5;n04e?6=3th9n=4?:483>5}#;kk19o5G3g48m44d2900e<5<50z&0fd<2k2B8j;5f13a94?=n9;n1<75f13g94?=n9;l1<75`26c94?=zj;>>6=4<:183!5ei3?>7E=i6:k26f<722c:>i4?::m13d<722wi>8o50;194?6|,:hj6?9j;I1e2>o6:j0;66g>2e83>>i5?h0;66sm25594?5=83:p(>ln:478L6`13`;9o7>5;h31`?6=3f85;|`1ed<72:0;6=u+3cc912=O;o<0e<50z&0fd<50=1C?k84i00`>5<5<5<53;294~"4jh0>96F;I1e2>o6:j0;66g>2e83>>o6:l0;66a=7`83>>{e<<21<7=50;2x 6df2<<0D>h9;h31g?6=3`;9h7>5;n04e?6=3th?:=4?:283>5}#;kk1>:k4H2d5?l75k3:17d?=d;29?j40i3:17pl<6383>7<729q/?oo51258L6`13`;9n7>5;n04e?6=3th9994?:383>5}#;kk1=>94H2d5?l75j3:17b<8a;29?xu4>:0;68uQ371896042;3m70?j7;31`>;4k;0:>n52244957b9z\:`>;6m<0j863j2;c7?820j3k?70=mb;c7?851;3k?70=:c;c7?84393k?70<;0;c7?841:3k?70<91;c7?84183k?70<:f;c7?842m3k?70<:d;c7?842k3k?70<:b;c7?844n3k?70<<6;c7?84483k?70=je;c7?84f03k?709j:`6891g22h>019;9:`68yv5??3:1?vP<869>60>=9;n01?:8:00g?xu4=j0;6?uQ34a8963d2;3m7p}78;291~X?0278ih4<819>60>=9;i01?:8:00`?84fk3;9o6s|44494?3|V=?=70::6;0:b>;?<3>>:63;5d8713=:<<21=?m4}rab>5<5sWij70k=:`;8yv5>n3:1>vP<9g9>6dg=9;n0q~:8a;296~X3?h168:l5a99~w1g22909wS:n5:?7e0<51o1v:k50;1xZ2c<5>o1>4h4=9693`=z{=in6=4={_6`a>;5j=0:>n5rs3c;>5<4sW8j463=a981=c=::k>1=?j4}r0`7?6=:rT9o>522`c957e52z?17c{t;??1<7d><5:<=6?9n;|q024<72;q6?8m5a99>734=:>k0q~?j8;29b~;6m<094n5225397=6<5;>;6>6?;<056?5?8279:<4<819>636=;1:01?;i:2:3?842m393<63=5e80<5=::4=37a>6>734>j97o7;<066?7b0279?h4>e99>615=9;l0q~?j9;297~;6m<095=5287826f=:00c=9lk0158513f89107288o7p}>ec83>6}:9l?1>4:4=9695`d<51<1=?k4}r66b?6=:r7?9h4;5g9>036=:>k0q~7>52z?106<5?h16>8o513a8yv4fj3:1>v3=b3826a=::hi1>:o4}r66=?6=:r7?9;4n8:?71`<3=01v?:;:181843=38=6=4<{<066?43>279?h4=479>611=:>k0q~?j6;296~;6m<0:ii521d5962g2e9>600=9;i0q~=l1;29g~;4jk084=522539e0=::=:1m8522709e0=::?;1m8522729e0=::d><51>1;k5rs9794?4|51>1485287813d=z{;9:6=4={<004?g?348897<<1:p02d=839p16=4<{<062?40i2798>4>2e9>613=9;i0q~<:7;296~;5=109;l52251957c57>52z?11d<5?h16>8:513`8yv22?3:1>v3;5d8712=:<<21>:o4}r0766c=:=201?:l:36;?843;3;9o6s|22294?4|5;9;6?7i;<3f3?75m2wx>o?50;0x97g?2h201?l=:35b?xu5j:0;6?u22c6962g<5;kh6<>h5a49>660=i<16>8:526c8yv4fl3:1>v3=b3826f=::ho1>:o4}r0bb?6=:r79n=4=7`9>6g2=9;o0q~:?e;296~;5<80j463=66802f=#;>h18=j4n25b>5=z{=:h6=4={<074?g?348=;7=9a:&03g<38m1e?:o51:p041=838p1?8=:`:897002:=<7)=8b;63`>h4?h097p};1783>7}::?;1m5522759720<,:=i69>k;o14e?5:97>52z?1259n:59~w1732909w0<:f;c;?841?39<86*<7c874a=i;>k196s|40194?4|5;?n6l64=344>6143-960b=i116>;953608 61e2=:o7c=8a;58yv2693:1>v3=5b8b<>;5>>08;<5+36`905b5<5s48>n7o7;<053?51n2.8;o4;0e9m72g=12wx8=h50;0x97002:"4?k0?{t<9h1<760>3488i7:?b:&03g<38m1e?:o5b:p670=83>p1?:>:3;e?841?3h>70<<5;012>;5;5+36`96735<3s48?<7<6f:?12261e=:;>0(>9m:306?k50i3;0q~<=f;290~;5>;095k522759g5=:::?1>?h4=36`>74a3-9h4?:5y>637=:0l01?88:cd897522;8n70<;c;01a>"4?k09>85a36c97>{t:;n1<7:t=343>7?a348=;7lj;<001?45l2798n4=2e9'72d=:;?0b>9n:59~w74d290?w0<:f;0:b>;5>>0ih63=34816f=::=i1>?m4$25a>7423g9631=jj16>>;523`8972d2;8i7)=8b;011>h4?h0=7p}=2`83>1}::4h4=344>gd<5;9>6??750;6x973d2;3m70<97;`b?844=389563=4b816<=#;>h1>?;4n25b>==z{;836=4;{<06f?4>n279::4m8:?170<5:116>9m523:8 61e2;8>7c=8a;;8yv45?3:1:v3=3g81=c=::?=1n:522749f2=:::?1>?94=36`>740348ji7?=d:&03g<5:<1e?:o5a:p675=837423g95<5s4o96?o=;44c3-9dd<5l81>l>4=ba957e<,:=i6h:4n25b>4=z{:i;6=4<{<1af?4f=278:;4>2g9>70`=9;l0(>9m:2`e?k50i3:0q~=me;297~;4jk09m>52374957b<5:?m6<;|q0fa<72:q6?ol52`089601288h70=:f;31a>"4?k08nk5a36c96>{t;ki1<7=t=2`a>7g7349=:7?=e:?01c<6:j1/?:l53cd8j61f2:1v9>8:181841>39=i63=538742=#;>h18=84n25b>5=z{=:>6=4={<052?511279?h4;049'72d=<9<0b>9n:09~w6`42909w0=je;0b1>;5j90:>k5+36`97c45<5s49ni7h?:18185bm38j>63=b1826a=#;>h1?k<4n25b>7=z{:om6=4={<1fa?4f8279n=4>2b9'72d=;o80b>9n:29~yg2413:1h7j516yO7c>=9rB:j?5rL2d:>7}i{#;kk1=?=4o5a4>5<5<5<>o5i10;66g=c283>>o4;?0;66g>3583>>o5l10;66g>8`83>>d1:3:1?7<54zN0b=<6sA;m>6sC3g;95~"3m9097p*>of<3:17o950;194?6|,:hj6h94H2d5?I5a03;p(<=9:5f`?xo?k3:17do7:188k7?a2900qoo8:181>5<7s-9im7?<7:J0b3=n9;h1<75`26c94?=z{h>1<7v38:3;e?[4>n2wx>:;50;0xZd><5>0j46srb547>5<42808wA=i8;3xL4`53tF8j44>{%6f4?45;hc7>5<0;6>4?:1y'7gg=m>1C?k84i9a94?=ni10;66a=9g83>>{ti=0;6?uQa59>3?>d3ty9;>4?:3y>3?4>n2T95k5rs357>5<5sWk37095a99~yg2d?3:187?54zN0b=<6sA;m>6sC3g;95~"3m9097p*>of<3:17d68:188f2<72=0;6=u+3cc9ad=O;o<0e5m50;9je=<722c95h4?::m1=c<722wxm94?:3y]e1=:?32h7p}77;296~X??27<6?7j;|q136<72;q6;7<6f:\1=c=z{;=?6=4={_c;?81=i11vqo66:180>5<7s-9im7<8f:J0b3=n9;i1<75f13f94?=h:>k1<75rb26`>5<4290;w)=ma;76?M5a>2c:>n4?::k26a<722e9;l4?::a71?=83>1<7>t$2`b>0?<@:l=7d?=c;29?l75l3:17d?=e;29?j40i3:17pl<4c83>0<729q/?oo55c9K7c05<5<50z&0fd<212B8j;5f13a94?=n9;n1<75f13g94?=h:>k1<75rb5aa>5<3290;w)=ma;7;?M5a>2c:>n4?::k26a<722c:>h4?::m13d<722wi:94?:383>5}#;kk1=>94H2d5?l75j3:17b<8a;29?xd3>h0;6?4?:1y'7gg=9:=0D>h9;h31f?6=3f85;|`7ga<72;0;6=u+3cc9561<@:l=7d?=b;29?j40i3:17p};c683>7}YuQ19f8962>288h70=;b;31a>{t1<7{t<=81<7:t^561?82d?32<7066:00`?803288i7p}78;290~X?027357<8a:?72=<6:m168nl513f8yvc1290?wSk9;<41>d2<5=d252z\1e==:;=i1=?m4}r0`7?6=;rT9o>5235;957c<5:>i6<84=26f>44b3ty:?94?:2y]562<5=<36<i650;1xZ7b?349?57?=d:?00g<6:m1v<6n:181[7?i2788h4>2g9~w1ed2909w0:l7;c;?82dl387}:>;095k5288826a=z{=<26=4={<650?g?34>=m7<8a:p71b=838p1>:l:00g?853m38;3kk0:>n5rs26;>5<5s49?57<8a:?00`<6:j1v>:n:181853j38=09;l5rs544>5<5s4>=47<8a:?72d<6:k1vqo:n2;297?7=;rF8j54>{I3e6>{K;o31=v*;e185?x"4jh0:j:5fe083>>o4k:0;66a<6983>>d029086=4?{%1ae?>f3A9m:6g>2c83>>odi3:17b<8a;29?xub93:1>vPj1:?4>fg52z?4>71f3W9=46s|26694?4|V:i8709513`8yxd3<<0;6>4>:2yO7c>=9rB:j?5rL2d:>4}#>i5?h0;66s|e083>7}Ym816;7mn;|q136<72;q6;7<8a:\02==z{;=?6=4={_1`7>;0288i7psm46d94?5=939p@>h7:0yK5c43=z,:hj65<5<0;6>4?:1y'7gg=0h1C?k84i00a>5<:=50;0x92<5?h1U?;64}r040?6=:rT8o>527;31f>{zj=km6=4<:080I5a03;pDn<784}%1ae?7a?2cn=7>5;h1`7?6=3f9=47>5;c594?5=83:p(>ln:9c8L6`13`;9n7>5;hab>5<5<5sWo:7095c`9~w7142909w09526c8Z60?3ty9;94?:3y]7f5<5>0:>o5r}c6ab?6=;3;1?vBf69ja4<722c8o>4?::m02=<722h<6=4<:183!5ei32j7E=i6:k26g<722chm7>5;n04e?6=3tyn=7>52z\f5>;02jk0q~<83;296~;02;=j7S=98:p622=838pR>m<;<5957d5}#;kk1=>94H2d5?l75j3:17b<8a;29?xd3<80;6?4?:1y'7gg=9:=0D>h9;h31f?6=3f85;|`70c<72;0;6=u+3cc9561<@:l=7d?=b;29?j40i3:17pl;3g83>6<729q/?oo526g8L6`13`;9o7>5;h31`?6=3f85;|`7e1<72;0;6=u+3cc9561<@:l=7d?=b;29?j40i3:17p};a383>1}Yj>63;a3802==z{=<>6=4={_651>;3;00?:95rs560>5<3sW>??63;de8707=:<:h189<4=51:>1253ty?o54?:3y]0f><5=9269m8;|q7ea<72:qU8lj4=5fg>1gd34>8n7:nc:p0d>=838pR9o7;<6g`?2f02wx4k4?:3y]474}r6:g?6=:rT?5n524ef90>87>52z\711=:<:k188>4}r6:e?6=:rT?5l524ef90=d==7>52z\724=:3:1:vPj6:?773<>l27?hi46d:?77g<>l27??946d:?77<2wx8;850;0xZ10134>8m7:94:p012=838pR9:;;<671?5102wx89k50;0xZ12b34>?j7<8a:p02g=838pR99n;<600?20i2wx84l50;0xZ1?e34>oh7:m9:p0f?=838pR9m6;<60e?2d?2wx8lk50;0xZ1gb34>jj7=98:p0=`=838pR96i;<6g`?2?n2wx5=4?:3y]=5=:<:k15=5rs9f94?4|V1n019=n:9f8yv24k3:1>vP;3b9>06b=:>k0q~:;0;296~X3<91689?526c8yv20l3:1?vP;7e9>0ab=<>i019=m:55`?xu3i?0;6?uQ4`4891bc2=k=7p};6c83>7}Y7}Yl0;6?uQ47g8915e2=7}Y<>8019=n:551?xu3ko0;68uQ4bd891512=in70:kd;6`a>;3;k0?oh5242690fc>=7>52z\714=:<:h188?4}r157?6=:r7?hi4<659>062=;?90q~=<7;296~;3;?08?:5242;976052z?77g<6<1168>o515:8yv7?l3:1?v3;37824>c3ty:?54?:3y>06d=9:2019=n:01;?xu3i:0;6?u24`09a4=:1>:o4}r3;a?6=:r7??o4>8d9>06?=91o0q~85395891532:2<7p};c;296~;3;h0?n63;3g826a=z{=n1<71d<5=9m6<o546a8911a2:i87p}<5b83>7}:63d3ty347>55z?773{t9?k1<740c34>8m7?9a:p5<7=838p19=m:0;2?824i3;2=6s|4`794?4|5=9?69o:;<6b0?75j2wx;h4?:6y>06g=?l168>:57d9>013=m8168:h5e09>0d`=m8168oh5e09>01`=9;h0q~?;d;296~;3;?0:8i5242`951bi<7>52z?77d<3ij168lh53b18yv24m3:1>v3;3e826g=:<:l1>:o4}r0b06?=:h20q~?9f;296~;3;k0::k5242c95=?52z?773<5k:168ij52b18yv7c?3:1>v3;3c82`2=:<:k1=i94}r672?6=:r7??l4;469>013=;j90q~=<6;297~;3;?08?;524ef9760<5=9i6>=9;|q271<72;q68>851268915>289?7p};c183>7}:<:k18oj4=5`e>6e43ty9h54?:3y>0ab=:m2019=6:3f;?xu60h0;6?u242`95=g<5=926<6n;|q2f`<72;q68>l51cg8915f28n=7p}:4;296~;3;k0>863;40826g=z{;=86=4={<1e3?75127?m?427?hi4=b79'72d=:k=0b>9n:09~w7e62909wS9n:29~w7da2909wS9n:49~w7dc2909wS9n:69~w7de2909wS9n:89~w7d>2909wS9n:c9~w22=838pR::4=515>14<,:=i6:=4n25b>5=z{>81<79m:618j61f281v:?50;1xZ27<5=9=6>h4=5fg>6`<,:=i6:=4n25b>7=z{>:1<7=t^62891512:n019jk:2f8 61e2>90b>9n:29~w3c=839pR;k4=515>6d<5=no6>l4$25a>259m:618j61f2<1v;m50;1xZ3e<5=9=6>74=5fg>6?<,:=i6:=4n25b>3=z{?h1<7=t^7`891512:2019jk:2:8 61e2>90b>9n:69~w3g=839pR;o4=515>61<5=no6>94$25a>259m:618j61f201v;650;1xZ3><5=9=6>;4=5fg>63<,:=i6:=4n25b>d=z{?=1<7=t^75891512:>019jk:268 61e2>90b>9n:c9~w30=839pR;84=515>65<5=no6>=4$25a>259m:618j61f2m1v:m50;1xZ2e<5=9=69o4=5fg>1g<,:=i6:=4n25b>`=z{>h1<7=t^6`891512=3019jk:5;8 61e2>90b>9n:g9~w2g=838pR:o4=5fg>1><,:=i6:=4n25b>46<7)=8b;50?k50i3;:7p}88;296~X0027?hi4;6:&03g<0;2d8;l4>2:p32<72;qU;:524ef900=#;>h1;>5a36c956=z{><1<70(>9m:618j61f28>0q~9::181[1234>oh7:<;%14f?143g9"4?k0{zutwKLNu>a1:97c64jmk;qMNM{1CDU}zHI \ No newline at end of file +$5g7==)&&IEX#x8;/,,GV(q02$%#K]M.w;8*+)NGKG%z45!..KLFV(q02$%#_OB.w`8*+)Pi{zXI#x>;1;84Zhh|9:;<<640^llp5678Vcf|R>Pnnv3456692;n7<<5D`vbE976294:86?=:HLSQQ:1<26>752F__\XZ5R@>25?699?1:>7AZTQWW>Wct}e~7=<4?>c926?TF48;1<3h4138Qavsk|5;:6=0>1:31>Zgcl9:;=1?>:10:35>LHW]]0J0?=58?386d=593K7><4>>02864442HUM_O2=3;3=51=5;3CE\XZ5A^DPF94428437?4K<083:f=52MkmL2>:1<:?7:14=Whno<=>?<083:4=4n291EC^ZT;QT86<6611?6I2<:1<`?14?>897>@U;;3:5i6:5IORVP?B;;3:5=?5;:HLSQQ4?>g97>JSSX\^1]1=50?37?119:69SV:4294m794Paef3456;;3:596O311<6?D:697?0M1?=>49B8459=2K7=90:;@>21;3G;914>7L2>9?68E979=2K7>=08;@>15?79=2K7><0:;@>16;117;2G;?7>0M1614:C?=;227;?89B[CUE48?556OPFR@?538>3HUM_O2>7?;8EZ@TJ5;3245N_GQA84?902KTJ^L31?;8EZ@TJ58;245N_GQA877912KTJ^L3231:==FWOYI0>07;@]EWG:3611JSK]M<4<;?DYA[K6=255N_GQA828?3HUM_O27>99B[CUE404?7Lmcr79BkprHMl1Jcxz@E^ov|5678o1Jcxz@E^ov|56788;;7LaztNG\ip~789::=<>4AnwwK@Yj}q:;<=<>f:ClqqIBWds<=>?3008EjssGLUfyu>?01]jiubDFK]Uba}QMABV20>DRNL20NX]PIODL5>E33J;=A;5L21OFJ3=D:5L8L68G=Ku;2IJ_o5LAR]nq}6789i0OL]Pmtz34566l2IJ_Rczx123447c3JKXS`{w012364eEF[Vg~t=>?023g?FGTWds<=>?40a8GDUXe|r;<=>:f:ABWZkrp9:;EHEDC_XHJ8;BPFEQCC:2IX:6Mce`p27>Ekc<1H`fKa7:AooZ@TE8>0OaePIOT\mhvXKeaTECX>1:F7?A:76?1O0<4?>59G84833M692;5K<283:1=C4:4<7Io{a=2=3>Bf|h6:285KaucB<>Bf|hK7<374D`vbE9776k1OmyoN<0394;?>`9GeqgF480;255KaucB848?3MkmL2=>`9GeqgF4:0;255KaucB868?3MkmL2;>99GeqgF4<437Io{a@>5:==Ci}kJ0:07;EcweD:?611OmyoN<8<5?Air|FOn7IaztNG\ekb789:9>6J`uuMF[dhc89:;Sdc_EmvpJCXign;<=>>e:FlqqIBWhdo<=>>239GkprHMVkeh=>?1^kntZBh}}ENSl`k01225a=Cg|~DIRa}012365=Cg|~DIRa}0123[lkwWMe~xBKPos23457c3Me~xBKPos2344473Me~xBKPos2344YneyUOcxz@E^mq4566981N96KIamn24>CAiefTe`~PEGcoh4449FW979=2OX0?08;DQ?7?69=2OX0>0n;DQ\ekb789::m6K\_`lg4567W`g{SH]Paof34566i2OXSl`k01225d=B[Vkeh=>?1^kntZCTWhdo<=>>1e9FWZejxVoz<=>?219FWZejxVoz<=>?_hos[@UXkdzTi|>?013g?@UXkdzTi|>?0003?@UXkdzTi|>?00]jiuYB[Vif|Rk~01225<=B[Vey<=>?199FWZiu89:;Sdc_DQ\kw6789;>7HZNCJ33?@RFKBUha}Qjq1234706HK3:DGG1=ALJO87KJ_2:DE0>@Al8=0JKj>-Hl;?C@c9$Ce=45IFe3.Mk7602LMh<#Fn3`8BCb6%@dTe`~;;GDg62=ANm8&Ec64FGf1)Lh612LMh?#Fn03;?C@c:$Ce>45IFe0.Mk4602LMh?#Fn2;8BCb5%@d8=45IFe0.Mk2602LMh?#Fn4`8BCb5%@dTe`~;;GDg72=ANm9&Ec64FGf0)Lh612LMh>#Fn03:?C@c;$Ce><64FGf0)Lh4j2LMh>#Fn^knt1=ANm><7KHk4,Km<>@Al='Bb<74FGf7)Lh6911MJi:"Io0a?C@c<$CeSdc4:DE`021MJi9"Io:8BCb0%@d:56HId6/Jj47?3OLo; Ga289EBa1*Ag8:56HId6/Jj67?3OLo; Ga4c9EBa1*AgUba}?;;GDg3(OiW`g{SKHk7,Km51=ANm2<7KHk8,Km<>@Al1'Bb<74FGf;)Lh6911MJi6"Io0a?C@c0$CeSdc3:DPF6=A[Di0J^CP0,rbv`hsk2LXAR?"p`pfjqe ~nrdlwg>@TEV9&|l|jnu38C6=@FM;0E?5F039J57=N:;1B??5F439J17=N>;1B;95FABQf?LGD[Vcf|RGNCR37?LHN\:1BB[;4INC@Pa=NGHI_Sl`k012365=NGHI_Sl`k0123[lkwW@EJOYQnne23457c3@EJOYQnne2344473@EJOYQnne2344YneyUBCLM[_`lg45669m1BCLM[_lw{4567m2CDMNZPmtz34566n2CDMNZPmtz345669l1BCLM[_lw{4567:o1BCLM[_lw{4567:8l0EBOLT^ov|5678:;n7DANCU]nq}6789>:=6G@ABV\ip~789:Te`~l;HMBGQYhz9:;<?0037?LIEE=1BCO];;HM@W0=NGJY:96G@CR06?LID[:i0EBM\_`lg45679l1BCN]Paof3456XadzTEBM\_`lg45679o1BCN]Pclr\at67898?7DALS^antZcv89:;Sdc_HM@WZejxVoz<=>?1g9JKFUXkdzTi|>?0007?LID[Vif|Rk~0122[lkwW@EH_Rmbp^gr45669o1BCN]Pclr\at678;8?7DALS^antZcv89:9Sdc_HM@WZejxVoz<=>=1g9JKFUXkdzTi|>?0207?LID[Vif|Rk~0120[lkwW@EH_Rmbp^gr45649o1BCN]Pclr\at678=8?7DALS^antZcv89:?Sdc_HM@WZejxVoz<=>;1g9JKFUXkdzTi|>?0407?LID[Vif|Rk~0126[lkwW@EH_Rmbp^gr45629o1BCN]Pclr\at678?8?7DALS^antZcv89:=Sdc_HM@WZejxVoz<=>91g9JKFUXkdzTi|>?0607?LID[Vif|Rk~0124[lkwW@EH_Rmbp^gr45609o1BCN]Pclr\at67818?7DALS^antZcv89:3Sdc_HM@WZejxVoz<=>71g9JKFUXkdzTi|>?0807?LID[Vif|Rk~012:[lkwW@EH_Rmbp^gr456>9o1BCN]Pclr\at67998?7DALS^antZcv89;;Sdc_HM@WZejxVoz<=??199JKFUXadz=7DAKOOGg?LICGGOTc>?0103?LICGGOTc>?01]jiuYNGMEEIRa}01235==NGMEEId`8;HMGKKCu<2CD@=m4INN3[hs89:;h6G@L1]nq}6789;m7DAC0^ov|56788::i6G@L1]nq}6789;:j6G@L1]nq}6789;:=k5FOM2\ip~789::>k4INN3[hs89:;=9j4INN3[hs89:;>h5FOM2\ip~789:9=h5FOM2\ip~789:8=h5FOM2\ip~789:?=h5FOM2\ip~789:>=h5FOM2\ip~789:==i5FOM2\ip~789:0EBB>c:KLH4Yig}:;<>6:KLTRET12CD\Z\jae{2<>OHX^XnmiwPilr\MJVPZlkou<94INPGV@Wa3@EYH_K^_`lg4567:=1BC_J]EP]bja6789Uba}QFOSFQATYffm:;<=?i;HMQ@WCVWds<=>?119JKWBUMXUfyu>?01325>OHZMXN]Rczx123447692CD^I\JQ^ov|5678;;:=6G@REPFUZkrp9:;<>?>0:KLVATBYVg~t=>?0532?LIUL[OZS`{w012304773@EYH_K^_lw{4567=8;0EB\KRDS\ip~789:>=91028MJTCZL[Taxv?012456=NG[NYI\Qbuy2345Yney20EB\KRDSq2>OHZL[y=?5FOSGRvZojxVCD^H_}149JKWQ6l2CD^Z?Paof3456b3@EY[OH[9Ujbi>?01]jiuYNGZ:Tmcj?0122g>OH[9Ufyu>?01f8MJU7Wds<=>?1d9JKV6Xe|r;<=>>1d9JKV6Xe|r;<=>=1e9JKV6Xe|r;<=><119JKV6Xe|r;<=>Pilra?LIT8Vey<=>?1b9JKV6Xg{:;<=Qfmq]JKV6Xg{:;<=?j;HMP[fkwWl{;<=>j;HMP[fkwWl{;<=?j;HMP[fkwWl{;<=?00d8MJS7Wds<=>?113f?LIR8Vg~t=>?003e?LIR8Vg~t=>?0032b>OH]9Ufyu>?01315`=NG\:Taxv?01227`=NG\:Taxv?01220a=NG\:Taxv?0121a>OH]9Ufyu>?0102a>OH]9Ufyu>?0112a>OH]9Ufyu>?0162a>OH]9Ufyu>?0172a>OH]9Ufyu>?0142`>OH]9Ufyu>?015f?LIR8Vg~t=>?063g?LIR8Vg~t=>?09g8MJS7Wds<=>?80g8MJS7Wds<=>?9033?LIR8Vg~t=>?0^knt1=NG\;h7DAZ1^llp56798o0EB[>_omw4566W`g{SDAZ1^llp56798?0EB[KS69JKRTUMXl0EBY]RDS\ip~789::<6G@WSPFUZkrp9:;<;HMTVWCVWds<=>?1032?LIPZ[OZS`{w012364763@E\^_K^_lw{4567;8;;7DAXRSGR[hs89:;8;1028MJQUZL[Taxv?012654=NG^XYI\Qbuy234536981BCZ\]EP]nq}6789<:==5FOVPQATYj}q:;<=9>3:KLSWTBYVg~t=>?0^knt==NG^XYI\|=;HQ7?LUSKl1B_YMPilr\MVRD9m1B_Rmbp^gr4567l2CXSnc_ds3457c3@YTo`~Pep2347bOi8:1Bb<=4Io00?Lh4;2Ce8h5DEDR\LLCUI[ICU:5COFK@EIbJWEVKOHR]ZB^1a?IVJWK_XX_G>d:NSIZEH]G^N^R?k;MRN[FIRF]OYS?j4LQO\GJSI\LXT?55CPL]GBCY>3EZFSIHI_0c8HUKXLOLT==o4LQO\@C@X98k0@]CPDGD\57gh1G\@QKFG]13d=KXDUOJKQ=8`9OTHYCNOU9545CPL]GBCY4i2F[ARJIF^13e>JWEVNMJR=>a:NSIZBANV99m6B_M^FEBZ54i2F[ARJIF^17e>JWEVNMJR=:a:NSIZBANV9=m6B_M^FEBZ5012F[ARJIF^6:?IVJWMLMS874LQO\@C@X>01G\@QKFG]4=>JWEVNMJR66;MRN[A@AW0k0@]CPXNP1[4?`9OPlkbz5;;2n5CThofv976294j7AZfmdp?548>3E^bah|31?;8HQojm{69245CThofv95912F_e`k}<5<:?IRnelx79374LUknaw:1601GXdcjr=5==>JSadoy0506;MVji`t;1720@YgbesV@56=K\`gn~YMPclr\at6789;?7AZfmdpWGZejxVoz<=>?1068HQojm{^HSnc_ds345659=1GXdcjrUA\ghvXmx:;<==>7:NWmhcu\JUha}Qjq1234Zojx11GXdcjr^224>JSadoyS=Qbuy2345763E^bah|P0^ov|56788;97AZfmdp\4Zkrp9:;<2:NWmhcuW9Ufyu>?010257=K\`gn~R>Pmtz345649880@Ygbes]3[hs89:;8;MVji`tX8Vg~t=>?0437?IRnelxT;5CThofvZ7Xign;<=>Pilr\HQojm{U:Sl`k0123546_`lg4566:?1GXdcjr^3\ekb789;Te`~PLUknawY6Whdo<=>>1028HQojm{U:Sl`k012163=K\`gn~R?Paof3454XadzT@Ygbes]2[dhc89:9=<=4LUknawY6Wjg{Sh?01220>JSadoyS?2068HQojm{U:Snc_ds345649>1GXdcjr^3\ghvXmx:;<=Qfmq33?IRnelxT=Rczx123447_lw{45679880@Ygbes]2[hs89:;=?03325>JSadoyS?1348HQojm{U:Sca{0122[lkwWE^bah|P1^llp56798l0@Ygbes]2[jt789:986B[ilgq[4Yhz9:;?00d8HQojm{U:Sb|?01310>JSadoySRgbp^NWmhcuW8Ud~=>?20:8HQojm{U946B[ilgq[6>JSadoyS:64LUknawY?02F_e`k}_878Hjgc9m1Gclj>_omw4566:91Gclj>_omw4566W`g{SAand0]mkq6788;o7@okd^]b`a6789n0Aljk_^cg`5679o1Ftb|PRdqvhq:687l0Aua}_Sgpqir;984n7@v`r^Pfwpjs484n7@v`r^Pfwpjs4;4n7@v`r^Pfwpjs4:4n7@v`r^Pfwpjs4=4n7@v`r^Pfwpjs4<4n7@v`r^Pfwpjs4?4n7@v`r^Pfwpjs4>4n7@v`r^Pfwpjs414n7@v`r^Pfwpjs40497C>=;O31?K453G997C:=;O77?KIU081D?6A]249LV7D6=2EY>O<<;NP01>IU;K887B\;5:MQ0G743FX>?6A]629LV25>e:Mmg`Yffm:;<=Qfmq]LjfcXign;<=>>4:Mvp5cQfmq]Lqq56<2E~x9k4Otv7[lkwWF8<:4Otv60>Ir|?>0Cxz81:R`?U(5889:<<=PL59SEWRf3YCESO[\IEZa?UOIWK_XBLCJ3:RQA4=V<2[7<384Q=394;20]1=1a:S\5Ziu89:;=l5^_0]lv5678Vcf|R_P1^mq4567911Zc^\jae{24>Wh[[ojhtQnne2345413XeX^hoky^cm`5678Vcf|R_`SSgb`|Yffm:;<=?>0:SlWWcflpUjbi>?0005?TiTZlkouRoad1235ZojxV[d__kndx]bja6788;:?6_`SSgb`|YdeyUn}=>?03a8UjUUmhnrSnc_ds3456XadzT]b]]e`fz[fkwWl{;<=>>129RkVTbimsTo`~Pep23444d3XeX^hoky^antZcv89::Sdc_PmPV`gcqVif|Rk~01225c=VgZXnmiwPos2345433XeX^hoky^mq4567W`g{S\a\Rdcg}Ziu89:;=k5^oRPfeaXg{:;<<<;;PmPV`gcqVey<=>>_hos[TiTZlkouRa}012250=UI5:5:6\N<02=<>TF48;1<384R@>25;3TF4:4>7_O34?78VD:26<1YM1815:PB82823[K743;4R@>::6=UID?0^LCLS69QEHET8Q;?7_OBCR2[[lkwW[KFO^>W1028VDKD[Vcf|R\NMBQ21>TFELDo7_OBEO]bja67898;7_OBEO]bja6789Uba}Q]ALGM[dhc89:;=i5]ALGM[dhc89::>=5]ALGM[dhc89::Sdc_SCNAKYffm:;<=1e9QEHCIWhdo<=><219QEHCIWhdo<=><_hos[WGJMGUjbi>?023g?WGJMGUjbi>?0503?WGJMGUjbi>?05]jiuYUIDOESl`k01275f=UIDOESb|?0122a>TFELDTc>?01]jiuYUIDOESb|?0122g>TFELDTc>?003f?WGJMGUd~=>?1^kntZTFELDTc>?003`?WGJMGUd~=>?20g8VDKBFVey<=>=_hos[WGJMGUd~=>?20:8VDKUmhnr==5]ALPfeaXign;<=>=6:PBIWcflpUjbi>?01]jiuYUIDXnmiwPaof34566991YM@\jae{\ekb789;9:6\NMSgb`|Yffm:;<TFE[ojhtQ`r123472Pmtz345669l1YMR>Pmtz345659m1YMR>Pmtz34564991YMR>Pmtz3456Xadzo7_OP11]nq}6789o0^LQ>0^ov|56788l0^LQ>0^ov|56788;m7_OP11]nq}67898:i6\N_02\ip~789:8=<5]A^33[hs89:;Sdcd:PB[47Xe|r;<=>j;SC\54Yj}q:;<=?i;SC\54Yj}q:;<=?>f:PB[47Xe|r;<=>=1d9QEZ76Wds<=>?3038VDY69Vg~t=>?0^kntf=UIV;Taxv?012g?WGX9Vg~t=>?00g8VDY6Wds<=>?10g8VDY6Wds<=>?20f8VDY6Wds<=>?3028VDY6Wds<=>?_hosg>TFW;Ufyu>?01f8VDY5Wds<=>?1d9QEZ4Xe|r;<=>>1d9QEZ4Xe|r;<=>=1e9QEZ4Xe|r;<=><119QEZ4Xe|r;<=>Pilr`?WGX;Vg~t=>?0e9QEZ5Xe|r;<=>>e:PB[6Yj}q:;<=?>e:PB[6Yj}q:;<=<>d:PB[6Yj}q:;<==>0:PB[6Yj}q:;<=Qfmqa8VDY3Wds<=>?d:PB[1Yj}q:;<=?j;SC\0Zkrp9:;<??;SC\0Zkrp9:;k;SC\1Zkrp9:;<4R@]6[hs89:;Sdcc:PB[3Yj}q:;<=j4R@]5[hs89:;=h5]A^4\ip~789::=h5]A^4\ip~789:9=i5]A^4\ip~789:8==5]A^4\ip~789:Te`~l;SC\3Zkrp9:;S=95]OLAP0]YneyUYC@M\4Y3g?WIJKZUjbi>?0103?WIJKZUjbi>?01]jiuYUGDIXSl`k0123546?1028VJKD[Vcf|R\@MBQ26>TTl2XXSnc_ds3456c3[YTo`~Pep2344bTTWjg{Sh?016g?WUXkdzTi|>?04f8VVYdeyUn}=>?729QPI3=5]e`fz[dhc89:;Sdc_Sgb`|Yffm:;<=?k;Sgb`|Yffm:;<<>1e9Qadb~Whdo<=>=219Qadb~Whdo<=>=_hos[WcflpUjbi>?03324>TbimsTe`~PRdcg}41?259QacBhflUjbi>?01]jiuYUmoNdbhQnne23457a3[omHb`j_omw4566:=1YikJ`nd]mkq6788Uba}Q]egFlj`Yig}:;<=2:PfbAiimVey<=>?_hos[WcaLfdnSb|?0122e>TbnOxda_]?139Qac@ugdXX?0031?WcaN{ef^^>Paof34575i2XnjK|`mSQ3[dhc89::Sdc_SgeBwijZZ:Tmcj?01325d=UmoLyc`\\0^kntZTbnOxda_]?179QacTby11Yik\jqHl25>Tbn[ozSnc_ds3456502Xnj_k~_bos[`w789:Te`~PRddQatYdeyUn}=>?0031?WcaZl{Te`~PRddQat7b3[om^hPnnv34575:2Xnj_k~_omw4566W`g{S_kiRds\jjr789;::6\jfTpd<>Tbn\xlEc?>;SgeQwaXkdzTi|>?010;?Wca]{mTo`~Pep2345YneyUYik[}g^antZcv89:;=<<4RddVvbYneyUYik[}g048V`urd}30^h}zlu>3:d=Umzgx1??>b9Qavsk|5;:6=0n;Sgpqir;984i7_k|umv?5?6912Xnxb{<0<:?Wct}e~7>3l4Rdqvhq:429427_k|umv?7;?89Qavsk|5?556\jstnw838>3[oxyaz37?;8V`urd}63245]erwop9?9:?1Yi~{ct^2\jjr789:Te`~PRdqvhqY7Wge<=>?1c9PMBDR[VCEJBo4SHE\FPUNLQh0_DIPBTQMEHC23Z]7=3;4SV>1:2=T_591=3;4SV>0:1=Tkex?7^m|t59Pakrd3ZoexRoad12344c<[ldSl`k0123[lkwWZoexRoad12344d<[ldSb|?0122g>Ubf}Ud~=>?0^kntZUbf}Ud~=>?00c8WkbUIDIX[_?=;RlgVDKD[^XTmcj?0121e>Uil[KFO^Y]_`lg4567W`g{S^`kR@O@WRTXign;<=>>139PjaTFEJY\^Road12357g<[gnYM@M\WS]bja6788Uba}Q\nePBIFUPZVkeh=>?1036?VhcZHGH_Z\Pclr\at67899;7^`kR@O@WRTXkdzTi|>?01]jiuYTfmXJAN]XR^antZcv89:;=<;4SofQEHET_[Uha}Qjq123566<[gnYM@M\WS]`iuYby9:;=Rgbp^Qm`WGJKZ]YSnc_ds345769<1Xbi\NMBQTVZejxVoz<=>=319PjaTFEJY\^Rmbp^gr4565W`g{S^`kR@O@WRTXkdzTi|>?03321>Uil[KFO^Y]_bos[`w78998<6]adSCNGVQUWjg{Sh?011\mhvX[gnYM@M\WS]`iuYby9:;??5228WkbUIDIX[_Qlmq]fu567=Vcf|R]adSCNGVQUWjg{Sh?01725d=TfmXJAN]XR^kntZUil[KFO^Y]1038WkbUIDIX[_Q`r12347><[gnYM@M\WS]lv5678Vcf|R]adSCNGVQUWfx;<=>>109PjaTFEJY\^Ra}01226==TfmXJAN]XR^mq4566W`g{S^`kR@O@WRTXg{:;<1:Qm`WGJKZ]YSb|?0101<>Uil[KFO^Y]_np3454XadzT_cj]ALAPSWYhz9:;><64SofQKHET9:1Xbi\@MBQ\ghvXmx:;<=?;;RlgVJKD[Vif|Rk~0123542<[gnYC@M\_bos[`w789:9=95\nePLIFUXkdzTi|>?01120>Uil[EFO^Qlmq]fu5678=;<7^`kRNO@WZejxVoz<=>?_hos56=TfmXDAN]Pclr\at6788;?7^`kRNO@WZejxVoz<=>>1068WkbUGDIXSnc_ds345759=1Xbi\@MBQ\ghvXmx:;<<=>4:Qm`WIJKZUha}Qjq12351703Zdo^BCLS^antZcv89::Sdc129PjaTHEJYTo`~Pep2347733Zdo^BCLS^antZcv89:9=<:4SofQKHETWjg{Sh?010151=TfmXDAN]Pclr\at678;9:;6]adSMNGVYdeyUn}=>?2^knt40<[gnYC@M\_hos[VhcZFGH_=4:Qm`WIJKZUd~=>?0^kntZUil[EFO^Q`r123442<[zo>6Z\d:VP[fkwWl{;<=>k;UQ\ghvXmx:;<i5[S^antZcv89:886ZVPD78Plkbz11_e`k}<1<;?Qojm{6:255[ilgq878f3]cfi2<:1<;?Qojm{682i5[ilgq[dhc89:;>=5[ilgq[dhc89:;Sdc_UknawYffm:;<=?8;Upj@drf;2\HO45YIDU\P\VB<2\[Mm4VQCq[kis89::=h5YP@p\jjr789;Te`~PVQCq[kis89::=l5YiePBIFUPZm1]ei\NMBQTV32l911]ei\NMBQTV32lWjg{Sh?0122=>Pnl[KFO^Y]65i\ghvXmx:;<=?>9:Tj`WGJKZ]Y:9ePclr\at67898:56XfdSCNGVQU>=aTo`~Pep234556k2\bh_OBCRUQ21mXkdzTi|>?01]jiu473_co^LCLSVP50nYneyU]ei\NMBQTV32l98?0Zdj]ALAPSWYdeyUn}=>?0228RlbUIDIX[_Qlmq]fu5678Vcf|RXfdSCNGVQUWjg{Sh?012250=QamXJAN]XR^antZcv89::?=5YiePBIFUPZVif|Rk~0122[lkwW_co^LCLSVP\ghvXmx:;<5:Tj`WGJKZ]YSnc_ds3454482\bh_OBCRUQ[fkwWl{;<=7[gkR@O@WRTXkdzTi|>?0213?SocZHGH_Z\Pclr\at678:Uba}QYiePBIFUPZVif|Rk~0120543<^`nYM@M\WS]`iuYby9:;8>>4VhfQEHET_[Uha}Qjq1230ZojxV\bh_OBCRUQ[fkwWl{;<=:>149UmaTFEJY\^Rmbp^gr4562;91]ei\NMBQTVZejxVoz<=>:_hos[SocZHGH_Z\Pclr\at678<;:96XfdSCNGVQUWjg{Sh?01404>Pnl[KFO^Y]_bos[`w78981078RlbUIDIX[_Qlmq]fu5670::0Zdj]ALAPSWYdeyUn}=>?8^kntZPnl[KFO^Y]_bos[`w7892:=85YiePBIFUPZVif|Rk~012:75=QamXJAN]XR^antZcv89:2Sdc_WkgVDKD[^XTo`~Pep234<76=2\bh_OBCRUQ[fkwWl{;<<><0:Tj`WGJKZ]YSnc_ds3446XadzTZdj]ALAPSWYdeyUn}=>>0036?SocZHGH_Z\Pclr\at67989;7[gkR@O@WRTXkdzTi|>?10]jiuYQamXJAN]XR^antZcv89;:=?01326>Pnl[KFO^Y]_np345659;1]ei\NMBQTVZiu89:;?<;4VhfQEHET_[Ud~=>?0^knt7=P[<1\_1>15:UP84823^Y7>394WR>0>5823^Y7?384W`psW@7c3QCGECV"XE@#4+7'[]_I,= > @Q@ML0^cjVCo==5Wdl]Neoiu^lxxeb`>0:ZgiZKnffx]i}foo78\j:76?1Sc1>1179[k969:?1Sc1>1389[k969W`g{?6V|t89[wqAJgmogn6Qnde2345:76m1Tmij?012?5?69j2Ujhi>?01>2:g=Ximn;<=>32?f8[dbc89:;0>4?>c9\eab789:7?3l4_`fg4566494h7Rokd12359776l1Tmij?013?54<76j1Tmij?013?548e3Vkoh=>?1=3=f>Yflm:;<<2=>c9\eab789;7?3l4_`fg45664=4i7Rokd1235939j2Ujhi>?00>5:g=Ximn;<=?37?`8[dbc89::050m;^cg`567953546Qnne2345713Vkeh=>?0^kntZYffm:;<=?7;^cm`56798<0Sl`k0122[lkwWVkeh=>?10:8[dhc89:9=;5Paof3454XadzTSl`k01215==Xign;<==>6:]bja678:Uba}QPaof3455602Ujbi>?0535?Zgil9:;8Rgbp^]bja678=;37Road123140:_hos[Zgil9:;9<64_`lg45619?1Tmcj?014\mhvXWhdo<=>9199\ekb789=::6Qnne2342YneyUTmcj?0152<>Yffm:;<5?9;^cm`5670Vcf|RQnne234=7?3Vkeh=>?9048[dhc89:2Sdc_^cm`5671820S`{w01233>Yhz9:;<<:4_np3456XadzTSb|?01223>Yhz9:;=<:4_np3457XadzTSb|?01321>gcllxn7l`k0123[jt789:9>6oad1234Ziu89:;Sdc_`lg4567Wfx;<=>>3:amp2=dg|di<4cr18`c`>3mcyinzfoo18bvd33`eia95focq:?kGCg|~GI=?7;oCGkprKM9Uba}QaAEmvpIC798:0bLJ`uuNF4Ziu89:;>;5aAEmvpIC7Wfx;<=>Pilr\jDBh}}FN199mEAir|EO:Sdc_oCGkprKM8;87cO\7:lBWZ@TJ>1eM^QFOC31?kGTW@EIScO\_HMAkprf3gKXSDAMotv5?kGTg|~n7cO\otv\ekb789:9>6`NSnww[dhc89:;Sdc_oCPkprXign;<=>>e:lBWjssWge<=>>239mEVir|Vddx=>?1^kntZhF[fSca{01225a=iIZe~xRa}012365=iIZe~xRa}0123[lkwWgKXcxzPos2345703gKdyyAJ159mEjssGLUba}QaAnwwK@723gHN^_74nCGQVZ@TJ8;0bOK]R^DPFZgil9:;?0^kntZhEM[XTJ^LPaof3456612dII_\PIN@4?kD@W@EI;6`MR^KLFc=iJ[UBCOQnne2345433gHYSDAM_`lg4567W`g{ScL]_HMA[dhc89:;=k5aBS]JKGYj}q:;<=??;o@Q[LIEWds<=>?1038jGTXAFHTaxv?01225475aBS]JKGYj}q:;<=Qfmqg8jGTXAFHTc>?0101?kDUW@EISb|?012\mhvXfKXTEBLPos2345733gIJ_n5aC@Q\jjr789;:i6`LAR]mkq6788Uba}QaC@Q\jjr789;::6`KT@AHe>hC\HI@SK]Ma:lGPDELW@EIi6`KT@AH[dhc89:;>?5aDUC@OZgil9:;?1d9m@QGDCVddx=>?1308jARFKBUecy>?00]jiuYiL]KHGR``t1235404nEkmH@Yhz9:;>6:lGmkIBm2dOecAJ_`lg4567:;1eHd`@E^cm`5678Vcf|R`KioMF[dhc89:;=<<4nEkmK@YneyUeHd`@E058jAir|FOm7cJ`uuMF[dhc89:;>95aDnwwK@Yffm:;<=Qfmq]m@jssGLUjbi>?013e?kBh}}ENSl`k012261=iLfCHQnne2344YneyUeHb{{OD]bja6788;:86`KotvLAZojxVdOcxz@E0g8jAir|FOTc>?0101?kBh}}ENSb|?012\mhvXfMe~xBKPos2345723gC[@?84nHRO6w2hKLZUjbi>?013f?kJC[Vkeh=>?0^kntZhKLZUjbi>?013`?kJC[Vkeh=>?10g8jIBTWhdo<=>>_hos[kJC[Vkeh=>?10a8jIBTWhdo<=>=1d9mHAUXign;<==1b9mHAUXff~;<=?>e:lO@VYig}:;<b:lO@VYhz9:;<?1d9mHRCXign;<=>Pilr\jIQBWhdo<=>?1d9mHRCXadzTbAYJ129mK@dhHMVkeh=>?0^kntZhHMVkeh=>?00a8jJCXadzTbBK>8:lLr`tkip;;7cAyesnb}Zgil9:;?_hos[kIqm{fjuRoad12344773gE}ibny^cm`5679;<0bBxjrmcz[dhc89::Sdc_oMuawjfqVkeh=>?1033?kIqm{fjuR``t123570?013`?kTF[Vey<=>?_hos[kTF[Vey<=>?159mV@Ud3gXN_R``t12354chUGD]NSl`k012367=iZFG\IRoad1234ZojxVdYC@YJ_`lg45679880b_ABWD]jiuYiZFG\I<:4nTFP<>hRLZUM_O64nTFP[LIE9=1eYI]PIN@\jPBTW@EIcxzm;oWGWZOHJfo6`ZDR]bja6789;n7c[KS^cm`5678Vcf|R`ZDR]bja6789;h7c[KS^cm`56798o0bXJ\_`lg4566W`g{Sc[KS^cm`56798i0bXJ\_omw45669l1eYI]Pnnv3457XadzTbXJ\_omw45669k1eYI]Pos23457d3g_O_Ra}0123[lkwWg_O_Ra}012351=i]^Oh7c[XE^cm`56788o0bXYJ_`lg4567W`g{Sc[XE^cm`56788o0bXYJ_hos[kSPM8>0b[CN8:lUIDYNGK;?7cXBA^KLFZhQEHUBCOaztc9mRHGXAFHdyym4nWOB[dhc89:;=h5aVLC\ekb789:Te`~PnWOB[dhc89:;=k5aVLC\ghvXmx:;<=<;;oTNEZejxVoz<=>?_hos[kPJIVif|Rk~01235f=i^DKTbbz?0132a>hQEHUecy>?00]jiuYi^DKTbbz?01320>hQXH20b[^N_GQA<>hQXHUBCOm4nWRB[dhc89:;=h5aVQC\ekb789:Te`~PnWRB[dhc89:;=n5aVQC\jjr789;:i6`YP@]mkq6788Uba}QaVQC\jjr789;:?6`XE69mS@YA[K=0|bbPcmi:?uikWjf`ic64pnn\wfju02zd`R}|eu:8tjtsWjf`m6~`ru]`hnci12zd~yQkauc;?uiu|Ve{m;5osv\ug=wg{~T~h}zlu;8tjtsWzig~45osv\wvcs92x87ob8:pwhZr~xl;0k5|otp`aZ`ndldjahj4snwqg`Ykagoen?5zp89ueisbWjce56xnltg\kud?3qi29=>wld9{g<34>qf{o9:"5|BCt4g782JKt9>>:G81>4}Ti;0?<=4=978271d68h03=8=tn2d:>4=i;ok1:6*52849562e99k14<;9;e1e5?6=93;p_l<541296<0=9:>i==o58070?s@4<;0;6<4>:1yPe7<389095;4>35`24dm>:0d0?!4>?3>n?6l1d=9;0=5vB4>=u">2j7>5;h:e>5<5<6=44i5cb>5<6=44o8294?=h<031<75f44394?=h<0=1<75`44g94?=h<<91<75fcc83>>ob?3:17d:93;29?j2393:17b:;b;29?l20?3:17b:68;29?l2d>3:17b:nb;29?j2?k3:17d7>:188m=c=831d8>750;9l06b=831b8:o50;9j004=831d8l=50;9l03>=831d8:l50;9l0gd=831d8;l50;9l03`=831b8nm50;9l01c=831d>o:50;&1=<<5j:1e>4650:9l6g4=83.9544=b29m6<>=921d>oj50;&1=<<5j:1e>4652:9l6ge=83.9544=b29m6<>=;21d>ol50;&1=<<5j:1e>4654:9l6gg=83.9544=b29m6<>==21d>o750;&1=<<5j:1e>4656:9l6g>=83.9544=b29m6<>=?21d>o950;&1=<<5j:1e>4658:9l6g0=83.9544=b29m6<>=121d>o;50;&1=<<5j:1e>465a:9l6g7=83.9544=b29m6<>=j21b;84?:%0:=?133g8247>4;h50>5<#:031;95a28:95>=n?;0;6)<69;57?k4>03807d9>:18'64653:9j2c<72-82579;;o0:!4>13=?7c<68;48?l0d290/>475759m6<>=?21b:o4?:%0:=?133g824764;h4b>5<#:031;95a28:9=>=n>00;6)<69;57?k4>03k07d87:18'6465b:9j22<72-82579;;o0:!4>13=?7c<68;g8?l1d290/>475759m6<>=n21b;o4?:%0:=?133g8247??;:k4e?6=,;326::4n3;;>47<3`=26=4+28;931=i:021=?54i6:94?"5100<86`=99827>=n?>0;6)<69;57?k4>03;?76g86;29 7?>2>>0b?77:078?l17290/>475759m6<>=9?10e>9m:18'6k0b?77:198m61>290/>47536c8j7??2810e>97:18'6k0b?77:398f6`3290:6=4?{%1a2?75j2B8j>5`13c94?=zj:l<6=4>:183!5e>39h<6F2=np@>h::0yK5c46b<,=no6;5r$2`5>d56<:188m=?=831b84h50;9l7oh50;9l764=831d=>;50;9j07<72-8257:>;o0:;6=4+28;904=i:021=65f3g83>!4>13>:7c<68;08?l5c290/>475409m6<>=;21b?o4?:%0:=?263g8247:4;h1b>5<#:0318<5a28:91>=n;00;6)<69;62?k4>03<07d=7:18'64657:9j72<72-8257:>;o0:<3`9=6=4+28;904=i:021565f3483>!4>13>:7c<68;c8?l53290/>475409m6<>=j21b?>4?:%0:=?263g8247m4;h11>5<#:0318<5a28:9`>=n03o07d:6:18'6465f:9a0<6=83?1>7;tL2d6>4}O9o80qA=i6;cx 1bc281/8il54268 1b42h30(9j::3;a?!2c93k>7c:ld;38 1b52h<0b9mj:09'0a1=;>i0b9mi:19~ 6d128lh7do::188md0=831bm44?::m1=g<722c8;n4?::`4>5<22;0>wA=i5;3xL4`53t.8n;4>fc9je<<722e95o4?::kb1?6=3`k=6=44i8d94?=e?3:197>50z&0f35C3g795~"6;>0?h45ri8f94?=n1o0;66g7d;29?lg>2900c?7m:188yg5a<3:1=7>50z&0f3<6:k1C?k=4o00b>5<6=4={_c6?81=0m1vl850;0xZd0<5>02h6s|26094?4|5:l?6<7}Yi016;7o6;|a07d=8391<7>t$2`5>71e3A9m?6g>2e83>>o6:l0;66a=7883>>{ti<0;6?uQa49>3?g23tyj:7>52z\b2>;3:k0:>h5rs3;a>5<5sW82n638:3;a?xu4?j0;6>uQ36a892o4>2e9~w14f2909w095a79>07d=:>30qpl>6`83>`<428:p(>l9:04b?j73m3:17d?:4;29?l72>3:17d?:8;29?l72i3:17d?:c;29?l72m3:17d?90;29?l71:3:17d?94;29?l71>3:17d?98;29?l72:3:17d=l5;29?g73n3:157>50z&0f3<50=1C?k=4i00g>5<5<5<5<5<<7>56;294~"4j?094<5G3g18m44c2900e<50z&0f3<5?h1C?k=4i00g>5<5<52z\20`=:9<;1>:74}r360?6=:rT:995215d957b:7>52z\213=:9=l1=?k4}r36m7>52z\21d=:9=l1=>>4}r36g?6=:rT:9n5215d9564i7>52z\21`=:9=l1=>?4}r354?6=:rT::=5215d95657>52z\227=:9<:1=?k4}r350?6=:rT::95215d956252z\223=:9<:1=?j4}r35>7>52z\217=:9<:1=?h4}r1`1?6=:rT8o852142956752z?20c<5?016=8?513f8yv7283:1>v3>51813<=:9<;1=?k4}|`0e4<72l0?66g63f92o7>5;h6:>5<>o4;3:17d=;:188m63=831b?;4?::k03?6=3`936=44i2;94?=n;h0;66g7k:186>5<7s-9i:7;m;I1e7>o6:m0;66g>2d83>>o6:o0;66g>3183>>i5?00;66sm38g94?3=83:p(>l9:4f8L6`43`;9h7>5;h31a?6=3`;9j7>5;h304?6=3f8<57>5;|`0=c<72?0;6=u+3c4924=O;o90e<:188k71>2900qo=n0;290?6=8r.8n;4:8:J0b6=n9;n1<75f13g94?=n9;l1<75`26;94?=z{:3h6=4={_1:g>;4i909;45rs5;94?4|V=301>7j:00g?xu3i3:1>vP;a:?0=`<6:l1v><50;0xZ64<5:3o6<1<72g9~w60=838pR>84=2;g>4573ty8;7>52z\03>;41o0:>i5rs2:94?4|V:201>7j:00e?xu413:1>vP<9:?0=`<6;91v>o50;0xZ6g<5:3m6<=?;|q0f?6=:rT8n63<9g826c=z{:n1<77}:;0n1>:74=2c3>44b3ty85h4?:3y>7301>o?:00g?xu41o0;6?u238d962?<5:k;6<{%1a2?5f?2e8m?4?::k7=?6=3`>j6=44i2094?=n;:0;66g<4;29?l522900e>850;9j72<722c847>5;h1:>5<>o4l3:17o=n3;291?6=8r.8n;4:b:J0b6=n9;n1<75f13g94?=n9;l1<75f12294?=h:>31<75rb2c7>5<2290;w)=m6;7`?M5a;2c:>i4?::k26`<722c:>k4?::k275<722e9;44?::a7d3=83<1<7>t$2`5>37<@:l87d?=d;29?l75m3:17d?=f;29?l7483:17d?<1;29?j4013:17pl1<729q/?o85599K7c55<5<7>52z\0e7=:;h<1>:74}r6:>5<5sW>270=n3;31`>{t7d2=9;n0q~==:181[55349j?7?=e:p76<72;qU?>523`1957`7}Y;<16?l=51228yv512909wS=9;<1b0?75m2wx?:4?:3y]72=:;h?1=?j4}r1;>5<5sW9370=n4;31b>{t;00;6?uQ389>7d2=9::0q~=n:181[5f349j97?<0:p7g<72;qU?o523`7957`{t;h?1<771>349j:7?=f:~f6gd290n6>4>0z&0f3<4ij1d?l650;9j0<<722c?m7>5;h11>5<>o4=3:17d=9:188m61=831b?54?::k0=?6=3`9j6=44i2`94?=n;m0;66l<<729q/?o85639K7c55<5<5<5<3:1N4n:1b=?j50;9j57c=831b=?h50;9j566=831b=>?50;9l62?=831vn>om:180>5<7s-9i:7;:;I1e7>o6:m0;66g>2d83>>i5?00;66s|3`:94?4|V:k370=nb;04=>{t<00;6?uQ489>7d?=9;n0q~:n:181[2f349j57?=e:p77<72;qU??523`;957`7}Y;=16?lo513g8yv522909wS=:;<1b=?7492wx?;4?:3y]73=:;h31=><4}r14>5<5sW9<70=na;31`>{t;10;6?uQ399>7d?=9:90q~=6:181[5>349j57?<4:p7d<72;qU?l523`c95667}Y;m16?lo51238yv5f13:1>v37dd=9;o0qpl<<42kq/?o853b`8k6e02900e>650;9j7<<722c8m7>5;h1a>5<>o383:17d:=:188f6e?290>6=4?{%1a2?3e3A9m?6g>2e83>>o6:l0;66g>2g83>>o6;90;66a=7883>>{e;j31<7;50;2x 6d12h<;h31`?6=3`;9i7>5;h31b?6=3`;8<7>5;n04=?6=3th8ol4?:283>5}#;k<1985G3g18m44c2900e<2900q~=l7;296~X4k>16?no526;8yv5?2909wS=7;<1`5<5sW9j70=l8;31b>{t;k0;6?uQ3c9>7f>=9::0q~=k:181[5c349h57?=f:p7c<72;qU?k523b;9566;6=4={_63?85d13;9h6s|4383>7}Y<;16?n7513g8yv5d03:1>v37fg=9;o0qpla<42oq/?o853dc8k6c12900e>mk:188m6ea2900e>j>:188m6b42900e>j::188m6b02900e>j6:188m6be2900e>jk:188m6ba2900e>k>:188m6c42900n>k8:18:>5<7s-9i:7<74:J0b6=n9;n1<75f13g94?=n9;l1<75f12294?=n9:;1<75f12094?=n9:91<75f12694?=h:>31<75rb2g;>5<2290;w)=m6;04b>N4n:1b=?j50;9j57c=831b=?h50;9j566=831d>:750;9~f6c>29086=4?{%1a2?40i2B8j>5f13f94?=n9;o1<75`26;94?=z{:o=6=4={_1f2>;4m009;45rs2ag>5<5sW9hh63;4m>0:>i5rs2f2>5<5sW9o=63;4m>0:?<5rs2f6>5<5sW9o963;4m>0:??5rs2f:>5<5sW9o563;4m>0:?>5rs2fg>5<5sW9oh63;4m10:>k5rs2g2>5<5sW9n=63;4m10:?=5rs2g4>5<5s49n;7<89:?0a<<6:m1v>k7:18185b038<56355;294~"4j?0>i6F>50;9l62?=831vn>=6:180>5<7s-9i:7<8a:J0b6=n9;n1<75f13g94?=h:>31<75rb2cg>5<4290;w)=m6;76?M5a;2c:>i4?::k26`<722e9;44?::a6f7=8391<7>t$2`5>01<@:l87d?=d;29?l75m3:17b<89;29?xd5k;0;6>4?:1y'7g0==<1C?k=4i00g>5<5<54;294~"4j?0>56F:750;9~f6c229086=4?{%1a2?323A9m?6g>2e83>>o6:l0;66a=7883>>{e;0>1<7=50;2x 6d12h<;h31`?6=3`;9i7>5;n04=?6=3th:?;4?:883>5}#;k<1:?5G3g18m44c2900e<2900qo:62;297?6=8r.8n;4:5:J0b6=n9;n1<75f13g94?=h:>31<75rb21;>5<2290;w)=m6;7e?M5a;2c:>i4?::k26`<722c:>k4?::k275<722e9;44?::a7fc=83?1<7>t$2`5>0c<@:l87d?=d;29?l75m3:17d?=f;29?l7483:17b<89;29?xd4l90;684?:1y'7g0==m1C?k=4i00g>5<5<5<7>55;294~"4j?0>i6F>50;9l62?=831vn>j;:186>5<7s-9i:7;k;I1e7>o6:m0;66g>2d83>>o6:o0;66g>3183>>i5?00;66sm3e494?3=83:p(>l9:4f8L6`43`;9h7>5;h31a?6=3`;9j7>5;h304?6=3f8<57>5;|`0`=<72<0;6=u+3c491f=O;o90e<50z&0f3<2l2B8j>5f13f94?=n9;o1<75f13d94?=n9::1<75`26;94?=zj:nh6=4::183!5e>3?h7E=i3:k26a<722c:>h4?::k26c<722c:?=4?::m13<<722wi?ik50;794?6|,:h=68j4H2d0?l75l3:17d?=e;29?l75n3:17d?<0;29?j4013:17pl0<729q/?o855b9K7c55<5<N4n:1b=?j50;9j57c=831b=?h50;9j566=831d>:750;9~f6c3290>6=4?{%1a2?3e3A9m?6g>2e83>>o6:l0;66g>2g83>>o6;90;66a=7883>>{e;131<7;50;2x 6d12h<;h31`?6=3`;9i7>5;h31b?6=3`;8<7>5;n04=?6=3th84o4?:483>5}#;k<19n5G3g18m44c2900e<2900qo=7d;291?6=8r.8n;4:b:J0b6=n9;n1<75f13g94?=n9;l1<75f12294?=h:>31<75rb2:e>5<2290;w)=m6;7f?M5a;2c:>i4?::k26`<722c:>k4?::k275<722e9;44?::a7<7=83?1<7>t$2`5>0c<@:l87d?=d;29?l75m3:17d?=f;29?l7483:17b<89;29?xd41:0;684?:1y'7g0==m1C?k=4i00g>5<5<5<97>55;294~"4j?0>n6F>50;9l62?=831vn<;8:186>5<7s-9i:7;l;I1e7>o6:m0;66g>2d83>>o6:o0;66g>3183>>i5?00;66sm14;94?3=83:p(>l9:4a8L6`43`;9h7>5;h31a?6=3`;9j7>5;h304?6=3f8<57>5;|`21g<72<0;6=u+3c491a=O;o90e<50z&0f3<2k2B8j>5f13f94?=n9;o1<75f13d94?=n9::1<75`26;94?=zj8?m6=4::183!5e>3?o7E=i3:k26a<722c:>h4?::k26c<722c:?=4?::m13<<722wi=;?50;794?6|,:h=68j4H2d0?l75l3:17d?=e;29?l75n3:17d?<0;29?j4013:17pl>6283>0<729q/?o855d9K7c55<5<N4n:1b=?j50;9j57c=831b=?h50;9j566=831d>:750;9~f400290>6=4?{%1a2?3c3A9m?6g>2e83>>o6:l0;66g>2g83>>o6;90;66a=7883>>{e9?31<7;50;2x 6d12h<;h31`?6=3`;9i7>5;h31b?6=3`;8<7>5;n04=?6=3th8?;4?:283>5}#;k<19;5G3g18m44c2900e<2900qo=nf;290?6=8r.8n;4=7e9K7c55<5<54;294~"4j?09;i5G3g18m44c2900e<50z&0f3<2=2B8j>5f13f94?=n9;o1<75`26;94?=zj:336=4;:183!5e>38:750;9~f6>029086=4?{%1a2?323A9m?6g>2e83>>o6:l0;66a=7883>>{e;0k1<7=50;2x 6d12;=j7E=i3:k26a<722c:>h4?::m13<<722wi=8=50;194?6|,:h=6884H2d0?l75l3:17d?=e;29?j4013:17pl;9583>6<729q/?o85569K7c55<2900q~7j:181[?b34>2<7o:;|q076<72?qU?>=4=217>71>349857?=e:?0ea<6:m1684<513g89434288n7p}=c183>1}Y:j:01?m>:35:?84d:3;9h63;41=09;45rs9;94?5|V130197=:00g?82><3;9h6s|48d94?4|V=3m70:64;31a>{t;0h1<7n70?9a;37a>{t{t;:81<770?<6;04=>{t9<>1<743334;>97<89:p500=838p1<8n:075?872?38<56s|14:94?4|58{t943d34;>h7<89:p50c=838p1<8n:07f?872n38<56s|17:94?4|5850;0x940f28<;70?91;04=>{t9?81<740534;=?7<89:p532=838p1<8n:047?871=38<56s|17494?4|58;50;0x965>288o70=<6;04=>{t;121<771>3492:7?=d:p7=g=838p1>6m:35:?85>>3;9i6s|39a94?4|5:2o6?96;<1:2?75n2wx?5k50;0x96>a2;=270=68;31`>{t;0:1<771>349247?=e:p7<4=838p1>7<:35:?85>03;9j6s|39694?4|5:2>6?96;<1:e?75l2wx?5850;0x96>02;=270=6a;31a>{t<0?1<761d34>2:7<89:p7o>:2;`?85fn3;9h6s|32594?4|5:936?96;<102?75m2wx?l<50;0x96g02:k970=nf;31a>{t;h21<76g?349jj7?=f:p7f1=838p1>mm:2a4?85dk3;9i6s|14094?4|58{t<0:1<7:t=5;3>7?e348h>7?=e:?073<6:m16=8=513f8yv5d>3:1?v344c3ty?5<4?:3y>0<6=i?1684<526;8yv2>;3:1>v3;95813<=:<0<1=?m4}r1:1?6=:r785;4=789>7=3=9;o0q~=67;296~;41109;452395957c52z?0=1<6:l16?4o526;8yv5fm3:1>v3:74}r1``?6=:r78il47fc=:>30q~=lf;296~;4mh08ok523e2962?52z?0ad<4l816?i<526;8yv5c;3:1>v31>:74}r1g1?6=:r78il47a0=:>30q~=k7;296~;4mh08h:523e:962?52z?0ad<4m816?h<526;8yv5d=3:1?vP>8d9>53g=;j?01>k::35:?xu4m:0;6>u23dc97`5<5:o?6?96;<1;1?75l2wx?i750;1x96cf2:n270=ka;04=>;40>0:>i5rs2fa>5<5s49nm7=kb:?0`f<5?01v>jk:18185bi39oh63851268965?289;70?:5;304>;6=>0:?=5214;9566<58?i6<=?;<36`?74827:9k4>319>537=9::01<8<:013?871=3;9h63>66826`=:9?31=?k4$254>17;70=lb;63?854<3;8<63=c0826a=:9:<1=>=4=21;>44a34;>97?=f:?212<6:o16=87513d8943e288m70?:d;31`>;6=o0:>h52173957c<58<86<319>53?=9::0(>98:538j611281v>h50;33[5a349hn7=i;<100?75m27:?;4>339>76>=9;o01<;::00f?872?3;9i63>58826a=:944a34;>j7?=f:?224<6:m16=;=513g89402288m70?97;31b>;6>00:>i5+365904=i;><1>6s|3e83>45|V:n01>o>:2f896g02:n01>ol:2f896ee2:n01>=;:00g?874>3;8=63<39826a=:944c34;>57?=e:?21g<6:m16=8j513g8943a288o70?91;31b>;6>:0:>i52177957c<58<<6<56z\0f>;4i808n63;4ij08n63;6;?0:?=5+365904=i;><186s|3`83>3}Y;h16?l?53`9>7d1=;h16?lm53`9>7fd=;h16=>8513d8 6102=;0b>99:49~w6?=8374=2c2>6?<5:k<6>74=2c`>6?<5:ii6>74=015>44b3-9<;7:>;o142?03;9h6*<76875>h4??0<7p}<7;2955}Y;>16?l?5369>7d1=;>16?lm5369>7fc=9;l01>j?:00f?85c:3;9j6344c349om7?<0:?0`f<6;916?ik5122896c7289;70=j2;304>;4m=0:?=5+365904=i;><146s|3783>46|V:<01>o>:24896g02:<01>ol:24896eb288n70=k0;31`>;4l;0:?=523e69566<5:n=6<=?;<1g2d9>7ae=9;n01>jj:00e?85b83;9j631=?h4$254>1770=n1;16?85f?39>70=nc;16?85dm3;8<6344c349o:7?=f:?0`=<6:o16?io513d896bd288m70=ke;31a>;4m90:>i523d0957c<5:o?6<5<68rT8863;4i>08863;4kl0:>i523e2957`<5:n96<2e9>7a>=9;o01>jn:00g?85ck3;9i6344c349n87?=d:&032<392d8;;4m;|q07?6=irT8?63;4i>08?63;4000:?=5239`9566<5:2o6<=?;<1;b?75n2785<4>2g9>7<5=9;o0(>98:538j6112j1v><50;cxZ64<5:k:6><4=2c4>64<5:kh6><4=2::>44a3493n7?=f:?0"4?>0?=6`<778g?xu3i3:1mvP;a:?0e4<3i278m:4;a:?0ef<3i278444>2e9>7=d=9;o01>6k:00f?85?n3;9h63<908275=:;091=>>4$254>17o>:5;896g02=301>ol:5;896>>288n70=7b;31`>;40m0:>i5239d9566<5:3:6<6d=>9qG?k;51zJ2b7=zD:l=6?ua4eg90==#vk5168~^6122;q:<7?9:|k:a?6=3f>i:7>5;h60b?6=3f>j97>5;n6:=?6=3f>>i7>5;h::>5<5<5<5<5<5<5<#:031>o=4n3;;>5=5<#:031>o=4n3;;>7=54o3`a>5<#:031>o=4n3;;>1=5<#:031>o=4n3;;>3=5<#:031>o=4n3;;>==5<#:031>o=4n3;;>d=o4l3:1(?76:2g8j7??2810e>l50;&1=<<4m2d9554=;:k0e?6=,;326>k4n3;;>6=h5110?76g<8;29 7?>2:o0b?77:498m61=83.95445$3;:>6co4<3:1(?76:2g8j7??2010e>=50;&1=<<4m2d9554n;:k06?6=,;326>k4n3;;>g=h5110h76g;9;29 7?>2:o0b?77:e98m1>=83.95445$3;:>6c=n<<0;6)<69;1f?k4>03;:76g;4;29 7?>2:o0b?77:008?l24290/>4753d9m6<>=9:10e>m50;&1=<<4m2d9554>4:9a76g=83i1=7mtL2d6>4}O9o80qA=i6;3x 1bc2<1v(>l9:005?lg22900c?67:188k7>f2900c?6l:188k7>b2900c?7?:188k7?52900c?7<:188k7?32900e0zN0b0<6sA;m>6s+3c4976g5<4>:2yO7c3=9rB:j?5r$2`5>`e5<7s-9i:7k7;I1e7>J4n<0:w)?<7;6g=>{n0m0;66gn9;29?j4>j3:17p}n5;296~Xf=27<65j4}r046?6=:r7<6?7m;_0:f>{t:>91<763oh7do6:188k7?e2900el;50;9a3?6=;3:1N4n:1G?k;51z&272<3l01ve5j50;9je<<722e95o4?::pe0<72;qUm8527;:g?xu5?;0;6?u27;0:f>X51k1v?9<:181[g>34=1m45r}cdf>5<42808wA=i5;3xL4`53t.8n;4jc:kb=?6=3f82n7>5;hc6>5<0;6>4?:1y'7g0=m11C?k=4L2d6>4}#9:=18i74}h:g>5<:<50;0x92<51k1U>4l4}r047?6=:rTj5638:`;8yxd68<0;6>4>:2yO7c3=9rB:j?5r$2`5>`e5<7s-9i:7k7;I1e7>J4n<0:w)?<7;6g=>{n0m0;66gn9;29?j4>j3:17p}n5;296~Xf=27<65j4}r046?6=:r7<6?7m;_0:f>{t:>91<7m:180>4<4sE9m97?tH0d1?x"4j?0no6gn9;29?j4>j3:17do::188f2<72:0;6=u+3c49a==O;o90@>h::0y'561=4l50;9~wd3=838pRl;4=68;`>{t:>81<7uC3g795~N6n;1v(>l9:da8md?=831d>4l50;9je0<722h<6=4<:183!5e>3o37E=i3:N0b0<6s-;8;7:k9:j5;n0:f?6=3tyj97>52z\b1>;021n0q~<82;296~;02;3i7S<6b:p625=838pRl74=68b=>{zj8;?6=4<:080I5a=3;pD5;n0:f?6=3`k>6=44b683>6<729q/?o85e99K7c5652z\b=>;02h30qpl>1d83>6<62:qG?k;51zJ2b7=z,:h=6hm4i`;94?=h:0h1<75fa483>>d029086=4?{%1a2?c?3A9m?6Boo6sf8e83>>of13:17b<6b;29?xuf=3:1>vPn5:?4>=b7>52z?4>7?e3W82n6s|26194?4|Vh301:4n9:~f46f290?6?4:{%1a2?77i2c95>4?::k2ac<722c95=4?::m243<722h:<44?:283>5}#;k<19;5G3g18m44c2900e<2900qo??8;297?6=8r.8n;4=7`9K7c55<5211:957b52z\2ac=:9931=?j4}r0:4?6=:rT95=5211;957c52z\243=:9921>:74}r333?6=:r7:<44=789>55>=9;o0qpl>1383>3<421q/?o851008m4ca2900e?7?:188m7>d2900ek:188f47629086=4?{%1a2?323A9m?6g>2e83>>o6:l0;66a=7883>>{e99l1<7:50;2x 6d12<30D>h<;h31`?6=3`;9i7>5;h31b?6=3f8<57>5;|`255<72:0;6=u+3c4962g<@:l87d?=d;29?l75m3:17b<89;29?xu6mo0;6?uQ1dd89476288o7p}=9183>7}Y:0:01:00f?xu50j0;6?uQ29a8946a288m7p}>ee83>7}Y9ln01<>i:00g?xu6ml0;6?uQ1dg8946a288n7p}>0e83>7}Y99n01l9:000?l4><3:17d<7c;29?l7bl3:17d?je;29?j76n3:17o?=2;290?6=8r.8n;4:a:J0b6=n9;n1<75f13g94?=n9;l1<75`26;94?=zj88:6=4<:183!5e>38<27:><4>2e9~w7>d2909wS<7c:?267<6:o1v?4>2d9~w4cb2909wS?je:?267<6:m1v<4=789~w4472909w0?=2;04=>;6:80:>h5r}c32`?6=;3:1N4n:1b=?j50;9j57c=831d>:750;9~w4cc290?wS?jd:?257<6mm16=?=51df8947c288o7p}>ed83>6}Y9lo01;69;0:ik5rs`594??|Vh=01hj5a49>b6<5o91m452fd81=g=z{;2j6=4<{_0;e>;bl3k270h<:3;a?xu50j0;68uQ29a8947b2;3i70?>2;0;g>;6::094n5210f957c53z\1<`=:99h1m4521069654z\1=5=:99h1>4l4=02b>7?734;:>7<60:p6<4=839pR?7=;d?<58:h6?7m;|q1=6<72:qU>4=4=df964:4=026>7?e34;9?7<64:p550=838p1<>::`;8946f28:=7p}>0e83>7}:99i1m452100955b52z?251e;c:?875;3;:j6srs`794?4|Vh?01<<::`58yv4?03:1>vP=899>573=:120q~<7a;296~X50h16=?;529c8yv4?k3:1>vP=8b9>573=:1i0q~<7e;296~X50l16=?;529g8yv4>83:1>vP=919>573=:0:0q~<62;296~X51;16=?;52808yv4>;3:1>vP=929>573=:090q~<64;296~X51=16=?;52868yv7bl3:1>vP>ee9>573=9ln0q~?je;296~X6ml16=?;51dg8yv7bn3:1>vP>eg9>573=9ll0qpl<4c83>0<52"3lk0??95+4e19e<=#4l4$5f2>d3>of13:17b<6b;29?l50k3:17o950;796?3|D:l>63;mn6gn9;29?j4>j3:17do::188md0=831b5k4?::`4>5<2290;w)=m6;gb?M5a;2c2h7>5;h;e>5<>i51k0;66sm3g694?7=83:p(>l9:00a?M5a;2e:>l4?::pe0<72;qUm8527;:g?xuf>3:1>vPn6:?4>7>52z?0b1<6:h16;77i;|q136<72;q6;7<6b:\1=g=z{;=?6=4={_c:?81=i01vqo:=b;297?6=8r.8n;4=7c9K7c55<5<5sWk>7095a49~wd0=838pRl84=50a>44b3ty95o4?:3y]6095o5rs25`>5<4sW97}:?3k=70:=b;04=>{zj;no6=4<:080I5a=3;pDoh7;4}%1a2?46:2cj57>5;n0:f?6=3`k>6=44b683>6<729q/?o85e99K7c5652z\b=>;02h30qpl63;297?7=;rF8j84>{I3e6>{K;o<1=v*;de86?x"4j?09=o5fa883>>i51k0;66gn5;29?g1=8391<7>t$2`5>`><@:l87A=i5;3x 4502=n27pg7d;29?lg>2900c?7m:188yvg22909wSo:;<597}Yi016;7o6;|a6`2=8391=7=tL2d6>4}O9o80qA=i6;3x 1bc2<1v(>l9:33`?lg>2900c?7m:188md3=831i;7>53;294~"4j?0n46F7}:?382n6P=9c9~w7142909wSo6;<59e<=zuk8n?7>53;090~J4n<0:wE?i2:O7c0=9r.?hi4:;|&0f3<59m1bm44?::m1=g<722cj97>5;c594?5=83:p(>l9:d:8L6`43E9m97?t$014>1b>3tc3h7>5;hc:>5<5<5290;w)=m6;30<>N4n:1b=?m50;9l62?=831vl;50;0xZd3<5h21=?m4}r046?6=:r7<65j4=`:962?52z?4>7?e3W82n6s|26694?4|Vh301:4n9:~f13b29086?4;{M1e1?7|@8l97pBof13:17b<6b;29?lg22900n:4?:283>5}#;k<1i55G3g18m=b=831bm44?::m1=g<722wim54?:383>5}#;k<1=>64H2d0?l75k3:17b<89;29?xuf=3:1>vPn5:?b:<50;0x9252z\b=>;02h30qpl=c283>3<42>qG?k;51zJ2b7=zD:l=6nu+4ef91>"3lk0??95+4e19e<=#4l4$5f2>d3>of13:17b<6b;29?l5e83:17d=8c;29?g1=83?1>7;tL2d6>4}O9o80q)=m6;3ef>of13:17b<6b;29?lg22900el850;9j=c<722h<6=4::183!5e>3oj7E=i3:N0b0<6s-;8;7:k9:j=a<722c2j7>5;h:g>5<:183!5e>3;9n6F03h6s|a783>7}Yi?16;77k;|q137<72;q6?k:513c892<>n2wx>:=50;0x92<51k1U>4l4}r040?6=:rTj5638:`;8yxd39?0;6?4?:1y'7g0=9:20D>h<;h31g?6=3f8<57>5;|`76g<72=0;6=u+3c4962b<@:l87d?=d;29?l75m3:17d?=f;29?j4013:17p}n5;296~Xf=27<6l;4}rc5>5<5sWk=70:=b;31b>{t:0h1<7040=9;i01938<56s|43c94?4|5>0j:63;2c813<=zuk8397>55;091~J4n<0:wE?i2:O7c0=ir.?hi4:;%6gf?24<2.?h>4n9:&7`0<51k1/8i?5a49m0fb=92.?h?4n6:l7g`<63->o;7=8c:l7gc<73t.8n;4=219je0<722cj:7>5;hc:>5<5<0;684=:4yO7c3=9rB:j?5r$2`5>4`e3`k26=44o3;a>5<>o>n3:17o950;794?6|,:h=6ho4H2d0?I5a=3;p(<=8:5f:?xo>l3:17d7i:188m=b=831bm44?::m1=g<722wi?k:50;394?6|,:h=6<i6:h0;66s|a483>7}Yi<16;76k;|qb2?6=:rTj:638:8f8yv40:3:1>v37}:?382n6P=9c9~w7132909wSo6;<59e<=zuk>9n7>53;294~"4j?09;o5G3g18m44c2900e<2900q~o::181[g234=1m85rs`494?4|Vh<0199l:180[50k27<6l74=50a>44c3ty?>l4?:3y>3?g134>9n7<89:~f7b329086<4<{M1e1?7|@8l97pBof13:17b<6b;29?lg22900n:4?:283>5}#;k<1i55G3g18m=b=831bm44?::m1=g<722wxm84?:3y]e0=:?32o7p}=7383>7}:?382n6P=9c9~w7142909wSo6;<59e<=zuk8n>7>54;091~"4j?09i?5`2eg94?=n;:n1<75f2e`94?=n;:o1<75m2d394?5=83:p(>l9:478L6`43`;9h7>5;h31a?6=3f8<57>5;|`1a5<72:0;6=u+3c4962g<@:l87d?=d;29?l75m3:17b<89;29?xu5ll0;6?uQ2eg897c72;=27p}<3e83>7}Y;:n01?k>:00g?xu5lk0;6?uQ2e`897c6288n7p}<3d83>7}Y;:o01?k?:00f?xu5lo0;6?u22d3962?<5;o;6<6nu+3c49=f=h1=0;66g<3e83>>o5lk0;66g<5383>>o4;l0;66g<3g83>>o4=o0;66g<4183>>d>j3:1?7>50z&0f3<2>2B8j>5f13f94?=n9;o1<75`26;94?=zj0<1<7=50;2x 6d12;=j7E=i3:k26a<722c:>h4?::m13<<722wi5:4?:283>5}#;k<1985G3g18m44c2900e<2900qo76:180>5<7s-9i:7;:;I1e7>o6:m0;66g>2d83>>i5?00;66sm9`83>0<729q/?o8526d8L6`43`;9h7>5;h31a?6=3`;9j7>5;h304?6=3f8<57>5;|q:0?6=:rT28636a;04=>{t;:n1<76}Y:mh014l513f89<0=9;n0q~=:2;296~X4=;165o4>2d9~w65b2909wS=h50;0xZ65a34326<52z\005=:1h0:?=5rs8794?4|50h1>:74=84957c7>52z?:2?401272;7?=e:p625=838p149526;892;=2707n:00e?x{e:l31<7;52;4x 6d12;o27b50z&0f3<2=2B8j>5f13f94?=n9;o1<75`26;94?=zj;o<6=4;:183!5e>38:750;9~w7c22909wS=k:181[54l279i54>2e9~w7be2909wS:?:181[538279i:4>2d9~w65e2909wS=54;192~"4j?09ik5`2c394?=n:mn1<75f4`83>>o4k3:17o31<75rb3g`>5<4290;w)=m6;76?M5a;2c:>i4?::k26`<722e9;44?::a6`b=8391<7>t$2`5>71f3A9m?6g>2e83>>o6:l0;66a=7883>>{t:k;1<7;5mj0:>i5rs5c94?4|V=k01?kj:00f?xu4k3:1>vP2d9~yg5793:187=56z&0f3<4881d>o;50;9j6ab=831b??4?::k77?6=3k9;<7>53;294~"4j?0>:6F5<7s-9i:7;:;I1e7>o6:m0;66g>2d83>>i5?00;66sm2gd94?5=83:p(>l9:35b?M5a;2c:>i4?::k26`<722e9;44?::p6g3=838pR?l:;<0eb?4012wx>ij50;1xZ7bc349;<7?=d:?1b`<6:m1v><50;0xZ64<5::;6<2e9~w7`c2909w0;5no0:>h5r}c127?6=<391:v*50z&0f3<2>2B8j>5f13f94?=n9;o1<75`26;94?=zj:;;6=4<:183!5e>3?>7E=i3:k26a<722c:>h4?::m13<<722wi?o6:m0;66g>2d83>>i5?00;66s|2c:94?4|V;h370=>1;04=>{t:mn1<7=t^3fg?856:3;9h63<11826a=z{:91<72d9~w66b2909w0=>2;04=>;4980:>i5rs22e>5<5s49:<7<89:?054<6:l1vqo=>9;290?5=>r.8n;4<189l6g?=831b>ij50;9j71<722c?97>5;c12N4n:1b=?j50;9j57c=831d>:750;9~f67129086=4?{%1a2?323A9m?6g>2e83>>o6:l0;66a=7883>>{e;8=1<7=50;2x 6d12;=j7E=i3:k26a<722c:>h4?::m13<<722wx>o750;0xZ7d>349:;7<89:p6ab=839pR?jk;<122e9~w62=838pR>:4=23;>44b3ty?97>52z\71>;49?0:>h5rs237>5<5s49:47<89:?052<6:m1v>?::181856>38<563<16826`=zuk9:j7>54;192~"4j?08=k5`2cc94?=n:mn1<75f3483>>o3>3:17o=>e;297?6=8r.8n;4:6:J0b6=n9;n1<75f13g94?=h:>31<75rb23`>5<4290;w)=m6;76?M5a;2c:>i4?::k26`<722e9;44?::a74b=8391<7>t$2`5>71f3A9m?6g>2e83>>o6:l0;66a=7883>>{t:kk1<7e;31`>;49j0:>i5rs2794?4|V:?01>?j:00f?xu3>3:1>vP;6:?05f<6:l1v>?n:181856m38<563<1e826a=z{:;i6=4={<12g?401278=i4>2d9~yg55=3:187=56z&0f3<4:<1d>ol50;9j6ab=831b?;4?::k73?6=3k9987>53;294~"4j?0>:6F<=:180>5<7s-9i:7;:;I1e7>o6:m0;66g>2d83>>i5?00;66sm33194?5=83:p(>l9:35b?M5a;2c:>i4?::k26`<722e9;44?::p6gd=838pR?lm;<117?4012wx>ij50;1xZ7bc349987?=d:?067<6:m1v>850;0xZ60<5:8?6<>4>2e9~w6462909w0==2;04=>;4::0:>h5r}c11f?6=<391:v*50z&0f3<2>2B8j>5f13f94?=n9;o1<75`26;94?=zj:836=4<:183!5e>3?>7E=i3:k26a<722c:>h4?::m13<<722wi??750;194?6|,:h=6?9n;I1e7>o6:m0;66g>2d83>>i5?00;66s|2ca94?4|V;hh70==9;04=>{t:mn1<7=t^3fg?855i3;9h63<29826a=z{:k1<754>2d9~w6412909w0==a;04=>;4:00:>i5rs204>5<5s49947<89:?06<<6:l1vqo=<1;290?5=>r.8n;4<309l6gb=831b>ij50;9j72<722c?57>5;c104?6=;3:1N4n:1b=?j50;9j57c=831d>:750;9~f64b29086=4?{%1a2?323A9m?6g>2e83>>o6:l0;66a=7883>>{e;;l1<7=50;2x 6d12;=j7E=i3:k26a<722c:>h4?::m13<<722wx>oj50;0xZ7dc3499j7<89:p6ab=839pR?jk;<104?75l278>h4>2e9~w61=838pR>94=213>44b3ty?57>52z\7=>;4:l0:>h5rs20`>5<5s498<7<89:?06c<6:m1v>54;192~"4j?08<:5`2c494?=n:mn1<75f3983>>o303:17o=?6;297?6=8r.8n;4:6:J0b6=n9;n1<75f13g94?=h:>31<75rb227>5<4290;w)=m6;76?M5a;2c:>i4?::k26`<722e9;44?::a753=8391<7>t$2`5>71f3A9m?6g>2e83>>o6:l0;66a=7883>>{t:k<1<7;48=0:>i5rs2:94?4|V:201>>9:00f?xu303:1>vP;8:?041<6:l1v>>=:181857>38<563<04826a=z{::86=4={<130?401278<84>2d9~yg4a=3:187=56z&0f3<5n<1d>o<50;9j6ab=831b?44?::k753;294~"4j?0>:6F5<7s-9i:7;:;I1e7>o6:m0;66g>2d83>>i5?00;66sm2g194?5=83:p(>l9:35b?M5a;2c:>i4?::k26`<722e9;44?::p6g4=838pR?l=;<0e7?4012wx>ij50;1xZ7bc348m87?=d:?1b7<6:m1v>750;0xZ6?<5;l?6<4>2e9~w7`62909w0;5n:0:>h5r}c13`?6=<391:v*50z&0f3<2>2B8j>5f13f94?=n9;o1<75`26;94?=zj::j6=4<:183!5e>3?>7E=i3:k26a<722c:>h4?::m13<<722wi?=l50;194?6|,:h=6?9n;I1e7>o6:m0;66g>2d83>>i5?00;66s|2c594?4|V;h<70=?b;04=>{t:mn1<7=t^3fg?857k3;9h63<0`826a=z{:h1<72d9~w66?2909w0=?c;04=>;48k0:>i5rs22:>5<5s49;m7<89:?04g<6:l1vqor.8n;4=fc9l6g2=831b>ij50;9j7g<722c8h7>5;c0ee?6=;3:1N4n:1b=?j50;9j57c=831d>:750;9~f7`?29086=4?{%1a2?323A9m?6g>2e83>>o6:l0;66a=7883>>{e:o31<7=50;2x 6d12;=j7E=i3:k26a<722c:>h4?::m13<<722wx>o:50;0xZ7d3348m57<89:p6ab=839pR?jk;<0ee?75l279j54>2e9~w6d=838pR>l4=3db>44b3ty8h7>52z\0`>;5n10:>h5rs3d5>5<5s48mm7<89:?1b<<6:m1v?h8:18184a038<563=f8826`=zuk8oo7>54;294~"4j?0>46F:750;9~f63029086=4?{%1a2?40i2B8j>5f13f94?=n9;o1<75`26;94?=zj=k36=4=:183!5e>3;846F:750;9~f1g329096=4?{%1a2?7402B8j>5f13a94?=h:>31<75rb5`:>5<5290;w)=m6;30<>N4n:1b=?m50;9l62?=831vn96m:181>5<7s-9i:7?<8:J0b6=n9;i1<75`26;94?=zj=2m6=4=:183!5e>3;846F:750;9~f1?d29096=4?{%1a2?7402B8j>5f13a94?=h:>31<75rb240>5<4290;w)=m6;75?M5a;2c:>i4?::k26`<722e9;44?::a70e=8391<7>t$2`5>00<@:l87d?=d;29?l75m3:17b<89;29?xd4=80;6>4?:1y'7g0=:>k0D>h<;h31`?6=3`;9i7>5;n04=?6=3th9ok4?:283>5}#;k<1>:o4H2d0?l75l3:17d?=e;29?j4013:17pl=d083>6<729q/?o8526c8L6`43`;9h7>5;h31a?6=3f8<57>5;|`1`6<72:0;6=u+3c4962g<@:l87d?=d;29?l75m3:17b<89;29?xd50>0;6>4?:1y'7g0==<1C?k=4i00g>5<5<54;294~"4j?09;i5G3g18m44c2900e<50z&0f3<2>2B8j>5f13f94?=n9;o1<75`26;94?=zj:?=6=4<:183!5e>3?=7E=i3:k26a<722c:>h4?::m13<<722wi8l950;194?6|,:h=6894H2d0?l75l3:17d?=e;29?j4013:17pl;b983>0<729q/?o855d9K7c55<5<N4n:1b=?j50;9j57c=831b=?h50;9j566=831d>:750;9~f1>b29086=4?{%1a2?313A9m?6g>2e83>>o6:l0;66a=7883>>{e<0h1<7:50;2x 6d12<30D>h<;h31`?6=3`;9i7>5;h31b?6=3f8<57>5;|`7=`<72=0;6=u+3c4962b<@:l87d?=d;29?l75m3:17d?=f;29?j4013:17pl<4e83>6<729q/?o85569K7c55<N4n:1b=?j50;9j57c=831d>:750;9~f7e029086=4?{%1a2?313A9m?6g>2e83>>o6:l0;66a=7883>>{e:j31<7=50;2x 6d12<<0D>h<;h31`?6=3`;9i7>5;n04=?6=3th9oo4?:283>5}#;k<19;5G3g18m44c2900e<2900qo31<75rb3f5>5<4290;w)=m6;76?M5a;2c:>i4?::k26`<722e9;44?::a6a>=8391<7>t$2`5>00<@:l87d?=d;29?l75m3:17b<89;29?xd4h<;h31g?6=3f8<57>5;|`725<72;0;6=u+3c4956><@:l87d?=c;29?j4013:17p}6e;29f~X>m278?l4n5:?00g>i7o:;<0`7?g2348397o:;<0g0?g23ty?n;4?:3y]0g0<5=h26?96;|q77c<72?qU8>h4=5c4>44c34>i47?=d:?77}Y7}Y<im513f89633288o70=:6;31`>;5k>0:>i522b;957b<5;n=6<4=240>44b349?h7?=e:p0=e=838pR96l;<6;b?4012wx8:750;0xZ11>34>3m7?=f:p70?=839pR>;6;<16g?75m2788i4>2e9~w1ee290>wS:lb:?7e2<6:l168o6513g891>f288n70:7e;31a>{t;31k0:>h5rs5c0>5<5sW>j?63;a5813<=z{:996=4={_106>;3i=0:>n5rs3f7>5<4sW8o863=d581=g=::m<1=?k4}r6;j7>54z?07d<6mo165n4<5g9>735=:>301>;9:00f?xu5m<0;6?u22d69e<=::l31>h;4}r0`a?6=:r79o>4<7b9>6f`=:>30q~7>52z?1g6279h>4=789~w62e2908w0=;b;0:f>;4>:0:>i5234a957b52z?00g<4?j16?9h526;8yv4cj3:1:v3<3`82aa=::l81>il4=8a96ad<5;o26?jm;<0gg?4012794:4>2d9~w1>c2909w0:7f;31g>;30l09;45rs5`4>5<5s4>i57?=c:?7f=<5?01v>=m:180854i383463=e8807g=:;<;1=?j4}r16702=9;o0q~=59z?07d<50j16>h<532f8944b348o47?=e:p6a3=838p1?jn:00g?84c>38<56s|32g94?3|5:9j6?6j;<0f7?g>348n>7=2d9~w7b02909w0;5l109;45rs21e>5<5s498m7<60:?:g?54n2wx>n:50;0x97ea288o70{t;=:1<7=t=21b>7?5343h6>:?;<0f=?5382wx>n850;0x97b6288o70{t:j21<744b348h57<89:p6=3=839p1?6::3;a?84dj3;9h63=ce826a=z{;ij6=4={<0g7?75l279oo4=789~w626290?w0=;5lh0:>h522b5957c<5;ii6<i=513g897ec2;=27p};8883>7}:<1h1=?m4=5:b>71>3ty89>4?:3y>701=9;n01>;;:35:?xu4=<0;6?u2345957c<5:?=6?96;|q7=d<72;q684l526;891?b288o7p};5g83>7}:<5dz?1`a<51k16>hh52ef896662;no70=>3;0g`>;49009hi5230d96ab<5:8>6?jk;<11f?4cl278?<4=de9>751=:mn01?h::3fg?857l38oh63=fc81`a=z{;nn6=4={<0g`?g>348n>7=n:0gf?8?d2:?970=:7;04=>;5k<0:>h522e:957b<7>52z?00g2789<4=789~w7>12909w0<75;c5?84??38<56s|2e;94?4|5;n?6l74=3fb>71>3ty88n4?:3y>71b=:>301>:i:00`?xu>;3:1>v363;0:f>;3>90:>n5rs3a0>5<2s48h?7<6b:?1`f<6:o168o65122891>f289;70:6b;31b>{t<0n1<744d34>2i7<89:p=1<72;q65>4n9:?:g??33ty9i>4?:3y>6`5=:0h0197j:00e?xu5m=0;6?u22d6967}Y:k>01?hm:3`7?!50?38i?6`<7783?xu5j;0;6?uQ2c0897`22;h97)=87;0a7>h4??0:7p}=be83>7}Y:kn01>=>:3`g?!50?38i?6`<7781?xu5jj0;6?uQ2ca8964e2;hh7)=87;0a7>h4??087p}=bc83>7}Y:kh01><::3`a?!50?38i?6`<7787?xu5jh0;6?uQ2cc8967a2;hj7)=87;0a7>h4??0>7p}=b883>7}Y:k301>?6:3`:?!50?38i?6`<7785?xu5j10;6?uQ2c:896742;h37)=87;0a7>h4??0<7p}=b683>7}Y:k=01>>k:3`4?!50?38i?6`<778;?xu5j?0;6?uQ2c4896602;h=7)=87;0a7>h4??027p}=b483>7}Y:k?01>>>:3`6?!50?38i?6`<778b?xu5j80;6?uQ2c3897ca2;h:7)=87;0a7>h4??0i7p}o4;5nk08h6*<7680a>h4??0:7p}52z\0e>;4:k08m6*<7680a>h4??087p}<9;296~X41279j84<9:&032<4m2d8;;4;;|q0"4?>08i6`<7786?xu4?3:1>vP<7:?074<4?2.8;:452z\02>;4:<08:6*<7680a>h4??0<7p}<5;296~X4=278=k4<5:&032<4m2d8;;47;|q00?6=:rT8863<18800>"4?>08i6`<778:?xu4;3:1>vP<3:?056<4;2.8;:47>52z\06>;48808>6*<7680a>h4??0i7p};a;296~X3i279ik4;a:&032<4m2d8;;4l;|q7=?6=:rT?563<3087=>"4?>08i6`<778g?xu303:1?vP;8:?042<30279j84;8:&032<4m2d8;;4j;|q73?6=:rT?;63<24873>"4?>08i6`<778e?xu3>3:1>vP;6:?05c<3>2.8;:4<1=<5rs5694?4|V=>01>?<:568 6102:o0b>99:008yv242909wS:<;<135?243-9<;7=j;o142?743ty8o7>52z\0g>;5mo08o6*<7680a>h4??0:86srb51;>5<6>3886>=tL2d6>4}O9o80qA=i6;0xj1bb2o7d7j:188m42>2900e<6j:188m45>2900e<8j:188k4>a2900e9=i:188m=?=831d=4?50;9j02?=831b=9k50;9j0fd=831b8l750;9l526=831d=i950;9j764=831d8;l50;9l5=d=831d=ok50;9l0g<722e>87>5;n67a?6=3k9i=7>5b;39f~J4n<0:wE?i2:O7c0=9r.?hi4;;|&0f3<6:>1bm84?::m1<=<722e94l4?::m1l9:2`2?l7bl3:17d?je;29?l7bn3:17d?i0;29?l7a93:17do8:188k7>?2900c?6n:188k7>d2900c?6j:188k7?72900nhj50;195?5|D:l>63oh7do6:188k7?e2900el;50;9a3?6=;3:1N4n:1G?k;51z&272<3l01ve5j50;9je<<722e95o4?::pe0<72;qUm8527;:g?xu5?;0;6?u27;0:f>X51k1v?9<:181[g>34=1m45r}cd0>5<42808wA=i5;3xL4`53t.8n;4jc:kb=?6=3f82n7>5;hc6>5<0;6>4?:1y'7g0=m11C?k=4L2d6>4}#9:=18i74}h:g>5<:<50;0x92<51k1U>4l4}r047?6=:rTj5638:`;8yxda:3:187<55z&0f35;h3e5?6=3`82<7>5;ngf>5<h<;h31`?6=3`;9i7>5;n04=?6=3thm<7>53;294~"4j?09;l5G3g18m44c2900e<2900q~kk:181[cc34l:6<52z\1=5=:n90:>h5rsdg94?4|Vlo01k>526;8yvca2909w0h>:35:?8`7288o7psmfe83>=<22jq/?o85fe9j5`b=831bii4?::k1=5<722c:j<4?::k2a`<722c:ik4?::k2b5<722em87>5;cd`>5<4290;w)=m6;75?M5a;2c:>i4?::k26`<722e9;44?::ab3<72:0;6=u+3c4913=O;o90e<2e83>>o6:l0;66a=7883>>{enh0;684?:1y'7g0==m1C?k=4i00g>5<5<5<38>50;9l62?=831vi52f`826`=z{;3;6=4<{_0:4>;a>3;9i63i8;31a>{t9o;1<7ed83>7}Y9lo01ko513d8yv7bn3:1>vP>eg9>bd<6;91v5<5s4l=6?96;44b3tym;7>52z?ebg<6;91vqo<79;297?6=8r.8n;4:6:J0b6=n9;n1<75f13g94?=h:>31<75rb3:a>5<4290;w)=m6;76?M5a;2c:>i4?::k26`<722e9;44?::a6=b=8391<7>t$2`5>00<@:l87d?=d;29?l75m3:17b<89;29?xd50o0;6>4?:1y'7g0==>1C?k=4i00g>5<5<7?e34l96hj4=gf9aa=::131=?j4=3:a>44b3483h7?=e:?14cb3ty:ik4?:3y]5``<5on1=hh4}r3e4?6=:rT:j=52fe82b5=z{8l:6=4<{_3e5>;a:3;m=63id;3e5>{ti>0;6>uQa69>aa564=3::>71>3ty94l4?:3y]6=g<5;2i6?96;|q15m4=3:g>71>3ty94h4?:3y]6=c<5;2m6?96;|q1=5<721qU>4>4=g1964>4=gf96<6<5;226<2e9>6=`=9;n0q~kj:1818cc2h301k<5ed9~wc2=838p1k=5a89>ba6l94}r0;52z\15o4}r0;g?6=:rT94n5213796=e52z\1<`=:9;?1>5k4}r0:4?6=:rT95=5213796<652z\2aa=:9;?1=hj4}r3fa?6=:rT:ih5213795`c52z\2ac=:9;?1=hh4}r3e4?6=:rT:j=5213795c652z\2b4=:9;?1=k?4}|`20=<72:0:6>uC3g795~N6n;1v@>h9:0y'0ab=<2w/?o851gg8md?=831d>4l50;9je0<722h<6=4<:183!5e>3o37E=i3:N0b0<6s-;8;7:k9:j5;n0:f?6=3tyj97>52z\b1>;021n0q~<82;296~;02;3i7S<6b:p625=838pRl74=68b=>{zj8oh7:4}%1a2?7al2cj57>5;n0:f?6=3`k>6=44b683>6<729q/?o85e99K7c5>i51k0;66s|a483>7}Yi<16;76k;|q137<72;q6;7<6b:\1=g=z{;=86=4={_c:?81=i01vqo?n3;291?4==rF8j84>{I3e6>{K;o<1=v*;de87?x"4j?0:jk5fa883>>i51k0;66gn5;29?lg12900e4h50;9a3?6==3:1N4n:1b5i4?::k:b?6=3`2o6=44i`;94?=h:0h1<75rb2d7>5<6290;w)=m6;31f>N4n:1d=?o50;9~wd3=838pRl;4=68;`>{ti?0;6?uQa79>3??c3ty9;?4?:3y>7c2=9;k01:46f:p625=838p1:4=9c9]652z\b=>;02h30qpl=6383>1<52=qG?k;51zJ2b7=zD:l=65u+4ef90>"3lk0??95+4e19e<=#4l4$5f2>d37673`k>6=44i`;94?=h:0h1<75f36a94?=e?3:1?7?53zN0b0<6sA;m>6s+3c495cd5<7s-9i:7k7;I1e7>o?l3:17do6:188k7?e2900q~o::181[g234=14i5rs351>5<5s4=1>4l4^3;a?xu5?:0;6?uQa89>3?g>3twi8<850;094?6|,:h=6<=7;I1e7>o6:j0;66a=7883>>{ti<0;6?uQa49>3?g23ty95o4?:3y]6095o5rs25`>5<5sW96=4={<59e<=:<8<1>:74}|`b0?6=<3;1?vBon7:<4:&7`65;c594?5=83:p(>l9:d:8L6`43`2o6=44i`;94?=h:0h1<75rs`794?4|Vh?01:47d:p624=838p1:4=9c9]652z\b=>;02h30qp}n5;296~Xf=27<6l;4}r0:f?6=:rT95o527;0:f>{t;>i1<77<2sE9m97?tH0d1?xJ4n?0jw):kd;68 1be2=9?7):k3;c:?!2c=382n6*;d08b1>h3km0:7):k2;c5?k2dm3;0(9j7:2`3?k2c83;0q)=m6;036>of=3:17do9:188md?=831d>4l50;9j7g6=831i;7>55;091~J4n<0:wE?i2:'7g0=9oh0el750;9l60<729q/?o85e`9K7c5>o?l3:17do6:188k7?e2900qo=i4;295?6=8r.8n;4>2c9K7c55<5sWk>70958e9~wd0=838pRl84=68:`>{t:>81<744f34=15k5rs350>5<5s4=1>4l4^3;a?xu5?=0;6?uQa89>3?g>3twi8?j50;194?6|,:h=6?9n;I1e7>o6:m0;66g>2d83>>i5?00;66s|a483>7}Yi<16;7o:;|qb2?6=:rTj:63;2e826`=z{;3i6=4={_0:f>;02;3i7p}6}Y;k:01:4n9:?76a<6:m1v90;684=:4yO7c3=9rB:j?5rL2d5>4}#5}#;k<1il5G3g18m5<51;294~"4j?0:>o5G3g18k44f2900q~o::181[g234=14i5rs`494?4|Vh<01:46d:p624=838p1>h;:00b?81=1o1v?9<:18181=:0h0R?7m;|q131<72;qUm4527;c:?x{e9m91<7;52;7xH6`228qC=k<4}M1e2?7|,=no695r$2`5>4`a3`k26=44o3;a>5<>o>n3:17o950;794?6|,:h=6ho4H2d0?l?c2900e4h50;9j5;n0:f?6=3th8j94?:083>5}#;k<1=?l4H2d0?j75i3:17p}n5;296~Xf=27<65j4}rc5>5<5sWk=70959e9~w7152909w0=i4;31e>;020l0q~<83;296~;02;3i7S<6b:p622=838pRl74=68b=>{zj83:6=4<:080I5a=3;pDoh7:4}%1a2?7al2cj57>5;n0:f?6=3`k>6=44b683>6<729q/?o85e99K7c5>i51k0;66s|a483>7}Yi<16;76k;|q137<72;q6;7<6b:\1=g=z{;=86=4={_c:?81=i01vqo?k7;297?7=;rF8j84>{I3e6>{K;o<1=v*;de87?x"4j?0:ji5fa883>>i51k0;66gn5;29?g1=8391<7>t$2`5>`><@:l87d6k:188md?=831d>4l50;9~wd3=838pRl;4=68;`>{t:>81<7uC3g795~N6n;1v@>h9:0y'0ab=<2w/?o851gf8md?=831d>4l50;9je0<722h<6=4<:183!5e>3o37E=i3:k;`?6=3`k26=44o3;a>5<6=4={_c6?81=0m1v?9=:18181=:0h0R?7m;|q136<72;qUm4527;c:?x{e9ko1<7=51;1xH6`228qC=k<4}M1e2?7|,=no695r$2`5>4`c3`k26=44o3;a>5<j3:17p}n5;296~Xf=27<65j4}r046?6=:r7<6?7m;_0:f>{t:>91<763;p(9jk:59~ 6d128lo7do6:188k7?e2900el;50;9a3?6=;3:1N4n:1b4i4?::kb=?6=3f82n7>5;|qb1?6=:rTj9638:9f8yv40:3:1>v38:3;a?[4>j2wx>:=50;0xZd?<5>0j56srb25f>5<22;0>wA=i5;3xL4`53tF8j;4n{%6g`?2<,=ni69=;;%6g7?g>3->o97<6b:&7`4;%6g6?g13g>hi7?4$5f4>61d3g>hj7>4}%1a2?47;2cj97>5;hc5>5<i1<75m7;291?4==rF8j84>{I3e6>{#;k<1=kl4i`;94?=h:0h1<75fa483>>of>3:17d7i:188f2<72<0;6=u+3c49ad=O;o90@>h::0y'561=5;|`0b1<7280;6=u+3c4957d<@:l87b?=a;29?xuf=3:1>vPn5:?4>=bv38:3;a?[4>j2wx>::50;0xZd?<5>0j56srb50a>5<4290;w)=m6;04f>N4n:1b=?j50;9j57c=831d>:750;9~wd3=838pRl;4=68b1>{ti?0;6?uQa79>07d=9;o0q~<6b;296~X51k16;7<6b:p72e=839pR>9l;<59e<=:<;h1=?j4}r61e?6=:r7<6l84=50a>71>3twi=5l50;796?3|D:l>63kp(9jk:59'0ad=<:>0(9j<:`;8 1b22;3i7):k1;c6?k2dl3;0(9j=:`48j1eb281/8i9536a8j1ea291v(>l9:324?lg22900el850;9je<<722e95o4?::k03f<722h<6=4::386I5a=3;pD5;hc5>5<c2900el750;9l6h;:182>5<7s-9i:7?=b:J0b6=h9;k1<75rs`794?4|Vh?01:47d:pe3<72;qUm;527;;g?xu5?;0;6?u23g6957g<5>02j6s|26194?4|5>095o5Q28`8yv40<3:1>vPn9:?4>d?o4?:283>5}#;k<1>:l4H2d0?l75l3:17d?=e;29?j4013:17p}n5;296~Xf=27<6l;4}rc5>5<5sWk=70:=b;31a>{t:0h1<727?>o4=789~yg23m3:197=56zN0b0<6sA;m>6sC3g49e~"3lm0?7):kb;600>"3l:0j56*;d481=g=#"3l;0j:6`;cd82?!2c039i<6`;d183?x"4j?09<95fa483>>of>3:17do6:188k7?e2900e>l?:188f2<72<0968uC3g795~N6n;1v(>l9:0da?lg>2900c?7m:188md3=831bm;4?::k:b?6=3k=1<7;50;2x 6d12lk0D>h<;h;g>5<>of13:17b<6b;29?xd4n=0;6<4?:1y'7g0=9;h0D>h<;n31e?6=3tyj97>52z\b1>;021n0q~o9:181[g134=15i5rs351>5<5s49m87?=a:?4><`52z?4>7?e3W82n6s|26694?4|Vh301:4n9:~f14e29096=4?{%1a2?7402B8j>5f13a94?=h:>31<75rb50g>5<4290;w)=m6;04f>N4n:1b=?j50;9j57c=831d>:750;9~wd3=838pRl;4=68b1>{ti?0;6?uQa79>07b=9;o0q~<6b;296~X51k16;7<6b:p7g6=839pR>l?;<61f?75k27?>i4>2e9~w14d2909w095a79>07b=:>30q~:=a;296~;02h3019>2900e<:j:188m02=831b?:k50;9j5=c=831b=l750;9a5d3=83?1<7>t$2`5>0d<@:l87d?=d;29?l75m3:17d?=f;29?l7483:17b<89;29?xd6i?0;6>4?:1y'7g0==>1C?k=4i00g>5<5<53;294~"4j?0>96F44b3ty:8h4?:3y]51c<58k>6<863>a7826a=z{:=n6=4={_14a>;6i?0:>h5rs0:f>5<5sW;3i63>a4826c=z{8k26=4={_3b=>;6i<0:?=5rs0c6>5<5s4;j97<89:?2e2<6:m1v38<563>a6826`=zuk;2j7>5a;5955}#;k<1=4h4o0;7>5<6=44i4694?=n9h91<75f18094?=nl9:478L6`43`;9h7>5;h31a?6=3f8<57>5;|`2=3<72=0;6=u+3c491<=O;o90e<2900qo?67;297?6=8r.8n;4=7`9K7c55<N4n:1b=?j50;9j57c=831d>:750;9~f4?f29086=4?{%1a2?323A9m?6g>2e83>>o6:l0;66a=7883>>{e90i1<7:50;2x 6d12<30D>h<;h31`?6=3`;9i7>5;h31b?6=3f8<57>5;|`2=a<72=0;6=u+3c4962b<@:l87d?=d;29?l75m3:17d?=f;29?j4013:17p}>9583>7}Y90>01<7k:35:?xu4j<0;6?uQ3c7894??288o7p}:4;297~X2<27:5h4>2e9>5<0=9;n0q~?n3;296~X6i:16=4k513g8yv7>:3:1>vP>939>5<0=9;o0q~:lb;296~X3kk16=48513d8yv5e:3:1>vP57}Y;k901<7l:00e?xu6<10;6?uQ15:894?d288o7p}>9483>7}:90o1>:74=0;4>44c3ty:5;4?:3y>5<0=:>301<78:00f?xu5?;0;6?u2185962?<58336<9883>7}:90k1>:74=0;g>44b3ty:5o4?:3y>5301<7k:00e?x{e9l91<7o57;33!5e>3;n?6a>d983>>o4j<0;66g:4;29?l7f;3:17d?62;29?l2dj3:17d=m2;29?l7c?3:17d=m3;29?l7303:17o?j2;297?6=8r.8n;4:6:J0b6=n9;n1<75f13g94?=h:>31<75rb0fb>5<3290;w)=m6;7b?M5a;2c:>i4?::k26`<722c:>k4?::m13<<722wi=il50;194?6|,:h=6?9n;I1e7>o6:m0;66g>2d83>>i5?00;66sm1ea94?5=83:p(>l9:478L6`43`;9h7>5;h31a?6=3f8<57>5;|`2``<72:0;6=u+3c4910=O;o90e<50z&0f3<212B8j>5f13f94?=n9;o1<75f13d94?=h:>31<75rb0g2>5<3290;w)=m6;04`>N4n:1b=?j50;9j57c=831b=?h50;9l62?=831v7?=d:p5<4=838pR<7=;<3ge?75n2wx8nl50;0xZ1ee34;om7?=d:p7g4=838pR>l=;<3ga?75l2wx=i950;1xZ4b034;oi7?=e:?2a5<6:l1v>l<:181[5e;27:i=4>2g9~w42?2909wS?;8:?2a5<6:m1vdc826a=z{8nj6=4={<3ge?40127:ho4>2d9~w7152909w0?kb;04=>;6lj0:>h5rs350>5<5s4;oo7<89:?2a4<6:m1ve0826`=z{8nm6=4={<3f4?40127:i<4>2g9~yg7?<3:157j514y'7g0=91>0c<9>:188m6d22900e8:50;9j5=1=831b=4<50;9j02?=831b=:>50;9j7g4=831b=5;50;9a521=8391<7>t$2`5>03<@:l87d?=d;29?l75m3:17b<89;29?xd6?10;6>4?:1y'7g0==?1C?k=4i00g>5<5<53;294~"4j?0>:6F5<7s-9i:7<8a:J0b6=n9;n1<75f13g94?=h:>31<75rb05`>5<4290;w)=m6;75?M5a;2c:>i4?::k26`<722e9;44?::a52b=8391<7>t$2`5>71f3A9m?6g>2e83>>o6:l0;66a=7883>>{e9>o1<7=50;2x 6d12h<;h31`?6=3`;9i7>5;n04=?6=3th:4=4?:283>5}#;k<1985G3g18m44c2900e<2900qo?72;297?6=8r.8n;4:7:J0b6=n9;n1<75f13g94?=h:>31<75rb0:0>5<4290;w)=m6;04e>N4n:1b=?j50;9j57c=831d>:750;9~f41429086=4?{%1a2?323A9m?6g>2e83>>o6:l0;66a=7883>>{e9>?1<7=50;2x 6d12<<0D>h<;h31`?6=3`;9i7>5;n04=?6=3th:;;4?:583>5}#;k<1>:j4H2d0?l75l3:17d?=e;29?l75n3:17b<89;29?xu6?80;6?uQ163894112;=27p}7}Y;k?01<9j:00g?xu2<3:19vP:4:?232<6:m16=:m513f894>7288o70?72;31`>{t91=1<7=t^0:4?870?3;9i63>81826`=z{8396=4<{_3:6>;6?10:>h5216c957b<57>53z\73<=:9>21=?j4=0:1>44b3ty:;=4?:2y]526<58=j6<{t9>>1<771>34;:=50;0x941d2;=270?8d;31a>{t:>>1<771>34;3;9h6s|16d94?4|582;6?96;<3;7?75l2wx=5?50;0x94>52;=270?73;31a>{t:><1<771>34;3;9i6s|16794?4|58=>6?96;<342?75n2wvna<6=r.8n;4>d39l5g`=831b?o;50;9j11<722c:h>4?::k2=7<722c?m44?::k2f`<722c8n?4?::k2<0<722h:o84?:283>5}#;k<1985G3g18m44c2900e<2900qo?l6;297?6=8r.8n;4:6:J0b6=n9;n1<75f13g94?=h:>31<75rb0a;>5<4290;w)=m6;75?M5a;2c:>i4?::k26`<722e9;44?::a5f?=8391<7>t$2`5>71f3A9m?6g>2e83>>o6:l0;66a=7883>>{e9jk1<7=50;2x 6d12<<0D>h<;h31`?6=3`;9i7>5;n04=?6=3th:oo4?:283>5}#;k<1>:o4H2d0?l75l3:17d?=e;29?j4013:17pl>cb83>6<729q/?o85549K7c55<N4n:1b=?j50;9j57c=831d>:750;9~f4b729086=4?{%1a2?303A9m?6g>2e83>>o6:l0;66a=7883>>{e9m;1<7=50;2x 6d12;=j7E=i3:k26a<722c:>h4?::m13<<722wi=n?50;194?6|,:h=68;4H2d0?l75l3:17d?=e;29?j4013:17pl>c283>6<729q/?o85579K7c55<2900q~?mf;296~X6jo16=n:526;8yv5e=3:1>vP5fe=9;n0q~;;:186[3334;h97?=d:?2gd<6:m16=nk513f894b7288o7p}>d283>6}Y9m901;6k10:>i5rs5c:>5<4sW>j563>c7826a=:9m:1=?k4}r3aa?6=;rT:nh521b:957c<58i86<44c3ty:484?:3y]5=3<58i86<c383>7}:9j<1>:74=0a:>44c3ty:o:4?:3y>5f>=:>3017}:9jh1>:74=0a`>44b3ty9;84?:3y>5fe=:>301526;894b6288n7p}=7783>7}:9m;1>:74=0a2>44b3ty9;:4?:3y>5f7=:>301t$2`5>45?3A9m?6g>2b83>>i5?00;66sm47g94?4=83:p(>l9:01;?M5a;2c:>n4?::m13<<722wi?5>50;694?6|,:h=6874H2d0?l75l3:17d?=e;29?l75n3:17b<89;29?xd60m0;694?:1y'7g0==01C?k=4i00g>5<5<N4n:1b=?j50;9j57c=831d>:750;9~f10c290>6=4?{%1a2?3c3A9m?6g>2e83>>o6:l0;66g>2g83>>o6;90;66a=7883>>{e9hk1<7:50;2x 6d12;=o7E=i3:k26a<722c:>h4?::k26c<722e9;44?::a5<5=83>1<7>t$2`5>0?<@:l87d?=d;29?l75m3:17d?=f;29?j4013:17pl>8783>6<729q/?o8526c8L6`43`;9h7>5;h31a?6=3f8<57>5;|`0<7<72:0;6=u+3c4962g<@:l87d?=d;29?l75m3:17b<89;29?xd5>=0;6?4?:1y'7g0=9:20D>h<;h31g?6=3f8<57>5;|`2<<<72;0;6=u+3c4956><@:l87d?=c;29?j4013:17pl>d483>7<729q/?o8512:8L6`43`;9o7>5;n04=?6=3ty2i7>513y]=`=:;k;1m85215:9e0=:9?l1m8521`19e0=::?81m852a58b1>;2<3k>70?77;c6?87c;3k>70?61;c6?87c?3k>70?80;c6?87em3k>70:m:`78961b2h?01<6m:`78912b2h?0q~?;9;296~X6<01688>513g8yv7?m3:18vP>8d9>5d>=91o01<6k:00g?85?:3;9h6s|12;94?4|V89270?;8;c:?xu6>l0;6?uQ17g8940a2h30q~?7f;296~X60o16=4>526;8yv24n3:1>vP;3g9>03b=9;n0q~66:184[>>349i=7?je:?03`<4?j16=5l536a8912b2:h;70?n8;::?87>;3;9j6s|18394?5|V83:70?61;0:f>;61o0:5<5rs55:>5<4sW><563>85873<=:9131=?m4}r37a?6=>rT:8h523c395``<58k36<:j;<1;4?75n27?:i4>2g9>5<5=9;o0q~:lb;291~X3kk16=l=5a89>5<`=;6l<0:>n5rs053>5<4sW;<<63>7181=g=:91>1=:>4}r3g3?6=;rT:h:521e596<4=54g>44b3ty?:o4?:3y]03d<5=7?e3ty:nh4?:2y]5gc<58hn6?7m;<3g6?7em2wx8o4?:3y]0g=:01>l>:0gg?8332;3i70?n8;77?87>n3??70?j3;77?87?<3??70?k2;77?87>83;9o63<81826a=z{=>n6=4={_67a>;35<5s49i=7<7c:?2ed<6:o1v{t;k?1<79t=2`2>7>b34;2j7=m5:?2a6<4j<16=5:53c7894b52:h>70?76;31`>;40;0:>h5rs54`>5<5s4>=i7?=c:?72a<5?01v{t9h>1<7=t=0c0>d0<58k36;6i108;h5219f957`<5=?;6<6?:35:?xu6<10;69u23c395c7<58>36?7m;<3:b?73027:i>4>499~w4?32909w0?61;c:?87>n3;286s|43;94?4|5:h:6?7?;<6a>d?7?e34??6l84}r057?6=:r79:?4<7b9>632=:>30q~?78;296~;60>0j563>88813<=z{8k26=4={<3b;61o0:m>521d195d57>54z?127<51k169945=1=i?16=i=5a79~w4>02909w0?77;0:f>;60=0:4:5rs052>5<5s4;<<7o6;<3;0?7092wx=4<50;7x94?a283970?j3;3:6>;60=0:5?521e095<4<58386?96;|q2<0<72:q6=5:5197894b5282>70?76;04=>{t<=l1<7d0<5=?;6?96;|q22c<72;q6=;h528`894>c288n7p}>8b83>7}:91h1m;5219f962?52z?2`6;6l;0:h>5rs2:2>5<5s493<7?=e:?0<7<5?01v>l=:18;85e9383463n4;14g>;61o08n?521d197g4<582?6>l=;<3g6?5e:27:ml4>2e9>5=0=9;o0q~=m3;290~;4j8094l5218d97g5<58o86>l<;<3be?75m2wvn9=8:1822?5c2<8p@>h::0yK5c420<,=no6;5r$2`5>42d3S9<97?t298~k42>2900e9m;:188k1232900e98>:188k45>2900enl50;9j0<`=831d=;l50;9j=4<722c:5<4?::k;a?6=3f><57>5;h3;e?6=3`=m6=44o5c:>5<5<o6=44o54;>5<h::0yK5c46=z,:h=6<<7;hc6>5<5<5<5<5<5<5<6=4k:c825~J4n<0:wE?i2:'7g0=9hh0e:188md1=831d>5650;9l6=g=831d>5m50;9l6=c=831d>4>50;9l6<4=831d>4=50;9aaa<72:0:6>uC3g795~N6n;1v(>l9:da8md?=831d>4l50;9je0<722h<6=4<:183!5e>3o37E=i3:N0b0<6s-;8;7:k9:j5;n0:f?6=3tyj97>52z\b1>;021n0q~<82;296~;02;3i7S<6b:p625=838pRl74=68b=>{zjo91<7=51;1xH6`228qC=k<4}%1a2?cd3`k26=44o3;a>5<3687`<=za1n1<75fa883>>i51k0;66s|a483>7}Yi<16;76k;|q137<72;q6;7<6b:\1=g=z{;=86=4={_c:?81=i01vqohj:180>4<4sE9m97?tH0d1?x"4j?0no6gn9;29?j4>j3:17do::188f2<72:0;6=u+3c49a==O;o90@>h::0y'561=4l50;9~wd3=838pRl;4=68;`>{t:>81<7uC3g795~N6n;1v(>l9:da8md?=831d>4l50;9je0<722h<6=4<:183!5e>3o37E=i3:N0b0<6s-;8;7:k9:j5;n0:f?6=3tyj97>52z\b1>;021n0q~<82;296~;02;3i7S<6b:p625=838pRl74=68b=>{zj8:i6=4<:080I5a=3;pD5;n0:f?6=3`k>6=44b683>6<729q/?o85e99K7c5652z\b=>;02h30qpl>0b83>6<62:qG?k;51zJ2b7=z,:h=6hm4i`;94?=h:0h1<75fa483>>d029086=4?{%1a2?c?3A9m?6Bo56sf8e83>>of13:17b<6b;29?xuf=3:1>vPn5:?4>=b7>52z?4>7?e3W82n6s|26194?4|Vh301:4n9:~f47329086<4<{M1e1?7|@8l97p*of13:17b<6b;29?lg22900n:4?:283>5}#;k<1i55G3g18H6`228q/=>954ea8yl>c2900el750;9l603h6s|26094?4|5>095o5Q28`8yv40;3:1>vPn9:?4>d?55;193~"4j?0mh6g=9183>>o6ml0;66g>f183>>o6n80;66ai4;29?g`>290?6=4?{%1a2?3>3A9m?6g>2e83>>o6:l0;66g>2g83>>i5?00;66smf783>1<729q/?o85589K7c55<5<38827m57?=f:?e2?75n2wx=hk50;1xZ4cb34l26<44c3ty:j=4?:3y]5c6<5o31=?k4}r3e5?6=:rT:j<52f7826`=z{o>1<7=:>30q~h::1818`>2;=270h7:00g?xua>3:1>v3i6;04=>;a03;9i6srb027>5<12:03w)=m6;330>o5190;66g>f183>>o6n80;66g>ed83>>o50l0;66aif;29?g77;3:187>50z&0f3<2i2B8j>5f13f94?=n9;o1<75f13d94?=h:>31<75rb022>5<4290;w)=m6;76?M5a;2c:>i4?::k26`<722e9;44?::a554=83>1<7>t$2`5>71c3A9m?6g>2e83>>o6:l0;66g>2g83>>i5?00;66s|28294?5|V;3;70??3;31b>;6880:>i5rs0d3>5<5sW;m<63>02826`=z{8l:6=4={_3e5>;68:0:>i5rs0gf>5<5sW;ni63>00826`=z{;2n6=4={_0;a>;68;0:>k5rsgd94?4|Vol01<>=:35:?xu6890;6?u2111962?<58:96<l9:03`?l4?03:17d?jf;29?l7bl3:17d<63;29?l7bm3:17b?>5;29?g76j3:1?7>50z&0f3<2>2B8j>5f13f94?=n9;o1<75`26;94?=zj8;<6=4<:183!5e>3?=7E=i3:k26a<722c:>h4?::m13<<722wi=<750;194?6|,:h=68;4H2d0?l75l3:17d?=e;29?j4013:17pl>1`83>0<729q/?o8526d8L6`43`;9h7>5;h31a?6=3`;9j7>5;h304?6=3f8<57>5;|q1<=<72=qU>564=03a>44b34;:;7?=e:?25<<6:l1v2e9~w4cc2909wS?jd:?252<6:m1v?7<:181[4>;27:=l4>2g9~w4cb2909wS?je:?25<<6:m1vb;04=>;69h0:>i5rs034>5<5s4;:;7<89:?25d<6:l1v1`8275=zuk;:?7>55;294~"4j?0>o6F>50;9l62?=831vee9>545=9;l0q~?je;291~X6ml16ji4>ed9>552=9lo01c;3fb>;69:0:?=5rs0d3>5<4sW;m<63id;3e4>;68=0:j=5rs0d2>5<4sW;m=63id;3e5>;68=0:j<5rs`594?>|Vh=01hj5a49>b6564=037>7?e34;:o7<78:?256<6:l1v?6n:180[4?i27:552=:1o0q~<60;290~X51916jh4=9c9>ba<51916==:52828yv4>:3:1?vP=939>aa7?e34;:o7<63:pb1<72;q6j>4n9:?e`?`33tymj7>52z?ea?g>34;;87hi;|q24a<72;q6==m5a89>545=:>30q~?>5;296~;69=0j563>1b8250=zuzk>6=4={_c6?875=3k<7p}=8983>7}Y:1201<<::3:;?xu50h0;6?uQ29c894422;2j7p}=8b83>7}Y:1i01<<::3:`?xu50l0;6?uQ29g894422;2n7p}=9183>7}Y:0:01<<::3;3?xu51;0;6?uQ280894422;397p}=9283>7}Y:0901<<::3;0?xu6mm0;6?uQ1df8944228oo7p}>ed83>7}Y9lo01<<::0gf?xu6mo0;6?uQ1dd8944228om7p}>f183>7}Y9o:01<<::0d3?xu6n80;6?uQ1g38944228l:7psm9383>6<62:qG?k;51zJ2b7=zD:l=6{#;k<1=kj4i`;94?=h:0h1<75fa483>>d029086=4?{%1a2?c?3A9m?6g7d;29?lg>2900c?7m:188yvg22909wSo:;<597}Yi016;7o6;|a5d6=8391=7=tL2d6>4}O9o80qA=i6;3x 1bc2:1v(>l9:0dg?lg>2900c?7m:188md3=831i;7>53;294~"4j?0n46F5;n0:f?6=3tyj97>52z\b1>;021n0q~<82;296~;02;3i7S<6b:p625=838pRl74=68b=>{zj8o?6=4<:080I5a=3;pDoh7=4}%1a2?7al2cj57>5;n0:f?6=3`k>6=44b683>6<729q/?o85e99K7c5>i51k0;66s|a483>7}Yi<16;76k;|q137<72;q6;7<6b:\1=g=z{;=86=4={_c:?81=i01vqo=l2;297?4={I3e6>{K;o<1=v*;de80?x"4j?09=h5fa883>>i51k0;66gn5;29?g1=8391<7>t$2`5>`><@:l87d6k:188md?=831d>4l50;9~fd>=8381<7>t$2`5>45?3A9m?6g>2b83>>i5?00;66s|a483>7}Yi<16m54>2b9~w7152909w0958e9>e=<5?01v?9<:18181=:0h0R?7m;|q131<72;qUm4527;c:?x{em:0;6>4=:5yO7c3=9rB:j?5rL2d5>4}#5<7s-9i:7k7;I1e7>o?l3:17do6:188k7?e2900qoo7:181>5<7s-9i:7?<8:J0b6=n9;i1<75`26;94?=z{h?1<7=9;i0q~<82;296~;021n01l6526;8yv40;3:1>v38:3;a?[4>j2wx>::50;0xZd?<5>0j56srbbg94?1=:3hp@>h::0yK5c46=#<4$516>1ba3->o97<6b:&7`4;|&0f3<6n11Q4n4>{3`9y_50=38p>i4<1;j72b=831bm84?::k03f<722e9m<4?:%0:=?4f82d9554?;:m1=c<72-8257on7:<4:&7`67?=uS9<97n1<75fa483>>o4?j0;66a=a083>!4>138j<6`=9983?>i51o0;6)<69;0b4>h5110:76a=9d83>!4>138j<6`=9981?>i51j0;6)<69;0b4>h5110876gnf;29 7?>2ho0b?77:198mdb=83.9544ne:l1==<632cjo7>5$3;:>dcd0290>6947{M1e1?7|@8l97pB886*;d28b=>"3l<095o5+4e39e0=i>i51k0;66g>o4?j0;66l8:180>4<4sE9m97?tH0d1?x"4j?0:jo5fa883>>i51k0;66gn5;29?g1=8391<7>t$2`5>`><@:l87d6k:188md?=831d>4l50;9~wd3=838pRl;4=68;`>{t:>81<750z&0f3<5?h1C?k=4i00g>5<5<{ti00;6?uQa89>066=9;o0q~<6b;296~X51k16;7<6b:p7g6=838pR>l?;<604?75l2wx?:m50;0xZ61d34>::7?=d:p040=:>30qpln:187>6<1sE9m97?tH0d1?xJ4n?0"3l:0j56*;d481=g=#"3l>08;n5a4bd95>{#;k<1>=64i`794?=ni00;66a=9c83>>o4?j0;66l8:180>4<4sE9m97?tH0d1?x"4j?0:jo5fa883>>i51k0;66gn5;29?g1=8391<7>t$2`5>`><@:l87d6k:188md?=831d>4l50;9~wd3=838pRl;4=68;`>{t:>81<7t$2`5>45?3A9m?6g>2b83>>i5?00;66s|a483>7}Yi<1657?=c:pe<<72;qUm452404957c52z\1=g=:?382n6s|36a94?4|V:=h70:>6;31`>{t03:1>v38:`789<<5?01v9?::18181=i0168<8526;8yxd6=3:187=56zN0b0<6sA;m>6sC3g493~"3lk0??95+4e19e<=#4l4$5f2>d376?3`k>6=44i`;94?=h:0h1<75f36a94?=e?3:1?7?53zN0b0<6sA;m>6s+3c495cd5<7s-9i:7k7;I1e7>o?l3:17do6:188k7?e2900q~o::181[g234=14i5rs351>5<5s4=1>4l4^3;a?xu5?:0;6?uQa89>3?g>3twi8<850;194?6|,:h=6884H2d0?l75l3:17d?=e;29?j4013:17pl6:181>5<7s-9i:7?<8:J0b6=n9;i1<75`26;94?=z{h?1<74l4=681=g=z{:=h6=4={_14g>;39?0:>i5rs983>7}:?3k>707526;8yv26=3:1>v38:`;891712;=27psm2583>1<42?qG?k;51zJ2b7=zD:l=6:u+4e`9062<,=n86l74$5f6>7?e3->o=7o:;o6``?6<,=n<6>9l;o6`b?75<6<62:qG?k;51zJ2b7=z,:h=65<50z&0f35f8e83>>of13:17b<6b;29?xuf=3:1>vPn5:?4>=b7>52z?4>7?e3W82n6s|26194?4|Vh301:4n9:~f17129086=4?{%1a2?313A9m?6g>2e83>>o6:l0;66a=7883>>{e13:1>7>50z&0f3<6;11C?k=4i00`>5<5<5sWk>707513a8yvg>2909wSo6;<622?75m2wx>4l50;0xZ7?e34=1>4l4}r14g?6=:rT8;n52404957b;>2;=27p};1483>7}:?3k270:>6;04=>{zj;k86=4<:183!5e>389k:180[50l27<6>l?;<0b7?75m2wxm84?:4y]e0=:?3k>70o5a49>509l;<36>61d348?6>9l;<0b7?4012wx>l?50;0xZ7g6348?6?7m;%143?4f82d8;;4?;|q1=c<72;qU>4h4=079652z\1=`=:i382n6*<7681e5=i;><1>6s|28a94?4|V;3h709528`8 6102;k;7c=86;18yvga2909wSoi;<07>d?<,:=<6lk4n255>5=z{hn1<7380q~on:181[gf34=1m45+3659e`=i;><1?6srb346>5o:7=94:&7a5<03t.8n;4>f79Yl4rZ256>7}5m38<6pa<6e83>!4>139=o6`=9983?>i4>k0;6)<69;15g>h5110:76a<6`83>!4>139=o6`=9981?>i4><0;6)<69;15g>h5110876gmb;29 7?>2kk0b?77:198mg?=83.9544ma:l1==<632ci47>5$3;:>ggd5>00;6>4>:2yO7c3=9rB:j?5r$2`5>7463`h;6=44ic394?=h;?>1<75m3gd94?5=;3?p(>l9:2de?ld72900eo?50;9l732=831i?km50;194?6|,:h=6884H2d0?l75l3:17d?=e;29?j4013:17pl6<729q/?o85579K7c55<2e9~wg7=839pRo?4=2d`>44c349mh7?=e:p732=838pR>8;;<1ea?4012wx?kl50;0x96`d2;=270=ie;31`>{t;oi1<771>349mi7?=e:~wg6=838pRo>4=2de>g67}Y;?>01>hi:247?x{e:?k1<7=51;1xH6`228qC=k<4}%1a2?4592ci<7>5;h`2>5<5<42:0>w)=m6;1eb>oe83:17dl>:188k6032900n>hl:180>5<7s-9i:7;9;I1e7>o6:m0;66g>2d83>>i5?00;66sm3gf94?5=83:p(>l9:448L6`43`;9h7>5;h31a?6=3f8<57>5;|`0b`<72:0;6=u+3c4962g<@:l87d?=d;29?l75m3:17b<89;29?xue83:1?vPm0:?0bf<6:l16?kj513f8yvd62908wSl>;<1eg?75l278ji4>2d9~w6032909wS=94:?0b`<5?01v>hm:18185ak38<5632d9~yvd72909wSl?;<1eb?d73tyi=7>52z\a5>;4no0i=6s|37694?4|V:{zj;5;c1eb?6=;3919v*31<75rb2dg>5<4290;w)=m6;75?M5a;2c:>i4?::k26`<722e9;44?::a7cc=8391<7>t$2`5>71f3A9m?6g>2e83>>o6:l0;66a=7883>>{tj90;6>uQb19>7ce=9;o01>hk:00g?xue93:1?vPm1:?0bf<6:m16?kj513g8yv51<3:1>vP<659>7cc=:>30q~=ib;296~;4nj09;4523gg957b52z?0ba<5?016?kk513g8yxue83:1>vPm0:?0bc5<5sW9=863::7>53;294~"4j?0>96F5<7s-9i:7;:;I1e7>o6:m0;66g>2d83>>i5?00;66sm36694?4=83:p(>l9:01;?M5a;2c:>n4?::m13<<722wx8<;50;1x970f2k:019?9:35:?82603;9i6s|40594?4|5;4=53;>71>3ty8:i4?:3y]73b<5;8;;%143?51k2d8;;4?;|q02g<72;qU?;l4=34b>6033-9<;7=9c:l033<63ty8:l4?:3y]73g<5;<26>8;;%143?51k2d8;;4=;|q020<72;qU?;;4=257>71>3-9<;7=9c:l033<43tyin7>52z\af>;5>k0i=6*<768ae>h4??0;7p}m9;297~Xe1279:l4m1:?75=<6:m1/?:95b`9m720=92wxn54?:2y]f==::?31n<52404957b<,:=<6oo4n255>7=z{k91<7:t^c18970>2k:019?9:00f?850<3;9o6*<768ae>h4??087ps|36f94?4|V:=o709536f8yvg22909wSo:;<59e0=z{:=h6=4={_14g>;02:=h7p}=a083>6}Y:h;01:4=a09>633=jk1/?:952`28j611291v?7i:180[4>n27<6?7i;<051?d>3-9<;7095h522779f==#;>=1>l>4n255>7=z{;3h6=4<{_0:g>;02;3h70<95;`0?!50?38j<6`<7780?xu38>0;6?u27;ce?841=39=h6*<768743=i;><1<6s|41794?4|5>0jh63=64802g=#;>=18=84n255>4=z{=:?6=4={<59ef=::??1?;o4$254>1613g9<:7<4}r636?6=:r7<6lo4=346>6023-9<;7:?6:l033<43twi8oo50;797?0|D:l>63kp(9jk:29'0ad=<:>0(9j<:`;8 1b22;3i7):k1;c6?k2dl3;0(9j=:`48j1eb291/8i9536a8j1ea281v(>l9:32:?lg22900el850;9je<<722e95o4?::k03f<722h<6=4::386I5a=3;pD5;hc5>5<c2900el750;9l6h;:182>5<7s-9i:7?=b:J0b6=h9;k1<75rs`794?4|Vh?01:47d:pe3<72;qUm;527;;g?xu5?;0;6?u23g6957g<5>02j6s|26194?4|5>095o5Q28`8yv40<3:1>vPn9:?4>d?5}#;k<1=>64H2d0?l75k3:17b<89;29?xd3:k0;6>4?:1y'7g0=:>h0D>h<;h31`?6=3`;9i7>5;n04=?6=3tyj97>52z\b1>;02h?0q~o9:181[g134>9n7?=d:p653z\03f=:<8<1=?m4=50a>44b3ty?=84?:3y>3?g>34>::7<89:p07g=838p1:4n6:?76g<5?01vqo?9b;290?4==rF8j84>{I3e6>{K;o<1=v*;de80?x"4j?09>i51k0;66gn5;29?l1b2900n:4?:583>5}#;k<1i45G3g18m<`=831b4i4?::kb=?6=3f82n7>5;|`b2900q~o::181[g234k36<71>3ty52z\4a>;020l0q~<83;296~;02;3i7S<6b:p622=838pRl74=68b=>{zj8>26=4;:386I5a=3;pDoh7=4}%1a2?47i2cj57>5;n0:f?6=3`k>6=44i6g94?=e?3:187>50z&0f35f9g83>>o?l3:17do6:188k7?e2900qoo7:181>5<7s-9i:7?<8:J0b6=n9;i1<75`26;94?=z{h?1<7=9;i0q~<82;296~;021n01l6526;8yv1b2909wS9j;<59=c=z{;=86=4={<5967}Yi016;7o6;|a5de=8391=7=tL2d6>4}O9o80qA=i6;3x 1bc2:1v(>l9:0dg?lg>2900c?7m:188md3=831i;7>53;294~"4j?0n46F5;n0:f?6=3tyj97>52z\b1>;021n0q~<82;296~;02;3i7S<6b:p625=838pRl74=68b=>{zj8926=4<:080I5a=3;pDoh7=4}%1a2?7al2cj57>5;n0:f?6=3`k>6=44b683>6<729q/?o85e99K7c5>i51k0;66s|a483>7}Yi<16;76k;|q137<72;q6;7<6b:\1=g=z{;=86=4={_c:?81=i01vqo:m:180>4<4sE9m97?tH0d1?xJ4n?0:w):kd;18y!5e>3;mh6gn9;29?j4>j3:17do::188f2<72:0;6=u+3c49a==O;o90e5j50;9je<<722e95o4?::pe0<72;qUm8527;:g?xu5?;0;6?u27;0:f>X51k1v?9<:181[g>34=1m45r}c65h<;h:g>5<5<5s4=1>4l4^3;a?xu5?=0;6?uQa89>3?g>3twio?4?:282>6}K;o?1=vF>f39~H6`128q/8ij53:'7g0=:9h0el750;9l65<4290;w)=m6;g;?M5a;2F8j84>{%303?2c12wb4i4?::kb=?6=3f82n7>5;|qb1?6=:rTj9638:9f8yv40:3:1>v38:3;a?[4>j2wx>:=50;0xZd?<5>0j56srb567>5<42;0?wA=i5;3xL4`53tF8j;4>{%6g`?5e:kb=?6=3f82n7>5;hc6>5<0;6>4?:1y'7g0=m11C?k=4i9f94?=ni00;66a=9c83>>{ei10;6?4?:1y'7g0=9:20D>h<;h31g?6=3f8<57>5;|qb1?6=:rTj963n8;31g>{t:>81<7;f038<56s|26194?4|5>095o5Q28`8yv40<3:1>vPn9:?4>d?1}K;o?1=vF>f39~H6`128q/8ij53:'7g0=:8o0el750;9l65<4290;w)=m6;g;?M5a;2c3h7>5;hc:>5<5<5290;w)=m6;30<>N4n:1b=?m50;9l62?=831vl;50;0xZd3<5h21=?m4}r046?6=:r7<65j4=`:962?52z?4>7?e3W82n6s|26694?4|Vh301:4n9:~f1g>29086?4;{M1e1?7|@8l97pBof13:17b<6b;29?lg22900n:4?:283>5}#;k<1i55G3g18m=b=831bm44?::m1=g<722wim54?:383>5}#;k<1=>64H2d0?l75k3:17b<89;29?xuf=3:1>vPn5:?b:<50;0x9252z\b=>;02h30qpl>b583>3<421q/?o851c68k4ga2900e2e83>>o6:l0;66a=7883>>{e9k;1<7=50;2x 6d12<<0D>h<;h31`?6=3`;9i7>5;n04=?6=3th:n?4?:583>5}#;k<1>:j4H2d0?l75l3:17d?=e;29?l75n3:17b<89;29?xu6io0;6?uQ1`d894d52;=27p}>b683>7}Y9k=01be83>7}Y9kn01ab83>7}Y9hi01:00f?xu6j90;6?u21c1962?<58h96<l9:066?j74m3:17d?m7;29?l74i3:17d?m8;29?l7e13:17d?ma;29?l7ej3:17d?50z&0f3<2=2B8j>5f13f94?=n9;o1<75`26;94?=zj8>;6=4<:183!5e>3?=7E=i3:k26a<722c:>h4?::m13<<722wi=9<50;194?6|,:h=6884H2d0?l75l3:17d?=e;29?j4013:17pl>4283>2<729q/?o852908L6`43`;9h7>5;h31a?6=3`;9j7>5;h304?6=3`;8=7>5;h306?6=3f8<57>5;|q27`<72;qU=>k4=060>71>3ty:n:4?:3y]5g1<58>?6<o4=067>44b3ty:n54?:3y]5g><58>86<44a3ty:nl4?:3y]5gg<58>86<=?;|q2fg<72;qU=ol4=063>44b3ty:?n4?:3y]56e<58>;6<44c3ty:?44?:3y]56?<58>96<4183>7}:9=:1>:74=060>4563ty:8<4?:3y>514=:>301<:<:011?x{e=:0;644<:cy'7g0==:1d8h4?::k2f2<722c:?l4?::k2f=<722c:n44?::k2fd<722c:no4?::k2f0<722c?n7>5;c71>5<4290;w)=m6;76?M5a;2c:>i4?::k26`<722e9;44?::a15<72:0;6=u+3c4913=O;o90e<5f13f94?=n9;o1<75f13d94?=n9::1<75f12394?=n9:81<75`26;94?=z{=o1<730q~?m7;296~X6j>169?4>2e9~w45f2909wS?52z\2fd=:=80:?=5rs0`a>5<5sW;in63:1;305>{t9k?1<7<7?=e:p0c<72;q69?4=789>14<6:m1v8>50;0x906=:>3018?51208yxd3?=0;6?4?:1y'7g0=9:20D>h<;h31g?6=3f8<57>5;|`f5?6=;3:1N4n:1b=?j50;9j57c=831d>:750;9~f4g529086=4?{%1a2?40i2B8j>5f13f94?=n9;o1<75`26;94?=zjm?1<7=50;2x 6d12<<0D>h<;h31`?6=3`;9i7>5;n04=?6=3tho;7>53;294~"4j?0>:6F5<7s-9i:7;7;I1e7>o6:m0;66g>2d83>>o6:o0;66a=7883>>{e9?n1<7:50;2x 6d12;=n7E=i3:k26a<722c:>h4?::k26c<722e9;44?::a03g=8391<7>t$2`5>71f3A9m?6g>2e83>>o6:l0;66a=7883>>{ek10;6>4?:1y'7g0=:>k0D>h<;h31`?6=3`;9i7>5;n04=?6=3thn<7>55;294~"4j?0>i6F>50;9l62?=831vni750;794?6|,:h=68h4H2d0?l75l3:17d?=e;29?l75n3:17d?<0;29?j4013:17plkb;291?6=8r.8n;4:d:J0b6=n9;n1<75f13g94?=n9;l1<75f12294?=h:>31<75rb5a2>5<2290;w)=m6;7g?M5a;2c:>i4?::k26`<722c:>k4?::k275<722e9;44?::a027=8391<7>t$2`5>00<@:l87d?=d;29?l75m3:17b<89;29?xd3??0;6>4?:1y'7g0=:>k0D>h<;h31`?6=3`;9i7>5;n04=?6=3th?;>4?:283>5}#;k<1985G3g18m44c2900e<2900qojk:180>5<7s-9i:7<8a:J0b6=n9;n1<75f13g94?=h:>31<75rb0cf>5<3290;w)=m6;7:?M5a;2c:>i4?::k26`<722c:>k4?::m13<<722wi=o850;:94?6|,:h=6?6<;I1e7>o6:m0;66g>2d83>>o6:o0;66g>3183>>o6;80;66g>3383>>o6;:0;66a=7883>>{e9:h1<7=50;2x 6d12h<;h31`?6=3`;9i7>5;n04=?6=3th:8:4?:283>5}#;k<1>:o4H2d0?l75l3:17d?=e;29?j4013:17pl>3e83>6<729q/?o85579K7c55<5<3290;w)=m6;7:?M5a;2c:>i4?::k26`<722c:>k4?::m13<<722wiol4?:483>5}#;k<1>:h4H2d0?l75l3:17d?=e;29?l75n3:17d?<0;29?j4013:17pll6;297?6=8r.8n;4:5:J0b6=n9;n1<75f13g94?=h:>31<75rb565>5<3290;w)=m6;7:?M5a;2c:>i4?::k26`<722c:>k4?::m13<<722wi89o50;494?6|,:h=6?6>;I1e7>o6:m0;66g>2d83>>o6:o0;66g>3183>>o6;80;66a=7883>>{e<>l1<7:50;2x 6d12<30D>h<;h31`?6=3`;9i7>5;h31b?6=3f8<57>5;|`7<4<72:0;6=u+3c4913=O;o90e<50z&0f3<5081C?k=4i00g>5<5<5<N4n:1b=?j50;9j57c=831d>:750;9~f1ga29086=4?{%1a2?313A9m?6g>2e83>>o6:l0;66a=7883>>{eh4?::k26c<722c:?=4?::k274<722e9;44?::a0g7=8391<7>t$2`5>03<@:l87d?=d;29?l75m3:17b<89;29?xd4k=0;6?4?:1y'7g0=9:20D>h<;h31g?6=3f8<57>5;|`7g6<72;0;6=u+3c4956><@:l87d?=c;29?j4013:17pl>4c83>7<729q/?o8512:8L6`43`;9o7>5;n04=?6=3th?854?:383>5}#;k<1=>64H2d0?l75k3:17b<89;29?xd30<0;6?4?:1y'7g0=9:20D>h<;h31g?6=3f8<57>5;|`7f6<72;0;6=u+3c4956><@:l87d?=c;29?j4013:17p}>4883>1}Y9=30146826`=z{=i?6=4={_6`0>;4k=0:>n5rs567>5<3sW>?863>6c84a>;6<00;6>m0:>h5rs01:>5<3sW;8563>3881=g=:9=?1=>74=5`e>44b3tyhn7>53z\`f>;b;3k270j8:00g?xu31o0;6?uQ48d8940c288o7p}>6c83>1}Y9?h0146826a=z{0;1<76t^8389<4=i016?n<5a49>a652h?019l5a49>03>=i<16o?4n5:?70134><57<6b:?7<4<6:m1v<6n:181[7?i27?4>4>2e9~w2`=83?pR:h4=0ca>4ca34>l513g8yv2f13:1?vP;a89>0d?=:0h019oi:00g?xu6l?0;6?uQ1e4891d6288o7p}>d683>7}Y9m=01vP;4e9>51d=9;i0q~:98;296~X3>1168;6528`8yv21n3:1>vP;6g9>022=:>30q~:m:180[2e34>i6?7m;<70>1dim7>53z\7fd=:4l4=d3957b44b34o;6?96;|q:6?6=?r7:mo4>ed9>=7<51k16=lk513f8945c288o70m;:00g?823>3;9h63;7g826a=z{8k;6=4<{<3b4?4>j27:m?4>2e9>02`=9;o0q~m8:1818e52h301n6526;8yve>2909w0mn:35:?8e1288n7p}>6b83>7}:9?h1m45217f962?<97>52z?733<5?0168:=513g8yv5d:3:1>v301g=9;n0q~:m4;296~;3j<09;4524c3957c7?e34><:7?=d:?`2?75l2wx8lk50;0x91ga2;=270:m5;31a>{t71>34>i?7?=c:pa6<72;q6i>4=9c9>`2<6:l1v<:9:181873?38<563>3e826`=z{m>1<7:74}rf5>5<4s4in6>9k;44c34n<6?96;|qgg?6=:r7o97?=e:?g`?4012wx89750;0x912f2;=270:;8;31g>{t61d34>ij7<89:p51g=838p1<:6:`;8942e2;=27p};7d83>6}:<>l1>:74=5:4>44c34>i97?=d:p0=6=838p196>:35:?82??3;9i6s|49094?4|5=286?96;<6;1?75k2wx8;750;0x910?2h30198n:35:?xu6m=0;6>u21d69644b3tyoi7>52z?f5?40127::i4>2g9~w4g6290=w0?nb;3f`>;6i;09;452463957b<58kn6<2d9~wf5=838p1n6513f89f2=:>30q~m::1818e?288n70m9:35:?xu6io0;6?u21`a9e<=:9k>1=lh4}r644?6=:r7?;<4=789>020=9;o0q~:82;296~;3?=0:>n52461962?54z?2f1<6j<16=9;51c78905=9k?011>:74}r30a?6=:r7:?44n9:?200<6;l1v9k50;0x91d=i0169>4;e:p`=<72:q6h44=789>`a<6:m168n=513a8yvbf2909w0jm:35:?8bc288n7p}>b683>=}:9hh1>564=0`7>4d034;?97?m7:?67?7e?27:n;4>2e9>g1<6:o16898513d8911a288m7p}>b983>=}:9hh1>5o4=066>4d?34?86b883>=}:9hh1>5m4=066>4d>34?86b`83><}:9hh1>5k4=066>4df34?86319>gd<6:o1689o5122891>0289;70:m5;304>{t9kh1<77t=0ca>7?734;?97?mb:?67?7ej27?:l4>2d9>5g0=9:;01no51228912f289:70:77;305>;3j<0:?<5rs0``>5<5s4;jn7<62:?2f3<6;;1vb582fa=:9k<1=>=4}r673?6=:r7?894n9:?70=<5?01v9l=:18182f13k270:m3;04=>{td0<5=i86?96;|q7<3<72;q6859526;891>4288n7p};8583>7}:<>31m452497962?52z?2f1<6im16=lk526;8yv7fk3:1?v3>ab81=g=:9k>1=lm4=552>44b3ty:?l4?:2y>513=9:k018=512c8945e2;=27p}>3b83>7}:9=?1=>m4=01g>71>3ty?o=4?:3y>0g`=9;n019m>:35:?xuc;3:19v3le;0b5>;b83;8<63k9;304>;cj3;8<63;c0826`=#;>=1h?5a36494>{tl80;68u2cd81=c=:m90:>k52d8826c=:lk0:>h524b39566<,:=<6i<4n255>4=z{m:1<7;t=bg9644c3-9<;7j=;o142?47?d34o;6<44c34ni6<?50;g973<2=rF8j84>{I3e6>{K;o<1>v`;dd8;6>"3lm0=7p*\4?<0=w<<5d;3`>7`=::08<7s`34d94?=n1l0;66g<8283>>i4=10;66g79;29?j22;3:17dmm:188m6?e2900e998:188k1g52900c:h50;9j0fd=831d>l:50;9j6g`=831i=h;50;:95?>|D:l>63;p(9jk:19~ 6d128827do::188k7>?2900c?6n:188k7>d2900c?6j:188k7?72900e<>i5010;66a=8`83>>i50j0;66a=8d83>>i5190;66ljd;297?7=;rF8j84>{I3e6>{#;k<1in5fa883>>i51k0;66gn5;29?g1=8391<7>t$2`5>`><@:l87A=i5;3x 4502=n27pg7d;29?lg>2900c?7m:188yvg22909wSo:;<597}Yi016;7o6;|ab6<72:0:6>uC3g795~N6n;1v(>l9:da8md?=831d>4l50;9je0<722h<6=4<:183!5e>3o37E=i3:N0b0<6s-;8;7:k9:j5;n0:f?6=3tyj97>52z\b1>;021n0q~<82;296~;02;3i7S<6b:p625=838pRl74=68b=>{zjo81<7;52;4x 6d12o80ehj50;9j5`b=831b=hk50;9jb6<722eni7>5;cd2>5<3290;w)=m6;7;?M5a;2c:>i4?::k26`<722c:>k4?::m13<<722wij=4?:283>5}#;k<1>:o4H2d0?l75l3:17d?=e;29?j4013:17p}jd;296~Xbl27m<7?=d:p5`b=838pR44c3ty:ih4?:3y]5`c<5o;1=?k4}rd0>5<5sWl870h>:00e?xubm3:1>vPje:?e4?4012wxik4?:3y>b4<5?016j=4>2d9~yg`c290?6>49{%1a2?`c3`;nh7>5;hd0>5<>da13:1?7>50z&0f3<2>2B8j>5f13f94?=n9;o1<75`26;94?=zjo<1<7=50;2x 6d12<<0D>h<;h31`?6=3`;9i7>5;n04=?6=3thm47>53;294~"4j?09;l5G3g18m44c2900e<2900q~?jd;297~X6mm16j44>2e9>b3<6:l1vk=50;0xZc5<5o31=?k4}rgg>5<5sWoo70h9:00g?xua<3:1>vPi4:?eb<<5?016j54>2e9~wc0=838p1k8526;89c>=9;o0qpl=8883>6<729q/?o85579K7c55<50z&0f3<2?2B8j>5f13f94?=n9;o1<75`26;94?=zj;2m6=4<:183!5e>3?=7E=i3:k26a<722c:>h4?::m13<<722wi>4?50;194?6|,:h=68;4H2d0?l75l3:17d?=e;29?j4013:17p}jd;29<~;bl382n63i2;gg?8`c2ln01?66:00f?84?j3;9h63=8e826`=::1l1=?j4=3;2>44b3tym?7>58z?e7?4>j27m>7h<;c5<5;226<2e9>6=`=9;o01?7>:00g?xu6mm0;6>uQ1df89c4=9ln01kj51df8yv7bm3:1>vP>ed9>b7<6ml1vl950;1xZd1<5ln1m852f28b1>{t:121<7{t:1i1<7{t:0:1<7938<56s|ed83>7}:mm0j563i2;gf?xua<3:1>v3i3;c:?8`c2o>0qp}n5;296~Xf=27:>84n7:p6=>=838pR?67;<311?4?02wx>5o50;0xZ7>f34;997<7a:p6=e=838pR?6l;<311?4?k2wx>5k50;0xZ7>b34;997<7e:p6<6=838pR?7?;<311?4>82wx=hj50;0xZ4cc34;997?jd:p5`c=838pR630(9j<:`;8 1b22;3i7):k1;c6?k2dl3;0q)=m6;03g>\?k38p=k4>d;Y723=:r8:65<5<#:031>4j4n3;;>4=h5110;76gna;29 7?>2hh0b?77:098f2<72:0:6>uC3g795~N6n;1v(>l9:0dg?lg>2900c?7m:188md3=831i;7>53;294~"4j?0n46F5;n0:f?6=3tyj97>52z\b1>;021n0q~<82;296~;02;3i7S<6b:p625=838pRl74=68b=>{zjh0;6>4>:2yO7c3=9rB:j?5r$2`5>4`c3`k26=44o3;a>5<j3:17p}n5;296~Xf=27<65j4}r046?6=:r7<6?7m;_0:f>{t:>91<70j963n:`78yv4>m3:1>vP=9d9>e?4>j2.8;:4=9e9m720=82wx>4m50;0xZ7?d34=1>4l4$254>7?c3g9<:7?4}rc`>5<5sWkh70o5a89'721=ik1e?:850:ped<72;qUml527;c:?!50?3ki7c=86;38yxd3?10;6>4>:2yO7c3=9rB:j?5rL2d5>4}#5<7s-9i:7k7;I1e7>o?l3:17do6:188k7?e2900q~o::181[g234=14i5rs351>5<5s4=1>4l4^3;a?xu5?:0;6?uQa89>3?g>3twi?o950;596?d|D:l>63kp(9jk:19'0ad=<:80(9=::5fe?!2c=382n6*;d08b1>h3km0:7):k2;c5?k2dm3;0(9j8:25`?k2dn3;0q)=m6;3e=>\?k3;p>o4rZ256>7}5l39:6pgn5;29?lg12900e>9l:188k7g6290/>4752`28j7??2910c?7i:18'64752`28j7??2;10c?7l:18'6h9:8y'0ad=<:>0(9j<:`;8 1b22;3i7):k1;c6?k2dl3;0(9j=:`48j1eb281/8i9536a8j1ea281v(>l9:32g?_>d2;q9n7<6:|X030<5s;n1>;4ri`794?=ni?0;66g<7b83>>i5i80;6)<69;0b4>h5110;76a=9g83>!4>138j<6`=9982?>i51l0;6)<69;0b4>h5110976a=9b83>!4>138j<6`=9980?>ofn3:1(?76:`g8j7??2910elj50;&1=<;:kbg?6=,;326lk4n3;;>7=h5110876l8:186>6<0sE9m97?tH0d1?xJ4n?02w):kb;600>"3l:0j56*;d481=g=#"3l;0j:6`;cd82?!2c?39>of>3:17do6:188k7?e2900e>9l:188f2<72<0968uC3g795~N6n;1v(>l9:0da?lg>2900c?7m:188md3=831bm;4?::k:b?6=3k=1<7;50;2x 6d12lk0D>h<;M1e1?7|,89<69j6;|k:`?6=3`3m6=44i9f94?=ni00;66a=9c83>>{e;o>1<7?50;2x 6d1288i7E=i3:m26d<722wxm84?:3y]e0=:?32o7p}n6;296~Xf>27<64j4}r046?6=:r78j94>2`9>3??a3ty9;>4?:3y>3?4>j2T95o5rs357>5<5sWk27095a89~yg26>3:1?7>50z&0f3<2>2B8j>5f13f94?=n9;o1<75`26;94?=zj=8i6=4<:183!5e>380j96s|a783>7}Yi?168?l513g8yvg>2909wSo6;<622?75m2wx>4l50;0xZ7?e34=1>4l4}r14g?6=;rT8;n52404957b<5=8i6<27?>o4=789~ygg=83?1?79tL2d6>4}O9o80qA=i6;;x 1be2=9?7):k3;c:?!2c=382n6*;d08b1>h3km0:7):k2;c5?k2dm3;0(9j8:25`?k2dn3;0q)=m6;03b>of=3:17do9:188md?=831d>4l50;9j72e=831i;7>55;091~J4n<0:wE?i2:'7g0=9oh0el750;9l60<729q/?o85e`9K7c56j3:17pl4<729q/?o8513`8L6`43f;9m7>5;|qb1?6=:rTj9638:9f8yvg12909wSo9;<59=a=z{;=96=4={<1e0?75i27<64h4}r047?6=:r7<6?7m;_0:f>{t:>>1<75<7s-9i:7;9;I1e7>o6:m0;66g>2d83>>i5?00;66sm43`94?5=83:p(>l9:35b?M5a;2c:>i4?::k26`<722e9;44?::pe0<72;qUm8527;c6?xuf>3:1>vPn6:?76g<6:l1vl750;0xZd?<5=;=6<4l4=681=g=z{:=h6=4<{_14g>;39?0:>i5243`957b:97>52z?4>d?<5=;=6?96;|q76d<72;q6;7o9;<61f?4012wvn<;50;797?1|D:l>633p(9jm:517?!2c;3k27):k5;0:f>"3l80j96`;ce82?!2c:3k=7c:le;38 1b02:=h7c:lf;38y!5e>38:<6gn5;29?lg12900el750;9l64l50;9je0<722cj:7>5;h;e>5<0;684?:1y'7g0=mh1C?k=4L2d6>4}#9:=18i74}h;g>5<>of13:17b<6b;29?xd4n=0;6<4?:1y'7g0=9;h0D>h<;n31e?6=3tyj97>52z\b1>;021n0q~o9:181[g134=15i5rs351>5<5s49m87?=a:?4><`52z?4>7?e3W82n6s|26694?4|Vh301:4n9:~f17129086=4?{%1a2?313A9m?6g>2e83>>o6:l0;66a=7883>>{e<;h1<7=50;2x 6d12;=j7E=i3:k26a<722c:>h4?::m13<<722wxm84?:3y]e0=:?3k>7p}n6;296~Xf>27?>o4>2d9~wd?=838pRl74=535>44b3ty95o4?:3y]6095o5rs25`>5<4sW971>3ty?>l4?:3y>3?g134>9n7<89:~f72=83?1?79tL2d6>4}O9o80qA=i6;;x 1be2=9?7):k3;c:?!2c=382n6*;d08b1>h3km0:7):k2;c5?k2dm3;0(9j8:25`?k2dn3;0q)=m6;025>of=3:17do9:188md?=831d>4l50;9j72e=831i;7>55;091~J4n<0:wE?i2:'7g0=9oh0el750;9l60<729q/?o85e`9K7c56j3:17pl4<729q/?o8513`8L6`43f;9m7>5;|qb1?6=:rTj9638:9f8yvg12909wSo9;<59=a=z{;=96=4={<1e0?75i27<64h4}r047?6=:r7<6?7m;_0:f>{t:>>1<75<7s-9i:7;9;I1e7>o6:m0;66g>2d83>>i5?00;66sm43`94?5=83:p(>l9:35b?M5a;2c:>i4?::k26`<722e9;44?::pe0<72;qUm8527;c6?xuf>3:1>vPn6:?76g<6:l1vl750;0xZd?<5=;=6<4l4=681=g=z{:=h6=4<{_14g>;39?0:>i5243`957b:97>52z?4>d?<5=;=6?96;|q76d<72;q6;7o9;<61f?4012wvl;50;7xZd3<5>0j963n:`78943=i<16>94n5:pe3<7208;n52a;14g>;6=39{t:h;1<7h4??0;7p}=9g83>7}Y:0l01<;528`8 6102;k;7c=86;38yv4>m3:1>vP=9d9>e?4>j2.8;:4=a19m720=:2wx>4m50;0xZ7?d34=1>4l4$254>7g73g9<:7=4}rce>5<5sWkm70<;:`;8 6102ho0b>99:19~wdb=838pRlj4=079e<=#;>=1mh5a36495>{tij0;6?uQab9>e?g>3-9<;7oj;o142?43w):kb;61a>"3l=0i>6*;e08b?!2c>39=86*;e184?x"4j?0:j;5U8b817e=:h0vV>9::3y1a?402te8:i4?:%0:=?51k2d9554?;:m02g<72-8257=9c:l1==<632e8:l4?:%0:=?51k2d9554=;:m020<72-8257=9c:l1==<432cin7>5$3;:>ggoe03:1(?76:cc8j7??2;10eo=50;&1=<uC3g795~N6n;1v(>l9:302?ld72900eo?50;9l732=831i?kh50;197?3|,:h=6>hi;h`3>5<h<;h31`?6=3`;9i7>5;n04=?6=3th8ji4?:283>5}#;k<19;5G3g18m44c2900e<2900qo=ie;297?6=8r.8n;4=7`9K7c55<5<4sWh;70=ic;31a>;4nm0:>i5rsc394?5|Vk;01>hl:00g?85al3;9i6s|37694?4|V:{t;oh1<771>349mi7?=d:p7ce=838p1>hk:35:?85am3;9i6srsc294?4|Vk:01>hi:c28yvd62909wSl>;<1eb?d63ty8:94?:3y]732<5:lm6>8;;|a63g=8391=7=tL2d6>4}O9o80q)=m6;015>oe83:17dl>:188k6032900n>hi:180>6<2s-9i:7=if:ka4?6=3`h:6=44o247>5<3?=7E=i3:k26a<722c:>h4?::m13<<722wi?kj50;194?6|,:h=6884H2d0?l75l3:17d?=e;29?j4013:17pl6<729q/?o8526c8L6`43`;9h7>5;h31a?6=3f8<57>5;|qa4?6=;rTi<635<4sWh:70=ic;31`>;4nm0:>h5rs247>5<5sW9=8632e9~w6`d2909w0=id;04=>;4nl0:>h5r}r`3>5<5sWh;70=if;`3?xue93:1>vPm1:?0bc5;n150?6=3k9mo7>53;294~"4j?0>:6Fhk:180>5<7s-9i:7;9;I1e7>o6:m0;66g>2d83>>i5?00;66sm3gg94?5=83:p(>l9:35b?M5a;2c:>i4?::k26`<722e9;44?::pf5<72:qUn=523ga957c<5:lo6<52z?0bf<5?016?kk513f8yv5ak3:1>v3{tj80;6?uQb09>7c`=j81v>8;:181[51<278jk4<659~yg26>3:1?7>50z&0f3<2=2B8j>5f13f94?=n9;o1<75`26;94?=zj=;36=4<:183!5e>3?>7E=i3:k26a<722c:>h4?::m13<<722wi?::50;094?6|,:h=6<=7;I1e7>o6:j0;66a=7883>>{t<8?1<7=t=34b>g6<5=;=6?96;<62m0;6?uQ37f8970e2:h4??0;7p}<6c83>7}Y;?h01?8n:247?!50?39=o6`<7782?xu4>h0;6?uQ37c8970>2:h4??097p}<6483>7}Y;??01>9;:35:?!50?39=o6`<7780?xuej3:1>vPmb:?12g53z\a=>;5>h0i=63;19826a=#;>=1nl5a36495>{tj10;6>uQb99>63?=j8168<8513f8 6102kk0b>99:39~wg5=83>pRo=4=34:>g6<5=;=6<7p}n6;296~Xf>27<6l84}r14g?6=:rT8;n527;14g>{t:h;1<7=t^3c2?81=:h;01?8::c`8 6102;k;7c=86;28yv4>n3:1?vP=9g9>3?4>n279:84m9:&032<5i91e?:851:p66o64$254>7g73g9<:7<4}r0:g?6=;rT95n527;0:g>;5><0i?6*<7681e5=i;><1?6s|41594?4|5>0jj63=64802a=#;>=18=84n255>5=z{=:>6=4={<59ea=::??1?;l4$254>1613g9<:7?4}r630?6=:r7<6lm4=346>60f3-9<;7:?6:l033<53ty?3?gf348=97=95:&032<38?1e?:853:~f63a290>6?4:{M1e1?7|@8l97pBof13:17b<6b;29?lg22900el850;9j=c<722h<6=4::183!5e>3oj7E=i3:k:`?6=3`3m6=44i9f94?=ni00;66a=9c83>>{e;o>1<7?50;2x 6d1288i7E=i3:m26d<722wxm84?:3y]e0=:?32o7p}n6;296~Xf>27<64j4}r046?6=:r78j94>2`9>3??a3ty9;>4?:3y>3?4>j2T95o5rs357>5<5sWk27095a89~yg5203:197<55zN0b0<6sA;m>6sC3g495~"3lm0;7p*>of=3:17do9:188m<`=831i;7>55;294~"4j?0nm6F5;h:g>5<:183!5e>3;9n6F03h6s|a783>7}Yi?16;77k;|q137<72;q6?k:513c892<>n2wx>:=50;0x92<51k1U>4l4}r040?6=:rTj5638:`;8yxd5<90;684<:6yO7c3=9rB:j?5rL2d5>d}#4=#"3l>08;n5a4bd95>{#;k<1><=4i`794?=ni?0;66gn9;29?j4>j3:17d=8c;29?g1=83?1>7;tL2d6>4}O9o80q)=m6;3ef>of13:17b<6b;29?lg22900el850;9j=c<722h<6=4::183!5e>3oj7E=i3:k:`?6=3`3m6=44i9f94?=ni00;66a=9c83>>{e;o>1<7?50;2x 6d1288i7E=i3:m26d<722wxm84?:3y]e0=:?32o7p}n6;296~Xf>27<64j4}r046?6=:r78j94>2`9>3??a3ty9;>4?:3y>3?4>j2T95o5rs357>5<5sWk27095a89~yg26>3:1?7>50z&0f3<2>2B8j>5f13f94?=n9;o1<75`26;94?=zj=8i6=4<:183!5e>380j96s|a783>7}Yi?168?l513g8yvg>2909wSo6;<622?75m2wx>4l50;0xZ7?e34=1>4l4}r14g?6=;rT8;n52404957b<5=8i6<27?>o4=789~yg44n3:197=57zN0b0<6sA;m>6sC3g49e~"3lm0;7):kb;600>"3l:0j56*;d481=g=#"3l;0j:6`;cd82?!2c?395fa483>>of>3:17do6:188k7?e2900e>9l:188f2<72<0968uC3g795~N6n;1v(>l9:0da?lg>2900c?7m:188md3=831bm;4?::k:b?6=3k=1<7;50;2x 6d12lk0D>h<;h;g>5<>of13:17b<6b;29?xd4n=0;6<4?:1y'7g0=9;h0D>h<;n31e?6=3tyj97>52z\b1>;021n0q~o9:181[g134=15i5rs351>5<5s49m87?=a:?4><`52z?4>7?e3W82n6s|26694?4|Vh301:4n9:~f17129086=4?{%1a2?313A9m?6g>2e83>>o6:l0;66a=7883>>{e<;h1<7=50;2x 6d12;=j7E=i3:k26a<722c:>h4?::m13<<722wxm84?:3y]e0=:?3k>7p}n6;296~Xf>27?>o4>2d9~wd?=838pRl74=535>44b3ty95o4?:3y]6095o5rs25`>5<4sW971>3ty?>l4?:3y>3?g134>9n7<89:~f706290>6>48{M1e1?7|@8l97pB"3l80j96`;ce82?!2c:3k=7c:le;38 1b02:=h7c:lf;38y!5e>38:?6gn5;29?lg12900el750;9l64l50;9je0<722cj:7>5;h;e>5<0;684?:1y'7g0=mh1C?k=4i8f94?=n1o0;66g7d;29?lg>2900c?7m:188yg5a<3:1=7>50z&0f3<6:k1C?k=4o00b>5<6=4={_c6?81=0m1vl850;0xZd0<5>02h6s|26094?4|5:l?6<7}Yi016;7o6;|a040=8391<7>t$2`5>00<@:l87d?=d;29?l75m3:17b<89;29?xd3:k0;6>4?:1y'7g0=:>k0D>h<;h31`?6=3`;9i7>5;n04=?6=3tyj97>52z\b1>;02h?0q~o9:181[g134>9n7?=e:pe<<72;qUm452404957c52z\1=g=:?382n6s|36a94?5|V:=h70:>6;31`>;3:k0:>i5rs536>5<5s4=1m452404962?9m7>52z?4>d0<5=8i6?96;|a636=83?1?79tL2d6>4}O9o80qA=i6;cx 1bc291/8il54268 1b42h30(9j::3;a?!2c93k>7c:ld;38 1b52h<0b9mj:09'0a1=;>i0b9mi:09~ 6d12;;87do::188md0=831bm44?::m1=g<722c8;n4?::`4>5<22;0>wA=i5;3xL4`53t.8n;4>fc9je<<722e95o4?::kb1?6=3`k=6=44i8d94?=e?3:197>50z&0f35f9e83>>o>n3:17d6k:188md?=831d>4l50;9~f6`3290:6=4?{%1a2?75j2B8j>5`13c94?=z{h?1<77}:;o>1=?o4=68:b>{t:>91<750z&0f3<5?h1C?k=4i00g>5<5<6=4={_c6?81=i<1vl850;0xZd0<5=8i6<;02;3i7p}<7b83>6}Y;>i019?9:00g?825j3;9h6s|40794?4|5>0j563;17813<=z{=8j6=4={<59e3=:<;h1>:74}|`11c<72<086:uC3g795~N6n;1v@>h9:`y'0ab=82.?ho4;359'0a5=i01/8i;528`8 1b62h?0b9mk:09'0a4=i?1e8nk51:&7`2<4?j1e8nh51:'7g0=:890el;50;9je3<722cj57>5;n0:f?6=3`95;c594?3=:3?p@>h::0yK5c45;hc6>5<>d0290>6=4?{%1a2?cf3A9m?6g6d;29?l?a2900e5j50;9je<<722e95o4?::a7c2=83;1<7>t$2`5>44e3A9m?6a>2`83>>{ti<0;6?uQa49>3?>c3tyj:7>52z\b2>;020n0q~<82;296~;4n=0:>l527;;e?xu5?:0;6?u27;0:f>X51k1v?9;:181[g>34=1m45r}c622?6=;3:1N4n:1b=?j50;9j57c=831d>:750;9~f14e29086=4?{%1a2?40i2B8j>5f13f94?=n9;o1<75`26;94?=z{h?1<75<5sWk270:>6;31a>{t:0h1<77}:?3k270:>6;04=>{t<;k1<7;3:k09;45r}c06a?6==391;vBon7:<4:&7`62d?oh4>;%6g3?50k2d?ok4>;|&0f3<59:1bm84?::kb2?6=3`k26=44o3;a>5<0<525<t$2`5>`g<@:l87d7k:188m<`=831b4i4?::kb=?6=3f82n7>5;|`0b1<7280;6=u+3c4957d<@:l87b?=a;29?xuf=3:1>vPn5:?4>=bv38:3;a?[4>j2wx>::50;0xZd?<5>0j56srb535>5<4290;w)=m6;75?M5a;2c:>i4?::k26`<722e9;44?::a07d=8391<7>t$2`5>71f3A9m?6g>2e83>>o6:l0;66a=7883>>{ti<0;6?uQa49>3?g23tyj:7>52z\b2>;3:k0:>h5rs`;94?4|Vh3019?9:00f?xu51k0;6?uQ28`892<51k1v>9l:180[50k27?=;4>2e9>07d=9;n0q~:>5;296~;02h3019?9:35:?xu3:h0;6?u27;c5?825j38<56srb37g>5<22:03->o97<6b:&7`4;%6g6?g13g>hi7?4$5f4>61d3g>hj7?4}%1a2?46;2cj97>5;hc5>5<i1<75m7;291?4==rF8j84>{I3e6>{#;k<1=kl4i`;94?=h:0h1<75fa483>>of>3:17d7i:188f2<72<0;6=u+3c49ad=O;o90e4j50;9j=c<722c3h7>5;hc:>5<l2wx>:<50;0x96`3288j70959g9~w7142909w09528`8Z7?e3ty9;94?:3y]e<=:?3k27psm40494?5=83:p(>l9:448L6`43`;9h7>5;h31a?6=3f8<57>5;|`76g<72:0;6=u+3c4962g<@:l87d?=d;29?l75m3:17b<89;29?xuf=3:1>vPn5:?4>d37}Yi0168<8513g8yv4>j3:1>vP=9c9>3?4>j2wx?:m50;1xZ61d34>::7?=d:?76g<6:m1v9?::18181=i0168<8526;8yv25i3:1>v38:`48914e2;=27psm24a94?3=;3=p@>h::0yK5c45=#:4$5f0>d?<,=n>6?7m;%6g5?g23g>hh7?4$5f1>d07743`k>6=44i`494?=ni00;66a=9c83>>o4?j0;66l8:186>7<2sE9m97?tH0d1?x"4j?0:jo5fa883>>i51k0;66gn5;29?lg12900e4h50;9a3?6==3:1N4n:1b5i4?::k:b?6=3`2o6=44i`;94?=h:0h1<75rb2d7>5<6290;w)=m6;31f>N4n:1d=?o50;9~wd3=838pRl;4=68;`>{ti?0;6?uQa79>3??c3ty9;?4?:3y>7c2=9;k01:46f:p625=838p1:4=9c9]652z\b=>;02h30qpl;1783>6<729q/?o85579K7c55<2d9~w7?e2909wS<6b:?4>7?e3ty8;n4?:2y]72e<5=;=6<07d=:>30qpl=5c83>0<42>qG?k;51zJ2b7=zD:l=6lu+4ef94>"3lk0??95+4e19e<=#4l4$5f2>d3>of13:17b<6b;29?l50k3:17o950;796?3|D:l>63;mn6gn9;29?j4>j3:17do::188md0=831b5k4?::`4>5<2290;w)=m6;gb?M5a;2c2h7>5;h;e>5<>i51k0;66sm3g694?7=83:p(>l9:00a?M5a;2e:>l4?::pe0<72;qUm8527;:g?xuf>3:1>vPn6:?4>7>52z?0b1<6:h16;77i;|q136<72;q6;7<6b:\1=g=z{;=?6=4={_c:?81=i01vqo:>6;297?6=8r.8n;4:6:J0b6=n9;n1<75f13g94?=h:>31<75rb50a>5<4290;w)=m6;04e>N4n:1b=?j50;9j57c=831d>:750;9~wd3=838pRl;4=68b1>{ti?0;6?uQa79>07d=9;o0q~o6:181[g>34>::7?=e:p653z\03f=:<8<1=?j4=50a>44c3ty?=84?:3y>3?g>34>::7<89:p07g=838p1:4n6:?76g<5?01vqo<:a;291?5=?rF8j84>{I3e6>{K;o<1mv*;de83?!2cj3>886*;d28b=>"3l<095o5+4e39e0=ih3kl0:7):k7;14g>h3ko0:7p*2900c?7m:188m61d2900n:4?:481>0}K;o?1=vF>f39~ 6d128li7do6:188k7?e2900el;50;9je3<722c2j7>5;c594?3=83:p(>l9:dc8L6`43`3o6=44i8d94?=n0m0;66gn9;29?j4>j3:17pl4<729q/?o8513`8L6`43f;9m7>5;|qb1?6=:rTj9638:9f8yvg12909wSo9;<59=a=z{;=96=4={<1e0?75i27<64h4}r047?6=:r7<6?7m;_0:f>{t:>>1<75<7s-9i:7;9;I1e7>o6:m0;66g>2d83>>i5?00;66sm43`94?5=83:p(>l9:35b?M5a;2c:>i4?::k26`<722e9;44?::pe0<72;qUm8527;c6?xuf>3:1>vPn6:?76g<6:l1vl750;0xZd?<5=;=6<4l4=681=g=z{:=h6=4<{_14g>;39?0:>i5243`957b:97>52z?4>d?<5=;=6?96;|q76d<72;q6;7o9;<61f?4012wvn?=j:186>7<2sE9m97?tH0d1?xJ4n?0:w):kd;28y!5e>3;mj6gn9;29?j4>j3:17do::188md0=831b5k4?::`4>5<2290;w)=m6;gb?M5a;2c2h7>5;h;e>5<>i51k0;66sm3g694?7=83:p(>l9:00a?M5a;2e:>l4?::pe0<72;qUm8527;:g?xuf>3:1>vPn6:?4>7>52z?0b1<6:h16;77i;|q136<72;q6;7<6b:\1=g=z{;=?6=4={_c:?81=i01vqo<<5;291?4==rF8j84>{I3e6>{K;o<1=v*;de83?x"4j?0:jk5fa883>>i51k0;66gn5;29?lg12900e4h50;9a3?6==3:1N4n:1b5i4?::k:b?6=3`2o6=44i`;94?=h:0h1<75rb2d7>5<6290;w)=m6;31f>N4n:1d=?o50;9~wd3=838pRl;4=68;`>{ti?0;6?uQa79>3??c3ty9;?4?:3y>7c2=9;k01:46f:p625=838p1:4=9c9]652z\b=>;02h30qpl=2g83>6<62:qG?k;51zJ2b7=zD:l=6{#;k<1=kj4i`;94?=h:0h1<75fa483>>d029086=4?{%1a2?c?3A9m?6g7d;29?lg>2900c?7m:188yvg22909wSo:;<597}Yi016;7o6;|a7`d=83<1>7otL2d6>4}O9o80qA=i6;:x 1bc291/8il54208 1522=nm7):k5;0:f>"3l80j96`;ce82?!2c?39>i5i80;6)<69;0b4>h5110;76a=9g83>!4>138j<6`=9982?>i51l0;6)<69;0b4>h5110976a=9b83>!4>138j<6`=9980?>d0290j694n{M1e1?7|@8l97pB886*;d28b=>"3l<095o5+4e39e0=ivi4=6;je0<722c8;n4?::m1e4<72-8257;:m1=`<72-82575=h5110:76gnc;29 7?>2ho0b?77:398mdg=83.9544ne:l1==<432h<6=4;:386I5a=3;pDon7:<4:&7`65;c594?5=83:p(>l9:d:8L6`43E9m97?t$014>1b>3tc3h7>5;hc:>5<5<5sWk>70958e9~w7152909w09528`8Z7?e3ty9;>4?:3y]e<=:?3k27psm40494?5=83:p(>l9:478L6`43`;9h7>5;h31a?6=3f8<57>5;|qb1?6=:rTj9638:`78yvg>2909wSo6;<622?75m2wx>4l50;0xZ7?e34=1>4l4}r14g?6=:rT8;n52404957b:97>52z?4>d?<5=;=6?96;|ae?6=<3819vB:`78j1ec281/8i9536a8j1ea291v(>l9:335?lg22900el750;9l64l50;9je0<722h<6=4<:183!5e>3o37E=i3:N0b0<6s-;8;7:k9:j5;n0:f?6=3tyj97>52z\b1>;021n0q~<82;296~;02;3i7S<6b:p625=838pRl74=68b=>{zj=;=6=4<:183!5e>3?>7E=i3:k26a<722c:>h4?::m13<<722wxm84?:3y]e0=:?3k>7p}n9;296~Xf127?=;4>2d9~w7?e2909wS<6b:?4>7?e3ty8;n4?:3y]72e<5=;=6<63=p(9jm:517?!2c;3k27):k5;0:f>"3l80j96`;ce82?!2c?39>of13:17b<6b;29?l50k3:17o950;195?5|D:l>63;mn6gn9;29?j4>j3:17do::188f2<72:0;6=u+3c49a==O;o90@>h::0y'561=4l50;9~wd3=838pRl;4=68;`>{t:>81<77}Y:0h01:4=9c9~w61d2909wS=8c:?753<6:m1v9?::18181=i0168<8526;8yxd5<3:187<55zN0b0<6sA;m>6sC3g493~"3lk0??95+4e19e<=#4l4$5f2>d377?3`k>6=44i`;94?=h:0h1<75f36a94?=e?3:1?7?53zN0b0<6sA;m>6s+3c495cd5<7s-9i:7k7;I1e7>J4n<0:w)?<7;6g=>{n0m0;66gn9;29?j4>j3:17p}n5;296~Xf=27<65j4}r046?6=:r7<6?7m;_0:f>{t:>91<75<7s-9i:7;:;I1e7>o6:m0;66g>2d83>>i5?00;66s|a483>7}Yi<16;7o:;|qb=?6=:rTj563;17826`=z{;3i6=4={_0:f>;02;3i7p}<7b83>7}Y;>i019?9:00g?xu39<0;6?u27;c:?826>38<56srs`794?3|Vh?01:4n5:?b>d3<58?1m852258b1>{t;>i1<7;t^25`?81=;>i01l4<7b9>50<4?j16>94<7b9~w7g62909wSj2.8;:4=a19m720=82wx>4h50;0xZ7?a34;>6?7m;%143?4f82d8;;4>;|q1=`<72;qU>4k4=`81=g=#;>=1>l>4n255>7=z{;3h6=4={_0:g>;02;3i7)=87;0b4>h4??087p}nf;296~Xfn27987o6;%143?gb3g9<:7>4}rcg>5<5sWko70?::`;8 6102ho0b>99:09~wde=838pRlm4=`8b=>"4?>0ji6`<7781?xufi3:1>vPna:?4>d?<,:=<6lk4n255>6=zuk8=97>58;49e~J4n<0:wE?i2:O7c0==r.?ho4;2d9'0a2=j;1/8h?5a:&7`3<4>=1/8h>57:'7g0=9o<0V5m52z0`>7g=uS9<97=h;?k1<7*=98802f=i:021>65`37794?"51008:n5a28:97>=njk0;6)<69;`b?k4>03:07dl6:18'64651:9jf=<72-8257ln;o0:h::0yK5c45<39mj6gm0;29?ld62900c>8;:188f6`d29086=4?{%1a2?313A9m?6g>2e83>>o6:l0;66a=7883>>{e;on1<7=50;2x 6d12<<0D>h<;h31`?6=3`;9i7>5;n04=?6=3th8jh4?:283>5}#;k<1>:o4H2d0?l75l3:17d?=e;29?j4013:17p}m0;297~Xe8278jn4>2d9>7cb=9;n0q~l>:180[d6349mo7?=d:?0ba<6:l1v>8;:181[51<278jh4=789~w6`e2909w0=ic;04=>;4nl0:>i5rs2d`>5<5s49mh7<89:?0b`<6:l1vq~l?:181[d7349mj7l?;|qa5?6=:rTi=63{t;?>1<75<42808wA=i5;3xL4`53t.8n;4=209jf5<722ci=7>5;n150?6=3k9mj7>53;191~"4j?08jk5fb183>>oe93:17b=94;29?g5ak3:1?7>50z&0f3<2>2B8j>5f13f94?=n9;o1<75`26;94?=zj:lo6=4<:183!5e>3?=7E=i3:k26a<722c:>h4?::m13<<722wi?kk50;194?6|,:h=6?9n;I1e7>o6:m0;66g>2d83>>i5?00;66s|b183>6}Yj916?km513g896`c288o7p}m1;297~Xe9278jn4>2e9>7cb=9;o0q~=94;296~X4>=16?kk526;8yv5aj3:1>v37cc=9;o0qp}m0;296~Xe8278jk4m0:pf4<72;qUn<523gd9f4=z{:;4no08:95r}c05f?6=;3;1?vB0}#;k<1?kh4ic294?=nj80;66a<6583>>d4nj0;6>4?:1y'7g0==?1C?k=4i00g>5<5<53;294~"4j?0>:6Fhj:180>5<7s-9i:7<8a:J0b6=n9;n1<75f13g94?=h:>31<75rsc294?5|Vk:01>hl:00f?85al3;9h6s|b083>6}Yj816?km513f896`c288n7p}<6583>7}Y;?>01>hj:35:?xu4nk0;6?u23ga962?<5:ln6<7}Yj916?kh5b19~wg7=838pRo?4=2de>g752z\021=:;ol1?;:4}|`753<72:0;6=u+3c4910=O;o90e<50z&0f3<2=2B8j>5f13f94?=n9;o1<75`26;94?=zj:=?6=4=:183!5e>3;846F:750;9~w1722908w0<9a;`3?826>38<563;19826`=z{=;<6=4={<05f?d734>:47<89:p73b=838pR>8k;<05f?51<2.8;:4<6b9m720=82wx?;l50;0xZ60e348=m7=94:&032<4>j1e?:851:p73g=838pR>8n;<05=?51<2.8;:4<6b9m720=:2wx?;;50;0xZ602349<87<89:&032<4>j1e?:853:pfg<72;qUno5227`9f4=#;>=1nl5a36494>{tj00;6>uQb89>63g=j8168<6513f8 6102kk0b>99:09~wg>=839pRo64=34:>g7<5=;=6<5<3sWh870<99;`3?826>3;9i63<75826f=#;>=1nl5a36497>{z{h?1<7;5><0in6*<7681e5=i;><1<6s|28d94?5|V;3m709528d897022k30(>98:3c3?k50>3;0q~<6e;297~X51l16;7<6e:?1204m50;1xZ7?d34=1>4m4=346>g5<,:=<6?o?;o142?5;;7>52z?4>d`<5;<>6>8k;%143?27>2d8;;4?;|q740<72;q6;7ok;<051?51j2.8;:4;079m720=92wx8=:50;0x9299:39~w1652909w095a`9>633=;??0(>98:525?k50>390qpl=a583>6<62:qG?k;51zJ2b7=zD:l=6{#;k<1=kj4i`;94?=h:0h1<75fa483>>d029086=4?{%1a2?c?3A9m?6g7d;29?lg>2900c?7m:188yvg22909wSo:;<597}Yi016;7o6;|a3c<72:0:6>uC3g795~N6n;1v@>h9:0y'0ab=82w/?o851gf8md?=831d>4l50;9je0<722h<6=4<:183!5e>3o37E=i3:k;`?6=3`k26=44o3;a>5<6=4={_c6?81=0m1v?9=:18181=:0h0R?7m;|q136<72;qUm4527;c:?x{e4`c3`k26=44o3;a>5<j3:17p}n5;296~Xf=27<65j4}r046?6=:r7<6?7m;_0:f>{t:>91<74<4sE9m97?tH0d1?xJ4n?0:w):kd;28y!5e>3;mh6gn9;29?j4>j3:17do::188f2<72:0;6=u+3c49a==O;o90e5j50;9je<<722e95o4?::pe0<72;qUm8527;:g?xu5?;0;6?u27;0:f>X51k1v?9<:181[g>34=1m45r}c052?6=910::7<={M1e1?7|@8l97pB87):kb;61a>"3l=0i>6*;e08b?!2c>39=86*;e184?!2cl3:0q)=m6;3e0>\?k38pj7m5}[141?4|980i6pa<6983>!4>139=;6`=9983?>i4>?0;6)<69;153>h5110:76a<7283>!4>139=;6`=9981?>i4?;0;6)<69;153>h5110876a<7083>!4>139=;6`=9987?>i4?90;6)<69;153>h5110>76a<6g83>!4>139=;6`=9985?>i4>l0;6)<69;153>h5110<76a<6e83>!4>139=;6`=998;?>i4>k0;6)<69;153>h5110276a<6`83>!4>139=;6`=998b?>i4><0;6)<69;153>h5110i76gm6;29 7?>2k?0b?77:198mg2=83.9544m5:l1==<632ch=7>5$3;:>g3oen3:1(?76:c78j7??2=10eok50;&1=<3=h5110<76gmb;29 7?>2k?0b?77:998mg?=83.9544m5:l1==<>32ci47>5$3;:>g3d5>00;6>4>:2yO7c3=9rB:j?5r$2`5>7463`h;6=44ic394?=h;?>1<75m3gd94?5=;3?p(>l9:2de?ld72900eo?50;9l732=831i?km50;194?6|,:h=6884H2d0?l75l3:17d?=e;29?j4013:17pl6<729q/?o85579K7c55<2e9~wg7=839pRo?4=2d`>44c349mh7?=e:p732=838pR>8;;<1ea?4012wx?kl50;0x96`d2;=270=ie;31`>{t;oi1<771>349mi7?=e:~wg6=838pRo>4=2de>g67}Y;?>01>hi:247?x{e:?k1<7=51;1xH6`228qC=k<4}%1a2?4592ci<7>5;h`2>5<5<42:0>w)=m6;1eb>oe83:17dl>:188k6032900n>hl:180>5<7s-9i:7;9;I1e7>o6:m0;66g>2d83>>i5?00;66sm3gf94?5=83:p(>l9:448L6`43`;9h7>5;h31a?6=3f8<57>5;|`0b`<72:0;6=u+3c4962g<@:l87d?=d;29?l75m3:17b<89;29?xue83:1?vPm0:?0bf<6:l16?kj513f8yvd62908wSl>;<1eg?75l278ji4>2d9~w6032909wS=94:?0b`<5?01v>hm:18185ak38<5632d9~yvd72909wSl?;<1eb?d73tyi=7>52z\a5>;4no0i=6s|37694?4|V:{zj;5;c1eb?6=;3919v*31<75rb2dg>5<4290;w)=m6;75?M5a;2c:>i4?::k26`<722e9;44?::a7cc=8391<7>t$2`5>71f3A9m?6g>2e83>>o6:l0;66a=7883>>{tj90;6>uQb19>7ce=9;o01>hk:00g?xue93:1?vPm1:?0bf<6:m16?kj513g8yv51<3:1>vP<659>7cc=:>30q~=ib;296~;4nj09;4523gg957b52z?0ba<5?016?kk513g8yxue83:1>vPm0:?0bc5<5sW9=86353;397~J4n<0:wE?i2:'7g0=:;;0eo>50;9jf4<722e8:94?::`0bc<72:0868u+3c497c`>i4>=0;66l6<729q/?o85579K7c55<N4n:1b=?j50;9j57c=831d>:750;9~f6`b29086=4?{%1a2?40i2B8j>5f13f94?=n9;o1<75`26;94?=z{k:1<7=t^c2896`d288n70=id;31`>{tj80;6>uQb09>7ce=9;n01>hk:00f?xu4>=0;6?uQ376896`b2;=27p}7}:;oi1>:74=2df>44c3ty8jn4?:3y>7cb=:>301>hj:00f?x{tj90;6?uQb19>7c`=j91vo?50;0xZg7<5:lm6o?4}r150?6=:rT8:9523gd97326}K;o?1=vF>f39~ 6d12;8:7dl?:188mg7=831d?;:50;9a7c`=8391?7;t$2`5>6`a3`h;6=44ic394?=h;?>1<75m3ga94?5=83:p(>l9:448L6`43`;9h7>5;h31a?6=3f8<57>5;|`0ba<72:0;6=u+3c4913=O;o90e<50z&0f3<5?h1C?k=4i00g>5<5<{t;?>1<7{z{k:1<7:181[d6349mj7l>;|q021<72;qU?;:4=2de>6033twi>;k50;195?5|D:l>6389=6gm0;29?ld62900c>8;:188f6`a29086>4:{%1a2?5an2ci<7>5;h`2>5<5<4290;w)=m6;75?M5a;2c:>i4?::k26`<722e9;44?::a7cb=8391<7>t$2`5>00<@:l87d?=d;29?l75m3:17b<89;29?xd4nl0;6>4?:1y'7g0=:>k0D>h<;h31`?6=3`;9i7>5;n04=?6=3tyi<7>53z\a4>;4nj0:>h523gf957b;4nl09;45rs2da>5<5s49mo7<89:?0b`<6:m1v>hl:18185al38<5638;;<1eb?51<2wvn?8i:180>4<4sE9m97?tH0d1?x"4j?09><5fb183>>oe93:17b=94;29?g5an3:1?7=55z&0f3<4no1bn=4?::ka5?6=3f9=87>5;c1eg?6=;3:1N4n:1b=?j50;9j57c=831d>:750;9~f6`c29086=4?{%1a2?313A9m?6g>2e83>>o6:l0;66a=7883>>{e;oo1<7=50;2x 6d12;=j7E=i3:k26a<722c:>h4?::m13<<722wxn=4?:2y]f5=:;oi1=?k4=2dg>44c3tyi=7>53z\a5>;4nj0:>i523gf957c52z\021=:;oo1>:74}r1ef?6=:r78jn4=789>7cc=9;n0q~=ic;296~;4nm09;4523gg957c52z\a4>;4no0i<6s|b083>7}Yj816?kh5b09~w6032909wS=94:?0bc<4>=1vqo<80;297?7=;rF8j84>{I3e6>{#;k<1>??4ic294?=nj80;66a<6583>>d4no0;6>4<:4y'7g0=;ol0eo>50;9jf4<722e8:94?::`0bf<72:0;6=u+3c4913=O;o90e<50z&0f3<2>2B8j>5f13f94?=n9;o1<75`26;94?=zj:ln6=4<:183!5e>3850;1xZg6<5:lh6<44b3ty8:94?:3y]732<5:ln6?96;|q0bg<72;q6?km526;896`b288o7p}7}:;on1>:74=2df>44b3twxn=4?:3y]f5=:;ol1n=5rsc394?4|Vk;01>hi:c38yv51<3:1>vP<659>7c`=;?>0qpl=7083>6<62:qG?k;51zJ2b7=z,:h=6?<>;h`3>5<t$2`5>00<@:l87d?=d;29?l75m3:17b<89;29?xd4nm0;6>4?:1y'7g0==?1C?k=4i00g>5<5<53;294~"4j?09;l5G3g18m44c2900e<2900q~l?:180[d7349mo7?=e:?0ba<6:m1vo?50;1xZg7<5:lh6<hl:35:?85am3;9h6s|3ga94?4|5:lo6?96;<1ea?75m2wvo>50;0xZg6<5:lm6o>4}r`2>5<5sWh:70=if;`2?xu4>=0;6?uQ376896`a2:h::0yK5c45<39mj6gm0;29?ld62900c>8;:188f6`d29086=4?{%1a2?313A9m?6g>2e83>>o6:l0;66a=7883>>{e;on1<7=50;2x 6d12<<0D>h<;h31`?6=3`;9i7>5;n04=?6=3th8jh4?:283>5}#;k<1>:o4H2d0?l75l3:17d?=e;29?j4013:17p}m0;297~Xe8278jn4>2d9>7cb=9;n0q~l>:180[d6349mo7?=d:?0ba<6:l1v>8;:181[51<278jh4=789~w6`e2909w0=ic;04=>;4nl0:>i5rs2d`>5<5s49mh7<89:?0b`<6:l1vq~l?:181[d7349mj7l?;|qa5?6=:rTi=63{t;?>1<75<42808wA=i5;3xL4`53t.8n;4=209jf5<722ci=7>5;n150?6=3k9mj7>53;191~"4j?08jk5fb183>>oe93:17b=94;29?g5ak3:1?7>50z&0f3<2>2B8j>5f13f94?=n9;o1<75`26;94?=zj:lo6=4<:183!5e>3?=7E=i3:k26a<722c:>h4?::m13<<722wi?kk50;194?6|,:h=6?9n;I1e7>o6:m0;66g>2d83>>i5?00;66s|b183>6}Yj916?km513g896`c288o7p}m1;297~Xe9278jn4>2e9>7cb=9;o0q~=94;296~X4>=16?kk526;8yv5aj3:1>v37cc=9;o0qp}m0;296~Xe8278jk4m0:pf4<72;qUn<523gd9f4=z{:;4no08:95r}c622?6=;3:1N4n:1b=?j50;9j57c=831d>:750;9~f17?29086=4?{%1a2?323A9m?6g>2e83>>o6:l0;66a=7883>>{e<8k1<7=50;2x 6d12h<;h31`?6=3`;9i7>5;n04=?6=3th?=n4?:283>5}#;k<1985G3g18m44c2900e<2900qo:>e;297?6=8r.8n;4:5:J0b6=n9;n1<75f13g94?=h:>31<75rb503>5<4290;w)=m6;76?M5a;2c:>i4?::k26`<722e9;44?::a074=8391<7>t$2`5>03<@:l87d?=d;29?l75m3:17b<89;29?xd3:=0;6>4?:1y'7g0==<1C?k=4i00g>5<5<9:7>53;294~"4j?0>96F5<7s-9i:7;:;I1e7>o6:m0;66g>2d83>>i5?00;66sm36694?4=83:p(>l9:01;?M5a;2c:>n4?::m13<<722wx8<;50;1x970f2k:019?9:35:?82603;9i6s|40594?5|5;4=53;>71>34>:m7?=e:p04?=839p1?8l:c28917f2;=270:>c;31a>{t<8h1<7=t=34g>g6<5=;h6?96;<62a?75m2wx84=503>71>34>9>7?=e:p077=839p1?9?:c2891452;=270:=4;31a>{t<;91<7=t=352>g6<5=8?6?96;<612?75m2wx8?;50;1x97002k:019<9:35:?82503;9i6s|43594?4|5;<36o>4=50;>71>3ty8:54?:3y]73><5;<36>8;;%143?51?2d8;;4?;|q023<72;qU?;84=344>6033-9<;7=97:l033<63ty8;>4?:3y]725<5;=:6>8;;%143?51?2d8;;4=;|q037<72;qU?:<4=353>6033-9<;7=97:l033<43ty8;<4?:3y]727<5;8;;%143?51?2d8;;4;;|q035<72;qU?:>4=34f>6033-9<;7=97:l033<23ty8:k4?:3y]73`<5;8;;%143?51?2d8;;49;|q02`<72;qU?;k4=34`>6033-9<;7=97:l033<03ty8:i4?:3y]73b<5;8;;%143?51?2d8;;47;|q02g<72;qU?;l4=34b>6033-9<;7=97:l033<>3ty8:l4?:3y]73g<5;<26>8;;%143?51?2d8;;4n;|q020<72;qU?;;4=257>71>3-9<;7=97:l03352z\a2>;5>10i=6*<768a1>h4??0;7p}m4;297~Xe<279::4m1:?76=<6:m1/?:95b49m720=92wxo<4?:2y]g4=::>;1n<52434957b<,:=<6o;4n255>7=z{j:1<7=t^b2897172k;019<;:00g?!50?3h>7c=86;18yvda2908wSli;<05b?d634>9>7?=d:&032;3:90:>i5+3659f0=i;><196s|be83>6}Yjm16>;j5b09>04c=9;n0(>98:c78j6112?1vom50;1xZge<5;44c3-9<;7l:;o142?1a;31`>"4?>0i96`<778;?xue13:1?vPm9:?12d2e9'721=j<1e?:859:pf=<72:qUn55227;9f4=:<8<1=?j4$254>g3"4?>0i96`<778a?x{e:??1<7:52;6xH6`228qC=k<4}M1e2?1|f=nn69<4$5fa>14b3->o87l=;%6f5?g<,=n=6>8;;%6f4?1<,=no6=5r$2`5>4`23S2h6?u=0;3f>x\4?<09w?<51c8~k60f290/>47537;8j7??2910c>8::18'6=83.9544m7:l1==<732ci?7>5$3;:>g15<42808wA=i5;3xL4`53t.8n;4=209jf5<722ci=7>5;n150?6=3k9mj7>53;191~"4j?08jk5fb183>>oe93:17b=94;29?g5ak3:1?7>50z&0f3<2>2B8j>5f13f94?=n9;o1<75`26;94?=zj:lo6=4<:183!5e>3?=7E=i3:k26a<722c:>h4?::m13<<722wi?kk50;194?6|,:h=6?9n;I1e7>o6:m0;66g>2d83>>i5?00;66s|b183>6}Yj916?km513g896`c288o7p}m1;297~Xe9278jn4>2e9>7cb=9;o0q~=94;296~X4>=16?kk526;8yv5aj3:1>v37cc=9;o0qp}m0;296~Xe8278jk4m0:pf4<72;qUn<523gd9f4=z{:;4no08:95r}c140?6=:3:12900q~=9a;296~X4>h16>;753768 6102:<27c=86;28yv51=3:1>vP<649>722=:>30(>98:24:?k50>3;0q~l7:181[d?348=57l>;%143?d03g9<:7>4}r`0>5<4sWh870<99;`3?850<3;9o6*<768a3>h4??0:7psm24394?0=;32p(>l9:372?j43k3:17d?j8;29?l27k3:17d<;5;29?l43?3:17d:?4;29?g4283:1?7>50z&0f3<2>2B8j>5f13f94?=n9;o1<75`26;94?=zj;>n6=4::183!5e>3?o7E=i3:k26a<722c:>h4?::k26c<722c:?=4?::m13<<722wi>9h50;194?6|,:h=6?9n;I1e7>o6:m0;66g>2d83>>i5?00;66s|25a94?4|V;>h70<;f;04=>{t9l21<7=t^0g;?84283;9h63=4d826c=z{=:h6=4={_63g>;5=90:>h5rs366>5<5sW8?963=4d826a=z{;><6=4={_073>;5h5rs527>5<5sW>;863=4d8275=z{;>o6=4={<064?4012798k4>2e9~w72b2909w0<;e;04=>;5h5r}c00`?6=>3?1mv*h<;h31`?6=3`;9i7>5;n04=?6=3th9?54?:583>5}#;k<19l5G3g18m44c2900e<50z&0f3<5?h1C?k=4i00g>5<5<53;294~"4j?0>96F5<7s-9i:7<8a:J0b6=n9;n1<75f13g94?=h:>31<75rs315>5<5sW88:63=3c813<=z{8o36=4<{_3f<>;5;j0:>i5222c957b;47>52z\74==:::i1=?k4}r071?6=;rT9885222:957b<5;926<994=31;>44b3ty?>m526;8975e288o7p}=3983>7}:::21>:74=31:>44b3ty9;?4?:3y>66?=:>301?=n:00f?xu5?:0;6?u222c962?<5;9i6<7533f88<7>5;h016?6=3`89:7>5;h013?6=3`8947>5;h01=?6=3`89m7>5;h01f?6=3`89o7>5;h01`?6=3`89i7>5;h017?6=3`8997>5;c005?6=13:1N4n:1b=?j50;9j57c=831b=?h50;9j566=831b=>?50;9j564=831b=>=50;9j562=831d>:750;9~f755290>6=4?{%1a2?3d3A9m?6g>2e83>>o6:l0;66g>2g83>>o6;90;66a=7883>>{e::91<7=50;2x 6d12h<;h31`?6=3`;9i7>5;n04=?6=3ty9?=4?:3y]666<5;986?96;|q167<72;qU>?<4=311>44c3ty9>;4?:3y]670<5;9:6<?94=312>44b3ty9>54?:3y]67><5;9:6<=?;|q16<<72;qU>?74=312>44a3ty9>l4?:3y]67g<5;9:6<=>;|q16g<72;qU>?l4=312>4543ty9>n4?:3y]67e<5;9:6<==;|q16a<72;qU>?j4=312>4533ty9>h4?:3y]67c<5;996<?=4=311>44b3ty9>84?:3y]673<5;996<=?;|q174<72;q6>>?526;89754288o7p}=3383>7}:::81>:74=310>44b3twi484?:480>2}#;k<1485`8183>>o6mk0;66g;5283>>o?>3:17d9i:188f=2=8391<7>t$2`5>00<@:l87d?=d;29?l75m3:17b<89;29?xd?:3:1?7>50z&0f3<2>2B8j>5f13f94?=n9;o1<75`26;94?=zj191<7=50;2x 6d12;=j7E=i3:k26a<722c:>h4?::m13<<722wx4=4?:3y]<5=:0:09;45rs0ga>5<5sW;nn6374;31a>{t<<91<73288o7p}76;296~X?>273>7?=d:p3c<72;qU;k5283826`=z{1;1<75<5s4296?96;<:0>44b3twi88l50;797?1|,:h=69;m;n662?6=3`;nm7>5;h660?6=3`>>o7>5;h667?6=3k>>m7>53;294~"4j?0>:6F5<7s-9i:7;9;I1e7>o6:m0;66g>2d83>>i5?00;66sm44;94?5=83:p(>l9:35b?M5a;2c:>i4?::k26`<722e9;44?::p000=838pR9;9;<66=?4012wx=ho50;0xZ4cf34>>m7?=e:p002=838pR9;;;<66e?75l2wx88m50;0xZ13d34>>47?=d:p005=838pR9;<;<66{t<<21<771>34>>57?=e:~f72e290o6>4i{%1a2?43j2e98:4?::k167<722c9>;4?::k162<722c9>54?::k16<<722c9>l4?::k16g<722c9>n4?::k16a<722c9>h4?::k166<722c9>84?::`10=<7200;6=u+3c4962><@:l87d?=d;29?l75m3:17d?=f;29?l7483:17d?<1;29?l74:3:17d?<3;29?l74<3:17b<89;29?xd5<00;684?:1y'7g0==o1C?k=4i00g>5<5<5<53;294~"4j?0>96F279854>2e9~w7402909wS<=7:?10=<6:o1v?<7:181[450279854>309~w74>2909wS<=9:?10=<6;91v?339~w74e2909wS<=b:?10=<6;=1v?329~w74c2909wS<=d:?10<<6:m1v?2g9~w7442909wS<=3:?10<<6:l1v?<::181[45=279844>319~w72?2909w0<;8;04=>;5i5rs36:>5<5s48?57<89:?10d<6:l1vqo?j7;290?6=8r.8n;4:8:J0b6=n9;n1<75f13g94?=n9;l1<75`26;94?=zjjn1<7=50;2x 6d12<<0D>h<;h31`?6=3`;9i7>5;n04=?6=3th8nh4?:283>5}#;k<1985G3g18m44c2900e<2900qo=92;291?6=8r.8n;4:d:J0b6=n9;n1<75f13g94?=n9;l1<75f12294?=h:>31<75rb3cf>5<4290;w)=m6;04e>N4n:1b=?j50;9j57c=831d>:750;9~f63e290>6=4?{%1a2?3c3A9m?6g>2e83>>o6:l0;66g>2g83>>o6;90;66a=7883>>{e:hk1<7=50;2x 6d12<=0D>h<;h31`?6=3`;9i7>5;n04=?6=3th9mn4?:483>5}#;k<19o5G3g18m44c2900e<2900qo<:5;297?6=8r.8n;4=7b9K7c55<50z&0f3<2k2B8j>5f13f94?=n9;o1<75f13d94?=n9::1<75`26;94?=zj;>?6=4<:183!5e>3?>7E=i3:k26a<722c:>h4?::m13<<722wi>8750;194?6|,:h=6?9n;I1e7>o6:m0;66g>2d83>>i5?00;66sm25494?5=83:p(>l9:478L6`43`;9h7>5;h31a?6=3f8<57>5;|`1e3<72:0;6=u+3c4912=O;o90e<50z&0f3<5091C?k=4i00g>5<5<5<53;294~"4j?0>96Fo6:m0;66g>2d83>>o6:o0;66a=7883>>{e<h<;h31`?6=3`;9i7>5;n04=?6=3th?9i4?:283>5}#;k<1>:o4H2d0?l75l3:17d?=e;29?j4013:17pl<5d83>7<729q/?o8512:8L6`43`;9o7>5;n04=?6=3th99>4?:383>5}#;k<1=>64H2d0?l75k3:17b<89;29?xu4=o0;68uQ34d8963a2;3i70?j7;31a>;4jl0:>i52247957c9z\:a>;6m<0j963j3;c6?82003k>70=m7;c6?852n3k>70=:8;c6?84383k>70<70<90;c6?842n3k>70<:e;c6?842l3k>70<:c;c6?842j3k>70<:a;c6?844m3k>70<<5;c6?845n3k>70=jb;c6?84f<3k>709i:`7891g52h?019;<:`78yv5?;3:1?vP<829>601=9;o01?:9:00f?xu4=10;6?uQ34:8963?2;3i7p}79;291~X?1278io4<7b9>601=9;n01?:9:00g?84f03;9h6s|44194?3|V=?870::3;0:f>;?=3>>?63;5c8716=:<5<5sWii70k<:`c8yv5>j3:1>vP<9c9>6d0=9;o0q~:87;296~X3?>168:65a89~w1g52909wS:n2:?7e7<51k1v:h50;1xZ2`<5>l1>4l4=9793c=z{=ii6=4={_6`f>;5j90:>i5rs3c7>5<4sW8j863=a581=g=::k:1=?k4}r0ab?6=:rT9nk522`4957b52z?17`{t;?;1<7d?<5:<96?96;|q01a<72;q6?865a89>70c=:>30q~?j8;29b~;6m<094552252972e<5;9m6>9l;<055?50k279:=4<7b9>60`=;>i01?;j:25`?842l3961d34>j>7o6;<065?7b0279?i4>e99>614=9::0q~?j9;297~;6m<094n5286826a=:<00d=9lk0159513g8913c288n7p}>ec83>6}:9l?1>4>4=9795`d<51=1=?h4}r66g?6=:r7?9o4;5b9>00b=:>30q~52z?107<5?016>87513f8yv4f?3:1>v3=ad826`=::h21>:74}r662?6=:r7?9>4n9:?71g<3=?1v?:<:181843<38<563=58826`=z{;>>6=4<{<065?43=279?i4=449>610=:>30q~?j6;296~;6m<0:ii521d5962?j7o9;<162d9>603=9;n0q~=md;29g~;4j>08;n522529e3=:::l1m;522739e3=::?:1m;5224d9e3=::d?<51?14=5rs9494?4|51?14;5286813<=z{;9;6=4={<01b?g>348887<<0:p02>=839p12d9>612=9;n0q~<:6;296~;5=>09;452250957`47>52z?11<<5?016>8=513a8yv22<3:1>v3;5c8711=:<:74}r073?6=66b=:==01?:m:364?843:3;9h6s|23d94?4|5;8m6?7m;<3f3?75n2wx>lj50;0x97g32h301?oj:35:?xu5io0;6?u22c2962?<5;k36<>k5a79>663=i?16>8=526;8yv4f13:1>v3=ad826a=::hk1>:74}r0bf?6=:r79mn4=789>6g6=9;l0q~:?b;296~;5<90j563=67802==#;>=18=o4n255>5=z{=:26=4={<00b?g>348=:7=96:&032<38h1e?:851:p042=838p1?8>:`;897012:=87)=87;63e>h4??097p};1283>7}::?:1m4522749724<,:=<69>n;o142?5:>7>52z?11c99:59~w1762909w0<:e;c:?841>39<<6*<76874d=i;><196s|40294?4|5;?o6l74=345>60a3-9<;7:?a:l033<13ty?60e=i016>;8537g8 6102=:j7c=86;58yv27m3:1>v3=5c8b=>;5>?08:i5+365905g5<5s48>m7o6;<052?51j2.8;:4;0`9m720=12wx8=m50;0x97012:"4?>0?{t<921<76023488h7:?8:&032<38h1e?:85b:p673=83>p1?:?:3;a?841>3h=70<<4;011>;585+36596725<3s488j7<6b:?12361d=:;90(>98:307?k50>3;0q~<=e;290~;5>8095o522749g4=:::>1>?k4=36a>74b3-9<;7<=4:l033<53ty9>i4?:5y>636=:0h01?89:b2897532;8o70<;b;01`>"4?>09>95a36497>{t:;i1<7:t=37e>7?e348=:7li;<000?45k2798o4=2b9'721=:;>0b>99:59~w74e290?w0<:e;0:f>;5>?0ii63=35816g=::=h1>?l4$254>7433g9<:7;4}r01e?6=630=jm16>>:523c8972e2;8j7)=87;010>h4??0=7p}=2883>1}::4l4=345>ge<5;9?6?<6;<07f?4512.8;:4=259m720=?2wx>?650;6x973e2;3i70<96;`a?844<389463=4c816==#;>=1>?:4n255>==z{;8<6=4;{<06e?4>j279:;4m9:?171<5:>16>9l52358 6102;8?7c=86;;8yv45>3:1:v3=3d81=g=::?<1n5522779f==:::>1>?84=36a>741348jm7?=e:&032<5:=1e?:85a:p674=833h870<95;`0?844<389>63=4c8167=::hk1=?j4$254>7433g9<:7l4}rg5>5<5s4o86?7j;44b3-9<;7k:;o142?6de<5l91>4m4=bf957b<,:=<6h;4n255>4=z{:hh6=4<{<1a3?4f9278:?4>319>70d=9::0(>98:2`a?k50>3:0q~=ma;297~;4j>095k52370957c<5:?i6<;|q0f<<72:q6?o9528g89605288o70=:b;31b>"4?>08no5a36496>{t;k21<7=t=2`4>7?d349=>7?=f:?01g<6:m1/?:953c`8j6112:1v9>;:181841=39=m63=508741=#;>=18==4n255>5=z{=:96=4={<051?51=279?i4;039'721=<990b>99:09~w6`72909w0=jb;0b5>;5ij0:?=5+36597``5<5s49nn7<6f:?1ef<6:o1/?:953dd8j611281v>kk:18185bj382i63=ab826`=#;>=1?hh4n255>7=z{:oh6=4={<1ff?4>k279mn4>2e9'721=;ll0b>99:29~yg24>3:1h7j516yO7c3=9rB:j?5rL2d5>7}i{#;k<1=?:4o5a7>5<5<5<>o5i=0;66g=bg83>>o4;;0;66g>3483>>o5l=0;66g>8c83>>d1;3:1?7<54zN0b0<6sA;m>6sC3g495~"3lm097p*>of=3:17o950;194?6|,:h=6h64H2d0?I5a=3;p(<=8:5f:?xo?l3:17do6:188k7?e2900qoo7:181>5<7s-9i:7?<8:J0b6=n9;i1<75`26;94?=z{h?1<7=9;i0q~<82;296~;021n01l6526;8yv40;3:1>v38:3;a?[4>j2wx>::50;0xZd?<5>0j56srb542>5<42808wA=i5;3xL4`53tF8j;4>{%6g`?45;hc6>5<0;6>4?:1y'7g0=m11C?k=4i9f94?=ni00;66a=9c83>>{ti<0;6?uQa49>3?>c3ty9;?4?:3y>3?4>j2T95o5rs350>5<5sWk27095a89~yg2d<3:187?54zN0b0<6sA;m>6sC3g495~"3lm097p*>of=3:17d67:188f2<72=0;6=u+3c49ag=O;o90e5j50;9je<<722c95l4?::m1=g<722wxm84?:3y]e0=:?32o7p}78;296~X?027<6?7n;|q137<72;q6;7<6b:\1=g=z{;=86=4={_c:?81=i01vqo6n:180>5<7s-9i:7<8b:J0b6=n9;n1<75f13g94?=h:>31<75rb26b>5<2290;w)=m6;04b>N4n:1b=?j50;9j57c=831b=?h50;9j566=831d>:750;9~f622290>6=4?{%1a2?3d3A9m?6g>2e83>>o6:l0;66g>2g83>>o6;90;66a=7883>>{e;==1<7850;2x 6d12?:0D>h<;h31`?6=3`;9i7>5;h31b?6=3`;8<7>5;h305?6=3f8<57>5;|`00<<72:0;6=u+3c4910=O;o90e<50z&0f3<212B8j>5f13f94?=n9;o1<75f13d94?=h:>31<75rb5a;>5<3290;w)=m6;7;?M5a;2c:>i4?::k26`<722c:>k4?::m13<<722wi:84?:383>5}#;k<1=>64H2d0?l75k3:17b<89;29?xd3>>0;6?4?:1y'7g0=9:20D>h<;h31g?6=3f8<57>5;|`7gd<72;0;6=u+3c4956><@:l87d?=c;29?j4013:17p};c583>7}Y019m;:3;a?xu60l0;6>uQ19g89622288o70=;7;304>{t{t<:l1<7:t^51e?82d<323706n:00g?802288h7p}79;290~X?1273m7<89:?720<6:l168n6513g8yvc0290?wSk8;<40>d3<5=<:6l;4=5a7>d354z\1e1=:;=?1=?k4=264>44c349?57?=d:p6g`=839pR?li;<171?75n2788:4>2d9~w6552909wS=<2:?00<<6:l1v<=::180[74=27?:84>2e9>0f>=9;l0q~8c83>7}Y91h01>:n:013?xu3k00;6?u24b69e<=::74}r40>5<5s4<86?7m;<:b>44b3ty?:;4?:3y>037=i0168;9526;8yv2d?3:1>v3;c9813<=:033=9;l019m7:00g?xu4<=0;6?u235c957b<5:>>6?96;|q003<72;q6?9o513g896202;=27p}<4983>7}:;=k1=?h4=26:>71>3ty=87>52z?57?g>34<>6?96;|q721<72;q68;;526;89100288h7psm48d94?5=939p@>h::0yK5c43=z,:h=65<5<0;6>4?:1y'7g0=0k1C?k=4i00`>5<:<50;0x92<5?01U?;:4}r047?6=:rT8nk527;31g>{zj=>96=4<:080I5a=3;pDoh784}%1a2?7a?2cn>7>5;h1ab?6=3f9=87>5;c594?5=83:p(>l9:9`8L6`43`;9o7>5;haa>5<5<5sWo97095cc9~w7152909w09526;8Z6033ty9;>4?:3y]7g`<5>0:>n5r}c64g?6=;3;1?vBf69ja7<722c8nk4?::m021<722h<6=4<:183!5e>32i7E=i3:k26f<722chn7>5;n04=?6=3tyn>7>52z\f6>;02jh0q~<82;296~;02;=27S=94:p625=838pR>li;<5957e6}K;o?1=vF>f39~H6`128q/8ij56:'7g0=9o=0eh<50;9j7g`=831d?;:50;9a3?6=;3:1N4n:1b=?m50;9jgg<722e9;44?::pa7<72;qUi?527;aa?xu5?;0;6?u27;04=>X4>=1v?9<:181[5en27<6<4}O9o80qA=i6;3x 1bc2?1v(>l9:0d4?lc52900e>li:188k6032900n:4?:283>5}#;k<14o5G3g18m44d2900enl50;9l62?=831vh<50;0xZ`4<5>0hn6s|26094?4|5>09;45Q3768yv40;3:1>vP3?75k2wvn9=n:181>5<7s-9i:7?<8:J0b6=n9;i1<75`26;94?=zj=9n6=4=:183!5e>3;846F:750;9~f12d29096=4?{%1a2?7402B8j>5f13a94?=h:>31<75rb51`>5<4290;w)=m6;04e>N4n:1b=?j50;9j57c=831d>:750;9~f1g629096=4?{%1a2?7402B8j>5f13a94?=h:>31<75rs5;e>5<3sW>2j63;3287=c=:<:=184h4=5;e>6033ty?:?4?:3y]034<5=9=698>;|q705<72=qU89>4=5fb>15a34>847:654`;8yv2f=3:1>vP;a49>0ag=12wx88?50;0xZ13634>8;7:;d:p0<1=838pR978;<6ge?2?02wx88k50;0xZ13b34>om7::e:p005=838pR9;<;<605?22;2wxoo4?:2y]gg=:<:=1oo524239gg=z{l=1<78t^d58915420o019jn:8g8915?20o019=>:8g891512l=0q~:93;296~X3>:168>954738yv2393:1>vP;409>014=;?>0q~:;b;296~X3vP;769>067=<>=0q~:68;296~X311168io54c48yv2d>3:1>vP;c79>061=0q~:nb;296~X3ik168lm53768yv2?k3:1>vP;8b9>0ag=<1i0q~7>:181[?634>8;77>;|q;a?6=:rT3i63;368;a>{t<:31<7{t<>k1<7=t^55b?82ci3><563;39873<=z{=k86=4={_6b7>;3lh0?m>5rs54;>5<5sW>=463;36872==z{==i6=4={_64f>;3?j08:95rs5`a>5<5sW>in63;bb8021=z{=;3;10?:o5rs54e>5<5sW>=j63;36872c=z{=ih6=4:{_6`g>;3;:0?oo524ec90fd<5=9369mm;<605?2dj2wx89k50;0xZ12b34>847:;e:p70`=838p19jn:243?824939>j6s|32194?4|5=986>=<;<602?54:2wx=9750;0x915?28>270:<7;37=>{t91o1<7=t=510>4>b34>847?7e:?773<60l1v<=6:18182403;8563;36827<=z{=k;6=4={<6:b?c534>j=7<89:p5=`=838p19=7:0:e?824>3;3j6s|2b294?5|5=986?m?;<605?4en27??;4=bg9~w6>42909w0:<3;1;7>;3;8084>5rs5a94?4|5=9<69l4=51`>44b3ty?h7>52z?77=<3j27??n4>2e9~w11c2909w0:<7;64=>;3?j08nk5rs27;>5<5s4>om7=:9:?774<4=11v5750;7x9154213019jn:9;8915?213019=>:9;891512130q~=6b;296~;3;:085o524239752z?77=<6>l168>9517`8yv7>93:1>v3;3982=4=:<:=1=4?4}r6b6?6=:r7??<4;a39>0d7=9;i0q~9i:184824?3=m70:<1;5e?823:3o970:8c;g1?82fk3o970:mc;g1?823k3;9o6s|15g94?4|5=986<:j;<60{t<:h1<744d34>8o7<89:p6d2=838p19=>:3c7?824>38j86s|16294?4|5=936<9?;<603?7?i2wx>oh50;0x91542;hm70:ka;0ab>{t9m=1<74b034>8;7?k7:p015=838p19=8:567?823:39ij6s|32094?5|5=986>==;<6ge?54:27??54<339~w4522909w0:<3;301>;3;?0:?85rs5`g>5<5s4>8;7:ma:?7ff<4jo1v?j;:18182ci38o863;3781`1=z{82i6=4={<608c9~w4db2909w0:<8;3aa>;3;>0:h;5rs4694?4|5=9368:4=51f>44d3ty9;?4?:3y>7c2=9;k0197i:2`e?xu5j=0;6?uQ2c6891bf2;h?7)=87;0a7>h4??0;7p}=b383>7}Y:k8019jn:3`1?!50?38i?6`<7782?xu5jm0;6?uQ2cf891bf2;ho7)=87;0a7>h4??097p}=bb83>7}Y:ki019jn:3``?!50?38i?6`<7780?xu5jk0;6?uQ2c`891bf2;hi7)=87;0a7>h4??0?7p}=b`83>7}Y:kk019jn:3`b?!50?38i?6`<7786?xu5j00;6?uQ2c;891bf2;h27)=87;0a7>h4??0=7p}=b983>7}Y:k2019jn:3`;?!50?38i?6`<7784?xu5j>0;6?uQ2c5891bf2;h<7)=87;0a7>h4??037p}=b783>7}Y:k<019jn:3`5?!50?38i?6`<778:?xu5j<0;6?uQ2c7891bf2;h>7)=87;0a7>h4??0j7p}=b083>7}Y:k;019jn:3`2?!50?38i?6`<778a?xu0=3:1>vP85:?776<3:2.8;:484:l033<73ty52z\47>;3;:0?<6*<76840>h4??0:7p}82;297~X0:27??>453z\45>;3;:08h63;d`80`>"4?>0<86`<7780?xu1n3:1?vP9f:?776<4j27?hl4;3lh08m6*<76840>h4??0>7p}9d;297~X1l27??>4<9:?7`d<412.8;:484:l033<13ty=o7>53z\5g>;3;:08463;d`80<>"4?>0<86`<7784?xu1j3:1?vP9b:?776<4?27?hl4<7:&032<0<2d8;;47;|q5e?6=;rT=m63;32802>;3lh08:6*<76840>h4??027p}99;297~X1127??>4<5:?7`d<4=2.8;:484:l03353z\5<>;3;:08863;d`800>"4?>0<86`<778a?xu1?3:1?vP97:?776<4;27?hl4<3:&032<0<2d8;;4l;|q52?6=;rT=:63;32806>;3lh08>6*<76840>h4??0o7p}8d;297~X0l27??>4;a:?7`d<3i2.8;:484:l03353z\4g>;3;:0?563;d`87=>"4?>0<86`<778e?xu0j3:1>vP8b:?7`d<302.8;:484:l033<682wx;l4?:3y]3d=:<1=<5rs6;94?4|V>3019jn:548 6102>>0b>99:008yv1?2909wS97;<6ge?223-9<;79;;o142?743ty<;7>52z\43>;3lh0?86*<76840>h4??0:86s|7783>7}Y??168io5429'721=?=1e?:85149~w26=838pR:>4=5fb>6e<,:=<6::4n255>40 1 1 FB1_4 STD RESET cnt/Er<0> 1 1 FB1_5 STD RESET -ram/RS_FSM_FFd4 2 4 FB1_6 STD RESET -iobs/TS_FSM_FFd1 2 3 FB1_7 STD RESET -iobs/IOU1 2 2 FB1_8 STD RESET -cnt/Timer<0> 2 4 FB1_9 STD RESET -cnt/IS_FSM_FFd2 2 6 FB1_10 STD RESET -RefUrg 2 6 FB1_11 STD RESET -RefReq 2 6 FB1_12 STD RESET -IOPWReady 2 3 FB1_13 STD RESET -IOBERR 2 2 FB1_14 STD RESET +ram/RefDone 2 5 FB1_6 STD RESET +ram/RS_FSM_FFd4 2 4 FB1_7 STD RESET +iobs/TS_FSM_FFd1 2 3 FB1_8 STD RESET +iobs/IOU1 2 2 FB1_9 STD RESET +iobs/IOL1 2 2 FB1_10 STD RESET +cnt/Timer<0> 2 4 FB1_11 STD RESET +cnt/IS_FSM_FFd2 2 6 FB1_12 STD RESET +RefUrg 2 6 FB1_13 STD RESET +RefReq 2 6 FB1_14 STD RESET iobm/ES<2> 3 5 FB1_15 STD RESET cnt/Timer<1> 4 5 FB1_16 STD RESET cnt/Timer<3> 5 7 FB1_17 STD RESET cnt/Timer<2> 5 6 FB1_18 STD RESET ram/RS_FSM_FFd5 1 1 FB2_4 STD RESET ram/RS_FSM_FFd3 1 1 FB2_5 STD RESET -ram/RS_FSM_FFd2 1 1 FB2_6 STD RESET -ram/RS_FSM_FFd1 1 1 FB2_7 STD RESET -ram/RASrf 1 1 FB2_8 STD RESET -iobs/IODONEr 1 1 FB2_9 STD RESET -iobs/IOACTr 1 1 FB2_10 STD RESET -iobm/VPAr 1 1 FB2_11 STD RESET -iobm/IOWRREQr 1 1 FB2_12 STD RESET -iobm/IOS_FSM_FFd5 1 1 FB2_13 STD RESET -iobm/IOS_FSM_FFd4 1 1 FB2_14 STD RESET -iobm/IOS_FSM_FFd1 1 1 FB2_15 STD RESET -iobm/IORDREQr 1 1 FB2_16 STD RESET -iobm/C8Mr 1 1 FB2_17 STD RESET -iobm/IOS_FSM_FFd2 2 4 FB2_18 STD RESET +ram/RASrf 1 1 FB2_6 STD RESET +iobs/IODONEr 1 1 FB2_7 STD RESET +iobs/IOACTr 1 1 FB2_8 STD RESET +iobm/VPAr 1 1 FB2_9 STD RESET +iobm/IOWRREQr 1 1 FB2_10 STD RESET +iobm/IOS_FSM_FFd5 1 1 FB2_11 STD RESET +iobm/IOS_FSM_FFd4 1 1 FB2_12 STD RESET +iobm/IOS_FSM_FFd1 1 1 FB2_13 STD RESET +iobm/IORDREQr 1 1 FB2_14 STD RESET +iobm/C8Mr 1 1 FB2_15 STD RESET +cnt/nIPL2r 1 1 FB2_16 STD RESET +iobm/IOS_FSM_FFd2 2 4 FB2_17 STD RESET +IOBERR 2 2 FB2_18 STD RESET iobs/Sent 13 18 FB3_1 STD RESET -ram/RefDone 2 5 FB3_2 STD RESET +iobs/Clear1 1 2 FB3_2 STD RESET ram/RS_FSM_FFd7 2 7 FB3_3 STD RESET -cs/nOverlay 2 5 FB3_4 STD RESET -ram/RS_FSM_FFd6 3 7 FB3_5 STD RESET +ram/RS_FSM_FFd6 3 7 FB3_4 STD RESET +ram/RASEL 3 8 FB3_5 STD RESET ram/RASrr 4 9 FB3_6 STD RESET -ram/RASEL 3 8 FB3_7 STD RESET +ram/Once 3 8 FB3_7 STD RESET Signal Total Total Loc Pwr Reg Init Name Pts Inps Mode State -ram/Once 3 8 FB3_8 STD RESET +cs/nOverlay 3 8 FB3_8 STD RESET RAMReady 4 8 FB3_10 STD RESET ram/RS_FSM_FFd8 5 11 FB3_11 STD SET ram/RAMEN 6 10 FB3_12 STD RESET @@ -180,19 +180,17 @@ ram/CAS 7 13 FB3_14 STD RESET iobs/Load1 8 17 FB3_15 STD RESET IORDREQ 9 15 FB3_16 STD RESET QoSReady 18 25 FB4_1 STD RESET -fsb/ASrf 1 1 FB4_3 STD RESET -cnt/WS<0> 1 3 FB4_4 STD RESET -$OpTx$$OpTx$FX_DC$354_INV$541 1 2 FB4_7 STD -iobs/IOL1 2 2 FB4_9 STD RESET -cs/ODCSr 2 6 FB4_10 STD RESET -cnt/WS<2> 3 5 FB4_12 STD RESET -cnt/WS<1> 3 4 FB4_13 STD RESET -IONPReady 5 16 FB4_14 STD RESET -cnt/LTimer<1> 11 24 FB4_15 STD RESET -cnt/WS<3> 3 6 FB4_16 STD RESET -nRESout 1 2 FB4_17 STD RESET -cnt/LTimerTC 1 12 FB5_13 STD RESET -ALE0S 1 1 FB5_16 STD RESET +nRESout 1 2 FB4_3 STD RESET +fsb/ASrf 1 1 FB4_4 STD RESET +cnt/WS<0> 1 3 FB4_7 STD RESET +ALE0S 1 1 FB4_9 STD RESET +$OpTx$$OpTx$FX_DC$354_INV$541 1 2 FB4_10 STD +cnt/WS<3> 3 6 FB4_12 STD RESET +cnt/WS<2> 3 5 FB4_13 STD RESET +cnt/WS<1> 3 4 FB4_14 STD RESET +IONPReady 5 16 FB4_15 STD RESET +cnt/LTimer<1> 11 24 FB4_17 STD RESET +cnt/LTimerTC 1 12 FB5_16 STD RESET cnt/LTimer<0> 22 34 FB5_18 STD RESET iobm/IOS_FSM_FFd6 2 5 FB6_1 STD RESET iobm/IOS_FSM_FFd7 3 6 FB6_3 STD SET @@ -212,11 +210,11 @@ cnt/LTimer<6> 3 12 FB7_7 STD RESET cnt/LTimer<5> 3 11 FB7_10 STD RESET cnt/LTimer<4> 3 10 FB7_13 STD RESET cnt/LTimer<3> 3 9 FB7_14 STD RESET +cnt/LTimer<2> 3 8 FB7_15 STD RESET +cnt/LTimer<11> 3 17 FB7_16 STD RESET Signal Total Total Loc Pwr Reg Init Name Pts Inps Mode State -cnt/LTimer<2> 3 8 FB7_15 STD RESET -cnt/LTimer<11> 3 17 FB7_16 STD RESET cnt/LTimer<10> 3 16 FB7_17 STD RESET cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 10 36 FB7_18 STD IOL0 16 21 FB8_1 STD RESET @@ -282,66 +280,65 @@ Pin Type/Use - I - Input GCK - Global Clock X - Signal used as input to the macrocell logic. Pin No. - ~ - User Assigned *********************************** FB1 *********************************** -Number of function block inputs used/remaining: 28/26 -Number of signals used by logic mapping into function block: 28 +Number of function block inputs used/remaining: 27/27 +Number of signals used by logic mapping into function block: 27 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use -iobs/Clear1 1 0 0 4 FB1_1 (b) (b) -iobm/Er 1 0 0 4 FB1_2 11 I/O I -cnt/nIPL2r 1 0 0 4 FB1_3 12 I/O I +ram/RS_FSM_FFd2 1 0 0 4 FB1_1 (b) (b) +ram/RS_FSM_FFd1 1 0 0 4 FB1_2 11 I/O I +iobm/Er 1 0 0 4 FB1_3 12 I/O I cnt/Er<1> 1 0 0 4 FB1_4 (b) (b) cnt/Er<0> 1 0 0 4 FB1_5 13 I/O I -ram/RS_FSM_FFd4 2 0 0 3 FB1_6 14 I/O I -iobs/TS_FSM_FFd1 2 0 0 3 FB1_7 (b) (b) -iobs/IOU1 2 0 0 3 FB1_8 15 I/O I -cnt/Timer<0> 2 0 0 3 FB1_9 16 I/O I -cnt/IS_FSM_FFd2 2 0 0 3 FB1_10 (b) (b) -RefUrg 2 0 0 3 FB1_11 17 I/O I -RefReq 2 0 0 3 FB1_12 18 I/O I -IOPWReady 2 0 0 3 FB1_13 (b) (b) -IOBERR 2 0 0 3 FB1_14 19 I/O I +ram/RefDone 2 0 0 3 FB1_6 14 I/O I +ram/RS_FSM_FFd4 2 0 0 3 FB1_7 (b) (b) +iobs/TS_FSM_FFd1 2 0 0 3 FB1_8 15 I/O I +iobs/IOU1 2 0 0 3 FB1_9 16 I/O I +iobs/IOL1 2 0 0 3 FB1_10 (b) (b) +cnt/Timer<0> 2 0 0 3 FB1_11 17 I/O I +cnt/IS_FSM_FFd2 2 0 0 3 FB1_12 18 I/O I +RefUrg 2 0 0 3 FB1_13 (b) (b) +RefReq 2 0 0 3 FB1_14 19 I/O I iobm/ES<2> 3 0 0 2 FB1_15 20 I/O I cnt/Timer<1> 4 0 0 1 FB1_16 (b) (b) cnt/Timer<3> 5 0 0 0 FB1_17 22 GCK/I/O GCK cnt/Timer<2> 5 0 0 0 FB1_18 (b) (b) Signals Used by Logic in Function Block - 1: E 11: cnt/Timer<3> 20: iobs/TS_FSM_FFd2 - 2: RefUrg 12: iobm/ES<0> 21: nADoutLE1 - 3: cnt/Er<0> 13: iobm/ES<1> 22: nAS_IOB - 4: cnt/Er<1> 14: iobm/ES<2> 23: nBERR_IOB - 5: cnt/IS_FSM_FFd1 15: iobm/Er 24: nIPL2 - 6: cnt/IS_FSM_FFd2 16: iobs/Clear1 25: nUDS_FSB - 7: cnt/LTimerTC 17: iobs/IOACTr 26: ram/RS_FSM_FFd1 - 8: cnt/Timer<0> 18: iobs/Load1 27: ram/RS_FSM_FFd5 - 9: cnt/Timer<1> 19: iobs/TS_FSM_FFd1 28: ram/RefDone - 10: cnt/Timer<2> + 1: E 10: cnt/Timer<1> 19: iobs/TS_FSM_FFd1 + 2: RefReq 11: cnt/Timer<2> 20: iobs/TS_FSM_FFd2 + 3: RefUrg 12: cnt/Timer<3> 21: nLDS_FSB + 4: cnt/Er<0> 13: iobm/ES<0> 22: nUDS_FSB + 5: cnt/Er<1> 14: iobm/ES<1> 23: ram/RS_FSM_FFd1 + 6: cnt/IS_FSM_FFd1 15: iobm/ES<2> 24: ram/RS_FSM_FFd2 + 7: cnt/IS_FSM_FFd2 16: iobm/Er 25: ram/RS_FSM_FFd3 + 8: cnt/LTimerTC 17: iobs/IOACTr 26: ram/RS_FSM_FFd5 + 9: cnt/Timer<0> 18: iobs/Load1 27: ram/RefDone Signal 1 2 3 4 FB Name 0----+----0----+----0----+----0----+----0 Inputs -iobs/Clear1 ..................XX.................... 2 +ram/RS_FSM_FFd2 ........................X............... 1 +ram/RS_FSM_FFd1 .......................X................ 1 iobm/Er X....................................... 1 -cnt/nIPL2r .......................X................ 1 -cnt/Er<1> ..X..................................... 1 +cnt/Er<1> ...X.................................... 1 cnt/Er<0> X....................................... 1 -ram/RS_FSM_FFd4 .X.......................XXX............ 4 +ram/RefDone .XX....................XX.X............. 5 +ram/RS_FSM_FFd4 ..X...................X..XX............. 4 iobs/TS_FSM_FFd1 ................X.XX.................... 3 -iobs/IOU1 .................X......X............... 2 -cnt/Timer<0> .XXX...X................................ 4 -cnt/IS_FSM_FFd2 .XXXXXX................................. 6 -RefUrg ..XX...XXXX............................. 6 -RefReq ..XX...XXXX............................. 6 -IOPWReady ...............X.X..X................... 3 -IOBERR .....................XX................. 2 -iobm/ES<2> X..........XXXX......................... 5 -cnt/Timer<1> .XXX...XX............................... 5 -cnt/Timer<3> .XXX...XXXX............................. 7 -cnt/Timer<2> .XXX...XXX.............................. 6 +iobs/IOU1 .................X...X.................. 2 +iobs/IOL1 .................X..X................... 2 +cnt/Timer<0> ..XXX...X............................... 4 +cnt/IS_FSM_FFd2 ..XXXXXX................................ 6 +RefUrg ...XX...XXXX............................ 6 +RefReq ...XX...XXXX............................ 6 +iobm/ES<2> X...........XXXX........................ 5 +cnt/Timer<1> ..XXX...XX.............................. 5 +cnt/Timer<3> ..XXX...XXXX............................ 7 +cnt/Timer<2> ..XXX...XXX............................. 6 0----+----1----+----2----+----3----+----4 0 0 0 0 *********************************** FB2 *********************************** -Number of function block inputs used/remaining: 16/38 -Number of signals used by logic mapping into function block: 16 +Number of function block inputs used/remaining: 17/37 +Number of signals used by logic mapping into function block: 17 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use (unused) 0 0 0 5 FB2_1 (b) @@ -349,60 +346,60 @@ Name Pt Pt Pt Pt # Type Use (unused) 0 0 0 5 FB2_3 (b) ram/RS_FSM_FFd5 1 0 0 4 FB2_4 (b) (b) ram/RS_FSM_FFd3 1 0 0 4 FB2_5 1 GTS/I/O (b) -ram/RS_FSM_FFd2 1 0 0 4 FB2_6 2 GTS/I/O I -ram/RS_FSM_FFd1 1 0 0 4 FB2_7 (b) (b) -ram/RASrf 1 0 0 4 FB2_8 3 GTS/I/O I -iobs/IODONEr 1 0 0 4 FB2_9 4 GTS/I/O I -iobs/IOACTr 1 0 0 4 FB2_10 (b) (b) -iobm/VPAr 1 0 0 4 FB2_11 6 I/O I -iobm/IOWRREQr 1 0 0 4 FB2_12 7 I/O I -iobm/IOS_FSM_FFd5 1 0 0 4 FB2_13 (b) (b) -iobm/IOS_FSM_FFd4 1 0 0 4 FB2_14 8 I/O I -iobm/IOS_FSM_FFd1 1 0 0 4 FB2_15 9 I/O I -iobm/IORDREQr 1 0 0 4 FB2_16 (b) (b) -iobm/C8Mr 1 0 0 4 FB2_17 10 I/O I -iobm/IOS_FSM_FFd2 2 0 0 3 FB2_18 (b) (b) +ram/RASrf 1 0 0 4 FB2_6 2 GTS/I/O I +iobs/IODONEr 1 0 0 4 FB2_7 (b) (b) +iobs/IOACTr 1 0 0 4 FB2_8 3 GTS/I/O I +iobm/VPAr 1 0 0 4 FB2_9 4 GTS/I/O I +iobm/IOWRREQr 1 0 0 4 FB2_10 (b) (b) +iobm/IOS_FSM_FFd5 1 0 0 4 FB2_11 6 I/O I +iobm/IOS_FSM_FFd4 1 0 0 4 FB2_12 7 I/O I +iobm/IOS_FSM_FFd1 1 0 0 4 FB2_13 (b) (b) +iobm/IORDREQr 1 0 0 4 FB2_14 8 I/O I +iobm/C8Mr 1 0 0 4 FB2_15 9 I/O I +cnt/nIPL2r 1 0 0 4 FB2_16 (b) (b) +iobm/IOS_FSM_FFd2 2 0 0 3 FB2_17 10 I/O I +IOBERR 2 0 0 3 FB2_18 (b) (b) Signals Used by Logic in Function Block - 1: C8M 7: iobm/C8Mr 12: nVPA_IOB - 2: IOACT 8: iobm/IOS_FSM_FFd2 13: ram/RS_FSM_FFd2 - 3: IOBERR 9: iobm/IOS_FSM_FFd3 14: ram/RS_FSM_FFd3 - 4: IODONE 10: iobm/IOS_FSM_FFd5 15: ram/RS_FSM_FFd6 - 5: IORDREQ 11: iobm/IOS_FSM_FFd6 16: ram/RS_FSM_FFd7 - 6: IOWRREQ + 1: C8M 7: iobm/C8Mr 13: nBERR_IOB + 2: IOACT 8: iobm/IOS_FSM_FFd2 14: nIPL2 + 3: IOBERR 9: iobm/IOS_FSM_FFd3 15: nVPA_IOB + 4: IODONE 10: iobm/IOS_FSM_FFd5 16: ram/RS_FSM_FFd6 + 5: IORDREQ 11: iobm/IOS_FSM_FFd6 17: ram/RS_FSM_FFd7 + 6: IOWRREQ 12: nAS_IOB Signal 1 2 3 4 FB Name 0----+----0----+----0----+----0----+----0 Inputs -ram/RS_FSM_FFd5 ...............X........................ 1 -ram/RS_FSM_FFd3 ..............X......................... 1 -ram/RS_FSM_FFd2 .............X.......................... 1 -ram/RS_FSM_FFd1 ............X........................... 1 -ram/RASrf ...............X........................ 1 +ram/RS_FSM_FFd5 ................X....................... 1 +ram/RS_FSM_FFd3 ...............X........................ 1 +ram/RASrf ................X....................... 1 iobs/IODONEr ...X.................................... 1 iobs/IOACTr .X...................................... 1 -iobm/VPAr ...........X............................ 1 +iobm/VPAr ..............X......................... 1 iobm/IOWRREQr .....X.................................. 1 iobm/IOS_FSM_FFd5 ..........X............................. 1 iobm/IOS_FSM_FFd4 .........X.............................. 1 iobm/IOS_FSM_FFd1 .......X................................ 1 iobm/IORDREQr ....X................................... 1 iobm/C8Mr X....................................... 1 +cnt/nIPL2r .............X.......................... 1 iobm/IOS_FSM_FFd2 ..XX..X.X............................... 4 +IOBERR ...........XX........................... 2 0----+----1----+----2----+----3----+----4 0 0 0 0 *********************************** FB3 *********************************** -Number of function block inputs used/remaining: 39/15 -Number of signals used by logic mapping into function block: 39 +Number of function block inputs used/remaining: 36/18 +Number of signals used by logic mapping into function block: 36 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use iobs/Sent 13 8<- 0 0 FB3_1 (b) (b) -ram/RefDone 2 0 /\3 0 FB3_2 23 GCK/I/O GCK/I +iobs/Clear1 1 0 /\3 1 FB3_2 23 GCK/I/O GCK/I ram/RS_FSM_FFd7 2 0 0 3 FB3_3 (b) (b) -cs/nOverlay 2 0 0 3 FB3_4 (b) (b) -ram/RS_FSM_FFd6 3 0 0 2 FB3_5 24 I/O I +ram/RS_FSM_FFd6 3 0 0 2 FB3_4 (b) (b) +ram/RASEL 3 0 0 2 FB3_5 24 I/O I ram/RASrr 4 0 0 1 FB3_6 25 I/O I -ram/RASEL 3 0 \/2 0 FB3_7 (b) (b) -ram/Once 3 2<- \/4 0 FB3_8 27 GCK/I/O GCK +ram/Once 3 0 \/2 0 FB3_7 (b) (b) +cs/nOverlay 3 2<- \/4 0 FB3_8 27 GCK/I/O GCK nDTACK_FSB 9 4<- 0 0 FB3_9 28 I/O O RAMReady 4 0 \/1 0 FB3_10 (b) (b) ram/RS_FSM_FFd8 5 1<- \/1 0 FB3_11 29 I/O I @@ -415,63 +412,62 @@ nROMWE 1 0 /\4 0 FB3_17 34 I/O O (unused) 0 0 \/5 0 FB3_18 (b) (b) Signals Used by Logic in Function Block - 1: A_FSB<13> 14: nRES.PIN 27: nADoutLE1 - 2: A_FSB<14> 15: QoSReady 28: nAS_FSB - 3: A_FSB<16> 16: RAMReady 29: nWE_FSB - 4: A_FSB<17> 17: RefReq 30: ram/Once - 5: A_FSB<18> 18: RefUrg 31: ram/RAMEN - 6: A_FSB<19> 19: cs/ODCSr 32: ram/RS_FSM_FFd2 - 7: A_FSB<20> 20: cs/nOverlay 33: ram/RS_FSM_FFd3 - 8: A_FSB<21> 21: fsb/ASrf 34: ram/RS_FSM_FFd4 - 9: A_FSB<22> 22: iobs/IOACTr 35: ram/RS_FSM_FFd5 - 10: A_FSB<23> 23: iobs/IORW1 36: ram/RS_FSM_FFd6 - 11: IONPReady 24: iobs/Sent 37: ram/RS_FSM_FFd7 - 12: IOPWReady 25: iobs/TS_FSM_FFd1 38: ram/RS_FSM_FFd8 - 13: IORDREQ 26: iobs/TS_FSM_FFd2 39: ram/RefDone + 1: A_FSB<13> 13: nRES.PIN 25: nADoutLE1 + 2: A_FSB<14> 14: QoSReady 26: nAS_FSB + 3: A_FSB<16> 15: RAMReady 27: nWE_FSB + 4: A_FSB<17> 16: RefReq 28: ram/Once + 5: A_FSB<18> 17: RefUrg 29: ram/RAMEN + 6: A_FSB<19> 18: cs/nOverlay 30: ram/RS_FSM_FFd3 + 7: A_FSB<20> 19: fsb/ASrf 31: ram/RS_FSM_FFd4 + 8: A_FSB<21> 20: iobs/IOACTr 32: ram/RS_FSM_FFd5 + 9: A_FSB<22> 21: iobs/IORW1 33: ram/RS_FSM_FFd6 + 10: A_FSB<23> 22: iobs/Sent 34: ram/RS_FSM_FFd7 + 11: IONPReady 23: iobs/TS_FSM_FFd1 35: ram/RS_FSM_FFd8 + 12: IORDREQ 24: iobs/TS_FSM_FFd2 36: ram/RefDone Signal 1 2 3 4 FB Name 0----+----0----+----0----+----0----+----0 Inputs -iobs/Sent XXXXXXXXXX.........XX..XXXXXX........... 18 -ram/RefDone ................XX.............XX.....X. 5 -ram/RS_FSM_FFd7 ........XX.........XX......X..X......X.. 7 -cs/nOverlay .............X....XXX......X............ 5 -ram/RS_FSM_FFd6 ................XX..X......X......X..XX. 7 -ram/RASrr ........XX.........XX......X..X.X..X.X.. 9 -ram/RASEL ........XX.........XX......X..X.....XX.. 8 -ram/Once ........XX.........XX......X.XX......X.. 8 -nDTACK_FSB XXXXXXXXXXXX..XX....X......XX........... 17 -RAMReady ...............XXX..X......X.....X...XX. 8 -ram/RS_FSM_FFd8 ........XX......XX.XX......X..X..X...XX. 11 -ram/RAMEN ................XX..X......X.XX..X..XXX. 10 -ram/CAS ........XX......XX.XX......X..X...XXXXX. 13 -iobs/Load1 XXXXXXXXXX..........X..XXXXXX........... 17 -IORDREQ ......XXXX..X......XXXXXXXXXX........... 15 -nROMWE ...........................XX........... 2 +iobs/Sent XXXXXXXXXX.......XX..XXXXXX............. 18 +iobs/Clear1 ......................XX................ 2 +ram/RS_FSM_FFd7 ........XX.......XX......X..X.....X..... 7 +ram/RS_FSM_FFd6 ...............XX.X......X.....X..XX.... 7 +ram/RASEL ........XX.......XX......X..X....XX..... 8 +ram/RASrr ........XX.......XX......X..XX..X.X..... 9 +ram/Once ........XX.......XX......X.XX.....X..... 8 +cs/nOverlay ......XXXX..X....XX......X.............. 8 +nDTACK_FSB XXXXXXXXXXX..XX...X.....XXX............. 17 +RAMReady ..............XXX.X......X....X...XX.... 8 +ram/RS_FSM_FFd8 ........XX.....XXXX......X..X.X...XX.... 11 +ram/RAMEN ...............XX.X......X.XX.X..XXX.... 10 +ram/CAS ........XX.....XXXX......X..X..XXXXX.... 13 +iobs/Load1 XXXXXXXXXX........X..XXXXXX............. 17 +IORDREQ ......XXXX.X.....XXXXXXXXXX............. 15 +nROMWE .........................XX............. 2 0----+----1----+----2----+----3----+----4 0 0 0 0 *********************************** FB4 *********************************** -Number of function block inputs used/remaining: 42/12 -Number of signals used by logic mapping into function block: 42 +Number of function block inputs used/remaining: 41/13 +Number of signals used by logic mapping into function block: 41 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use QoSReady 18 13<- 0 0 FB4_1 (b) (b) nAoutOE 2 2<- /\5 0 FB4_2 87 I/O O -fsb/ASrf 1 0 /\2 2 FB4_3 (b) (b) -cnt/WS<0> 1 0 0 4 FB4_4 (b) (b) +nRESout 1 0 /\2 2 FB4_3 (b) (b) +fsb/ASrf 1 0 0 4 FB4_4 (b) (b) nDoutOE 2 0 0 3 FB4_5 89 I/O O nDinOE 3 0 0 2 FB4_6 90 I/O O -$OpTx$$OpTx$FX_DC$354_INV$541 - 1 0 0 4 FB4_7 (b) (b) +cnt/WS<0> 1 0 0 4 FB4_7 (b) (b) nRES 1 0 0 4 FB4_8 91 I/O I/O -iobs/IOL1 2 0 0 3 FB4_9 92 I/O I -cs/ODCSr 2 0 0 3 FB4_10 (b) (b) +ALE0S 1 0 0 4 FB4_9 92 I/O I +$OpTx$$OpTx$FX_DC$354_INV$541 + 1 0 0 4 FB4_10 (b) (b) nVPA_FSB 3 0 0 2 FB4_11 93 I/O O -cnt/WS<2> 3 0 \/2 0 FB4_12 94 I/O I -cnt/WS<1> 3 2<- \/4 0 FB4_13 (b) (b) -IONPReady 5 4<- \/4 0 FB4_14 95 I/O I -cnt/LTimer<1> 11 6<- 0 0 FB4_15 96 I/O I -cnt/WS<3> 3 0 /\2 0 FB4_16 (b) (b) -nRESout 1 0 \/3 1 FB4_17 97 I/O I +cnt/WS<3> 3 0 0 2 FB4_12 94 I/O I +cnt/WS<2> 3 0 \/2 0 FB4_13 (b) (b) +cnt/WS<1> 3 2<- \/4 0 FB4_14 95 I/O I +IONPReady 5 4<- \/4 0 FB4_15 96 I/O I +(unused) 0 0 \/5 0 FB4_16 (b) (b) +cnt/LTimer<1> 11 9<- \/3 0 FB4_17 97 I/O I (unused) 0 0 \/5 0 FB4_18 (b) (b) Signals Used by Logic in Function Block @@ -481,40 +477,39 @@ Signals Used by Logic in Function Block 4: A_FSB<12> 18: IONPReady 32: iobm/IOS0 5: A_FSB<13> 19: QoSReady 33: iobm/IOWRREQr 6: A_FSB<14> 20: cnt/IS_FSM_FFd1 34: iobs/IODONEr - 7: A_FSB<15> 21: cnt/IS_FSM_FFd2 35: iobs/Load1 - 8: A_FSB<16> 22: cnt/LTimer<0> 36: iobs/Sent + 7: A_FSB<15> 21: cnt/IS_FSM_FFd2 35: iobs/Sent + 8: A_FSB<16> 22: cnt/LTimer<0> 36: iobs/TS_FSM_FFd2 9: A_FSB<17> 23: cnt/LTimer<1> 37: nAS_FSB 10: A_FSB<18> 24: cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 38: nAoutOE 11: A_FSB<19> 25: cnt/WS<0> 39: nBR_IOB - 12: A_FSB<20> 26: cnt/WS<1> 40: nLDS_FSB - 13: A_FSB<21> 27: cnt/WS<2> 41: nRESout - 14: A_FSB<22> 28: cnt/WS<3> 42: nWE_FSB + 12: A_FSB<20> 26: cnt/WS<1> 40: nRESout + 13: A_FSB<21> 27: cnt/WS<2> 41: nWE_FSB + 14: A_FSB<22> 28: cnt/WS<3> Signal 1 2 3 4 5 FB Name 0----+----0----+----0----+----0----+----0----+----0 Inputs -QoSReady XXXXXXXXXXXXXXXXX.X..XX.XXXX.............X........ 25 +QoSReady XXXXXXXXXXXXXXXXX.X..XX.XXXX............X......... 25 nAoutOE ...................XX................XX........... 4 +nRESout ...................XX............................. 2 fsb/ASrf ....................................X............. 1 -cnt/WS<0> ........................X...X.......X............. 3 nDoutOE .............................XXXX....X............ 5 -nDinOE ...........XXXX.....................X....X........ 6 +nDinOE ...........XXXX.....................X...X......... 6 +cnt/WS<0> ........................X...X.......X............. 3 +nRES .......................................X.......... 1 +ALE0S ...................................X.............. 1 $OpTx$$OpTx$FX_DC$354_INV$541 ............................X.......X............. 2 -nRES ........................................X......... 1 -iobs/IOL1 ..................................X....X.......... 2 -cs/ODCSr ...........XXXX.............X.......X............. 6 -nVPA_FSB .......XXXXXXXX..XX.........X.......X............. 12 +nVPA_FSB .......XXXXXXXX..X..........X.......X............. 11 +cnt/WS<3> ........................XXXXX.......X............. 6 cnt/WS<2> ........................XXX.X.......X............. 5 cnt/WS<1> ........................XX..X.......X............. 4 -IONPReady ....XX.XXXXXXXX..X..........X....X.XX....X........ 16 -cnt/LTimer<1> .XXXXXXXXXXXXXXXX..XXXXX....X.......X....X........ 24 -cnt/WS<3> ........................XXXXX.......X............. 6 -nRESout ...................XX............................. 2 +IONPReady ....XX.XXXXXXXX..X..........X....XX.X...X......... 16 +cnt/LTimer<1> .XXXXXXXXXXXXXXXX..XXXXX....X.......X...X......... 24 0----+----1----+----2----+----3----+----4----+----5 0 0 0 0 0 *********************************** FB5 *********************************** -Number of function block inputs used/remaining: 42/12 -Number of signals used by logic mapping into function block: 42 +Number of function block inputs used/remaining: 41/13 +Number of signals used by logic mapping into function block: 41 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use (unused) 0 0 /\5 0 FB5_1 (b) (b) @@ -529,10 +524,10 @@ RA<4> 2 0 0 3 FB5_9 40 I/O O (unused) 0 0 0 5 FB5_10 (b) RA<3> 2 0 0 3 FB5_11 41 I/O O RA<5> 2 0 0 3 FB5_12 42 I/O O -cnt/LTimerTC 1 0 0 4 FB5_13 (b) (b) +(unused) 0 0 0 5 FB5_13 (b) RA<2> 2 0 0 3 FB5_14 43 I/O O RA<6> 2 0 0 3 FB5_15 46 I/O O -ALE0S 1 0 \/4 0 FB5_16 (b) (b) +cnt/LTimerTC 1 0 \/4 0 FB5_16 (b) (b) (unused) 0 0 \/5 0 FB5_17 49 I/O (b) cnt/LTimer<0> 22 17<- 0 0 FB5_18 (b) (b) @@ -546,25 +541,24 @@ Signals Used by Logic in Function Block 7: A_FSB<16> 21: cnt/IS_FSM_FFd1 35: cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 8: A_FSB<17> 22: cnt/IS_FSM_FFd2 36: cs/nOverlay 9: A_FSB<18> 23: cnt/LTimer<0> 37: fsb/ASrf - 10: A_FSB<19> 24: cnt/LTimer<10> 38: iobs/TS_FSM_FFd2 - 11: A_FSB<20> 25: cnt/LTimer<11> 39: nAS_FSB - 12: A_FSB<21> 26: cnt/LTimer<1> 40: nWE_FSB - 13: A_FSB<22> 27: cnt/LTimer<2> 41: ram/CAS - 14: A_FSB<23> 28: cnt/LTimer<3> 42: ram/RASEL + 10: A_FSB<19> 24: cnt/LTimer<10> 38: nAS_FSB + 11: A_FSB<20> 25: cnt/LTimer<11> 39: nWE_FSB + 12: A_FSB<21> 26: cnt/LTimer<1> 40: ram/CAS + 13: A_FSB<22> 27: cnt/LTimer<2> 41: ram/RASEL + 14: A_FSB<23> 28: cnt/LTimer<3> Signal 1 2 3 4 5 FB Name 0----+----0----+----0----+----0----+----0----+----0 Inputs nROMCS ..........XXXX.....................X.............. 5 -nCAS ........................................X......... 1 -nOE ......................................XX.......... 2 -RA<4> .X............X..........................X........ 3 -RA<3> .........XX..............................X........ 3 -RA<5> ..X............X.........................X........ 3 +nCAS .......................................X.......... 1 +nOE .....................................XX........... 2 +RA<4> .X............X.........................X......... 3 +RA<3> .........XX.............................X......... 3 +RA<5> ..X............X........................X......... 3 +RA<2> ......X..........X......................X......... 3 +RA<6> ...X............X.......................X......... 3 cnt/LTimerTC ......................XXXXXXXXXXXX................ 12 -RA<2> ......X..........X.......................X........ 3 -RA<6> ...X............X........................X........ 3 -ALE0S .....................................X............ 1 -cnt/LTimer<0> XXXXXXXXXXXXXX....XXXXXXXXXXXXXXXXX.X.XX.......... 34 +cnt/LTimer<0> XXXXXXXXXXXXXX....XXXXXXXXXXXXXXXXX.XXX........... 34 0----+----1----+----2----+----3----+----4----+----5 0 0 0 0 0 *********************************** FB6 *********************************** @@ -793,6 +787,8 @@ C25MEN <= '1'; + + @@ -853,10 +849,6 @@ IONPReady_D <= ((NOT iobs/Sent AND NOT IONPReady) A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(14) AND NOT nWE_FSB AND NOT IONPReady)); -FDCPE_IOPWReady: FDCPE port map (IOPWReady,IOPWReady_D,FCLK,'0','0'); -IOPWReady_D <= ((iobs/Clear1) - OR (NOT iobs/Load1 AND nADoutLE1)); - FDCPE_IORDREQ: FDCPE port map (IORDREQ,IORDREQ_D,FCLK,'0','0'); IORDREQ_D <= ((NOT iobs/IORW1 AND NOT iobs/TS_FSM_FFd2 AND NOT nADoutLE1) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT iobs/TS_FSM_FFd2 AND @@ -939,7 +931,7 @@ QoSReady_D <= ((A_FSB(22) AND NOT A_FSB(17) AND NOT $OpTx$$OpTx$FX_DC$354_INV$541) OR (A_FSB(22) AND NOT A_FSB(10) AND NOT $OpTx$$OpTx$FX_DC$354_INV$541) - OR (nRESout.EXP) + OR (cnt/LTimer(1).EXP) OR (A_FSB(22) AND A_FSB(21) AND NOT $OpTx$$OpTx$FX_DC$354_INV$541) OR (A_FSB(22) AND A_FSB(19) AND @@ -1053,7 +1045,7 @@ cnt/LTimer_D(0) <= ((nROMCS_OBUF.EXP) A_FSB(13) AND A_FSB(12) AND A_FSB(14) AND A_FSB(11) AND A_FSB(10) AND NOT nWE_FSB AND NOT nAS_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND A_FSB(8) AND cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) - OR (ALE0S.EXP) + OR (cnt/LTimerTC.EXP) OR (NOT cnt/LTimer(0) AND cnt/LTimer(3) AND cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) OR (NOT cnt/LTimer(0) AND cnt/LTimer(4) AND @@ -1076,51 +1068,32 @@ cnt/LTimer_D(0) <= ((nROMCS_OBUF.EXP) cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2)); FDCPE_cnt/LTimer1: FDCPE port map (cnt/LTimer(1),cnt/LTimer_D(1),FCLK,'0','0'); -cnt/LTimer_D(1) <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND - A_FSB(13) AND A_FSB(12) AND A_FSB(14) AND A_FSB(11) AND A_FSB(10) AND - NOT nWE_FSB AND NOT nAS_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND - A_FSB(9) AND cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND - A_FSB(13) AND A_FSB(12) AND A_FSB(14) AND A_FSB(11) AND A_FSB(10) AND - NOT nWE_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND A_FSB(8) AND - fsb/ASrf AND cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND - A_FSB(13) AND NOT A_FSB(12) AND NOT A_FSB(14) AND NOT A_FSB(11) AND NOT A_FSB(10) AND - NOT nWE_FSB AND NOT nAS_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND - A_FSB(9) AND cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND - A_FSB(13) AND NOT A_FSB(12) AND NOT A_FSB(14) AND NOT A_FSB(11) AND NOT A_FSB(10) AND - NOT nWE_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND A_FSB(8) AND - fsb/ASrf AND cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND - A_FSB(13) AND A_FSB(12) AND A_FSB(14) AND A_FSB(11) AND A_FSB(10) AND - NOT nWE_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND A_FSB(9) AND - fsb/ASrf AND cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND - A_FSB(13) AND NOT A_FSB(12) AND NOT A_FSB(14) AND NOT A_FSB(11) AND NOT A_FSB(10) AND - NOT nWE_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND A_FSB(9) AND - fsb/ASrf AND cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) - OR (NOT cnt/LTimer(0) AND NOT cnt/LTimer(1)) - OR (NOT cnt/LTimer(1) AND - NOT cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) +cnt/LTimer_D(1) <= ((IONPReady.EXP) OR (cnt/LTimer(0) AND cnt/LTimer(1) AND cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND A_FSB(13) AND A_FSB(12) AND A_FSB(14) AND A_FSB(11) AND A_FSB(10) AND NOT nWE_FSB AND NOT nAS_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND + A_FSB(9) AND cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND + A_FSB(13) AND A_FSB(12) AND A_FSB(14) AND A_FSB(11) AND A_FSB(10) AND + NOT nWE_FSB AND NOT nAS_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND A_FSB(8) AND cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND A_FSB(13) AND NOT A_FSB(12) AND NOT A_FSB(14) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT nWE_FSB AND NOT nAS_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND - A_FSB(8) AND cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2)); + A_FSB(9) AND cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(15) AND + A_FSB(13) AND NOT A_FSB(12) AND NOT A_FSB(14) AND NOT A_FSB(11) AND NOT A_FSB(10) AND + NOT nWE_FSB AND NOT nAS_FSB AND cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2 AND + A_FSB(8) AND cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2) + OR (NOT cnt/LTimer(0) AND NOT cnt/LTimer(1)) + OR (NOT cnt/LTimer(1) AND + NOT cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2)); FTCPE_cnt/LTimer2: FTCPE port map (cnt/LTimer(2),cnt/LTimer_T(2),FCLK,'0','0'); cnt/LTimer_T(2) <= ((cnt/LTimer(2) AND cnt/IS_FSM_FFd1 AND @@ -1310,9 +1283,9 @@ FTCPE_cnt/WS0: FTCPE port map (cnt/WS(0),cnt/WS_T(0),FCLK,'0','0'); cnt/WS_T(0) <= (nAS_FSB AND NOT cnt/WS(0) AND NOT fsb/ASrf); FDCPE_cnt/WS1: FDCPE port map (cnt/WS(1),cnt/WS_D(1),FCLK,'0','0'); -cnt/WS_D(1) <= ((nAS_FSB AND NOT fsb/ASrf) +cnt/WS_D(1) <= ((cnt/WS(0) AND cnt/WS(1)) OR (NOT cnt/WS(0) AND NOT cnt/WS(1)) - OR (cnt/WS(0) AND cnt/WS(1))); + OR (nAS_FSB AND NOT fsb/ASrf)); FTCPE_cnt/WS2: FTCPE port map (cnt/WS(2),cnt/WS_T(2),FCLK,'0','0'); cnt/WS_T(2) <= ((nAS_FSB AND cnt/WS(2) AND NOT fsb/ASrf) @@ -1326,16 +1299,12 @@ cnt/WS_T(3) <= ((nAS_FSB AND cnt/WS(3) AND NOT fsb/ASrf) FDCPE_cnt/nIPL2r: FDCPE port map (cnt/nIPL2r,nIPL2,FCLK,'0','0'); -FDCPE_cs/ODCSr: FDCPE port map (cs/ODCSr,cs/ODCSr_D,FCLK,'0','0'); -cs/ODCSr_D <= ((NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20) AND - NOT nAS_FSB) - OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20) AND - fsb/ASrf)); - FTCPE_cs/nOverlay: FTCPE port map (cs/nOverlay,cs/nOverlay_T,FCLK,'0','0'); -cs/nOverlay_T <= ((NOT nRES.PIN AND cs/nOverlay AND nAS_FSB AND NOT fsb/ASrf) - OR (nRES.PIN AND NOT cs/nOverlay AND nAS_FSB AND cs/ODCSr AND - NOT fsb/ASrf)); +cs/nOverlay_T <= ((NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20) AND + NOT cs/nOverlay AND NOT nAS_FSB) + OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20) AND + NOT cs/nOverlay AND fsb/ASrf) + OR (NOT nRES.PIN AND cs/nOverlay AND nAS_FSB AND NOT fsb/ASrf)); FDCPE_fsb/ASrf: FDCPE port map (fsb/ASrf,NOT nAS_FSB,NOT FCLK,'0','0'); @@ -1589,17 +1558,17 @@ nBR_IOB_T <= ((nBR_IOB AND NOT cnt/IS_FSM_FFd1 AND NOT cnt/IS_FSM_FFd2) FDCPE_nCAS: FDCPE port map (nCAS,NOT ram/CAS,NOT FCLK,'0','0'); FDCPE_nDTACK_FSB: FDCPE port map (nDTACK_FSB,nDTACK_FSB_D,FCLK,'0','0'); -nDTACK_FSB_D <= ((NOT QoSReady) - OR (NOT A_FSB(22) AND NOT IONPReady AND NOT RAMReady) +nDTACK_FSB_D <= ((NOT A_FSB(22) AND NOT IONPReady AND NOT RAMReady) OR (A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16)) OR (A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(13) AND NOT nWE_FSB AND NOT IONPReady AND - NOT IOPWReady) + NOT nADoutLE1) OR (A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND A_FSB(14) AND NOT nWE_FSB AND NOT IONPReady AND - NOT IOPWReady) + NOT nADoutLE1) OR (A_FSB(23) AND NOT IONPReady) + OR (NOT IONPReady AND NOT QoSReady) OR (nAS_FSB AND NOT fsb/ASrf) OR (A_FSB(22) AND A_FSB(21) AND NOT IONPReady) OR (A_FSB(22) AND A_FSB(20) AND NOT IONPReady)); @@ -1678,10 +1647,10 @@ nVMA_IOB_OE <= NOT nAoutOE; FDCPE_nVPA_FSB: FDCPE port map (nVPA_FSB,nVPA_FSB_D,FCLK,'0',nAS_FSB); nVPA_FSB_D <= ((A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND IONPReady AND - NOT nAS_FSB AND QoSReady) + NOT nAS_FSB) OR (A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND IONPReady AND - QoSReady AND fsb/ASrf)); + fsb/ASrf)); FDCPE_ram/CAS: FDCPE port map (ram/CAS,ram/CAS_D,FCLK,'0','0'); ram/CAS_D <= ((ram/RS_FSM_FFd7) @@ -1697,11 +1666,11 @@ ram/CAS_D <= ((ram/RS_FSM_FFd7) ram/RS_FSM_FFd8 AND fsb/ASrf)); FTCPE_ram/Once: FTCPE port map (ram/Once,ram/Once_T,FCLK,'0','0'); -ram/Once_T <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND ram/RAMEN AND cs/nOverlay AND +ram/Once_T <= ((ram/Once AND nAS_FSB AND NOT fsb/ASrf) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND ram/RAMEN AND cs/nOverlay AND NOT ram/Once AND NOT nAS_FSB AND ram/RS_FSM_FFd8) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND ram/RAMEN AND cs/nOverlay AND - NOT ram/Once AND ram/RS_FSM_FFd8 AND fsb/ASrf) - OR (ram/Once AND nAS_FSB AND NOT fsb/ASrf)); + NOT ram/Once AND ram/RS_FSM_FFd8 AND fsb/ASrf)); FDCPE_ram/RAMEN: FDCPE port map (ram/RAMEN,ram/RAMEN_D,FCLK,'0','0'); ram/RAMEN_D <= ((ram/RS_FSM_FFd7) diff --git a/cpld/XC95144XL/WarpSE.syr b/cpld/XC95144XL/WarpSE.syr index 6cf06ef..b769f67 100644 --- a/cpld/XC95144XL/WarpSE.syr +++ b/cpld/XC95144XL/WarpSE.syr @@ -3,13 +3,13 @@ Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved. --> Parameter TMPDIR set to xst/projnav.tmp -Total REAL time to Xst completion: 1.00 secs +Total REAL time to Xst completion: 0.00 secs Total CPU time to Xst completion: 0.09 secs --> Parameter xsthdpdir set to xst -Total REAL time to Xst completion: 1.00 secs +Total REAL time to Xst completion: 0.00 secs Total CPU time to Xst completion: 0.09 secs --> Reading design: WarpSE.prj @@ -145,9 +145,6 @@ Performing bidirectional port resolution... Synthesizing Unit . Related source file is "../CS.v". Found 1-bit register for signal . - Found 1-bit register for signal . - Summary: - inferred 2 D-type flip-flop(s). Unit synthesized. @@ -193,7 +190,6 @@ Synthesizing Unit . | Encoding | automatic | | Implementation | automatic | ----------------------------------------------------------------------- - Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . @@ -322,8 +318,8 @@ Macro Statistics 2-bit adder : 1 # Counters : 3 4-bit up counter : 3 -# Registers : 68 - 1-bit register : 67 +# Registers : 66 + 1-bit register : 65 2-bit register : 1 # Tristates : 5 1-bit tristate buffer : 5 @@ -392,8 +388,8 @@ Macro Statistics 2-bit adder : 1 # Counters : 3 4-bit up counter : 3 -# Registers : 46 - Flip-Flops : 46 +# Registers : 44 + Flip-Flops : 44 ========================================================================= @@ -483,22 +479,22 @@ Design Statistics # IOs : 75 Cell Usage : -# BELS : 655 -# AND2 : 203 +# BELS : 653 +# AND2 : 202 # AND3 : 27 # AND4 : 13 # AND5 : 2 # AND7 : 2 # AND8 : 4 # GND : 6 -# INV : 254 +# INV : 253 # OR2 : 112 # OR3 : 9 # OR4 : 2 # VCC : 1 # XOR2 : 20 -# FlipFlops/Latches : 100 -# FD : 67 +# FlipFlops/Latches : 98 +# FD : 65 # FDC : 2 # FDCE : 30 # FDP : 1 @@ -510,12 +506,12 @@ Cell Usage : ========================================================================= -Total REAL time to Xst completion: 6.00 secs -Total CPU time to Xst completion: 5.09 secs +Total REAL time to Xst completion: 5.00 secs +Total CPU time to Xst completion: 5.04 secs --> -Total memory usage is 267012 kilobytes +Total memory usage is 266756 kilobytes Number of errors : 0 ( 0 filtered) Number of warnings : 4 ( 0 filtered) diff --git a/cpld/XC95144XL/WarpSE.tim b/cpld/XC95144XL/WarpSE.tim index e69de29..a09116b 100644 --- a/cpld/XC95144XL/WarpSE.tim +++ b/cpld/XC95144XL/WarpSE.tim @@ -0,0 +1,1077 @@ + Performance Summary Report + -------------------------- + +Design: WarpSE +Device: XC95144XL-10-TQ100 +Speed File: Version 3.0 +Program: Timing Report Generator: version P.20131013 +Date: Sun Apr 09 23:32:40 2023 + +Performance Summary: + +Pad to Pad (tPD) : 11.0ns (1 macrocell levels) +Pad 'A_FSB<23>' to Pad 'nRAS' + +Clock net 'FCLK' path delays: + +Clock Pad to Output Pad (tCO) : 14.5ns (2 macrocell levels) +Clock Pad 'FCLK' to Output Pad 'nRES' (GCK) + +Clock to Setup (tCYC) : 20.1ns (2 macrocell levels) +Clock to Q, net 'cnt/IS_FSM_FFd1.Q' to DFF Setup(D) at 'cnt/LTimer<0>.D' (GCK) +Target FF drives output net 'cnt/LTimer<0>' + +Setup to Clock at the Pad (tSU) : 16.6ns (1 macrocell levels) +Data signal 'A_FSB<23>' to DFF D input Pin at 'cnt/LTimer<0>.D' +Clock pad 'FCLK' (GCK) + + Minimum Clock Period: 20.1ns + Maximum Internal Clock Speed: 49.7Mhz + (Limited by Cycle Time) + +Clock net 'C16M' path delays: + +Clock Pad to Output Pad (tCO) : 13.5ns (2 macrocell levels) +Clock Pad 'C16M' to Output Pad 'nADoutLE0' (GCK) + +Clock to Setup (tCYC) : 11.0ns (1 macrocell levels) +Clock to Q, net 'iobm/IOS_FSM_FFd7.Q' to DFF Setup(D) at 'nLDS_IOB.D' (GCK) +Target FF drives output net 'nLDS_IOB' + +Setup to Clock at the Pad (tSU) : 6.5ns (0 macrocell levels) +Data signal 'C8M' to DFF D input Pin at 'iobm/C8Mr.D' +Clock pad 'C16M' (GCK) + + Minimum Clock Period: 11.0ns + Maximum Internal Clock Speed: 90.9Mhz + (Limited by Cycle Time) + +Clock net 'C8M' path delays: + +Clock Pad to Output Pad (tCO) : 5.8ns (1 macrocell levels) +Clock Pad 'C8M' to Output Pad 'nVMA_IOB' (GCK) + +Clock to Setup (tCYC) : 10.0ns (1 macrocell levels) +Clock to Q, net 'nVMA_IOB.Q' to TFF Setup(D) at 'nVMA_IOB.D' (GCK) +Target FF drives output net 'nVMA_IOB' + +Setup to Clock at the Pad (tSU) : 6.5ns (0 macrocell levels) +Data signal 'nBERR_IOB' to DFF D input Pin at 'IOBERR.D' +Clock pad 'C8M' (GCK) + + Minimum Clock Period: 10.0ns + Maximum Internal Clock Speed: 100.0Mhz + (Limited by Cycle Time) + +-------------------------------------------------------------------------------- + Pad to Pad (tPD) (nsec) + +\ From A A A A A A A A A A A + \ _ _ _ _ _ _ _ _ _ _ _ + \ F F F F F F F F F F F + \ S S S S S S S S S S S + \ B B B B B B B B B B B + \ < < < < < < < < < < < + \ 1 1 1 1 1 1 1 1 1 1 1 + \ 0 1 2 3 4 5 6 7 8 9 > + \ > > > > > > > > > > + To \------------------------------------------------------------------ + +RA<0> 10.0 +RA<10> 10.0 +RA<11> 11.0 +RA<1> 10.0 +RA<2> 10.0 +RA<3> 10.0 +RA<4> 10.0 +RA<5> 10.0 +RA<6> 10.0 +RA<7> 10.0 +RA<8> 10.0 +RA<9> 10.0 +nDinOE +nOE +nRAMLWE +nRAMUWE +nRAS +nROMCS +nROMWE + +-------------------------------------------------------------------------------- + Pad to Pad (tPD) (nsec) + +\ From A A A A A A A A A A A + \ _ _ _ _ _ _ _ _ _ _ _ + \ F F F F F F F F F F F + \ S S S S S S S S S S S + \ B B B B B B B B B B B + \ < < < < < < < < < < < + \ 2 2 2 2 2 3 4 5 6 7 8 + \ 0 1 2 3 > > > > > > > + \ > > > > + To \------------------------------------------------------------------ + +RA<0> +RA<10> 10.0 +RA<11> 11.0 +RA<1> 10.0 +RA<2> 10.0 +RA<3> 10.0 +RA<4> 10.0 +RA<5> 10.0 +RA<6> 10.0 +RA<7> 10.0 +RA<8> 10.0 +RA<9> 10.0 +nDinOE 10.0 10.0 10.0 10.0 +nOE +nRAMLWE +nRAMUWE +nRAS 11.0 11.0 +nROMCS 10.0 10.0 10.0 10.0 +nROMWE + +-------------------------------------------------------------------------------- + Pad to Pad (tPD) (nsec) + +\ From A n n n n + \ _ A L U W + \ F S D D E + \ S _ S S _ + \ B F _ _ F + \ < S F F S + \ 9 B S S B + \ > B B + \ + To \------------------------------ + +RA<0> 10.0 +RA<10> +RA<11> +RA<1> +RA<2> +RA<3> +RA<4> +RA<5> +RA<6> +RA<7> +RA<8> +RA<9> +nDinOE 10.0 10.0 +nOE 10.0 10.0 +nRAMLWE 10.0 10.0 10.0 +nRAMUWE 11.0 11.0 11.0 +nRAS 11.0 +nROMCS +nROMWE 10.0 10.0 + +-------------------------------------------------------------------------------- + Clock Pad to Output Pad (tCO) (nsec) + +\ From C C F + \ 1 8 C + \ 6 M L + \ M K + \ + \ + \ + \ + \ + \ + To \------------------ + +RA<0> 13.5 +RA<10> 13.5 +RA<11> 14.5 +RA<1> 13.5 +RA<2> 13.5 +RA<3> 13.5 +RA<4> 13.5 +RA<5> 13.5 +RA<6> 13.5 +RA<7> 13.5 +RA<8> 13.5 +RA<9> 13.5 +nADoutLE0 13.5 13.5 +nADoutLE1 5.8 +nAS_IOB 5.8 14.5 +nAoutOE 5.8 +nBERR_FSB 5.8 +nBR_IOB 5.8 +nCAS 5.8 +nDTACK_FSB 5.8 +nDinLE 5.8 +nDoutOE 13.5 13.5 +nLDS_IOB 5.8 14.5 +nRAMLWE 13.5 +nRAMUWE 14.5 +nRAS 14.5 +nRES 14.5 +nROMCS 13.5 +nUDS_IOB 5.8 14.5 +nVMA_IOB 5.8 14.5 +nVPA_FSB 5.8 + +-------------------------------------------------------------------------------- + Setup to Clock at Pad (tSU or tSUF) (nsec) + +\ From C C F + \ 1 8 C + \ 6 M L + \ M K + \ + \ + \ + \ + \ + \ + To \------------------ + +A_FSB<10> 16.6 +A_FSB<11> 16.6 +A_FSB<12> 16.6 +A_FSB<13> 16.6 +A_FSB<14> 16.6 +A_FSB<15> 16.6 +A_FSB<16> 16.6 +A_FSB<17> 16.6 +A_FSB<18> 16.6 +A_FSB<19> 16.6 +A_FSB<20> 16.6 +A_FSB<21> 16.6 +A_FSB<22> 16.6 +A_FSB<23> 16.6 +A_FSB<8> 16.6 +A_FSB<9> 16.6 +C8M 6.5 +E 6.5 6.5 +nAS_FSB 16.6 +nBERR_IOB 6.5 +nDTACK_IOB 6.5 +nIPL2 6.5 +nLDS_FSB 6.5 +nRES 6.5 6.5 +nUDS_FSB 6.5 +nVPA_IOB 6.5 +nWE_FSB 16.6 + +-------------------------------------------------------------------------------- + Clock to Setup (tCYC) (nsec) + (Clock: FCLK) + +\ From I I I I I Q R R R c + \ O O O O O o A e e n + \ L N R U W S M f f t + \ 0 P D 0 R R R R U / + \ . R R . R e e e r E + \ Q e E Q E a a q g r + \ a Q Q d d . . < + \ d . . y y Q Q 0 + \ y Q Q . . > + \ . Q Q . + \ Q Q + \ + \ + \ + \ + \ + \ + \ + To \------------------------------------------------------------ + +ALE0S.D +IOL0.D 11.0 +IONPReady.D 11.0 +IORDREQ.D 10.0 +IOU0.D 11.0 +IOWRREQ.D 10.0 +QoSReady.D 10.0 +RAMReady.D 10.0 10.0 10.0 +RefReq.CE 10.0 +RefReq.D +RefUrg.CE 10.0 +RefUrg.D +cnt/Er<1>.D 10.0 +cnt/IS_FSM_FFd1.D 10.0 10.0 +cnt/IS_FSM_FFd2.D 10.0 10.0 +cnt/LTimer<0>.D 19.1 19.1 +cnt/LTimer<10>.D 10.0 10.0 +cnt/LTimer<11>.D 10.0 10.0 +cnt/LTimer<1>.D 19.1 19.1 +cnt/LTimer<2>.D 10.0 10.0 +cnt/LTimer<3>.D 10.0 10.0 +cnt/LTimer<4>.D 10.0 10.0 +cnt/LTimer<5>.D 10.0 10.0 +cnt/LTimer<6>.D 10.0 10.0 +cnt/LTimer<7>.D 10.0 10.0 +cnt/LTimer<8>.D 10.0 10.0 +cnt/LTimer<9>.D 11.0 11.0 +cnt/LTimerTC.D +cnt/Timer<0>.CE 10.0 +cnt/Timer<0>.D 10.0 10.0 +cnt/Timer<1>.CE 10.0 +cnt/Timer<1>.D 10.0 10.0 +cnt/Timer<2>.CE 10.0 +cnt/Timer<2>.D 10.0 10.0 +cnt/Timer<3>.CE 10.0 +cnt/Timer<3>.D 10.0 10.0 +cnt/WS<0>.D +cnt/WS<1>.D +cnt/WS<2>.D +cnt/WS<3>.D +cs/nOverlay.D +iobs/Clear1.D +iobs/IOL1.CE +iobs/IORW1.D +iobs/IOU1.CE +iobs/Load1.D +iobs/Sent.D +iobs/TS_FSM_FFd1.D +iobs/TS_FSM_FFd2.D +nADoutLE1.D +nAoutOE.D +nBERR_FSB.D +nBR_IOB.D +nCAS.D +nDTACK_FSB.D 11.0 10.0 11.0 +nRESout.D +nVPA_FSB.D 10.0 +ram/CAS.D 11.0 11.0 +ram/Once.D +ram/RAMEN.D 10.0 11.0 +ram/RASEL.D +ram/RASrf.D +ram/RASrr.D +ram/RS_FSM_FFd1.D +ram/RS_FSM_FFd2.D +ram/RS_FSM_FFd3.D +ram/RS_FSM_FFd4.D 10.0 +ram/RS_FSM_FFd5.D +ram/RS_FSM_FFd6.D 10.0 10.0 +ram/RS_FSM_FFd7.D +ram/RS_FSM_FFd8.D 10.0 10.0 +ram/RefDone.D 10.0 10.0 + +-------------------------------------------------------------------------------- + Clock to Setup (tCYC) (nsec) + (Clock: FCLK) + +\ From c c c c c c c c c c + \ n n n n n n n n n n + \ t t t t t t t t t t + \ / / / / / / / / / / + \ E I I L L L L L L L + \ r S S T T T T T T T + \ < _ _ i i i i i i i + \ 1 F F m m m m m m m + \ > S S e e e e e e e + \ . M M r r r r r r r + \ Q _ _ < < < < < < < + \ F F 0 1 1 1 2 3 4 + \ F F > 0 1 > > > > + \ d d . > > . . . . + \ 1 2 Q . . Q Q Q Q + \ . . Q Q + \ Q Q + \ + To \------------------------------------------------------------ + +ALE0S.D +IOL0.D +IONPReady.D +IORDREQ.D +IOU0.D +IOWRREQ.D +QoSReady.D 10.0 10.0 +RAMReady.D +RefReq.CE 10.0 +RefReq.D +RefUrg.CE 10.0 +RefUrg.D +cnt/Er<1>.D +cnt/IS_FSM_FFd1.D 10.0 10.0 10.0 +cnt/IS_FSM_FFd2.D 10.0 10.0 10.0 +cnt/LTimer<0>.D 19.1 20.1 20.1 19.1 19.1 19.1 19.1 19.1 19.1 19.1 +cnt/LTimer<10>.D 10.0 10.0 10.0 10.0 10.0 10.0 10.0 10.0 10.0 +cnt/LTimer<11>.D 10.0 10.0 10.0 10.0 10.0 10.0 10.0 10.0 10.0 10.0 +cnt/LTimer<1>.D 19.1 20.1 20.1 19.1 19.1 19.1 19.1 19.1 19.1 19.1 +cnt/LTimer<2>.D 10.0 10.0 10.0 10.0 10.0 10.0 +cnt/LTimer<3>.D 10.0 10.0 10.0 10.0 10.0 10.0 10.0 +cnt/LTimer<4>.D 10.0 10.0 10.0 10.0 10.0 10.0 10.0 10.0 +cnt/LTimer<5>.D 10.0 10.0 10.0 10.0 10.0 10.0 10.0 10.0 +cnt/LTimer<6>.D 10.0 10.0 10.0 10.0 10.0 10.0 10.0 10.0 +cnt/LTimer<7>.D 10.0 10.0 10.0 10.0 10.0 10.0 10.0 10.0 +cnt/LTimer<8>.D 10.0 10.0 10.0 10.0 10.0 10.0 10.0 10.0 +cnt/LTimer<9>.D 11.0 10.0 11.0 11.0 11.0 11.0 11.0 11.0 +cnt/LTimerTC.D 10.0 10.0 10.0 10.0 10.0 10.0 10.0 +cnt/Timer<0>.CE 10.0 +cnt/Timer<0>.D 10.0 +cnt/Timer<1>.CE 10.0 +cnt/Timer<1>.D 10.0 +cnt/Timer<2>.CE 10.0 +cnt/Timer<2>.D 10.0 +cnt/Timer<3>.CE 10.0 +cnt/Timer<3>.D 10.0 +cnt/WS<0>.D +cnt/WS<1>.D +cnt/WS<2>.D +cnt/WS<3>.D +cs/nOverlay.D +iobs/Clear1.D +iobs/IOL1.CE +iobs/IORW1.D +iobs/IOU1.CE +iobs/Load1.D +iobs/Sent.D +iobs/TS_FSM_FFd1.D +iobs/TS_FSM_FFd2.D +nADoutLE1.D +nAoutOE.D 11.0 11.0 +nBERR_FSB.D +nBR_IOB.D 10.0 10.0 +nCAS.D +nDTACK_FSB.D +nRESout.D 10.0 10.0 +nVPA_FSB.D +ram/CAS.D +ram/Once.D +ram/RAMEN.D +ram/RASEL.D +ram/RASrf.D +ram/RASrr.D +ram/RS_FSM_FFd1.D +ram/RS_FSM_FFd2.D +ram/RS_FSM_FFd3.D +ram/RS_FSM_FFd4.D +ram/RS_FSM_FFd5.D +ram/RS_FSM_FFd6.D +ram/RS_FSM_FFd7.D +ram/RS_FSM_FFd8.D +ram/RefDone.D + +-------------------------------------------------------------------------------- + Clock to Setup (tCYC) (nsec) + (Clock: FCLK) + +\ From c c c c c c c c c c + \ n n n n n n n n n n + \ t t t t t t t t t t + \ / / / / / / / / / / + \ L L L L L L T T T T + \ T T T T T T i i i i + \ i i i i i i m m m m + \ m m m m m m e e e e + \ e e e e e e r r r r + \ r r r r r r < < < < + \ < < < < < T 0 1 2 3 + \ 5 6 7 8 9 C > > > > + \ > > > > > . . . . . + \ . . . . . Q Q Q Q Q + \ Q Q Q Q Q + \ + \ + \ + To \------------------------------------------------------------ + +ALE0S.D +IOL0.D +IONPReady.D +IORDREQ.D +IOU0.D +IOWRREQ.D +QoSReady.D +RAMReady.D +RefReq.CE +RefReq.D 10.0 10.0 10.0 10.0 +RefUrg.CE +RefUrg.D 10.0 10.0 10.0 10.0 +cnt/Er<1>.D +cnt/IS_FSM_FFd1.D 10.0 +cnt/IS_FSM_FFd2.D 10.0 +cnt/LTimer<0>.D 19.1 19.1 19.1 19.1 19.1 +cnt/LTimer<10>.D 10.0 10.0 10.0 10.0 10.0 +cnt/LTimer<11>.D 10.0 10.0 10.0 10.0 10.0 +cnt/LTimer<1>.D 19.1 19.1 19.1 19.1 19.1 +cnt/LTimer<2>.D +cnt/LTimer<3>.D +cnt/LTimer<4>.D +cnt/LTimer<5>.D 10.0 +cnt/LTimer<6>.D 10.0 10.0 +cnt/LTimer<7>.D 10.0 10.0 10.0 +cnt/LTimer<8>.D 10.0 10.0 10.0 10.0 +cnt/LTimer<9>.D 11.0 11.0 11.0 11.0 10.0 +cnt/LTimerTC.D 10.0 10.0 10.0 10.0 10.0 +cnt/Timer<0>.CE +cnt/Timer<0>.D 10.0 +cnt/Timer<1>.CE +cnt/Timer<1>.D 10.0 10.0 +cnt/Timer<2>.CE +cnt/Timer<2>.D 10.0 10.0 10.0 +cnt/Timer<3>.CE +cnt/Timer<3>.D 10.0 10.0 10.0 10.0 +cnt/WS<0>.D +cnt/WS<1>.D +cnt/WS<2>.D +cnt/WS<3>.D +cs/nOverlay.D +iobs/Clear1.D +iobs/IOL1.CE +iobs/IORW1.D +iobs/IOU1.CE +iobs/Load1.D +iobs/Sent.D +iobs/TS_FSM_FFd1.D +iobs/TS_FSM_FFd2.D +nADoutLE1.D +nAoutOE.D +nBERR_FSB.D +nBR_IOB.D +nCAS.D +nDTACK_FSB.D +nRESout.D +nVPA_FSB.D +ram/CAS.D +ram/Once.D +ram/RAMEN.D +ram/RASEL.D +ram/RASrf.D +ram/RASrr.D +ram/RS_FSM_FFd1.D +ram/RS_FSM_FFd2.D +ram/RS_FSM_FFd3.D +ram/RS_FSM_FFd4.D +ram/RS_FSM_FFd5.D +ram/RS_FSM_FFd6.D +ram/RS_FSM_FFd7.D +ram/RS_FSM_FFd8.D +ram/RefDone.D + +-------------------------------------------------------------------------------- + Clock to Setup (tCYC) (nsec) + (Clock: FCLK) + +\ From c c c c c c f i i i + \ n n n n n s s o o o + \ t t t t t / b b b b + \ / / / / / n / s s s + \ W W W W n O A / / / + \ S S S S I v S C I I + \ < < < < P e r l O O + \ 0 1 2 3 L r f e A D + \ > > > > 2 l . a C O + \ . . . . r a Q r T N + \ Q Q Q Q . y 1 r E + \ Q . . . r + \ Q Q Q . + \ Q + \ + \ + \ + \ + To \------------------------------------------------------------ + +ALE0S.D +IOL0.D 11.0 11.0 +IONPReady.D 11.0 11.0 +IORDREQ.D 11.0 11.0 10.0 +IOU0.D 11.4 11.0 +IOWRREQ.D 11.0 11.0 10.0 +QoSReady.D 11.4 11.4 11.4 11.4 19.1 +RAMReady.D 10.0 +RefReq.CE +RefReq.D +RefUrg.CE +RefUrg.D +cnt/Er<1>.D +cnt/IS_FSM_FFd1.D 10.0 +cnt/IS_FSM_FFd2.D +cnt/LTimer<0>.D 20.1 +cnt/LTimer<10>.D +cnt/LTimer<11>.D +cnt/LTimer<1>.D 20.1 +cnt/LTimer<2>.D +cnt/LTimer<3>.D +cnt/LTimer<4>.D +cnt/LTimer<5>.D +cnt/LTimer<6>.D +cnt/LTimer<7>.D +cnt/LTimer<8>.D +cnt/LTimer<9>.D +cnt/LTimerTC.D +cnt/Timer<0>.CE +cnt/Timer<0>.D +cnt/Timer<1>.CE +cnt/Timer<1>.D +cnt/Timer<2>.CE +cnt/Timer<2>.D +cnt/Timer<3>.CE +cnt/Timer<3>.D +cnt/WS<0>.D 10.0 10.0 +cnt/WS<1>.D 11.0 11.0 10.0 +cnt/WS<2>.D 10.0 10.0 10.0 10.0 +cnt/WS<3>.D 10.0 10.0 10.0 10.0 10.0 +cs/nOverlay.D 11.0 11.0 +iobs/Clear1.D +iobs/IOL1.CE +iobs/IORW1.D 11.0 +iobs/IOU1.CE +iobs/Load1.D 11.0 +iobs/Sent.D 11.0 11.0 +iobs/TS_FSM_FFd1.D 10.0 +iobs/TS_FSM_FFd2.D 11.4 11.0 10.0 +nADoutLE1.D 10.0 +nAoutOE.D +nBERR_FSB.D 10.0 +nBR_IOB.D 10.0 +nCAS.D +nDTACK_FSB.D 10.0 +nRESout.D +nVPA_FSB.D 10.0 +ram/CAS.D 11.0 11.0 +ram/Once.D 10.0 10.0 +ram/RAMEN.D 11.0 +ram/RASEL.D 10.0 10.0 +ram/RASrf.D +ram/RASrr.D 10.0 10.0 +ram/RS_FSM_FFd1.D +ram/RS_FSM_FFd2.D +ram/RS_FSM_FFd3.D +ram/RS_FSM_FFd4.D +ram/RS_FSM_FFd5.D +ram/RS_FSM_FFd6.D 10.0 +ram/RS_FSM_FFd7.D 10.0 10.0 +ram/RS_FSM_FFd8.D 11.0 11.0 +ram/RefDone.D + +-------------------------------------------------------------------------------- + Clock to Setup (tCYC) (nsec) + (Clock: FCLK) + +\ From i i i i i i i n n n + \ o o o o o o o A A B + \ b b b b b b b D o E + \ s s s s s s s o u R + \ / / / / / / / u t R + \ I I I L S T T t O _ + \ O O O o e S S L E F + \ L R U a n _ _ E . S + \ 1 W 1 d t F F 1 Q B + \ . 1 . 1 . S S . . + \ Q . Q . Q M M Q Q + \ Q Q _ _ + \ F F + \ F F + \ d d + \ 1 2 + \ . . + \ Q Q + To \------------------------------------------------------------ + +ALE0S.D 10.0 +IOL0.D 11.0 10.0 10.0 11.4 11.4 +IONPReady.D 11.0 +IORDREQ.D 11.0 10.0 10.0 11.0 11.0 +IOU0.D 11.0 10.0 10.0 11.4 11.4 +IOWRREQ.D 10.0 11.0 11.0 11.0 11.0 +QoSReady.D +RAMReady.D +RefReq.CE +RefReq.D +RefUrg.CE +RefUrg.D +cnt/Er<1>.D +cnt/IS_FSM_FFd1.D +cnt/IS_FSM_FFd2.D +cnt/LTimer<0>.D +cnt/LTimer<10>.D +cnt/LTimer<11>.D +cnt/LTimer<1>.D +cnt/LTimer<2>.D +cnt/LTimer<3>.D +cnt/LTimer<4>.D +cnt/LTimer<5>.D +cnt/LTimer<6>.D +cnt/LTimer<7>.D +cnt/LTimer<8>.D +cnt/LTimer<9>.D +cnt/LTimerTC.D +cnt/Timer<0>.CE +cnt/Timer<0>.D +cnt/Timer<1>.CE +cnt/Timer<1>.D +cnt/Timer<2>.CE +cnt/Timer<2>.D +cnt/Timer<3>.CE +cnt/Timer<3>.D +cnt/WS<0>.D +cnt/WS<1>.D +cnt/WS<2>.D +cnt/WS<3>.D +cs/nOverlay.D +iobs/Clear1.D 10.0 10.0 +iobs/IOL1.CE 10.0 +iobs/IORW1.D 11.0 11.0 11.0 11.0 11.0 +iobs/IOU1.CE 10.0 +iobs/Load1.D 11.0 11.0 11.0 11.0 +iobs/Sent.D 11.0 11.0 11.0 11.0 +iobs/TS_FSM_FFd1.D 10.0 10.0 +iobs/TS_FSM_FFd2.D 10.0 10.0 11.4 11.4 +nADoutLE1.D 10.0 10.0 +nAoutOE.D 11.0 +nBERR_FSB.D 10.0 11.0 +nBR_IOB.D +nCAS.D +nDTACK_FSB.D 11.0 +nRESout.D +nVPA_FSB.D +ram/CAS.D +ram/Once.D +ram/RAMEN.D +ram/RASEL.D +ram/RASrf.D +ram/RASrr.D +ram/RS_FSM_FFd1.D +ram/RS_FSM_FFd2.D +ram/RS_FSM_FFd3.D +ram/RS_FSM_FFd4.D +ram/RS_FSM_FFd5.D +ram/RS_FSM_FFd6.D +ram/RS_FSM_FFd7.D +ram/RS_FSM_FFd8.D +ram/RefDone.D + +-------------------------------------------------------------------------------- + Clock to Setup (tCYC) (nsec) + (Clock: FCLK) + +\ From n r r r r r r r r r + \ B a a a a a a a a a + \ R m m m m m m m m m + \ _ / / / / / / / / / + \ I C O R R R R R R R + \ O A n A S S S S S S + \ B S c M _ _ _ _ _ _ + \ . . e E F F F F F F + \ Q Q . N S S S S S S + \ Q . M M M M M M + \ Q _ _ _ _ _ _ + \ F F F F F F + \ F F F F F F + \ d d d d d d + \ 1 2 3 4 5 6 + \ . . . . . . + \ Q Q Q Q Q Q + \ + To \------------------------------------------------------------ + +ALE0S.D +IOL0.D +IONPReady.D +IORDREQ.D +IOU0.D +IOWRREQ.D +QoSReady.D +RAMReady.D 10.0 +RefReq.CE +RefReq.D +RefUrg.CE +RefUrg.D +cnt/Er<1>.D +cnt/IS_FSM_FFd1.D +cnt/IS_FSM_FFd2.D +cnt/LTimer<0>.D +cnt/LTimer<10>.D +cnt/LTimer<11>.D +cnt/LTimer<1>.D +cnt/LTimer<2>.D +cnt/LTimer<3>.D +cnt/LTimer<4>.D +cnt/LTimer<5>.D +cnt/LTimer<6>.D +cnt/LTimer<7>.D +cnt/LTimer<8>.D +cnt/LTimer<9>.D +cnt/LTimerTC.D +cnt/Timer<0>.CE +cnt/Timer<0>.D +cnt/Timer<1>.CE +cnt/Timer<1>.D +cnt/Timer<2>.CE +cnt/Timer<2>.D +cnt/Timer<3>.CE +cnt/Timer<3>.D +cnt/WS<0>.D +cnt/WS<1>.D +cnt/WS<2>.D +cnt/WS<3>.D +cs/nOverlay.D +iobs/Clear1.D +iobs/IOL1.CE +iobs/IORW1.D +iobs/IOU1.CE +iobs/Load1.D +iobs/Sent.D +iobs/TS_FSM_FFd1.D +iobs/TS_FSM_FFd2.D +nADoutLE1.D +nAoutOE.D 11.0 +nBERR_FSB.D +nBR_IOB.D 10.0 +nCAS.D 10.0 +nDTACK_FSB.D +nRESout.D +nVPA_FSB.D +ram/CAS.D 11.0 11.0 10.0 +ram/Once.D 10.0 10.0 +ram/RAMEN.D 10.0 10.0 10.0 +ram/RASEL.D 10.0 +ram/RASrf.D +ram/RASrr.D 10.0 10.0 10.0 +ram/RS_FSM_FFd1.D 10.0 +ram/RS_FSM_FFd2.D 10.0 +ram/RS_FSM_FFd3.D 10.0 +ram/RS_FSM_FFd4.D 10.0 10.0 +ram/RS_FSM_FFd5.D +ram/RS_FSM_FFd6.D 10.0 +ram/RS_FSM_FFd7.D 10.0 +ram/RS_FSM_FFd8.D 11.0 11.0 +ram/RefDone.D 10.0 10.0 + +-------------------------------------------------------------------------------- + Clock to Setup (tCYC) (nsec) + (Clock: FCLK) + +\ From r r r + \ a a a + \ m m m + \ / / / + \ R R R + \ S S e + \ _ _ f + \ F F D + \ S S o + \ M M n + \ _ _ e + \ F F . + \ F F Q + \ d d + \ 7 8 + \ . . + \ Q Q + \ + To \------------------ + +ALE0S.D +IOL0.D +IONPReady.D +IORDREQ.D +IOU0.D +IOWRREQ.D +QoSReady.D +RAMReady.D 10.0 10.0 +RefReq.CE +RefReq.D +RefUrg.CE +RefUrg.D +cnt/Er<1>.D +cnt/IS_FSM_FFd1.D +cnt/IS_FSM_FFd2.D +cnt/LTimer<0>.D +cnt/LTimer<10>.D +cnt/LTimer<11>.D +cnt/LTimer<1>.D +cnt/LTimer<2>.D +cnt/LTimer<3>.D +cnt/LTimer<4>.D +cnt/LTimer<5>.D +cnt/LTimer<6>.D +cnt/LTimer<7>.D +cnt/LTimer<8>.D +cnt/LTimer<9>.D +cnt/LTimerTC.D +cnt/Timer<0>.CE +cnt/Timer<0>.D +cnt/Timer<1>.CE +cnt/Timer<1>.D +cnt/Timer<2>.CE +cnt/Timer<2>.D +cnt/Timer<3>.CE +cnt/Timer<3>.D +cnt/WS<0>.D +cnt/WS<1>.D +cnt/WS<2>.D +cnt/WS<3>.D +cs/nOverlay.D +iobs/Clear1.D +iobs/IOL1.CE +iobs/IORW1.D +iobs/IOU1.CE +iobs/Load1.D +iobs/Sent.D +iobs/TS_FSM_FFd1.D +iobs/TS_FSM_FFd2.D +nADoutLE1.D +nAoutOE.D +nBERR_FSB.D +nBR_IOB.D +nCAS.D +nDTACK_FSB.D +nRESout.D +nVPA_FSB.D +ram/CAS.D 10.0 11.0 11.0 +ram/Once.D 10.0 +ram/RAMEN.D 10.0 11.0 11.0 +ram/RASEL.D 10.0 10.0 +ram/RASrf.D 10.0 +ram/RASrr.D 10.0 +ram/RS_FSM_FFd1.D +ram/RS_FSM_FFd2.D +ram/RS_FSM_FFd3.D +ram/RS_FSM_FFd4.D 10.0 +ram/RS_FSM_FFd5.D 10.0 +ram/RS_FSM_FFd6.D 10.0 10.0 +ram/RS_FSM_FFd7.D 10.0 +ram/RS_FSM_FFd8.D 10.0 10.0 +ram/RefDone.D 10.0 + +-------------------------------------------------------------------------------- + Clock to Setup (tCYC) (nsec) + (Clock: C16M) + +\ From A I i i i i i i i i + \ L O o o o o o o o o + \ E A b b b b b b b b + \ 0 C m m m m m m m m + \ M T / / / / / / / / + \ . . C D I I I I I I + \ Q Q 8 o O O O O O O + \ M u R S S S S S + \ r t D 0 _ _ _ _ + \ . O R . F F F F + \ Q E E Q S S S S + \ . Q M M M M + \ Q r _ _ _ _ + \ . F F F F + \ Q F F F F + \ d d d d + \ 1 2 3 4 + \ . . . . + \ Q Q Q Q + To \------------------------------------------------------------ + +ALE0M.D 10.0 10.0 10.0 10.0 10.0 10.0 +IOACT.D 11.0 10.0 10.0 11.0 11.0 11.0 10.0 +iobm/DoutOE.D 10.0 10.0 10.0 10.0 +iobm/IOS0.D 10.0 10.0 10.0 10.0 10.0 10.0 10.0 +iobm/IOS_FSM_FFd1.D 10.0 +iobm/IOS_FSM_FFd2.D 10.0 10.0 +iobm/IOS_FSM_FFd3.D 10.0 10.0 10.0 +iobm/IOS_FSM_FFd4.D +iobm/IOS_FSM_FFd5.D +iobm/IOS_FSM_FFd6.D 10.0 10.0 +iobm/IOS_FSM_FFd7.D 10.0 10.0 10.0 +nAS_IOB.D 10.0 10.0 10.0 10.0 +nDinLE.D 10.0 10.0 +nLDS_IOB.D 11.0 11.0 10.0 10.0 +nUDS_IOB.D 11.0 11.0 10.0 10.0 + +-------------------------------------------------------------------------------- + Clock to Setup (tCYC) (nsec) + (Clock: C16M) + +\ From i i i i n n + \ o o o o L U + \ b b b b D D + \ m m m m S S + \ / / / / _ _ + \ I I I I I I + \ O O O O O O + \ S S S W B B + \ _ _ _ R . . + \ F F F R Q Q + \ S S S E + \ M M M Q + \ _ _ _ r + \ F F F . + \ F F F Q + \ d d d + \ 5 6 7 + \ . . . + \ Q Q Q + To \------------------------------------ + +ALE0M.D 10.0 10.0 10.0 10.0 +IOACT.D 10.0 10.0 11.0 11.0 +iobm/DoutOE.D 10.0 10.0 10.0 10.0 +iobm/IOS0.D 10.0 10.0 10.0 10.0 +iobm/IOS_FSM_FFd1.D +iobm/IOS_FSM_FFd2.D +iobm/IOS_FSM_FFd3.D +iobm/IOS_FSM_FFd4.D 10.0 +iobm/IOS_FSM_FFd5.D 10.0 +iobm/IOS_FSM_FFd6.D 10.0 10.0 +iobm/IOS_FSM_FFd7.D 10.0 10.0 +nAS_IOB.D 10.0 10.0 10.0 10.0 +nDinLE.D +nLDS_IOB.D 10.0 10.0 11.0 10.0 +nUDS_IOB.D 10.0 10.0 11.0 10.0 + +-------------------------------------------------------------------------------- + Clock to Setup (tCYC) (nsec) + (Clock: C8M) + +\ From i i i i i i n + \ o o o o o o V + \ b b b b b b M + \ m m m m m m A + \ / / / / / / _ + \ E E E E E V I + \ S S S S r P O + \ < < < < . A B + \ 0 1 2 3 Q r . + \ > > > > . Q + \ . . . . Q + \ Q Q Q Q + To \------------------------------------------ + +IODONE.D 10.0 10.0 10.0 10.0 10.0 +iobm/ES<0>.D 10.0 10.0 10.0 10.0 10.0 +iobm/ES<1>.D 10.0 10.0 10.0 10.0 10.0 +iobm/ES<2>.D 10.0 10.0 10.0 10.0 +iobm/ES<3>.D 10.0 10.0 10.0 10.0 10.0 +nVMA_IOB.D 10.0 10.0 10.0 10.0 10.0 10.0 + +Path Type Definition: + +Pad to Pad (tPD) - Reports pad to pad paths that start + at input pads and end at output pads. + Paths are not traced through + registers. + +Clock Pad to Output Pad (tCO) - Reports paths that start at input + pads trace through clock inputs of + registers and end at output pads. + Paths are not traced through PRE/CLR + inputs of registers. + +Setup to Clock at Pad (tSU or tSUF) - Reports external setup time of data + to clock at pad. Data path starts at + an input pad and ends at register + (Fast Input Register for tSUF) D/T + input. Clock path starts at input pad + and ends at the register clock input. + Paths are not traced through + registers. Pin-to-pin setup + requirement is not reported or + guaranteed for product-term clocks + derived from macrocell feedback + signals. + +Clock to Setup (tCYC) - Register to register cycle time. + Include source register tCO and + destination register tSU. Note that + when the computed Maximum Clock Speed + is limited by tCYC it is computed + assuming that all registers are + rising-edge sensitive. + diff --git a/cpld/XC95144XL/WarpSE.vm6 b/cpld/XC95144XL/WarpSE.vm6 index 1b2cb85..8c68c22 100644 --- a/cpld/XC95144XL/WarpSE.vm6 +++ b/cpld/XC95144XL/WarpSE.vm6 @@ -8,523 +8,523 @@ NETWORK | WarpSE | 0 | 0 | 16391 INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_23_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<23> | 10753 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<23> | 10674 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_23_IBUF | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10463 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_22_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<22> | 10754 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<22> | 10675 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_21_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<21> | 10755 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<21> | 10676 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_21_IBUF | 10542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10465 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_20_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<20> | 10756 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<20> | 10677 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_20_IBUF | 10543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10466 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_19_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<19> | 10757 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<19> | 10678 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_19_IBUF | 10544 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 10467 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_18_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<18> | 10758 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<18> | 10679 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_18_IBUF | 10545 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 10468 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_17_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<17> | 10759 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<17> | 10680 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_17_IBUF | 10546 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 10469 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_16_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<16> | 10760 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<16> | 10681 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_16_IBUF | 10547 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 10470 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_15_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<15> | 10761 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<15> | 10682 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_15_IBUF | 10548 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_15_IBUF | 10471 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_13_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<13> | 10762 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<13> | 10683 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_13_IBUF | 10549 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 10472 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_12_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<12> | 10763 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<12> | 10684 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_12_IBUF | 10550 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_12_IBUF | 10473 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | C8M_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 3 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | C8M | 10764 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | C8M | 10685 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | C8M_IBUF | 10551 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX +NODE | C8M_IBUF | 10474 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 3 | 5 | II_FCLK -NODE | C8M_IBUF/FCLK | 10552 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 3 | 5 | II_FCLK +NODE | C8M_IBUF/FCLK | 10475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 7 | 5 | II_FCLKINV -NODE | C8M_IBUF/FCLK- | 10553 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 10476 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV MACROCELL_INSTANCE | PrldLow+Tff+PinTrst+OptxMapped | nVMA_IOBout | WarpSE_COPY_0_COPY_0 | 2155893760 | 9 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nVMA_IOBout | 10555 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.Q | nVMA_IOBout | 1 | 0 | MC_UIM +NODE | nVMA_IOBout | 10478 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.Q | nVMA_IOBout | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 10622 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 10545 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 10625 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 10548 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 10630 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 10553 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 10631 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +NODE | iobm/ES<3> | 10554 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOACT | 10634 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM +NODE | IOACT | 10556 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/VPAr | 10663 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/VPAr.Q | iobm/VPAr | 1 | 0 | MC_UIM +NODE | iobm/VPAr | 10586 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/VPAr.Q | iobm/VPAr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 10709 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 10630 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF/FCLK | 10552 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 3 | 5 | II_FCLK +NODE | C8M_IBUF/FCLK | 10475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nVMA_IOBout$Q | 10554 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.Q | nVMA_IOBout | 0 | 0 | MC_Q +NODE | nVMA_IOBout$Q | 10477 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.Q | nVMA_IOBout | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | nVMA_IOBout | 10555 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.Q | nVMA_IOBout | 1 | 0 | MC_UIM +NODE | nVMA_IOBout | 10478 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.Q | nVMA_IOBout | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 2 | 0 | MC_OE -NODE | nVMA_IOBout$OE | 10556 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.BUFOE.OUT | nVMA_IOBout | 2 | 0 | MC_OE +NODE | nVMA_IOBout$OE | 10479 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.BUFOE.OUT | nVMA_IOBout | 2 | 0 | MC_OE SIGNAL_INSTANCE | nVMA_IOBout.SI | nVMA_IOBout | 0 | 8 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nVMA_IOBout | 10555 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.Q | nVMA_IOBout | 1 | 0 | MC_UIM +NODE | nVMA_IOBout | 10478 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.Q | nVMA_IOBout | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 10622 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 10545 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 10625 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 10548 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 10630 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 10553 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 10631 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +NODE | iobm/ES<3> | 10554 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOACT | 10634 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM +NODE | IOACT | 10556 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/VPAr | 10663 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/VPAr.Q | iobm/VPAr | 1 | 0 | MC_UIM +NODE | iobm/VPAr | 10586 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/VPAr.Q | iobm/VPAr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 10709 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 10630 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nVMA_IOBout.D1 | 10789 | ? | 0 | 4096 | nVMA_IOBout | NULL | NULL | nVMA_IOBout.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nVMA_IOBout.D1 | 10710 | ? | 0 | 4096 | nVMA_IOBout | NULL | NULL | nVMA_IOBout.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nVMA_IOBout.D2 | 10790 | ? | 0 | 4096 | nVMA_IOBout | NULL | NULL | nVMA_IOBout.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nVMA_IOBout.D2 | 10711 | ? | 0 | 4096 | nVMA_IOBout | NULL | NULL | nVMA_IOBout.SI | 2 | 9 | MC_SI_D2 SPPTERM | 5 | IV_FALSE | nVMA_IOBout | IV_FALSE | iobm/ES<0> | IV_FALSE | iobm/ES<2> | IV_FALSE | iobm/ES<1> | IV_FALSE | iobm/ES<3> SPPTERM | 7 | IV_TRUE | nVMA_IOBout | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<2> | IV_FALSE | iobm/ES<1> | IV_FALSE | iobm/ES<3> | IV_TRUE | IOACT | IV_TRUE | iobm/VPAr OUTPUT_NODE_TYPE | 4 | 9 | MC_SI_TRST -SIGNAL | NODE | nVMA_IOBout.TRST | 10792 | ? | 0 | 4096 | nVMA_IOBout | NULL | NULL | nVMA_IOBout.SI | 4 | 9 | MC_SI_TRST +SIGNAL | NODE | nVMA_IOBout.TRST | 10713 | ? | 0 | 4096 | nVMA_IOBout | NULL | NULL | nVMA_IOBout.SI | 4 | 9 | MC_SI_TRST SPPTERM | 1 | IV_FALSE | AoutOE SRFF_INSTANCE | nVMA_IOBout.REG | nVMA_IOBout | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nVMA_IOBout.D | 10788 | ? | 0 | 0 | nVMA_IOBout | NULL | NULL | nVMA_IOBout.XOR | 0 | 7 | ALU_F +NODE | nVMA_IOBout.D | 10709 | ? | 0 | 0 | nVMA_IOBout | NULL | NULL | nVMA_IOBout.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C8M_IBUF/FCLK | 10552 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 3 | 5 | II_FCLK +NODE | C8M_IBUF/FCLK | 10475 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nVMA_IOBout.Q | 10793 | ? | 0 | 0 | nVMA_IOBout | NULL | NULL | nVMA_IOBout.REG | 0 | 8 | SRFF_Q +NODE | nVMA_IOBout.Q | 10714 | ? | 0 | 0 | nVMA_IOBout | NULL | NULL | nVMA_IOBout.REG | 0 | 8 | SRFF_Q BUF_INSTANCE | nVMA_IOBout.BUFOE | nVMA_IOBout | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 10 | CTOR_UNKNOWN -SIGNAL | NODE | nVMA_IOBout.TRST | 10792 | ? | 0 | 4096 | nVMA_IOBout | NULL | NULL | nVMA_IOBout.SI | 4 | 9 | MC_SI_TRST +SIGNAL | NODE | nVMA_IOBout.TRST | 10713 | ? | 0 | 4096 | nVMA_IOBout | NULL | NULL | nVMA_IOBout.SI | 4 | 9 | MC_SI_TRST SPPTERM | 1 | IV_FALSE | AoutOE OUTPUT_NODE_TYPE | 0 | 10 | BUF_OUT -NODE | nVMA_IOBout.BUFOE.OUT | 10791 | ? | 0 | 0 | nVMA_IOBout | NULL | NULL | nVMA_IOBout.BUFOE | 0 | 10 | BUF_OUT +NODE | nVMA_IOBout.BUFOE.OUT | 10712 | ? | 0 | 0 | nVMA_IOBout | NULL | NULL | nVMA_IOBout.BUFOE | 0 | 10 | BUF_OUT INPUT_INSTANCE | 0 | 0 | NULL | C16M_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 2 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | C16M | 10765 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | C16M | 10686 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 3 | 5 | II_FCLK -NODE | C16M_IBUF/FCLK | 10557 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10480 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 7 | 5 | II_FCLKINV -NODE | C16M_IBUF/FCLK- | 10558 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV +NODE | C16M_IBUF/FCLK- | 10481 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV MACROCELL_INSTANCE | PrldLow+PinTrst+OptxMapped+Ce | nAS_IOBout | WarpSE_COPY_0_COPY_0 | 2424325120 | 10 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 10620 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 10543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/C8Mr | 10621 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM +NODE | iobm/C8Mr | 10544 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 10623 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 10546 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 10626 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 10549 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 10627 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd6 | 10550 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 10619 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 10542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IORDREQr | 10643 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM +NODE | iobm/IORDREQr | 10566 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOWRREQr | 10661 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM +NODE | iobm/IOWRREQr | 10584 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 10709 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 10630 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK- | 10558 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV +NODE | C16M_IBUF/FCLK- | 10481 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nAS_IOBout$Q | 10559 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAS_IOBout.Q | nAS_IOBout | 0 | 0 | MC_Q +NODE | nAS_IOBout$Q | 10482 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAS_IOBout.Q | nAS_IOBout | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | nAS_IOBout | 10560 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAS_IOBout.Q | nAS_IOBout | 1 | 0 | MC_UIM +NODE | nAS_IOBout | 10483 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAS_IOBout.Q | nAS_IOBout | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 2 | 0 | MC_OE -NODE | nAS_IOBout$OE | 10561 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAS_IOBout.BUFOE.OUT | nAS_IOBout | 2 | 0 | MC_OE +NODE | nAS_IOBout$OE | 10484 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAS_IOBout.BUFOE.OUT | nAS_IOBout | 2 | 0 | MC_OE SIGNAL_INSTANCE | nAS_IOBout.SI | nAS_IOBout | 0 | 9 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 10620 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 10543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/C8Mr | 10621 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM +NODE | iobm/C8Mr | 10544 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 10623 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 10546 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 10626 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 10549 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 10627 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd6 | 10550 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 10619 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 10542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IORDREQr | 10643 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM +NODE | iobm/IORDREQr | 10566 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOWRREQr | 10661 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM +NODE | iobm/IOWRREQr | 10584 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 10709 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 10630 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nAS_IOBout.D1 | 10795 | ? | 0 | 4096 | nAS_IOBout | NULL | NULL | nAS_IOBout.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nAS_IOBout.D1 | 10716 | ? | 0 | 4096 | nAS_IOBout | NULL | NULL | nAS_IOBout.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nAS_IOBout.D2 | 10796 | ? | 0 | 4096 | nAS_IOBout | NULL | NULL | nAS_IOBout.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nAS_IOBout.D2 | 10717 | ? | 0 | 4096 | nAS_IOBout | NULL | NULL | nAS_IOBout.SI | 2 | 9 | MC_SI_D2 SPPTERM | 5 | IV_FALSE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 SPPTERM | 5 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/C8Mr | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 SPPTERM | 6 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 | IV_FALSE | iobm/IORDREQr | IV_FALSE | iobm/IOWRREQr OUTPUT_NODE_TYPE | 4 | 9 | MC_SI_TRST -SIGNAL | NODE | nAS_IOBout.TRST | 10798 | ? | 0 | 4096 | nAS_IOBout | NULL | NULL | nAS_IOBout.SI | 4 | 9 | MC_SI_TRST +SIGNAL | NODE | nAS_IOBout.TRST | 10719 | ? | 0 | 4096 | nAS_IOBout | NULL | NULL | nAS_IOBout.SI | 4 | 9 | MC_SI_TRST SPPTERM | 1 | IV_FALSE | AoutOE SRFF_INSTANCE | nAS_IOBout.REG | nAS_IOBout | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nAS_IOBout.D | 10794 | ? | 0 | 0 | nAS_IOBout | NULL | NULL | nAS_IOBout.XOR | 0 | 7 | ALU_F +NODE | nAS_IOBout.D | 10715 | ? | 0 | 0 | nAS_IOBout | NULL | NULL | nAS_IOBout.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK- | 10558 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV +NODE | C16M_IBUF/FCLK- | 10481 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nAS_IOBout.Q | 10799 | ? | 0 | 0 | nAS_IOBout | NULL | NULL | nAS_IOBout.REG | 0 | 8 | SRFF_Q +NODE | nAS_IOBout.Q | 10720 | ? | 0 | 0 | nAS_IOBout | NULL | NULL | nAS_IOBout.REG | 0 | 8 | SRFF_Q BUF_INSTANCE | nAS_IOBout.BUFOE | nAS_IOBout | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 10 | CTOR_UNKNOWN -SIGNAL | NODE | nAS_IOBout.TRST | 10798 | ? | 0 | 4096 | nAS_IOBout | NULL | NULL | nAS_IOBout.SI | 4 | 9 | MC_SI_TRST +SIGNAL | NODE | nAS_IOBout.TRST | 10719 | ? | 0 | 4096 | nAS_IOBout | NULL | NULL | nAS_IOBout.SI | 4 | 9 | MC_SI_TRST SPPTERM | 1 | IV_FALSE | AoutOE OUTPUT_NODE_TYPE | 0 | 10 | BUF_OUT -NODE | nAS_IOBout.BUFOE.OUT | 10797 | ? | 0 | 0 | nAS_IOBout | NULL | NULL | nAS_IOBout.BUFOE | 0 | 10 | BUF_OUT +NODE | nAS_IOBout.BUFOE.OUT | 10718 | ? | 0 | 0 | nAS_IOBout | NULL | NULL | nAS_IOBout.BUFOE | 0 | 10 | BUF_OUT MACROCELL_INSTANCE | Inv+PrldLow+PinTrst+OptxMapped+Ce | nLDS_IOBout | WarpSE_COPY_0_COPY_0 | 2424325376 | 9 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 10620 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 10543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOL0 | 10639 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM +NODE | IOL0 | 10562 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 10626 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 10549 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 10623 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 10546 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nLDS_IOBout | 10563 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nLDS_IOBout.Q | nLDS_IOBout | 1 | 0 | MC_UIM +NODE | nLDS_IOBout | 10486 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nLDS_IOBout.Q | nLDS_IOBout | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 10627 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd6 | 10550 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DoutOE.EXP | 11354 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DoutOE.EXP | iobm/DoutOE | 4 | 0 | MC_EXPORT +NODE | iobm/DoutOE.EXP | 11267 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DoutOE.EXP | iobm/DoutOE | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 10709 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 10630 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK- | 10558 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV +NODE | C16M_IBUF/FCLK- | 10481 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nLDS_IOBout$Q | 10562 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nLDS_IOBout.Q | nLDS_IOBout | 0 | 0 | MC_Q +NODE | nLDS_IOBout$Q | 10485 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nLDS_IOBout.Q | nLDS_IOBout | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | nLDS_IOBout | 10563 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nLDS_IOBout.Q | nLDS_IOBout | 1 | 0 | MC_UIM +NODE | nLDS_IOBout | 10486 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nLDS_IOBout.Q | nLDS_IOBout | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 2 | 0 | MC_OE -NODE | nLDS_IOBout$OE | 10564 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nLDS_IOBout.BUFOE.OUT | nLDS_IOBout | 2 | 0 | MC_OE +NODE | nLDS_IOBout$OE | 10487 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nLDS_IOBout.BUFOE.OUT | nLDS_IOBout | 2 | 0 | MC_OE SIGNAL_INSTANCE | nLDS_IOBout.SI | nLDS_IOBout | 0 | 8 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 10620 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 10543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOL0 | 10639 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM +NODE | IOL0 | 10562 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 10626 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 10549 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 10623 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 10546 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nLDS_IOBout | 10563 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nLDS_IOBout.Q | nLDS_IOBout | 1 | 0 | MC_UIM +NODE | nLDS_IOBout | 10486 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nLDS_IOBout.Q | nLDS_IOBout | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 10627 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd6 | 10550 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DoutOE.EXP | 11354 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DoutOE.EXP | iobm/DoutOE | 4 | 0 | MC_EXPORT +NODE | iobm/DoutOE.EXP | 11267 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DoutOE.EXP | iobm/DoutOE | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 10709 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 10630 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nLDS_IOBout.D1 | 10801 | ? | 0 | 4096 | nLDS_IOBout | NULL | NULL | nLDS_IOBout.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nLDS_IOBout.D1 | 10722 | ? | 0 | 4096 | nLDS_IOBout | NULL | NULL | nLDS_IOBout.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nLDS_IOBout.D2 | 10802 | ? | 0 | 4096 | nLDS_IOBout | NULL | NULL | nLDS_IOBout.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nLDS_IOBout.D2 | 10723 | ? | 0 | 4096 | nLDS_IOBout | NULL | NULL | nLDS_IOBout.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | iobm/DoutOE.EXP SPPTERM | 2 | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | IOL0 SPPTERM | 2 | IV_TRUE | iobm/IOS_FSM_FFd4 | IV_TRUE | IOL0 SPPTERM | 2 | IV_TRUE | iobm/IOS_FSM_FFd5 | IV_TRUE | IOL0 SPPTERM | 3 | IV_FALSE | nLDS_IOBout | IV_TRUE | iobm/IOS_FSM_FFd6 | IV_TRUE | IOL0 OUTPUT_NODE_TYPE | 4 | 9 | MC_SI_TRST -SIGNAL | NODE | nLDS_IOBout.TRST | 10804 | ? | 0 | 4096 | nLDS_IOBout | NULL | NULL | nLDS_IOBout.SI | 4 | 9 | MC_SI_TRST +SIGNAL | NODE | nLDS_IOBout.TRST | 10725 | ? | 0 | 4096 | nLDS_IOBout | NULL | NULL | nLDS_IOBout.SI | 4 | 9 | MC_SI_TRST SPPTERM | 1 | IV_FALSE | AoutOE SRFF_INSTANCE | nLDS_IOBout.REG | nLDS_IOBout | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nLDS_IOBout.D | 10800 | ? | 0 | 0 | nLDS_IOBout | NULL | NULL | nLDS_IOBout.XOR | 0 | 7 | ALU_F +NODE | nLDS_IOBout.D | 10721 | ? | 0 | 0 | nLDS_IOBout | NULL | NULL | nLDS_IOBout.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK- | 10558 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV +NODE | C16M_IBUF/FCLK- | 10481 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nLDS_IOBout.Q | 10805 | ? | 0 | 0 | nLDS_IOBout | NULL | NULL | nLDS_IOBout.REG | 0 | 8 | SRFF_Q +NODE | nLDS_IOBout.Q | 10726 | ? | 0 | 0 | nLDS_IOBout | NULL | NULL | nLDS_IOBout.REG | 0 | 8 | SRFF_Q BUF_INSTANCE | nLDS_IOBout.BUFOE | nLDS_IOBout | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 10 | CTOR_UNKNOWN -SIGNAL | NODE | nLDS_IOBout.TRST | 10804 | ? | 0 | 4096 | nLDS_IOBout | NULL | NULL | nLDS_IOBout.SI | 4 | 9 | MC_SI_TRST +SIGNAL | NODE | nLDS_IOBout.TRST | 10725 | ? | 0 | 4096 | nLDS_IOBout | NULL | NULL | nLDS_IOBout.SI | 4 | 9 | MC_SI_TRST SPPTERM | 1 | IV_FALSE | AoutOE OUTPUT_NODE_TYPE | 0 | 10 | BUF_OUT -NODE | nLDS_IOBout.BUFOE.OUT | 10803 | ? | 0 | 0 | nLDS_IOBout | NULL | NULL | nLDS_IOBout.BUFOE | 0 | 10 | BUF_OUT +NODE | nLDS_IOBout.BUFOE.OUT | 10724 | ? | 0 | 0 | nLDS_IOBout | NULL | NULL | nLDS_IOBout.BUFOE | 0 | 10 | BUF_OUT MACROCELL_INSTANCE | Inv+PrldLow+PinTrst+OptxMapped+Ce | nUDS_IOBout | WarpSE_COPY_0_COPY_0 | 2424325376 | 9 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 10620 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 10543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOU0 | 10640 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM +NODE | IOU0 | 10563 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 10626 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 10549 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 10623 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 10546 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nUDS_IOBout | 10566 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nUDS_IOBout.Q | nUDS_IOBout | 1 | 0 | MC_UIM +NODE | nUDS_IOBout | 10489 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nUDS_IOBout.Q | nUDS_IOBout | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 10627 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd6 | 10550 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IODONE.EXP | 11355 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.EXP | IODONE | 4 | 0 | MC_EXPORT +NODE | IODONE.EXP | 11268 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.EXP | IODONE | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 10709 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 10630 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK- | 10558 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV +NODE | C16M_IBUF/FCLK- | 10481 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nUDS_IOBout$Q | 10565 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nUDS_IOBout.Q | nUDS_IOBout | 0 | 0 | MC_Q +NODE | nUDS_IOBout$Q | 10488 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nUDS_IOBout.Q | nUDS_IOBout | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | nUDS_IOBout | 10566 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nUDS_IOBout.Q | nUDS_IOBout | 1 | 0 | MC_UIM +NODE | nUDS_IOBout | 10489 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nUDS_IOBout.Q | nUDS_IOBout | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 2 | 0 | MC_OE -NODE | nUDS_IOBout$OE | 10567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nUDS_IOBout.BUFOE.OUT | nUDS_IOBout | 2 | 0 | MC_OE +NODE | nUDS_IOBout$OE | 10490 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nUDS_IOBout.BUFOE.OUT | nUDS_IOBout | 2 | 0 | MC_OE SIGNAL_INSTANCE | nUDS_IOBout.SI | nUDS_IOBout | 0 | 8 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 10620 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 10543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOU0 | 10640 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM +NODE | IOU0 | 10563 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 10626 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 10549 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 10623 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 10546 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nUDS_IOBout | 10566 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nUDS_IOBout.Q | nUDS_IOBout | 1 | 0 | MC_UIM +NODE | nUDS_IOBout | 10489 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nUDS_IOBout.Q | nUDS_IOBout | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 10627 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd6 | 10550 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IODONE.EXP | 11355 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.EXP | IODONE | 4 | 0 | MC_EXPORT +NODE | IODONE.EXP | 11268 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.EXP | IODONE | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 10709 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 10630 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nUDS_IOBout.D1 | 10807 | ? | 0 | 4096 | nUDS_IOBout | NULL | NULL | nUDS_IOBout.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nUDS_IOBout.D1 | 10728 | ? | 0 | 4096 | nUDS_IOBout | NULL | NULL | nUDS_IOBout.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nUDS_IOBout.D2 | 10808 | ? | 0 | 4096 | nUDS_IOBout | NULL | NULL | nUDS_IOBout.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nUDS_IOBout.D2 | 10729 | ? | 0 | 4096 | nUDS_IOBout | NULL | NULL | nUDS_IOBout.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | IODONE.EXP SPPTERM | 2 | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | IOU0 SPPTERM | 2 | IV_TRUE | iobm/IOS_FSM_FFd4 | IV_TRUE | IOU0 SPPTERM | 2 | IV_TRUE | iobm/IOS_FSM_FFd5 | IV_TRUE | IOU0 SPPTERM | 3 | IV_FALSE | nUDS_IOBout | IV_TRUE | iobm/IOS_FSM_FFd6 | IV_TRUE | IOU0 OUTPUT_NODE_TYPE | 4 | 9 | MC_SI_TRST -SIGNAL | NODE | nUDS_IOBout.TRST | 10810 | ? | 0 | 4096 | nUDS_IOBout | NULL | NULL | nUDS_IOBout.SI | 4 | 9 | MC_SI_TRST +SIGNAL | NODE | nUDS_IOBout.TRST | 10731 | ? | 0 | 4096 | nUDS_IOBout | NULL | NULL | nUDS_IOBout.SI | 4 | 9 | MC_SI_TRST SPPTERM | 1 | IV_FALSE | AoutOE SRFF_INSTANCE | nUDS_IOBout.REG | nUDS_IOBout | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nUDS_IOBout.D | 10806 | ? | 0 | 0 | nUDS_IOBout | NULL | NULL | nUDS_IOBout.XOR | 0 | 7 | ALU_F +NODE | nUDS_IOBout.D | 10727 | ? | 0 | 0 | nUDS_IOBout | NULL | NULL | nUDS_IOBout.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK- | 10558 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV +NODE | C16M_IBUF/FCLK- | 10481 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nUDS_IOBout.Q | 10811 | ? | 0 | 0 | nUDS_IOBout | NULL | NULL | nUDS_IOBout.REG | 0 | 8 | SRFF_Q +NODE | nUDS_IOBout.Q | 10732 | ? | 0 | 0 | nUDS_IOBout | NULL | NULL | nUDS_IOBout.REG | 0 | 8 | SRFF_Q BUF_INSTANCE | nUDS_IOBout.BUFOE | nUDS_IOBout | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 10 | CTOR_UNKNOWN -SIGNAL | NODE | nUDS_IOBout.TRST | 10810 | ? | 0 | 4096 | nUDS_IOBout | NULL | NULL | nUDS_IOBout.SI | 4 | 9 | MC_SI_TRST +SIGNAL | NODE | nUDS_IOBout.TRST | 10731 | ? | 0 | 4096 | nUDS_IOBout | NULL | NULL | nUDS_IOBout.SI | 4 | 9 | MC_SI_TRST SPPTERM | 1 | IV_FALSE | AoutOE OUTPUT_NODE_TYPE | 0 | 10 | BUF_OUT -NODE | nUDS_IOBout.BUFOE.OUT | 10809 | ? | 0 | 0 | nUDS_IOBout | NULL | NULL | nUDS_IOBout.BUFOE | 0 | 10 | BUF_OUT +NODE | nUDS_IOBout.BUFOE.OUT | 10730 | ? | 0 | 0 | nUDS_IOBout | NULL | NULL | nUDS_IOBout.BUFOE | 0 | 10 | BUF_OUT INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_14_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<14> | 10766 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<14> | 10687 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_14_IBUF | 10568 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 10491 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_11_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<11> | 10767 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<11> | 10688 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_11_IBUF | 10569 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_11_IBUF | 10492 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_10_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<10> | 10768 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<10> | 10689 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_10_IBUF | 10570 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_10_IBUF | 10493 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | FCLK_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 2 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | FCLK | 10769 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | FCLK | 10690 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 3 | 5 | II_FCLK -NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10494 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 7 | 5 | II_FCLKINV -NODE | FCLK_IBUF/FCLK- | 10572 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 7 | 5 | II_FCLKINV +NODE | FCLK_IBUF/FCLK- | 10495 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 7 | 5 | II_FCLKINV MACROCELL_INSTANCE | PrldLow+OptxMapped | RefUrg | WarpSE_COPY_0_COPY_0 | 2155873280 | 7 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<0> | 10576 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM +NODE | cnt/Timer<0> | 10499 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<1> | 10592 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM +NODE | cnt/Timer<1> | 10515 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<2> | 10593 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM +NODE | cnt/Timer<2> | 10516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<3> | 10598 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<3>.Q | cnt/Timer<3> | 1 | 0 | MC_UIM +NODE | cnt/Timer<3> | 10521 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<3>.Q | cnt/Timer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10654 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 10577 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10670 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 10591 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10494 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | RefUrg | 10573 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +NODE | RefUrg | 10496 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM SIGNAL_INSTANCE | RefUrg.SI | RefUrg | 0 | 6 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<0> | 10576 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM +NODE | cnt/Timer<0> | 10499 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<1> | 10592 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM +NODE | cnt/Timer<1> | 10515 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<2> | 10593 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM +NODE | cnt/Timer<2> | 10516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<3> | 10598 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<3>.Q | cnt/Timer<3> | 1 | 0 | MC_UIM +NODE | cnt/Timer<3> | 10521 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<3>.Q | cnt/Timer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10654 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 10577 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10670 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 10591 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RefUrg.D1 | 10813 | ? | 0 | 4096 | RefUrg | NULL | NULL | RefUrg.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RefUrg.D1 | 10734 | ? | 0 | 4096 | RefUrg | NULL | NULL | RefUrg.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RefUrg.D2 | 10814 | ? | 0 | 4096 | RefUrg | NULL | NULL | RefUrg.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RefUrg.D2 | 10735 | ? | 0 | 4096 | RefUrg | NULL | NULL | RefUrg.SI | 2 | 9 | MC_SI_D2 SPPTERM | 4 | IV_TRUE | cnt/Timer<0> | IV_FALSE | cnt/Timer<1> | IV_FALSE | cnt/Timer<2> | IV_TRUE | cnt/Timer<3> OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | RefUrg.CE | 10815 | ? | 0 | 4096 | RefUrg | NULL | NULL | RefUrg.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | RefUrg.CE | 10736 | ? | 0 | 4096 | RefUrg | NULL | NULL | RefUrg.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SRFF_INSTANCE | RefUrg.REG | RefUrg | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RefUrg.D | 10812 | ? | 0 | 0 | RefUrg | NULL | NULL | RefUrg.XOR | 0 | 7 | ALU_F +NODE | RefUrg.D | 10733 | ? | 0 | 0 | RefUrg | NULL | NULL | RefUrg.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10494 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | RefUrg.CE | 10815 | ? | 0 | 4096 | RefUrg | NULL | NULL | RefUrg.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | RefUrg.CE | 10736 | ? | 0 | 4096 | RefUrg | NULL | NULL | RefUrg.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RefUrg.Q | 10816 | ? | 0 | 0 | RefUrg | NULL | NULL | RefUrg.REG | 0 | 8 | SRFF_Q +NODE | RefUrg.Q | 10737 | ? | 0 | 0 | RefUrg | NULL | NULL | RefUrg.REG | 0 | 8 | SRFF_Q INPUT_INSTANCE | 0 | 0 | NULL | nWE_FSB_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nWE_FSB | 10772 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | nWE_FSB | 10693 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | nWE_FSB_IBUF | 10600 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10523 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | nAS_FSB_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nAS_FSB | 10775 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | nAS_FSB | 10696 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10531 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_8_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<8> | 10780 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<8> | 10701 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_8_IBUF | 10667 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_8_IBUF | 10589 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_9_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<9> | 10779 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<9> | 10700 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_9_IBUF | 10666 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_9_IBUF | 10588 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX MACROCELL_INSTANCE | PrldLow+OptxMapped | cnt/LTimer<0> | WarpSE_COPY_0_COPY_0 | 2155873280 | 9 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 10574 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 10497 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM | 10751 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.Q | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | 1 | 0 | MC_UIM +NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM | 10672 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.Q | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10628 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd1 | 10551 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10629 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd2 | 10552 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 10575 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 10498 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 10584 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 10507 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP13_.EXP | 11347 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP13_.EXP | EXP13_ | 4 | 0 | MC_EXPORT +NODE | EXP14_.EXP | 11260 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP14_.EXP | EXP14_ | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP14_.EXP | 11350 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP14_.EXP | EXP14_ | 4 | 0 | MC_EXPORT +NODE | EXP15_.EXP | 11263 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP15_.EXP | EXP15_ | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10494 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimer<0> | 10574 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 10497 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cnt/LTimer<0>.SI | cnt/LTimer<0> | 0 | 8 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 10574 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 10497 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM | 10751 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.Q | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | 1 | 0 | MC_UIM +NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM | 10672 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.Q | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10628 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd1 | 10551 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10629 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd2 | 10552 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 10575 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 10498 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 10584 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 10507 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP13_.EXP | 11347 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP13_.EXP | EXP13_ | 4 | 0 | MC_EXPORT +NODE | EXP14_.EXP | 11260 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP14_.EXP | EXP14_ | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP14_.EXP | 11350 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP14_.EXP | EXP14_ | 4 | 0 | MC_EXPORT +NODE | EXP15_.EXP | 11263 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP15_.EXP | EXP15_ | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimer<0>.D1 | 10818 | ? | 0 | 4096 | cnt/LTimer<0> | NULL | NULL | cnt/LTimer<0>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer<0>.D1 | 10739 | ? | 0 | 4096 | cnt/LTimer<0> | NULL | NULL | cnt/LTimer<0>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimer<0>.D2 | 10819 | ? | 0 | 4096 | cnt/LTimer<0> | NULL | NULL | cnt/LTimer<0>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | EXP13_.EXP +SIGNAL | NODE | cnt/LTimer<0>.D2 | 10740 | ? | 0 | 4096 | cnt/LTimer<0> | NULL | NULL | cnt/LTimer<0>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | EXP14_.EXP +SPPTERM | 1 | IV_TRUE | EXP15_.EXP SPPTERM | 2 | IV_TRUE | cnt/LTimer<0> | IV_FALSE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM SPPTERM | 3 | IV_FALSE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM SPPTERM | 3 | IV_FALSE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM @@ -533,241 +533,200 @@ SPPTERM | 3 | IV_FALSE | cnt/LTimer<0> | IV_TRUE | cnt/IS_FSM_FFd2 | IV_TRUE | c SRFF_INSTANCE | cnt/LTimer<0>.REG | cnt/LTimer<0> | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimer<0>.D | 10817 | ? | 0 | 0 | cnt/LTimer<0> | NULL | NULL | cnt/LTimer<0>.XOR | 0 | 7 | ALU_F +NODE | cnt/LTimer<0>.D | 10738 | ? | 0 | 0 | cnt/LTimer<0> | NULL | NULL | cnt/LTimer<0>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10494 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimer<0>.Q | 10820 | ? | 0 | 0 | cnt/LTimer<0> | NULL | NULL | cnt/LTimer<0>.REG | 0 | 8 | SRFF_Q +NODE | cnt/LTimer<0>.Q | 10741 | ? | 0 | 0 | cnt/LTimer<0> | NULL | NULL | cnt/LTimer<0>.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | cnt/LTimer<1> | WarpSE_COPY_0_COPY_0 | 2155873536 | 25 | 1 +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | cnt/LTimer<1> | WarpSE_COPY_0_COPY_0 | 2155873536 | 14 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 10575 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 10498 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM | 10751 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.Q | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | 1 | 0 | MC_UIM +NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM | 10672 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.Q | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 10574 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 10497 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_11_IBUF | 10492 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | $OpTx$$OpTx$FX_DC$354_INV$541.UIM | 10673 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | $OpTx$$OpTx$FX_DC$354_INV$541.Q | $OpTx$$OpTx$FX_DC$354_INV$541 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_9_IBUF | 10588 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10544 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_8_IBUF | 10589 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10545 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | cnt/WS<0> | 10557 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<0>.Q | cnt/WS<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10546 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | cnt/WS<1> | 10564 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<1>.Q | cnt/WS<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10547 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | cnt/WS<2> | 10565 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<2>.Q | cnt/WS<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 10548 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +NODE | cnt/WS<3> | 10578 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<3>.Q | cnt/WS<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 10549 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | EXP12_.EXP | 11253 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP12_.EXP | EXP12_ | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 10550 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 10568 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 10569 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 10570 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10600 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10628 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10629 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 10667 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IONPReady.EXP | 11339 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.EXP | IONPReady | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<3>.EXP | 11340 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<3>.EXP | cnt/WS<3> | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10494 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimer<1> | 10575 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 10498 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | cnt/LTimer<1>.EXP | 11254 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.EXP | cnt/LTimer<1> | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | cnt/LTimer<1>.SI | cnt/LTimer<1> | 0 | 24 | 2 +SIGNAL_INSTANCE | cnt/LTimer<1>.SI | cnt/LTimer<1> | 0 | 13 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 10575 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 10498 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM | 10751 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.Q | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | 1 | 0 | MC_UIM +NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM | 10672 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.Q | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 10574 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 10497 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_11_IBUF | 10492 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | $OpTx$$OpTx$FX_DC$354_INV$541.UIM | 10673 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | $OpTx$$OpTx$FX_DC$354_INV$541.Q | $OpTx$$OpTx$FX_DC$354_INV$541 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_9_IBUF | 10588 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10544 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_8_IBUF | 10589 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10545 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | cnt/WS<0> | 10557 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<0>.Q | cnt/WS<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10546 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | cnt/WS<1> | 10564 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<1>.Q | cnt/WS<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10547 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | cnt/WS<2> | 10565 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<2>.Q | cnt/WS<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 10548 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +NODE | cnt/WS<3> | 10578 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<3>.Q | cnt/WS<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 10549 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 10550 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 10568 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 10569 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 10570 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10600 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10628 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10629 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 10667 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IONPReady.EXP | 11339 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.EXP | IONPReady | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<3>.EXP | 11340 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<3>.EXP | cnt/WS<3> | 4 | 0 | MC_EXPORT +NODE | EXP12_.EXP | 11253 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP12_.EXP | EXP12_ | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimer<1>.D1 | 10822 | ? | 0 | 4096 | cnt/LTimer<1> | NULL | NULL | cnt/LTimer<1>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer<1>.D1 | 10743 | ? | 0 | 4096 | cnt/LTimer<1> | NULL | NULL | cnt/LTimer<1>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimer<1>.D2 | 10823 | ? | 0 | 4096 | cnt/LTimer<1> | NULL | NULL | cnt/LTimer<1>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | IONPReady.EXP -SPPTERM | 1 | IV_TRUE | cnt/WS<3>.EXP +SIGNAL | NODE | cnt/LTimer<1>.D2 | 10744 | ? | 0 | 4096 | cnt/LTimer<1> | NULL | NULL | cnt/LTimer<1>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | EXP12_.EXP SPPTERM | 2 | IV_FALSE | cnt/LTimer<0> | IV_FALSE | cnt/LTimer<1> SPPTERM | 2 | IV_FALSE | cnt/LTimer<1> | IV_FALSE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM -SPPTERM | 3 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM -SPPTERM | 20 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM -SPPTERM | 20 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | cnt/LTimer<1>.EXP | 11246 | ? | 0 | 0 | cnt/LTimer<1> | NULL | NULL | cnt/LTimer<1>.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 3 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | $OpTx$$OpTx$FX_DC$354_INV$541.UIM +SPPTERM | 4 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_9_IBUF | IV_FALSE | A_FSB_8_IBUF | IV_FALSE | $OpTx$$OpTx$FX_DC$354_INV$541.UIM +SPPTERM | 5 | IV_TRUE | cnt/WS<0> | IV_TRUE | cnt/WS<1> | IV_TRUE | cnt/WS<2> | IV_TRUE | cnt/WS<3> | IV_FALSE | $OpTx$$OpTx$FX_DC$354_INV$541.UIM SRFF_INSTANCE | cnt/LTimer<1>.REG | cnt/LTimer<1> | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimer<1>.D | 10821 | ? | 0 | 0 | cnt/LTimer<1> | NULL | NULL | cnt/LTimer<1>.XOR | 0 | 7 | ALU_F +NODE | cnt/LTimer<1>.D | 10742 | ? | 0 | 0 | cnt/LTimer<1> | NULL | NULL | cnt/LTimer<1>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10494 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimer<1>.Q | 10824 | ? | 0 | 0 | cnt/LTimer<1> | NULL | NULL | cnt/LTimer<1>.REG | 0 | 8 | SRFF_Q +NODE | cnt/LTimer<1>.Q | 10745 | ? | 0 | 0 | cnt/LTimer<1> | NULL | NULL | cnt/LTimer<1>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | Inv+PrldLow+Tff+OptxMapped | cnt/Timer<0> | WarpSE_COPY_0_COPY_0 | 2155877632 | 5 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 10573 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +NODE | RefUrg | 10496 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<0> | 10576 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM +NODE | cnt/Timer<0> | 10499 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10654 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 10577 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10670 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 10591 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10494 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/Timer<0> | 10576 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM +NODE | cnt/Timer<0> | 10499 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cnt/Timer<0>.SI | cnt/Timer<0> | 0 | 4 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 10573 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +NODE | RefUrg | 10496 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<0> | 10576 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM +NODE | cnt/Timer<0> | 10499 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10654 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 10577 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10670 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 10591 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/Timer<0>.D1 | 10826 | ? | 0 | 4096 | cnt/Timer<0> | NULL | NULL | cnt/Timer<0>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/Timer<0>.D1 | 10747 | ? | 0 | 4096 | cnt/Timer<0> | NULL | NULL | cnt/Timer<0>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/Timer<0>.D2 | 10827 | ? | 0 | 4096 | cnt/Timer<0> | NULL | NULL | cnt/Timer<0>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/Timer<0>.D2 | 10748 | ? | 0 | 4096 | cnt/Timer<0> | NULL | NULL | cnt/Timer<0>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 4 | IV_TRUE | RefUrg | IV_FALSE | cnt/Timer<0> | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | cnt/Timer<0>.CE | 10828 | ? | 0 | 4096 | cnt/Timer<0> | NULL | NULL | cnt/Timer<0>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/Timer<0>.CE | 10749 | ? | 0 | 4096 | cnt/Timer<0> | NULL | NULL | cnt/Timer<0>.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SRFF_INSTANCE | cnt/Timer<0>.REG | cnt/Timer<0> | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/Timer<0>.D | 10825 | ? | 0 | 0 | cnt/Timer<0> | NULL | NULL | cnt/Timer<0>.XOR | 0 | 7 | ALU_F +NODE | cnt/Timer<0>.D | 10746 | ? | 0 | 0 | cnt/Timer<0> | NULL | NULL | cnt/Timer<0>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10494 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | cnt/Timer<0>.CE | 10828 | ? | 0 | 4096 | cnt/Timer<0> | NULL | NULL | cnt/Timer<0>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/Timer<0>.CE | 10749 | ? | 0 | 4096 | cnt/Timer<0> | NULL | NULL | cnt/Timer<0>.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/Timer<0>.Q | 10829 | ? | 0 | 0 | cnt/Timer<0> | NULL | NULL | cnt/Timer<0>.REG | 0 | 8 | SRFF_Q +NODE | cnt/Timer<0>.Q | 10750 | ? | 0 | 0 | cnt/Timer<0> | NULL | NULL | cnt/Timer<0>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | iobs/Sent | WarpSE_COPY_0_COPY_0 | 2155877376 | 13 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 10577 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | iobs/Sent | 10500 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10531 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10673 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10593 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10463 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 10617 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10618 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 10707 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 10628 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10466 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10465 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone.EXP | 11318 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.EXP | ram/RefDone | 4 | 0 | MC_EXPORT +NODE | iobs/Clear1.EXP | 11231 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Clear1.EXP | iobs/Clear1 | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP11_.EXP | 11326 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP11_.EXP | EXP11_ | 4 | 0 | MC_EXPORT +NODE | EXP11_.EXP | 11239 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP11_.EXP | EXP11_ | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10494 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/Sent | 10577 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | iobs/Sent | 10500 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobs/Sent.SI | iobs/Sent | 0 | 12 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 10577 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | iobs/Sent | 10500 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10531 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10673 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10593 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10463 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 10617 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10618 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 10707 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 10628 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10466 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10465 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone.EXP | 11318 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.EXP | ram/RefDone | 4 | 0 | MC_EXPORT +NODE | iobs/Clear1.EXP | 11231 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Clear1.EXP | iobs/Clear1 | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP11_.EXP | 11326 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP11_.EXP | EXP11_ | 4 | 0 | MC_EXPORT +NODE | EXP11_.EXP | 11239 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP11_.EXP | EXP11_ | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/Sent.D1 | 10831 | ? | 0 | 4096 | iobs/Sent | NULL | NULL | iobs/Sent.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/Sent.D1 | 10752 | ? | 0 | 4096 | iobs/Sent | NULL | NULL | iobs/Sent.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/Sent.D2 | 10832 | ? | 0 | 4096 | iobs/Sent | NULL | NULL | iobs/Sent.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | ram/RefDone.EXP +SIGNAL | NODE | iobs/Sent.D2 | 10753 | ? | 0 | 4096 | iobs/Sent | NULL | NULL | iobs/Sent.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | iobs/Clear1.EXP SPPTERM | 1 | IV_TRUE | EXP11_.EXP SPPTERM | 3 | IV_TRUE | iobs/Sent | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf SPPTERM | 6 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 @@ -777,1119 +736,1119 @@ SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | iob SRFF_INSTANCE | iobs/Sent.REG | iobs/Sent | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/Sent.D | 10830 | ? | 0 | 0 | iobs/Sent | NULL | NULL | iobs/Sent.XOR | 0 | 7 | ALU_F +NODE | iobs/Sent.D | 10751 | ? | 0 | 0 | iobs/Sent | NULL | NULL | iobs/Sent.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10494 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/Sent.Q | 10833 | ? | 0 | 0 | iobs/Sent | NULL | NULL | iobs/Sent.REG | 0 | 8 | SRFF_Q +NODE | iobs/Sent.Q | 10754 | ? | 0 | 0 | iobs/Sent | NULL | NULL | iobs/Sent.REG | 0 | 8 | SRFF_Q INPUT_INSTANCE | 0 | 0 | NULL | nBERR_IOB_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nBERR_IOB | 10770 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | nBERR_IOB | 10691 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | nBERR_IOB_IBUF | 10578 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX +NODE | nBERR_IOB_IBUF | 10501 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | IOBERR | WarpSE_COPY_0_COPY_0 | 2424308736 | 3 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_IOB_IBUF | 10578 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX +NODE | nBERR_IOB_IBUF | 10501 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_IOBout | 10560 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAS_IOBout.Q | nAS_IOBout | 1 | 0 | MC_UIM +NODE | nAS_IOBout | 10483 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAS_IOBout.Q | nAS_IOBout | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF/FCLK- | 10553 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 10476 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | IOBERR | 10579 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM +NODE | IOBERR | 10502 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM SIGNAL_INSTANCE | IOBERR.SI | IOBERR | 0 | 2 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_IOB_IBUF | 10578 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX +NODE | nBERR_IOB_IBUF | 10501 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_IOBout | 10560 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAS_IOBout.Q | nAS_IOBout | 1 | 0 | MC_UIM +NODE | nAS_IOBout | 10483 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAS_IOBout.Q | nAS_IOBout | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | IOBERR.D1 | 10835 | ? | 0 | 4096 | IOBERR | NULL | NULL | IOBERR.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | IOBERR.D1 | 10756 | ? | 0 | 4096 | IOBERR | NULL | NULL | IOBERR.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | IOBERR.D2 | 10836 | ? | 0 | 4096 | IOBERR | NULL | NULL | IOBERR.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | IOBERR.D2 | 10757 | ? | 0 | 4096 | IOBERR | NULL | NULL | IOBERR.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_FALSE | nBERR_IOB_IBUF OUTPUT_NODE_TYPE | 6 | 9 | MC_SI_RSTF -SIGNAL | NODE | IOBERR.RSTF | 10837 | ? | 0 | 4096 | IOBERR | NULL | NULL | IOBERR.SI | 6 | 9 | MC_SI_RSTF +SIGNAL | NODE | IOBERR.RSTF | 10758 | ? | 0 | 4096 | IOBERR | NULL | NULL | IOBERR.SI | 6 | 9 | MC_SI_RSTF SPPTERM | 1 | IV_TRUE | nAS_IOBout SRFF_INSTANCE | IOBERR.REG | IOBERR | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | IOBERR.D | 10834 | ? | 0 | 0 | IOBERR | NULL | NULL | IOBERR.XOR | 0 | 7 | ALU_F +NODE | IOBERR.D | 10755 | ? | 0 | 0 | IOBERR | NULL | NULL | IOBERR.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C8M_IBUF/FCLK- | 10553 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 10476 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV INPUT_NODE_TYPE | 3 | 8 | SRFF_R -SIGNAL | NODE | IOBERR.RSTF | 10837 | ? | 0 | 4096 | IOBERR | NULL | NULL | IOBERR.SI | 6 | 9 | MC_SI_RSTF +SIGNAL | NODE | IOBERR.RSTF | 10758 | ? | 0 | 4096 | IOBERR | NULL | NULL | IOBERR.SI | 6 | 9 | MC_SI_RSTF SPPTERM | 1 | IV_TRUE | nAS_IOBout OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | IOBERR.Q | 10838 | ? | 0 | 0 | IOBERR | NULL | NULL | IOBERR.REG | 0 | 8 | SRFF_Q +NODE | IOBERR.Q | 10759 | ? | 0 | 0 | IOBERR | NULL | NULL | IOBERR.REG | 0 | 8 | SRFF_Q INPUT_INSTANCE | 0 | 0 | NULL | N01 | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nRES | 10741 | PIPO | 0 | 64 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nRES | 0 | 6 | OI_OUT +NODE | nRES | 10662 | PIPO | 0 | 64 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nRES | 0 | 6 | OI_OUT OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | N01 | 10580 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | N01 | 0 | 5 | II_IMUX +NODE | N01 | 10503 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | N01 | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | nDTACK_IOB_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nDTACK_IOB | 10771 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | nDTACK_IOB | 10692 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | nDTACK_IOB_IBUF | 10581 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX +NODE | nDTACK_IOB_IBUF | 10504 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | IODONE | WarpSE_COPY_0_COPY_0 | 2424308736 | 13 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | N01 | 10580 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | N01 | 0 | 5 | II_IMUX +NODE | N01 | 10503 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | N01 | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDTACK_IOB_IBUF | 10581 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX +NODE | nDTACK_IOB_IBUF | 10504 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nVMA_IOBout | 10555 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.Q | nVMA_IOBout | 1 | 0 | MC_UIM +NODE | nVMA_IOBout | 10478 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.Q | nVMA_IOBout | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 10622 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 10545 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 10625 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 10548 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 10630 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 10553 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 10631 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +NODE | iobm/ES<3> | 10554 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_IOBout | 10560 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAS_IOBout.Q | nAS_IOBout | 1 | 0 | MC_UIM +NODE | nAS_IOBout | 10483 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAS_IOBout.Q | nAS_IOBout | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF/FCLK- | 10553 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 10476 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 10619 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 10542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/C8Mr | 10621 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM +NODE | iobm/C8Mr | 10544 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOU0 | 10640 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM +NODE | IOU0 | 10563 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IORDREQr | 10643 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM +NODE | iobm/IORDREQr | 10566 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | IODONE | 10582 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.Q | IODONE | 1 | 0 | MC_UIM +NODE | IODONE | 10505 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.Q | IODONE | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | IODONE.EXP | 11355 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.EXP | IODONE | 4 | 0 | MC_EXPORT +NODE | IODONE.EXP | 11268 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.EXP | IODONE | 4 | 0 | MC_EXPORT SIGNAL_INSTANCE | IODONE.SI | IODONE | 0 | 12 | 4 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | N01 | 10580 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | N01 | 0 | 5 | II_IMUX +NODE | N01 | 10503 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | N01 | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDTACK_IOB_IBUF | 10581 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX +NODE | nDTACK_IOB_IBUF | 10504 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nVMA_IOBout | 10555 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.Q | nVMA_IOBout | 1 | 0 | MC_UIM +NODE | nVMA_IOBout | 10478 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.Q | nVMA_IOBout | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 10622 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 10545 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 10625 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 10548 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 10630 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 10553 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 10631 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +NODE | iobm/ES<3> | 10554 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_IOBout | 10560 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAS_IOBout.Q | nAS_IOBout | 1 | 0 | MC_UIM +NODE | nAS_IOBout | 10483 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAS_IOBout.Q | nAS_IOBout | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 10619 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 10542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/C8Mr | 10621 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM +NODE | iobm/C8Mr | 10544 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOU0 | 10640 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM +NODE | IOU0 | 10563 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IORDREQr | 10643 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM +NODE | iobm/IORDREQr | 10566 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | IODONE.D1 | 10840 | ? | 0 | 4096 | IODONE | NULL | NULL | IODONE.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | IODONE.D1 | 10761 | ? | 0 | 4096 | IODONE | NULL | NULL | IODONE.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | IODONE.D2 | 10841 | ? | 0 | 4096 | IODONE | NULL | NULL | IODONE.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | IODONE.D2 | 10762 | ? | 0 | 4096 | IODONE | NULL | NULL | IODONE.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_FALSE | N01 SPPTERM | 1 | IV_FALSE | nDTACK_IOB_IBUF SPPTERM | 5 | IV_FALSE | nVMA_IOBout | IV_FALSE | iobm/ES<0> | IV_FALSE | iobm/ES<2> | IV_FALSE | iobm/ES<1> | IV_TRUE | iobm/ES<3> OUTPUT_NODE_TYPE | 6 | 9 | MC_SI_RSTF -SIGNAL | NODE | IODONE.RSTF | 10842 | ? | 0 | 4096 | IODONE | NULL | NULL | IODONE.SI | 6 | 9 | MC_SI_RSTF +SIGNAL | NODE | IODONE.RSTF | 10763 | ? | 0 | 4096 | IODONE | NULL | NULL | IODONE.SI | 6 | 9 | MC_SI_RSTF SPPTERM | 1 | IV_TRUE | nAS_IOBout OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | IODONE.EXP | 11352 | ? | 0 | 0 | IODONE | NULL | NULL | IODONE.SI | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | IODONE.EXP | 11265 | ? | 0 | 0 | IODONE | NULL | NULL | IODONE.SI | 7 | 9 | MC_SI_EXPORT SPPTERM | 4 | IV_TRUE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/C8Mr | IV_TRUE | IOU0 | IV_TRUE | iobm/IORDREQr SRFF_INSTANCE | IODONE.REG | IODONE | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | IODONE.D | 10839 | ? | 0 | 0 | IODONE | NULL | NULL | IODONE.XOR | 0 | 7 | ALU_F +NODE | IODONE.D | 10760 | ? | 0 | 0 | IODONE | NULL | NULL | IODONE.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C8M_IBUF/FCLK- | 10553 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 10476 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV INPUT_NODE_TYPE | 3 | 8 | SRFF_R -SIGNAL | NODE | IODONE.RSTF | 10842 | ? | 0 | 4096 | IODONE | NULL | NULL | IODONE.SI | 6 | 9 | MC_SI_RSTF +SIGNAL | NODE | IODONE.RSTF | 10763 | ? | 0 | 4096 | IODONE | NULL | NULL | IODONE.SI | 6 | 9 | MC_SI_RSTF SPPTERM | 1 | IV_TRUE | nAS_IOBout OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | IODONE.Q | 10843 | ? | 0 | 0 | IODONE | NULL | NULL | IODONE.REG | 0 | 8 | SRFF_Q +NODE | IODONE.Q | 10764 | ? | 0 | 0 | IODONE | NULL | NULL | IODONE.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<10> | WarpSE_COPY_0_COPY_0 | 2155877376 | 36 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<10> | 10583 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<10> | 10506 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10628 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd1 | 10551 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10629 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd2 | 10552 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 10573 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +NODE | RefUrg | 10496 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 10574 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 10497 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 10575 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 10498 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 10584 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 10507 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 10585 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 10508 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 10586 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 10509 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 10587 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<5> | 10510 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 10588 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<6> | 10511 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 10589 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<7> | 10512 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<8> | 10590 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<8> | 10513 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<9> | 10591 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<9> | 10514 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10654 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 10577 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10670 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 10591 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10494 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10463 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10465 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10466 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10544 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 10467 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10545 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 10468 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10546 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 10469 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10547 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 10470 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 10548 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_15_IBUF | 10471 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 10549 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 10472 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 10550 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_12_IBUF | 10473 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 10568 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 10491 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 10569 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_11_IBUF | 10492 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 10570 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_10_IBUF | 10493 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10600 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10523 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 10667 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_8_IBUF | 10589 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10673 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10593 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10531 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 10666 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_9_IBUF | 10588 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimer<10> | 10583 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<10> | 10506 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | cnt/LTimer<10>.EXP | 11362 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.EXP | cnt/LTimer<10> | 4 | 0 | MC_EXPORT +NODE | cnt/LTimer<10>.EXP | 11275 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.EXP | cnt/LTimer<10> | 4 | 0 | MC_EXPORT SIGNAL_INSTANCE | cnt/LTimer<10>.SI | cnt/LTimer<10> | 0 | 35 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<10> | 10583 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<10> | 10506 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10628 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd1 | 10551 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10629 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd2 | 10552 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 10573 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +NODE | RefUrg | 10496 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 10574 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 10497 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 10575 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 10498 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 10584 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 10507 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 10585 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 10508 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 10586 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 10509 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 10587 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<5> | 10510 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 10588 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<6> | 10511 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 10589 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<7> | 10512 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<8> | 10590 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<8> | 10513 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<9> | 10591 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<9> | 10514 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10654 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 10577 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10670 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 10591 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10463 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10465 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10466 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10544 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 10467 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10545 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 10468 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10546 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 10469 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10547 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 10470 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 10548 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_15_IBUF | 10471 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 10549 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 10472 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 10550 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_12_IBUF | 10473 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 10568 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 10491 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 10569 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_11_IBUF | 10492 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 10570 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_10_IBUF | 10493 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10600 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10523 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 10667 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_8_IBUF | 10589 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10673 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10593 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10531 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 10666 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_9_IBUF | 10588 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimer<10>.D1 | 10845 | ? | 0 | 4096 | cnt/LTimer<10> | NULL | NULL | cnt/LTimer<10>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer<10>.D1 | 10766 | ? | 0 | 4096 | cnt/LTimer<10> | NULL | NULL | cnt/LTimer<10>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimer<10>.D2 | 10846 | ? | 0 | 4096 | cnt/LTimer<10> | NULL | NULL | cnt/LTimer<10>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/LTimer<10>.D2 | 10767 | ? | 0 | 4096 | cnt/LTimer<10> | NULL | NULL | cnt/LTimer<10>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 3 | IV_TRUE | cnt/LTimer<10> | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 SPPTERM | 14 | IV_TRUE | RefUrg | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/LTimer<7> | IV_TRUE | cnt/LTimer<8> | IV_TRUE | cnt/LTimer<9> | IV_FALSE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SPPTERM | 14 | IV_TRUE | RefUrg | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/LTimer<7> | IV_TRUE | cnt/LTimer<8> | IV_TRUE | cnt/LTimer<9> | IV_TRUE | cnt/IS_FSM_FFd2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | cnt/LTimer<10>.EXP | 11359 | ? | 0 | 0 | cnt/LTimer<10> | NULL | NULL | cnt/LTimer<10>.SI | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | cnt/LTimer<10>.EXP | 11272 | ? | 0 | 0 | cnt/LTimer<10> | NULL | NULL | cnt/LTimer<10>.SI | 7 | 9 | MC_SI_EXPORT SPPTERM | 19 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | fsb/ASrf SPPTERM | 19 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_TRUE | A_FSB_9_IBUF SRFF_INSTANCE | cnt/LTimer<10>.REG | cnt/LTimer<10> | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimer<10>.D | 10844 | ? | 0 | 0 | cnt/LTimer<10> | NULL | NULL | cnt/LTimer<10>.XOR | 0 | 7 | ALU_F +NODE | cnt/LTimer<10>.D | 10765 | ? | 0 | 0 | cnt/LTimer<10> | NULL | NULL | cnt/LTimer<10>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10494 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimer<10>.Q | 10847 | ? | 0 | 0 | cnt/LTimer<10> | NULL | NULL | cnt/LTimer<10>.REG | 0 | 8 | SRFF_Q +NODE | cnt/LTimer<10>.Q | 10768 | ? | 0 | 0 | cnt/LTimer<10> | NULL | NULL | cnt/LTimer<10>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<2> | WarpSE_COPY_0_COPY_0 | 2155877376 | 9 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 10584 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 10507 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10628 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd1 | 10551 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10629 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd2 | 10552 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 10573 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +NODE | RefUrg | 10496 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 10574 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 10497 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 10575 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 10498 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10654 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 10577 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10670 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 10591 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10494 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimer<2> | 10584 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 10507 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cnt/LTimer<2>.SI | cnt/LTimer<2> | 0 | 8 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 10584 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 10507 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10628 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd1 | 10551 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10629 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd2 | 10552 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 10573 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +NODE | RefUrg | 10496 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 10574 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 10497 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 10575 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 10498 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10654 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 10577 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10670 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 10591 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimer<2>.D1 | 10849 | ? | 0 | 4096 | cnt/LTimer<2> | NULL | NULL | cnt/LTimer<2>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer<2>.D1 | 10770 | ? | 0 | 4096 | cnt/LTimer<2> | NULL | NULL | cnt/LTimer<2>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimer<2>.D2 | 10850 | ? | 0 | 4096 | cnt/LTimer<2> | NULL | NULL | cnt/LTimer<2>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/LTimer<2>.D2 | 10771 | ? | 0 | 4096 | cnt/LTimer<2> | NULL | NULL | cnt/LTimer<2>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 3 | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 SPPTERM | 6 | IV_TRUE | RefUrg | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_FALSE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SPPTERM | 6 | IV_TRUE | RefUrg | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/IS_FSM_FFd2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SRFF_INSTANCE | cnt/LTimer<2>.REG | cnt/LTimer<2> | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimer<2>.D | 10848 | ? | 0 | 0 | cnt/LTimer<2> | NULL | NULL | cnt/LTimer<2>.XOR | 0 | 7 | ALU_F +NODE | cnt/LTimer<2>.D | 10769 | ? | 0 | 0 | cnt/LTimer<2> | NULL | NULL | cnt/LTimer<2>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10494 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimer<2>.Q | 10851 | ? | 0 | 0 | cnt/LTimer<2> | NULL | NULL | cnt/LTimer<2>.REG | 0 | 8 | SRFF_Q +NODE | cnt/LTimer<2>.Q | 10772 | ? | 0 | 0 | cnt/LTimer<2> | NULL | NULL | cnt/LTimer<2>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<3> | WarpSE_COPY_0_COPY_0 | 2155877376 | 10 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 10585 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 10508 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10628 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd1 | 10551 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10629 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd2 | 10552 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 10573 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +NODE | RefUrg | 10496 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 10574 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 10497 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 10575 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 10498 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 10584 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 10507 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10654 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 10577 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10670 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 10591 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10494 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimer<3> | 10585 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 10508 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cnt/LTimer<3>.SI | cnt/LTimer<3> | 0 | 9 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 10585 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 10508 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10628 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd1 | 10551 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10629 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd2 | 10552 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 10573 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +NODE | RefUrg | 10496 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 10574 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 10497 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 10575 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 10498 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 10584 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 10507 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10654 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 10577 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10670 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 10591 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimer<3>.D1 | 10853 | ? | 0 | 4096 | cnt/LTimer<3> | NULL | NULL | cnt/LTimer<3>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer<3>.D1 | 10774 | ? | 0 | 4096 | cnt/LTimer<3> | NULL | NULL | cnt/LTimer<3>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimer<3>.D2 | 10854 | ? | 0 | 4096 | cnt/LTimer<3> | NULL | NULL | cnt/LTimer<3>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/LTimer<3>.D2 | 10775 | ? | 0 | 4096 | cnt/LTimer<3> | NULL | NULL | cnt/LTimer<3>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 3 | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 SPPTERM | 7 | IV_TRUE | RefUrg | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_FALSE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SPPTERM | 7 | IV_TRUE | RefUrg | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/IS_FSM_FFd2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SRFF_INSTANCE | cnt/LTimer<3>.REG | cnt/LTimer<3> | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimer<3>.D | 10852 | ? | 0 | 0 | cnt/LTimer<3> | NULL | NULL | cnt/LTimer<3>.XOR | 0 | 7 | ALU_F +NODE | cnt/LTimer<3>.D | 10773 | ? | 0 | 0 | cnt/LTimer<3> | NULL | NULL | cnt/LTimer<3>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10494 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimer<3>.Q | 10855 | ? | 0 | 0 | cnt/LTimer<3> | NULL | NULL | cnt/LTimer<3>.REG | 0 | 8 | SRFF_Q +NODE | cnt/LTimer<3>.Q | 10776 | ? | 0 | 0 | cnt/LTimer<3> | NULL | NULL | cnt/LTimer<3>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<4> | WarpSE_COPY_0_COPY_0 | 2155877376 | 11 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 10586 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 10509 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10628 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd1 | 10551 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10629 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd2 | 10552 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 10573 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +NODE | RefUrg | 10496 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 10574 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 10497 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 10575 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 10498 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 10584 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 10507 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 10585 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 10508 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10654 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 10577 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10670 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 10591 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10494 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimer<4> | 10586 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 10509 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cnt/LTimer<4>.SI | cnt/LTimer<4> | 0 | 10 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 10586 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 10509 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10628 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd1 | 10551 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10629 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd2 | 10552 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 10573 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +NODE | RefUrg | 10496 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 10574 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 10497 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 10575 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 10498 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 10584 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 10507 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 10585 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 10508 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10654 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 10577 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10670 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 10591 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimer<4>.D1 | 10857 | ? | 0 | 4096 | cnt/LTimer<4> | NULL | NULL | cnt/LTimer<4>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer<4>.D1 | 10778 | ? | 0 | 4096 | cnt/LTimer<4> | NULL | NULL | cnt/LTimer<4>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimer<4>.D2 | 10858 | ? | 0 | 4096 | cnt/LTimer<4> | NULL | NULL | cnt/LTimer<4>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/LTimer<4>.D2 | 10779 | ? | 0 | 4096 | cnt/LTimer<4> | NULL | NULL | cnt/LTimer<4>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 3 | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 SPPTERM | 8 | IV_TRUE | RefUrg | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_FALSE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SPPTERM | 8 | IV_TRUE | RefUrg | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/IS_FSM_FFd2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SRFF_INSTANCE | cnt/LTimer<4>.REG | cnt/LTimer<4> | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimer<4>.D | 10856 | ? | 0 | 0 | cnt/LTimer<4> | NULL | NULL | cnt/LTimer<4>.XOR | 0 | 7 | ALU_F +NODE | cnt/LTimer<4>.D | 10777 | ? | 0 | 0 | cnt/LTimer<4> | NULL | NULL | cnt/LTimer<4>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10494 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimer<4>.Q | 10859 | ? | 0 | 0 | cnt/LTimer<4> | NULL | NULL | cnt/LTimer<4>.REG | 0 | 8 | SRFF_Q +NODE | cnt/LTimer<4>.Q | 10780 | ? | 0 | 0 | cnt/LTimer<4> | NULL | NULL | cnt/LTimer<4>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<5> | WarpSE_COPY_0_COPY_0 | 2155877376 | 12 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 10587 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<5> | 10510 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10628 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd1 | 10551 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10629 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd2 | 10552 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 10573 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +NODE | RefUrg | 10496 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 10574 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 10497 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 10575 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 10498 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 10584 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 10507 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 10585 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 10508 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 10586 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 10509 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10654 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 10577 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10670 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 10591 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10494 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimer<5> | 10587 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<5> | 10510 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cnt/LTimer<5>.SI | cnt/LTimer<5> | 0 | 11 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 10587 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<5> | 10510 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10628 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd1 | 10551 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10629 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd2 | 10552 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 10573 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +NODE | RefUrg | 10496 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 10574 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 10497 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 10575 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 10498 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 10584 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 10507 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 10585 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 10508 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 10586 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 10509 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10654 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 10577 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10670 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 10591 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimer<5>.D1 | 10861 | ? | 0 | 4096 | cnt/LTimer<5> | NULL | NULL | cnt/LTimer<5>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer<5>.D1 | 10782 | ? | 0 | 4096 | cnt/LTimer<5> | NULL | NULL | cnt/LTimer<5>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimer<5>.D2 | 10862 | ? | 0 | 4096 | cnt/LTimer<5> | NULL | NULL | cnt/LTimer<5>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/LTimer<5>.D2 | 10783 | ? | 0 | 4096 | cnt/LTimer<5> | NULL | NULL | cnt/LTimer<5>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 3 | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 SPPTERM | 9 | IV_TRUE | RefUrg | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> | IV_FALSE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SPPTERM | 9 | IV_TRUE | RefUrg | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/IS_FSM_FFd2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SRFF_INSTANCE | cnt/LTimer<5>.REG | cnt/LTimer<5> | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimer<5>.D | 10860 | ? | 0 | 0 | cnt/LTimer<5> | NULL | NULL | cnt/LTimer<5>.XOR | 0 | 7 | ALU_F +NODE | cnt/LTimer<5>.D | 10781 | ? | 0 | 0 | cnt/LTimer<5> | NULL | NULL | cnt/LTimer<5>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10494 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimer<5>.Q | 10863 | ? | 0 | 0 | cnt/LTimer<5> | NULL | NULL | cnt/LTimer<5>.REG | 0 | 8 | SRFF_Q +NODE | cnt/LTimer<5>.Q | 10784 | ? | 0 | 0 | cnt/LTimer<5> | NULL | NULL | cnt/LTimer<5>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<6> | WarpSE_COPY_0_COPY_0 | 2155877376 | 13 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 10588 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<6> | 10511 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10628 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd1 | 10551 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10629 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd2 | 10552 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 10573 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +NODE | RefUrg | 10496 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 10574 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 10497 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 10575 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 10498 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 10584 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 10507 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 10585 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 10508 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 10586 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 10509 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 10587 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<5> | 10510 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10654 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 10577 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10670 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 10591 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10494 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimer<6> | 10588 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<6> | 10511 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cnt/LTimer<6>.SI | cnt/LTimer<6> | 0 | 12 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 10588 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<6> | 10511 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10628 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd1 | 10551 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10629 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd2 | 10552 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 10573 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +NODE | RefUrg | 10496 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 10574 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 10497 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 10575 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 10498 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 10584 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 10507 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 10585 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 10508 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 10586 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 10509 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 10587 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<5> | 10510 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10654 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 10577 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10670 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 10591 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimer<6>.D1 | 10865 | ? | 0 | 4096 | cnt/LTimer<6> | NULL | NULL | cnt/LTimer<6>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer<6>.D1 | 10786 | ? | 0 | 4096 | cnt/LTimer<6> | NULL | NULL | cnt/LTimer<6>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimer<6>.D2 | 10866 | ? | 0 | 4096 | cnt/LTimer<6> | NULL | NULL | cnt/LTimer<6>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/LTimer<6>.D2 | 10787 | ? | 0 | 4096 | cnt/LTimer<6> | NULL | NULL | cnt/LTimer<6>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 3 | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 SPPTERM | 10 | IV_TRUE | RefUrg | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<5> | IV_FALSE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SPPTERM | 10 | IV_TRUE | RefUrg | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/IS_FSM_FFd2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SRFF_INSTANCE | cnt/LTimer<6>.REG | cnt/LTimer<6> | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimer<6>.D | 10864 | ? | 0 | 0 | cnt/LTimer<6> | NULL | NULL | cnt/LTimer<6>.XOR | 0 | 7 | ALU_F +NODE | cnt/LTimer<6>.D | 10785 | ? | 0 | 0 | cnt/LTimer<6> | NULL | NULL | cnt/LTimer<6>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10494 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimer<6>.Q | 10867 | ? | 0 | 0 | cnt/LTimer<6> | NULL | NULL | cnt/LTimer<6>.REG | 0 | 8 | SRFF_Q +NODE | cnt/LTimer<6>.Q | 10788 | ? | 0 | 0 | cnt/LTimer<6> | NULL | NULL | cnt/LTimer<6>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<7> | WarpSE_COPY_0_COPY_0 | 2155877376 | 14 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 10589 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<7> | 10512 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10628 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd1 | 10551 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10629 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd2 | 10552 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 10573 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +NODE | RefUrg | 10496 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 10574 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 10497 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 10575 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 10498 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 10584 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 10507 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 10585 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 10508 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 10586 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 10509 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 10587 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<5> | 10510 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 10588 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<6> | 10511 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10654 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 10577 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10670 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 10591 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10494 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimer<7> | 10589 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<7> | 10512 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cnt/LTimer<7>.SI | cnt/LTimer<7> | 0 | 13 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 10589 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<7> | 10512 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10628 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd1 | 10551 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10629 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd2 | 10552 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 10573 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +NODE | RefUrg | 10496 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 10574 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 10497 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 10575 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 10498 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 10584 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 10507 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 10585 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 10508 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 10586 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 10509 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 10587 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<5> | 10510 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 10588 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<6> | 10511 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10654 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 10577 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10670 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 10591 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimer<7>.D1 | 10869 | ? | 0 | 4096 | cnt/LTimer<7> | NULL | NULL | cnt/LTimer<7>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer<7>.D1 | 10790 | ? | 0 | 4096 | cnt/LTimer<7> | NULL | NULL | cnt/LTimer<7>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimer<7>.D2 | 10870 | ? | 0 | 4096 | cnt/LTimer<7> | NULL | NULL | cnt/LTimer<7>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/LTimer<7>.D2 | 10791 | ? | 0 | 4096 | cnt/LTimer<7> | NULL | NULL | cnt/LTimer<7>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 3 | IV_TRUE | cnt/LTimer<7> | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 SPPTERM | 11 | IV_TRUE | RefUrg | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<6> | IV_FALSE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SPPTERM | 11 | IV_TRUE | RefUrg | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/IS_FSM_FFd2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SRFF_INSTANCE | cnt/LTimer<7>.REG | cnt/LTimer<7> | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimer<7>.D | 10868 | ? | 0 | 0 | cnt/LTimer<7> | NULL | NULL | cnt/LTimer<7>.XOR | 0 | 7 | ALU_F +NODE | cnt/LTimer<7>.D | 10789 | ? | 0 | 0 | cnt/LTimer<7> | NULL | NULL | cnt/LTimer<7>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10494 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimer<7>.Q | 10871 | ? | 0 | 0 | cnt/LTimer<7> | NULL | NULL | cnt/LTimer<7>.REG | 0 | 8 | SRFF_Q +NODE | cnt/LTimer<7>.Q | 10792 | ? | 0 | 0 | cnt/LTimer<7> | NULL | NULL | cnt/LTimer<7>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<8> | WarpSE_COPY_0_COPY_0 | 2155877376 | 15 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<8> | 10590 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<8> | 10513 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10628 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd1 | 10551 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10629 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd2 | 10552 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 10573 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +NODE | RefUrg | 10496 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 10574 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 10497 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 10575 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 10498 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 10584 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 10507 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 10585 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 10508 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 10586 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 10509 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 10587 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<5> | 10510 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 10588 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<6> | 10511 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 10589 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<7> | 10512 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10654 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 10577 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10670 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 10591 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10494 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimer<8> | 10590 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<8> | 10513 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cnt/LTimer<8>.SI | cnt/LTimer<8> | 0 | 14 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<8> | 10590 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<8> | 10513 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10628 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd1 | 10551 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10629 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd2 | 10552 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 10573 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +NODE | RefUrg | 10496 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 10574 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 10497 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 10575 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 10498 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 10584 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 10507 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 10585 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 10508 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 10586 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 10509 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 10587 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<5> | 10510 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 10588 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<6> | 10511 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 10589 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<7> | 10512 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10654 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 10577 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10670 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 10591 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimer<8>.D1 | 10873 | ? | 0 | 4096 | cnt/LTimer<8> | NULL | NULL | cnt/LTimer<8>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer<8>.D1 | 10794 | ? | 0 | 4096 | cnt/LTimer<8> | NULL | NULL | cnt/LTimer<8>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimer<8>.D2 | 10874 | ? | 0 | 4096 | cnt/LTimer<8> | NULL | NULL | cnt/LTimer<8>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/LTimer<8>.D2 | 10795 | ? | 0 | 4096 | cnt/LTimer<8> | NULL | NULL | cnt/LTimer<8>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 3 | IV_TRUE | cnt/LTimer<8> | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 SPPTERM | 12 | IV_TRUE | RefUrg | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/LTimer<7> | IV_FALSE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SPPTERM | 12 | IV_TRUE | RefUrg | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/LTimer<7> | IV_TRUE | cnt/IS_FSM_FFd2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SRFF_INSTANCE | cnt/LTimer<8>.REG | cnt/LTimer<8> | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimer<8>.D | 10872 | ? | 0 | 0 | cnt/LTimer<8> | NULL | NULL | cnt/LTimer<8>.XOR | 0 | 7 | ALU_F +NODE | cnt/LTimer<8>.D | 10793 | ? | 0 | 0 | cnt/LTimer<8> | NULL | NULL | cnt/LTimer<8>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10494 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimer<8>.Q | 10875 | ? | 0 | 0 | cnt/LTimer<8> | NULL | NULL | cnt/LTimer<8>.REG | 0 | 8 | SRFF_Q +NODE | cnt/LTimer<8>.Q | 10796 | ? | 0 | 0 | cnt/LTimer<8> | NULL | NULL | cnt/LTimer<8>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<9> | WarpSE_COPY_0_COPY_0 | 2155877376 | 35 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<9> | 10591 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<9> | 10514 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10628 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd1 | 10551 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10629 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd2 | 10552 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 10573 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +NODE | RefUrg | 10496 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 10574 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 10497 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 10575 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 10498 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 10584 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 10507 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 10585 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 10508 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 10586 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 10509 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 10587 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<5> | 10510 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 10588 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<6> | 10511 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 10589 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<7> | 10512 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<8> | 10590 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<8> | 10513 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10654 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 10577 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10670 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 10591 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10494 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10463 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10465 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10466 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10544 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 10467 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10545 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 10468 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10546 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 10469 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10547 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 10470 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 10548 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_15_IBUF | 10471 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 10549 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 10472 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 10550 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_12_IBUF | 10473 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 10568 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 10491 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 10569 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_11_IBUF | 10492 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 10570 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_10_IBUF | 10493 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10600 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10523 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 10666 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_9_IBUF | 10588 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10673 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10593 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 10667 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_8_IBUF | 10589 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_1_OBUF.EXP | 11361 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_1_OBUF.EXP | RA_1_OBUF | 4 | 0 | MC_EXPORT +NODE | RA_1_OBUF.EXP | 11274 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_1_OBUF.EXP | RA_1_OBUF | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimer<9> | 10591 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<9> | 10514 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | cnt/LTimer<9>.EXP | 11360 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.EXP | cnt/LTimer<9> | 4 | 0 | MC_EXPORT +NODE | cnt/LTimer<9>.EXP | 11273 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.EXP | cnt/LTimer<9> | 4 | 0 | MC_EXPORT SIGNAL_INSTANCE | cnt/LTimer<9>.SI | cnt/LTimer<9> | 0 | 34 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<9> | 10591 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<9> | 10514 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10628 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd1 | 10551 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10629 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd2 | 10552 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 10573 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +NODE | RefUrg | 10496 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 10574 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 10497 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 10575 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 10498 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 10584 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 10507 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 10585 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 10508 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 10586 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 10509 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 10587 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<5> | 10510 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 10588 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<6> | 10511 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 10589 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<7> | 10512 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<8> | 10590 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<8> | 10513 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10654 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 10577 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10670 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 10591 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10463 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10465 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10466 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10544 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 10467 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10545 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 10468 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10546 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 10469 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10547 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 10470 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 10548 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_15_IBUF | 10471 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 10549 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 10472 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 10550 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_12_IBUF | 10473 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 10568 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 10491 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 10569 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_11_IBUF | 10492 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 10570 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_10_IBUF | 10493 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10600 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10523 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 10666 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_9_IBUF | 10588 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10673 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10593 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 10667 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_8_IBUF | 10589 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_1_OBUF.EXP | 11361 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_1_OBUF.EXP | RA_1_OBUF | 4 | 0 | MC_EXPORT +NODE | RA_1_OBUF.EXP | 11274 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_1_OBUF.EXP | RA_1_OBUF | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimer<9>.D1 | 10877 | ? | 0 | 4096 | cnt/LTimer<9> | NULL | NULL | cnt/LTimer<9>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer<9>.D1 | 10798 | ? | 0 | 4096 | cnt/LTimer<9> | NULL | NULL | cnt/LTimer<9>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimer<9>.D2 | 10878 | ? | 0 | 4096 | cnt/LTimer<9> | NULL | NULL | cnt/LTimer<9>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/LTimer<9>.D2 | 10799 | ? | 0 | 4096 | cnt/LTimer<9> | NULL | NULL | cnt/LTimer<9>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | RA_1_OBUF.EXP SPPTERM | 3 | IV_TRUE | cnt/LTimer<9> | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 SPPTERM | 13 | IV_TRUE | RefUrg | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/LTimer<7> | IV_TRUE | cnt/LTimer<8> | IV_FALSE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | cnt/LTimer<9>.EXP | 11357 | ? | 0 | 0 | cnt/LTimer<9> | NULL | NULL | cnt/LTimer<9>.SI | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | cnt/LTimer<9>.EXP | 11270 | ? | 0 | 0 | cnt/LTimer<9> | NULL | NULL | cnt/LTimer<9>.SI | 7 | 9 | MC_SI_EXPORT SPPTERM | 19 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | fsb/ASrf SPPTERM | 19 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | fsb/ASrf SPPTERM | 19 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | fsb/ASrf SRFF_INSTANCE | cnt/LTimer<9>.REG | cnt/LTimer<9> | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimer<9>.D | 10876 | ? | 0 | 0 | cnt/LTimer<9> | NULL | NULL | cnt/LTimer<9>.XOR | 0 | 7 | ALU_F +NODE | cnt/LTimer<9>.D | 10797 | ? | 0 | 0 | cnt/LTimer<9> | NULL | NULL | cnt/LTimer<9>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10494 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimer<9>.Q | 10879 | ? | 0 | 0 | cnt/LTimer<9> | NULL | NULL | cnt/LTimer<9>.REG | 0 | 8 | SRFF_Q +NODE | cnt/LTimer<9>.Q | 10800 | ? | 0 | 0 | cnt/LTimer<9> | NULL | NULL | cnt/LTimer<9>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | cnt/Timer<1> | WarpSE_COPY_0_COPY_0 | 2155873536 | 6 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<0> | 10576 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM +NODE | cnt/Timer<0> | 10499 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<1> | 10592 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM +NODE | cnt/Timer<1> | 10515 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 10573 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +NODE | RefUrg | 10496 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10654 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 10577 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10670 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 10591 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10494 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/Timer<1> | 10592 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM +NODE | cnt/Timer<1> | 10515 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cnt/Timer<1>.SI | cnt/Timer<1> | 0 | 5 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<0> | 10576 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM +NODE | cnt/Timer<0> | 10499 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<1> | 10592 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM +NODE | cnt/Timer<1> | 10515 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 10573 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +NODE | RefUrg | 10496 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10654 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 10577 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10670 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 10591 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/Timer<1>.D1 | 10881 | ? | 0 | 4096 | cnt/Timer<1> | NULL | NULL | cnt/Timer<1>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/Timer<1>.D1 | 10802 | ? | 0 | 4096 | cnt/Timer<1> | NULL | NULL | cnt/Timer<1>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/Timer<1>.D2 | 10882 | ? | 0 | 4096 | cnt/Timer<1> | NULL | NULL | cnt/Timer<1>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/Timer<1>.D2 | 10803 | ? | 0 | 4096 | cnt/Timer<1> | NULL | NULL | cnt/Timer<1>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | cnt/Timer<0> | IV_TRUE | cnt/Timer<1> SPPTERM | 2 | IV_FALSE | cnt/Timer<0> | IV_FALSE | cnt/Timer<1> SPPTERM | 3 | IV_TRUE | RefUrg | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | cnt/Timer<1>.CE | 10883 | ? | 0 | 4096 | cnt/Timer<1> | NULL | NULL | cnt/Timer<1>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/Timer<1>.CE | 10804 | ? | 0 | 4096 | cnt/Timer<1> | NULL | NULL | cnt/Timer<1>.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SRFF_INSTANCE | cnt/Timer<1>.REG | cnt/Timer<1> | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/Timer<1>.D | 10880 | ? | 0 | 0 | cnt/Timer<1> | NULL | NULL | cnt/Timer<1>.XOR | 0 | 7 | ALU_F +NODE | cnt/Timer<1>.D | 10801 | ? | 0 | 0 | cnt/Timer<1> | NULL | NULL | cnt/Timer<1>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10494 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | cnt/Timer<1>.CE | 10883 | ? | 0 | 4096 | cnt/Timer<1> | NULL | NULL | cnt/Timer<1>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/Timer<1>.CE | 10804 | ? | 0 | 4096 | cnt/Timer<1> | NULL | NULL | cnt/Timer<1>.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/Timer<1>.Q | 10884 | ? | 0 | 0 | cnt/Timer<1> | NULL | NULL | cnt/Timer<1>.REG | 0 | 8 | SRFF_Q +NODE | cnt/Timer<1>.Q | 10805 | ? | 0 | 0 | cnt/Timer<1> | NULL | NULL | cnt/Timer<1>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | cnt/Timer<2> | WarpSE_COPY_0_COPY_0 | 2155873536 | 7 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<0> | 10576 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM +NODE | cnt/Timer<0> | 10499 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<2> | 10593 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM +NODE | cnt/Timer<2> | 10516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<1> | 10592 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM +NODE | cnt/Timer<1> | 10515 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 10573 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +NODE | RefUrg | 10496 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10654 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 10577 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10670 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 10591 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10494 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/Timer<2> | 10593 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM +NODE | cnt/Timer<2> | 10516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cnt/Timer<2>.SI | cnt/Timer<2> | 0 | 6 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<0> | 10576 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM +NODE | cnt/Timer<0> | 10499 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<2> | 10593 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM +NODE | cnt/Timer<2> | 10516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<1> | 10592 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM +NODE | cnt/Timer<1> | 10515 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 10573 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +NODE | RefUrg | 10496 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10654 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 10577 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10670 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 10591 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/Timer<2>.D1 | 10886 | ? | 0 | 4096 | cnt/Timer<2> | NULL | NULL | cnt/Timer<2>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/Timer<2>.D1 | 10807 | ? | 0 | 4096 | cnt/Timer<2> | NULL | NULL | cnt/Timer<2>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/Timer<2>.D2 | 10887 | ? | 0 | 4096 | cnt/Timer<2> | NULL | NULL | cnt/Timer<2>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/Timer<2>.D2 | 10808 | ? | 0 | 4096 | cnt/Timer<2> | NULL | NULL | cnt/Timer<2>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_FALSE | cnt/Timer<0> | IV_FALSE | cnt/Timer<2> SPPTERM | 2 | IV_FALSE | cnt/Timer<1> | IV_FALSE | cnt/Timer<2> SPPTERM | 3 | IV_TRUE | RefUrg | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SPPTERM | 3 | IV_TRUE | cnt/Timer<0> | IV_TRUE | cnt/Timer<1> | IV_TRUE | cnt/Timer<2> OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | cnt/Timer<2>.CE | 10888 | ? | 0 | 4096 | cnt/Timer<2> | NULL | NULL | cnt/Timer<2>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/Timer<2>.CE | 10809 | ? | 0 | 4096 | cnt/Timer<2> | NULL | NULL | cnt/Timer<2>.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SRFF_INSTANCE | cnt/Timer<2>.REG | cnt/Timer<2> | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/Timer<2>.D | 10885 | ? | 0 | 0 | cnt/Timer<2> | NULL | NULL | cnt/Timer<2>.XOR | 0 | 7 | ALU_F +NODE | cnt/Timer<2>.D | 10806 | ? | 0 | 0 | cnt/Timer<2> | NULL | NULL | cnt/Timer<2>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10494 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | cnt/Timer<2>.CE | 10888 | ? | 0 | 4096 | cnt/Timer<2> | NULL | NULL | cnt/Timer<2>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/Timer<2>.CE | 10809 | ? | 0 | 4096 | cnt/Timer<2> | NULL | NULL | cnt/Timer<2>.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/Timer<2>.Q | 10889 | ? | 0 | 0 | cnt/Timer<2> | NULL | NULL | cnt/Timer<2>.REG | 0 | 8 | SRFF_Q +NODE | cnt/Timer<2>.Q | 10810 | ? | 0 | 0 | cnt/Timer<2> | NULL | NULL | cnt/Timer<2>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | ram/RAMEN | WarpSE_COPY_0_COPY_0 | 2155873536 | 11 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd7 | 10632 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd7 | 10555 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMEN | 10594 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM +NODE | ram/RAMEN | 10517 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once | 10607 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +NODE | ram/Once | 10530 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10531 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10673 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10593 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd8 | 10624 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd8 | 10547 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd4 | 10645 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd4 | 10568 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 10595 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +NODE | ram/RefDone | 10518 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefReq | 10596 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM +NODE | RefReq | 10519 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd8.EXP | 11322 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.EXP | ram/RS_FSM_FFd8 | 4 | 0 | MC_EXPORT +NODE | ram/RS_FSM_FFd8.EXP | 11235 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.EXP | ram/RS_FSM_FFd8 | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10494 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RAMEN | 10594 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM +NODE | ram/RAMEN | 10517 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM SIGNAL_INSTANCE | ram/RAMEN.SI | ram/RAMEN | 0 | 10 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd7 | 10632 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd7 | 10555 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMEN | 10594 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM +NODE | ram/RAMEN | 10517 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once | 10607 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +NODE | ram/Once | 10530 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10531 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10673 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10593 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd8 | 10624 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd8 | 10547 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd4 | 10645 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd4 | 10568 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 10595 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +NODE | ram/RefDone | 10518 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefReq | 10596 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM +NODE | RefReq | 10519 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd8.EXP | 11322 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.EXP | ram/RS_FSM_FFd8 | 4 | 0 | MC_EXPORT +NODE | ram/RS_FSM_FFd8.EXP | 11235 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.EXP | ram/RS_FSM_FFd8 | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RAMEN.D1 | 10891 | ? | 0 | 4096 | ram/RAMEN | NULL | NULL | ram/RAMEN.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RAMEN.D1 | 10812 | ? | 0 | 4096 | ram/RAMEN | NULL | NULL | ram/RAMEN.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RAMEN.D2 | 10892 | ? | 0 | 4096 | ram/RAMEN | NULL | NULL | ram/RAMEN.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/RAMEN.D2 | 10813 | ? | 0 | 4096 | ram/RAMEN | NULL | NULL | ram/RAMEN.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | ram/RS_FSM_FFd7 SPPTERM | 1 | IV_TRUE | ram/RS_FSM_FFd8.EXP SPPTERM | 3 | IV_FALSE | ram/RAMEN | IV_TRUE | ram/Once | IV_FALSE | nAS_FSB_IBUF @@ -1899,1022 +1858,978 @@ SPPTERM | 5 | IV_FALSE | ram/RefDone | IV_TRUE | RefReq | IV_TRUE | nAS_FSB_IBUF SRFF_INSTANCE | ram/RAMEN.REG | ram/RAMEN | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RAMEN.D | 10890 | ? | 0 | 0 | ram/RAMEN | NULL | NULL | ram/RAMEN.XOR | 0 | 7 | ALU_F +NODE | ram/RAMEN.D | 10811 | ? | 0 | 0 | ram/RAMEN | NULL | NULL | ram/RAMEN.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10494 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RAMEN.Q | 10893 | ? | 0 | 0 | ram/RAMEN | NULL | NULL | ram/RAMEN.REG | 0 | 8 | SRFF_Q +NODE | ram/RAMEN.Q | 10814 | ? | 0 | 0 | ram/RAMEN | NULL | NULL | ram/RAMEN.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | ram/RefDone | WarpSE_COPY_0_COPY_0 | 2155873536 | 21 | 2 +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | ram/RefDone | WarpSE_COPY_0_COPY_0 | 2155873536 | 6 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 10595 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +NODE | ram/RefDone | 10518 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 10644 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd3 | 10567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 10665 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd2 | 10587 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 10573 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +NODE | RefUrg | 10496 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefReq | 10596 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM +NODE | RefReq | 10519 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10544 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10545 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10546 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10547 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 10549 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 10577 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10600 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 10707 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10673 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 10568 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | FCLK_IBUF/FCLK | 10494 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RefDone | 10595 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | ram/RefDone.EXP | 11318 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.EXP | ram/RefDone | 4 | 0 | MC_EXPORT +NODE | ram/RefDone | 10518 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | ram/RefDone.SI | ram/RefDone | 0 | 20 | 3 +SIGNAL_INSTANCE | ram/RefDone.SI | ram/RefDone | 0 | 5 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 10595 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +NODE | ram/RefDone | 10518 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 10644 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd3 | 10567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 10665 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd2 | 10587 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 10573 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +NODE | RefUrg | 10496 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefReq | 10596 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10544 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10545 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10546 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10547 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 10549 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 10577 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10600 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 10707 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10673 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 10568 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | RefReq | 10519 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RefDone.D1 | 10895 | ? | 0 | 4096 | ram/RefDone | NULL | NULL | ram/RefDone.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RefDone.D1 | 10816 | ? | 0 | 4096 | ram/RefDone | NULL | NULL | ram/RefDone.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RefDone.D2 | 10896 | ? | 0 | 4096 | ram/RefDone | NULL | NULL | ram/RefDone.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/RefDone.D2 | 10817 | ? | 0 | 4096 | ram/RefDone | NULL | NULL | ram/RefDone.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_FALSE | RefUrg | IV_FALSE | RefReq SPPTERM | 3 | IV_FALSE | ram/RefDone | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RS_FSM_FFd2 -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | ram/RefDone.EXP | 11309 | ? | 0 | 0 | ram/RefDone | NULL | NULL | ram/RefDone.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 13 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ALE1 -SPPTERM | 13 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 -SPPTERM | 13 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 SRFF_INSTANCE | ram/RefDone.REG | ram/RefDone | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RefDone.D | 10894 | ? | 0 | 0 | ram/RefDone | NULL | NULL | ram/RefDone.XOR | 0 | 7 | ALU_F +NODE | ram/RefDone.D | 10815 | ? | 0 | 0 | ram/RefDone | NULL | NULL | ram/RefDone.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10494 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RefDone.Q | 10897 | ? | 0 | 0 | ram/RefDone | NULL | NULL | ram/RefDone.REG | 0 | 8 | SRFF_Q +NODE | ram/RefDone.Q | 10818 | ? | 0 | 0 | ram/RefDone | NULL | NULL | ram/RefDone.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | RefReq | WarpSE_COPY_0_COPY_0 | 2155873536 | 7 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<0> | 10576 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM +NODE | cnt/Timer<0> | 10499 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<1> | 10592 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM +NODE | cnt/Timer<1> | 10515 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<2> | 10593 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM +NODE | cnt/Timer<2> | 10516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<3> | 10598 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<3>.Q | cnt/Timer<3> | 1 | 0 | MC_UIM +NODE | cnt/Timer<3> | 10521 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<3>.Q | cnt/Timer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10654 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 10577 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10670 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 10591 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10494 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | RefReq | 10596 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM +NODE | RefReq | 10519 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM SIGNAL_INSTANCE | RefReq.SI | RefReq | 0 | 6 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<0> | 10576 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM +NODE | cnt/Timer<0> | 10499 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<1> | 10592 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM +NODE | cnt/Timer<1> | 10515 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<2> | 10593 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM +NODE | cnt/Timer<2> | 10516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<3> | 10598 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<3>.Q | cnt/Timer<3> | 1 | 0 | MC_UIM +NODE | cnt/Timer<3> | 10521 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<3>.Q | cnt/Timer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10654 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 10577 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10670 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 10591 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RefReq.D1 | 10899 | ? | 0 | 4096 | RefReq | NULL | NULL | RefReq.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RefReq.D1 | 10820 | ? | 0 | 4096 | RefReq | NULL | NULL | RefReq.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RefReq.D2 | 10900 | ? | 0 | 4096 | RefReq | NULL | NULL | RefReq.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RefReq.D2 | 10821 | ? | 0 | 4096 | RefReq | NULL | NULL | RefReq.SI | 2 | 9 | MC_SI_D2 SPPTERM | 4 | IV_FALSE | cnt/Timer<0> | IV_TRUE | cnt/Timer<1> | IV_FALSE | cnt/Timer<2> | IV_TRUE | cnt/Timer<3> OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | RefReq.CE | 10901 | ? | 0 | 4096 | RefReq | NULL | NULL | RefReq.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | RefReq.CE | 10822 | ? | 0 | 4096 | RefReq | NULL | NULL | RefReq.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SRFF_INSTANCE | RefReq.REG | RefReq | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RefReq.D | 10898 | ? | 0 | 0 | RefReq | NULL | NULL | RefReq.XOR | 0 | 7 | ALU_F +NODE | RefReq.D | 10819 | ? | 0 | 0 | RefReq | NULL | NULL | RefReq.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10494 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | RefReq.CE | 10901 | ? | 0 | 4096 | RefReq | NULL | NULL | RefReq.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | RefReq.CE | 10822 | ? | 0 | 4096 | RefReq | NULL | NULL | RefReq.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RefReq.Q | 10902 | ? | 0 | 0 | RefReq | NULL | NULL | RefReq.REG | 0 | 8 | SRFF_Q +NODE | RefReq.Q | 10823 | ? | 0 | 0 | RefReq | NULL | NULL | RefReq.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<11> | WarpSE_COPY_0_COPY_0 | 2155877376 | 18 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<11> | 10597 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.Q | cnt/LTimer<11> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<11> | 10520 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.Q | cnt/LTimer<11> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10628 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd1 | 10551 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10629 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd2 | 10552 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 10573 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +NODE | RefUrg | 10496 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 10574 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 10497 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 10575 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 10498 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<10> | 10583 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<10> | 10506 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 10584 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 10507 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 10585 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 10508 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 10586 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 10509 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 10587 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<5> | 10510 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 10588 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<6> | 10511 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 10589 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<7> | 10512 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<8> | 10590 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<8> | 10513 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<9> | 10591 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<9> | 10514 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10654 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 10577 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10670 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 10591 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10494 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimer<11> | 10597 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.Q | cnt/LTimer<11> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<11> | 10520 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.Q | cnt/LTimer<11> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cnt/LTimer<11>.SI | cnt/LTimer<11> | 0 | 17 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<11> | 10597 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.Q | cnt/LTimer<11> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<11> | 10520 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.Q | cnt/LTimer<11> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10628 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd1 | 10551 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10629 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd2 | 10552 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 10573 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +NODE | RefUrg | 10496 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 10574 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 10497 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 10575 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 10498 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<10> | 10583 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<10> | 10506 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 10584 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 10507 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 10585 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 10508 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 10586 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 10509 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 10587 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<5> | 10510 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 10588 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<6> | 10511 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 10589 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<7> | 10512 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<8> | 10590 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<8> | 10513 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<9> | 10591 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<9> | 10514 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10654 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 10577 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10670 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 10591 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimer<11>.D1 | 10904 | ? | 0 | 4096 | cnt/LTimer<11> | NULL | NULL | cnt/LTimer<11>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer<11>.D1 | 10825 | ? | 0 | 4096 | cnt/LTimer<11> | NULL | NULL | cnt/LTimer<11>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimer<11>.D2 | 10905 | ? | 0 | 4096 | cnt/LTimer<11> | NULL | NULL | cnt/LTimer<11>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/LTimer<11>.D2 | 10826 | ? | 0 | 4096 | cnt/LTimer<11> | NULL | NULL | cnt/LTimer<11>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 3 | IV_TRUE | cnt/LTimer<11> | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 SPPTERM | 15 | IV_TRUE | RefUrg | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<10> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/LTimer<7> | IV_TRUE | cnt/LTimer<8> | IV_TRUE | cnt/LTimer<9> | IV_FALSE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SPPTERM | 15 | IV_TRUE | RefUrg | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<10> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/LTimer<7> | IV_TRUE | cnt/LTimer<8> | IV_TRUE | cnt/LTimer<9> | IV_TRUE | cnt/IS_FSM_FFd2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SRFF_INSTANCE | cnt/LTimer<11>.REG | cnt/LTimer<11> | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimer<11>.D | 10903 | ? | 0 | 0 | cnt/LTimer<11> | NULL | NULL | cnt/LTimer<11>.XOR | 0 | 7 | ALU_F +NODE | cnt/LTimer<11>.D | 10824 | ? | 0 | 0 | cnt/LTimer<11> | NULL | NULL | cnt/LTimer<11>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10494 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimer<11>.Q | 10906 | ? | 0 | 0 | cnt/LTimer<11> | NULL | NULL | cnt/LTimer<11>.REG | 0 | 8 | SRFF_Q +NODE | cnt/LTimer<11>.Q | 10827 | ? | 0 | 0 | cnt/LTimer<11> | NULL | NULL | cnt/LTimer<11>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/Timer<3> | WarpSE_COPY_0_COPY_0 | 2155877376 | 8 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<0> | 10576 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM +NODE | cnt/Timer<0> | 10499 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<1> | 10592 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM +NODE | cnt/Timer<1> | 10515 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<2> | 10593 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM +NODE | cnt/Timer<2> | 10516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10654 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 10577 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10670 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 10591 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 10573 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +NODE | RefUrg | 10496 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<3> | 10598 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<3>.Q | cnt/Timer<3> | 1 | 0 | MC_UIM +NODE | cnt/Timer<3> | 10521 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<3>.Q | cnt/Timer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10494 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/Timer<3> | 10598 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<3>.Q | cnt/Timer<3> | 1 | 0 | MC_UIM +NODE | cnt/Timer<3> | 10521 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<3>.Q | cnt/Timer<3> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cnt/Timer<3>.SI | cnt/Timer<3> | 0 | 7 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<0> | 10576 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM +NODE | cnt/Timer<0> | 10499 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<1> | 10592 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM +NODE | cnt/Timer<1> | 10515 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<2> | 10593 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM +NODE | cnt/Timer<2> | 10516 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10654 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 10577 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10670 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 10591 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 10573 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +NODE | RefUrg | 10496 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<3> | 10598 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<3>.Q | cnt/Timer<3> | 1 | 0 | MC_UIM +NODE | cnt/Timer<3> | 10521 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<3>.Q | cnt/Timer<3> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/Timer<3>.D1 | 10908 | ? | 0 | 4096 | cnt/Timer<3> | NULL | NULL | cnt/Timer<3>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/Timer<3>.D1 | 10829 | ? | 0 | 4096 | cnt/Timer<3> | NULL | NULL | cnt/Timer<3>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/Timer<3>.D2 | 10909 | ? | 0 | 4096 | cnt/Timer<3> | NULL | NULL | cnt/Timer<3>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/Timer<3>.D2 | 10830 | ? | 0 | 4096 | cnt/Timer<3> | NULL | NULL | cnt/Timer<3>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 4 | IV_TRUE | RefUrg | IV_TRUE | cnt/Timer<3> | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SPPTERM | 4 | IV_FALSE | RefUrg | IV_TRUE | cnt/Timer<0> | IV_TRUE | cnt/Timer<1> | IV_TRUE | cnt/Timer<2> SPPTERM | 4 | IV_TRUE | cnt/Timer<0> | IV_TRUE | cnt/Timer<1> | IV_TRUE | cnt/Timer<2> | IV_TRUE | cnt/Er<0> SPPTERM | 4 | IV_TRUE | cnt/Timer<0> | IV_TRUE | cnt/Timer<1> | IV_TRUE | cnt/Timer<2> | IV_FALSE | cnt/Er<1> OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | cnt/Timer<3>.CE | 10910 | ? | 0 | 4096 | cnt/Timer<3> | NULL | NULL | cnt/Timer<3>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/Timer<3>.CE | 10831 | ? | 0 | 4096 | cnt/Timer<3> | NULL | NULL | cnt/Timer<3>.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SRFF_INSTANCE | cnt/Timer<3>.REG | cnt/Timer<3> | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/Timer<3>.D | 10907 | ? | 0 | 0 | cnt/Timer<3> | NULL | NULL | cnt/Timer<3>.XOR | 0 | 7 | ALU_F +NODE | cnt/Timer<3>.D | 10828 | ? | 0 | 0 | cnt/Timer<3> | NULL | NULL | cnt/Timer<3>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10494 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | cnt/Timer<3>.CE | 10910 | ? | 0 | 4096 | cnt/Timer<3> | NULL | NULL | cnt/Timer<3>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/Timer<3>.CE | 10831 | ? | 0 | 4096 | cnt/Timer<3> | NULL | NULL | cnt/Timer<3>.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/Timer<3>.Q | 10911 | ? | 0 | 0 | cnt/Timer<3> | NULL | NULL | cnt/Timer<3>.REG | 0 | 8 | SRFF_Q +NODE | cnt/Timer<3>.Q | 10832 | ? | 0 | 0 | cnt/Timer<3> | NULL | NULL | cnt/Timer<3>.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cs/nOverlay | WarpSE_COPY_0_COPY_0 | 2155877376 | 6 | 1 +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cs/nOverlay | WarpSE_COPY_0_COPY_0 | 2155877376 | 20 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | N01 | 10580 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | N01 | 0 | 5 | II_IMUX +NODE | N01 | 10503 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | N01 | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 10599 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 10522 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10531 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10673 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10593 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/ODCSr | 10672 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/ODCSr.Q | cs/ODCSr | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 10464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | IONPReady | 10525 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.Q | IONPReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RAMReady | 10575 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RAMReady.Q | RAMReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 10463 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 10494 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 10465 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 10466 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 10467 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 10468 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 10469 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 10470 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 10472 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 10523 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 10628 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 10491 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/Once.EXP | 11232 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/Once.EXP | ram/Once | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cs/nOverlay | 10599 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 10522 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | cs/nOverlay.EXP | 11233 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.EXP | cs/nOverlay | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | cs/nOverlay.SI | cs/nOverlay | 0 | 5 | 2 +SIGNAL_INSTANCE | cs/nOverlay.SI | cs/nOverlay | 0 | 19 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | N01 | 10580 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | N01 | 0 | 5 | II_IMUX +NODE | N01 | 10503 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | N01 | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 10599 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 10522 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10531 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10673 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10593 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/ODCSr | 10672 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/ODCSr.Q | cs/ODCSr | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 10464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IONPReady | 10525 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.Q | IONPReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RAMReady | 10575 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RAMReady.Q | RAMReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 10463 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 10465 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 10466 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 10467 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 10468 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 10469 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 10470 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 10472 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 10523 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 10628 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 10491 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/Once.EXP | 11232 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/Once.EXP | ram/Once | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cs/nOverlay.D1 | 10913 | ? | 0 | 4096 | cs/nOverlay | NULL | NULL | cs/nOverlay.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cs/nOverlay.D1 | 10834 | ? | 0 | 4096 | cs/nOverlay | NULL | NULL | cs/nOverlay.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cs/nOverlay.D2 | 10914 | ? | 0 | 4096 | cs/nOverlay | NULL | NULL | cs/nOverlay.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cs/nOverlay.D2 | 10835 | ? | 0 | 4096 | cs/nOverlay | NULL | NULL | cs/nOverlay.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | ram/Once.EXP SPPTERM | 4 | IV_FALSE | N01 | IV_TRUE | cs/nOverlay | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf -SPPTERM | 5 | IV_TRUE | N01 | IV_FALSE | cs/nOverlay | IV_TRUE | nAS_FSB_IBUF | IV_TRUE | cs/ODCSr | IV_FALSE | fsb/ASrf +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | cs/nOverlay.EXP | 11224 | ? | 0 | 0 | cs/nOverlay | NULL | NULL | cs/nOverlay.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 3 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | IONPReady | IV_FALSE | RAMReady +SPPTERM | 8 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF +SPPTERM | 10 | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | IONPReady | IV_FALSE | ALE1 +SPPTERM | 10 | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | IONPReady | IV_FALSE | ALE1 SRFF_INSTANCE | cs/nOverlay.REG | cs/nOverlay | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cs/nOverlay.D | 10912 | ? | 0 | 0 | cs/nOverlay | NULL | NULL | cs/nOverlay.XOR | 0 | 7 | ALU_F +NODE | cs/nOverlay.D | 10833 | ? | 0 | 0 | cs/nOverlay | NULL | NULL | cs/nOverlay.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10494 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cs/nOverlay.Q | 10915 | ? | 0 | 0 | cs/nOverlay | NULL | NULL | cs/nOverlay.REG | 0 | 8 | SRFF_Q +NODE | cs/nOverlay.Q | 10836 | ? | 0 | 0 | cs/nOverlay | NULL | NULL | cs/nOverlay.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | iobs/IORW1 | WarpSE_COPY_0_COPY_0 | 2155877376 | 19 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10463 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10465 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10466 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10544 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 10467 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10545 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 10468 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10546 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 10469 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10547 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 10470 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 10568 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 10491 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 10577 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | iobs/Sent | 10500 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10600 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10523 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW1 | 10601 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM +NODE | iobs/IORW1 | 10524 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10531 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10618 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 10707 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 10628 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 10549 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 10472 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 10617 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1.EXP | 11390 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.EXP | cnt/IS_FSM_FFd1 | 4 | 0 | MC_EXPORT +NODE | cnt/IS_FSM_FFd1.EXP | 11303 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.EXP | cnt/IS_FSM_FFd1 | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10494 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/IORW1 | 10601 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM +NODE | iobs/IORW1 | 10524 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | iobs/IORW1.EXP | 11391 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.EXP | iobs/IORW1 | 4 | 0 | MC_EXPORT +NODE | iobs/IORW1.EXP | 11304 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.EXP | iobs/IORW1 | 4 | 0 | MC_EXPORT SIGNAL_INSTANCE | iobs/IORW1.SI | iobs/IORW1 | 0 | 18 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10463 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10465 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10466 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10544 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 10467 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10545 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 10468 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10546 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 10469 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10547 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 10470 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 10568 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 10491 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 10577 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | iobs/Sent | 10500 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10600 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10523 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW1 | 10601 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM +NODE | iobs/IORW1 | 10524 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10531 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10618 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 10707 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 10628 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 10549 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 10472 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 10617 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1.EXP | 11390 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.EXP | cnt/IS_FSM_FFd1 | 4 | 0 | MC_EXPORT +NODE | cnt/IS_FSM_FFd1.EXP | 11303 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.EXP | cnt/IS_FSM_FFd1 | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/IORW1.D1 | 10917 | ? | 0 | 4096 | iobs/IORW1 | NULL | NULL | iobs/IORW1.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/IORW1.D1 | 10838 | ? | 0 | 4096 | iobs/IORW1 | NULL | NULL | iobs/IORW1.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/IORW1.D2 | 10918 | ? | 0 | 4096 | iobs/IORW1 | NULL | NULL | iobs/IORW1.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/IORW1.D2 | 10839 | ? | 0 | 4096 | iobs/IORW1 | NULL | NULL | iobs/IORW1.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | cnt/IS_FSM_FFd1.EXP SPPTERM | 15 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | iobs/IORW1 | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | iobs/TS_FSM_FFd1 | IV_TRUE | ALE1 SPPTERM | 15 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | iobs/IORW1 | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 SPPTERM | 15 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | iobs/IORW1 | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | iobs/TS_FSM_FFd1 | IV_TRUE | ALE1 SPPTERM | 15 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | iobs/IORW1 | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | iobs/IORW1.EXP | 11376 | ? | 0 | 0 | iobs/IORW1 | NULL | NULL | iobs/IORW1.SI | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | iobs/IORW1.EXP | 11289 | ? | 0 | 0 | iobs/IORW1 | NULL | NULL | iobs/IORW1.SI | 7 | 9 | MC_SI_EXPORT SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_13_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 SRFF_INSTANCE | iobs/IORW1.REG | iobs/IORW1 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/IORW1.D | 10916 | ? | 0 | 0 | iobs/IORW1 | NULL | NULL | iobs/IORW1.XOR | 0 | 7 | ALU_F +NODE | iobs/IORW1.D | 10837 | ? | 0 | 0 | iobs/IORW1 | NULL | NULL | iobs/IORW1.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10494 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/IORW1.Q | 10919 | ? | 0 | 0 | iobs/IORW1 | NULL | NULL | iobs/IORW1.REG | 0 | 8 | SRFF_Q +NODE | iobs/IORW1.Q | 10840 | ? | 0 | 0 | iobs/IORW1 | NULL | NULL | iobs/IORW1.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | IONPReady | WarpSE_COPY_0_COPY_0 | 2155873536 | 25 | 2 +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | IONPReady | WarpSE_COPY_0_COPY_0 | 2155873536 | 24 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10463 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10465 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10466 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10544 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 10467 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10545 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 10468 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10546 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 10469 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10547 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 10470 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 10568 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 10491 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10600 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10523 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IONPReady | 10602 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.Q | IONPReady | 1 | 0 | MC_UIM +NODE | IONPReady | 10525 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.Q | IONPReady | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 10548 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_15_IBUF | 10471 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 10549 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 10472 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 10550 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_12_IBUF | 10473 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 10569 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_11_IBUF | 10492 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 10570 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_10_IBUF | 10493 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10494 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | cnt/IS_FSM_FFd1 | 10551 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10628 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd2 | 10552 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10629 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | A_FSB_9_IBUF | 10588 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 10666 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +NODE | fsb/ASrf | 10593 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM | 10751 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.Q | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | 1 | 0 | MC_UIM +NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM | 10672 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.Q | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 10667 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_8_IBUF | 10589 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10673 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<1>.EXP | 11338 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<1>.EXP | cnt/WS<1> | 4 | 0 | MC_EXPORT +NODE | cnt/WS<1>.EXP | 11251 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<1>.EXP | cnt/WS<1> | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | IONPReady | 10602 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.Q | IONPReady | 1 | 0 | MC_UIM +NODE | IONPReady | 10525 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.Q | IONPReady | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | IONPReady.EXP | 11339 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.EXP | IONPReady | 4 | 0 | MC_EXPORT +NODE | IONPReady.EXP | 11252 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.EXP | IONPReady | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | IONPReady.SI | IONPReady | 0 | 24 | 3 +SIGNAL_INSTANCE | IONPReady.SI | IONPReady | 0 | 23 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10463 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10465 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10466 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10544 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 10467 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10545 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 10468 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10546 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 10469 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10547 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 10470 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 10568 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 10491 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10600 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10523 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IONPReady | 10602 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.Q | IONPReady | 1 | 0 | MC_UIM +NODE | IONPReady | 10525 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.Q | IONPReady | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 10548 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_15_IBUF | 10471 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 10549 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 10472 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 10550 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_12_IBUF | 10473 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 10569 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_11_IBUF | 10492 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 10570 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_10_IBUF | 10493 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | cnt/IS_FSM_FFd1 | 10551 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10628 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd2 | 10552 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10629 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | A_FSB_9_IBUF | 10588 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 10666 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +NODE | fsb/ASrf | 10593 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM | 10751 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.Q | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | 1 | 0 | MC_UIM +NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM | 10672 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.Q | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 10667 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_8_IBUF | 10589 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10673 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<1>.EXP | 11338 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<1>.EXP | cnt/WS<1> | 4 | 0 | MC_EXPORT +NODE | cnt/WS<1>.EXP | 11251 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<1>.EXP | cnt/WS<1> | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | IONPReady.D1 | 10921 | ? | 0 | 4096 | IONPReady | NULL | NULL | IONPReady.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | IONPReady.D1 | 10842 | ? | 0 | 4096 | IONPReady | NULL | NULL | IONPReady.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | IONPReady.D2 | 10922 | ? | 0 | 4096 | IONPReady | NULL | NULL | IONPReady.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | IONPReady.D2 | 10843 | ? | 0 | 4096 | IONPReady | NULL | NULL | IONPReady.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | cnt/WS<1>.EXP SPPTERM | 11 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | IONPReady OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | IONPReady.EXP | 11331 | ? | 0 | 0 | IONPReady | NULL | NULL | IONPReady.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 20 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM +SIGNAL | NODE | IONPReady.EXP | 11244 | ? | 0 | 0 | IONPReady | NULL | NULL | IONPReady.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 20 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | fsb/ASrf | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM SPPTERM | 20 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | fsb/ASrf | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM -SPPTERM | 20 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM +SPPTERM | 20 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | fsb/ASrf | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM SPPTERM | 20 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | fsb/ASrf | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM SRFF_INSTANCE | IONPReady.REG | IONPReady | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | IONPReady.D | 10920 | ? | 0 | 0 | IONPReady | NULL | NULL | IONPReady.XOR | 0 | 7 | ALU_F +NODE | IONPReady.D | 10841 | ? | 0 | 0 | IONPReady | NULL | NULL | IONPReady.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10494 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | IONPReady.Q | 10923 | ? | 0 | 0 | IONPReady | NULL | NULL | IONPReady.REG | 0 | 8 | SRFF_Q +NODE | IONPReady.Q | 10844 | ? | 0 | 0 | IONPReady | NULL | NULL | IONPReady.REG | 0 | 8 | SRFF_Q INPUT_INSTANCE | 0 | 0 | NULL | nLDS_FSB_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nLDS_FSB | 10773 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | nLDS_FSB | 10694 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | nLDS_FSB_IBUF | 10603 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nLDS_FSB_IBUF | 10526 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/IOL1 | WarpSE_COPY_0_COPY_0 | 2155873280 | 3 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nLDS_FSB_IBUF | 10603 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nLDS_FSB_IBUF | 10526 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Load1 | 10633 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM +NODE | iobs/Load1 | 10561 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10494 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/IOL1 | 10604 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOL1.Q | iobs/IOL1 | 1 | 0 | MC_UIM +NODE | iobs/IOL1 | 10527 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOL1.Q | iobs/IOL1 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobs/IOL1.SI | iobs/IOL1 | 0 | 2 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nLDS_FSB_IBUF | 10603 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nLDS_FSB_IBUF | 10526 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Load1 | 10633 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM +NODE | iobs/Load1 | 10561 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/IOL1.D1 | 10925 | ? | 0 | 4096 | iobs/IOL1 | NULL | NULL | iobs/IOL1.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/IOL1.D1 | 10846 | ? | 0 | 4096 | iobs/IOL1 | NULL | NULL | iobs/IOL1.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/IOL1.D2 | 10926 | ? | 0 | 4096 | iobs/IOL1 | NULL | NULL | iobs/IOL1.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/IOL1.D2 | 10847 | ? | 0 | 4096 | iobs/IOL1 | NULL | NULL | iobs/IOL1.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_FALSE | nLDS_FSB_IBUF OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | iobs/IOL1.CE | 10927 | ? | 0 | 4096 | iobs/IOL1 | NULL | NULL | iobs/IOL1.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | iobs/IOL1.CE | 10848 | ? | 0 | 4096 | iobs/IOL1 | NULL | NULL | iobs/IOL1.SI | 10 | 9 | MC_SI_CE SPPTERM | 1 | IV_TRUE | iobs/Load1 SRFF_INSTANCE | iobs/IOL1.REG | iobs/IOL1 | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/IOL1.D | 10924 | ? | 0 | 0 | iobs/IOL1 | NULL | NULL | iobs/IOL1.XOR | 0 | 7 | ALU_F +NODE | iobs/IOL1.D | 10845 | ? | 0 | 0 | iobs/IOL1 | NULL | NULL | iobs/IOL1.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10494 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | iobs/IOL1.CE | 10927 | ? | 0 | 4096 | iobs/IOL1 | NULL | NULL | iobs/IOL1.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | iobs/IOL1.CE | 10848 | ? | 0 | 4096 | iobs/IOL1 | NULL | NULL | iobs/IOL1.SI | 10 | 9 | MC_SI_CE SPPTERM | 1 | IV_TRUE | iobs/Load1 OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/IOL1.Q | 10928 | ? | 0 | 0 | iobs/IOL1 | NULL | NULL | iobs/IOL1.REG | 0 | 8 | SRFF_Q +NODE | iobs/IOL1.Q | 10849 | ? | 0 | 0 | iobs/IOL1 | NULL | NULL | iobs/IOL1.REG | 0 | 8 | SRFF_Q INPUT_INSTANCE | 0 | 0 | NULL | nUDS_FSB_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nUDS_FSB | 10774 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | nUDS_FSB | 10695 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | nUDS_FSB_IBUF | 10605 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nUDS_FSB_IBUF | 10528 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/IOU1 | WarpSE_COPY_0_COPY_0 | 2155873280 | 3 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nUDS_FSB_IBUF | 10605 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nUDS_FSB_IBUF | 10528 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Load1 | 10633 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM +NODE | iobs/Load1 | 10561 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10494 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/IOU1 | 10606 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOU1.Q | iobs/IOU1 | 1 | 0 | MC_UIM +NODE | iobs/IOU1 | 10529 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOU1.Q | iobs/IOU1 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobs/IOU1.SI | iobs/IOU1 | 0 | 2 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nUDS_FSB_IBUF | 10605 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nUDS_FSB_IBUF | 10528 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Load1 | 10633 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM +NODE | iobs/Load1 | 10561 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/IOU1.D1 | 10930 | ? | 0 | 4096 | iobs/IOU1 | NULL | NULL | iobs/IOU1.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/IOU1.D1 | 10851 | ? | 0 | 4096 | iobs/IOU1 | NULL | NULL | iobs/IOU1.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/IOU1.D2 | 10931 | ? | 0 | 4096 | iobs/IOU1 | NULL | NULL | iobs/IOU1.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/IOU1.D2 | 10852 | ? | 0 | 4096 | iobs/IOU1 | NULL | NULL | iobs/IOU1.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_FALSE | nUDS_FSB_IBUF OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | iobs/IOU1.CE | 10932 | ? | 0 | 4096 | iobs/IOU1 | NULL | NULL | iobs/IOU1.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | iobs/IOU1.CE | 10853 | ? | 0 | 4096 | iobs/IOU1 | NULL | NULL | iobs/IOU1.SI | 10 | 9 | MC_SI_CE SPPTERM | 1 | IV_TRUE | iobs/Load1 SRFF_INSTANCE | iobs/IOU1.REG | iobs/IOU1 | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/IOU1.D | 10929 | ? | 0 | 0 | iobs/IOU1 | NULL | NULL | iobs/IOU1.XOR | 0 | 7 | ALU_F +NODE | iobs/IOU1.D | 10850 | ? | 0 | 0 | iobs/IOU1 | NULL | NULL | iobs/IOU1.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10494 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | iobs/IOU1.CE | 10932 | ? | 0 | 4096 | iobs/IOU1 | NULL | NULL | iobs/IOU1.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | iobs/IOU1.CE | 10853 | ? | 0 | 4096 | iobs/IOU1 | NULL | NULL | iobs/IOU1.SI | 10 | 9 | MC_SI_CE SPPTERM | 1 | IV_TRUE | iobs/Load1 OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/IOU1.Q | 10933 | ? | 0 | 0 | iobs/IOU1 | NULL | NULL | iobs/IOU1.REG | 0 | 8 | SRFF_Q +NODE | iobs/IOU1.Q | 10854 | ? | 0 | 0 | iobs/IOU1 | NULL | NULL | iobs/IOU1.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | ram/Once | WarpSE_COPY_0_COPY_0 | 2155877376 | 19 | 2 +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | ram/Once | WarpSE_COPY_0_COPY_0 | 2155877376 | 11 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once | 10607 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +NODE | ram/Once | 10530 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10531 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10673 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10593 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10463 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IONPReady | 10602 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.Q | IONPReady | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 10464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RAMReady | 10652 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RAMReady.Q | RAMReady | 1 | 0 | MC_UIM +NODE | ram/RAMEN | 10517 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | cs/nOverlay | 10522 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd8 | 10547 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10494 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10465 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10544 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10545 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10546 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10547 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 10549 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10600 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOPWReady | 10669 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOPWReady.Q | IOPWReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 10568 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL.EXP | 11319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.EXP | ram/RASEL | 4 | 0 | MC_EXPORT +NODE | A_FSB_20_IBUF | 10466 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/Once | 10607 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +NODE | ram/Once | 10530 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | ram/Once.EXP | 11320 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/Once.EXP | ram/Once | 4 | 0 | MC_EXPORT +NODE | ram/Once.EXP | 11232 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/Once.EXP | ram/Once | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | ram/Once.SI | ram/Once | 0 | 18 | 3 +SIGNAL_INSTANCE | ram/Once.SI | ram/Once | 0 | 10 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once | 10607 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +NODE | ram/Once | 10530 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10531 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10673 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10593 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10463 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IONPReady | 10602 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.Q | IONPReady | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 10464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RAMReady | 10652 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RAMReady.Q | RAMReady | 1 | 0 | MC_UIM +NODE | ram/RAMEN | 10517 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | cs/nOverlay | 10522 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd8 | 10547 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10465 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10544 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10545 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10546 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10547 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 10549 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10600 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOPWReady | 10669 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOPWReady.Q | IOPWReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 10568 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL.EXP | 11319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.EXP | ram/RASEL | 4 | 0 | MC_EXPORT +NODE | A_FSB_20_IBUF | 10466 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/Once.D1 | 10935 | ? | 0 | 4096 | ram/Once | NULL | NULL | ram/Once.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/Once.D1 | 10856 | ? | 0 | 4096 | ram/Once | NULL | NULL | ram/Once.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/Once.D2 | 10936 | ? | 0 | 4096 | ram/Once | NULL | NULL | ram/Once.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | ram/RASEL.EXP +SIGNAL | NODE | ram/Once.D2 | 10857 | ? | 0 | 4096 | ram/Once | NULL | NULL | ram/Once.SI | 2 | 9 | MC_SI_D2 SPPTERM | 3 | IV_TRUE | ram/Once | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | ram/RAMEN | IV_TRUE | cs/nOverlay | IV_FALSE | ram/Once | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd8 +SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | ram/RAMEN | IV_TRUE | cs/nOverlay | IV_FALSE | ram/Once | IV_TRUE | ram/RS_FSM_FFd8 | IV_TRUE | fsb/ASrf OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | ram/Once.EXP | 11311 | ? | 0 | 0 | ram/Once | NULL | NULL | ram/Once.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 3 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | IONPReady | IV_FALSE | RAMReady -SPPTERM | 8 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF -SPPTERM | 10 | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | IONPReady | IV_FALSE | IOPWReady -SPPTERM | 10 | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | IONPReady | IV_FALSE | IOPWReady +SIGNAL | NODE | ram/Once.EXP | 11223 | ? | 0 | 0 | ram/Once | NULL | NULL | ram/Once.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | cs/nOverlay | IV_FALSE | nAS_FSB_IBUF +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | cs/nOverlay | IV_TRUE | fsb/ASrf SRFF_INSTANCE | ram/Once.REG | ram/Once | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/Once.D | 10934 | ? | 0 | 0 | ram/Once | NULL | NULL | ram/Once.XOR | 0 | 7 | ALU_F +NODE | ram/Once.D | 10855 | ? | 0 | 0 | ram/Once | NULL | NULL | ram/Once.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10494 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/Once.Q | 10937 | ? | 0 | 0 | ram/Once | NULL | NULL | ram/Once.REG | 0 | 8 | SRFF_Q +NODE | ram/Once.Q | 10858 | ? | 0 | 0 | ram/Once | NULL | NULL | ram/Once.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | nBERR_FSB_OBUF | WarpSE_COPY_0_COPY_0 | 2155873280 | 16 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10531 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10673 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10593 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 10577 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | iobs/Sent | 10500 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_FSB_OBUF.UIM | 10610 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.Q | nBERR_FSB_OBUF | 1 | 0 | MC_UIM +NODE | nBERR_FSB_OBUF.UIM | 10533 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.Q | nBERR_FSB_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10463 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10494 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10544 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 10467 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10618 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 10707 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 10628 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 10549 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 10472 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 10568 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 10491 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10465 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10466 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 10599 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 10522 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP18_.EXP | 11386 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP18_.EXP | EXP18_ | 4 | 0 | MC_EXPORT +NODE | EXP19_.EXP | 11299 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP19_.EXP | EXP19_ | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nBERR_FSB_OBUF | 10609 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.Q | nBERR_FSB_OBUF | 0 | 0 | MC_Q +NODE | nBERR_FSB_OBUF | 10532 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.Q | nBERR_FSB_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | nBERR_FSB_OBUF.UIM | 10610 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.Q | nBERR_FSB_OBUF | 1 | 0 | MC_UIM +NODE | nBERR_FSB_OBUF.UIM | 10533 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.Q | nBERR_FSB_OBUF | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | nBERR_FSB_OBUF.EXP | 11387 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.EXP | nBERR_FSB_OBUF | 4 | 0 | MC_EXPORT +NODE | nBERR_FSB_OBUF.EXP | 11300 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.EXP | nBERR_FSB_OBUF | 4 | 0 | MC_EXPORT SIGNAL_INSTANCE | nBERR_FSB_OBUF.SI | nBERR_FSB_OBUF | 0 | 15 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10531 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10673 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10593 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 10577 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | iobs/Sent | 10500 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_FSB_OBUF.UIM | 10610 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.Q | nBERR_FSB_OBUF | 1 | 0 | MC_UIM +NODE | nBERR_FSB_OBUF.UIM | 10533 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.Q | nBERR_FSB_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10463 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10544 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 10467 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10618 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 10707 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 10628 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 10549 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 10472 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 10568 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 10491 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10465 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10466 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 10599 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 10522 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP18_.EXP | 11386 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP18_.EXP | EXP18_ | 4 | 0 | MC_EXPORT +NODE | EXP19_.EXP | 11299 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP19_.EXP | EXP19_ | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nBERR_FSB_OBUF.D1 | 10939 | ? | 0 | 4096 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nBERR_FSB_OBUF.D1 | 10860 | ? | 0 | 4096 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nBERR_FSB_OBUF.D2 | 10940 | ? | 0 | 4096 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | EXP18_.EXP +SIGNAL | NODE | nBERR_FSB_OBUF.D2 | 10861 | ? | 0 | 4096 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | EXP19_.EXP SPPTERM | 2 | IV_FALSE | iobs/Sent | IV_TRUE | nBERR_FSB_OBUF.UIM SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | nBERR_FSB_OBUF.EXP | 11372 | ? | 0 | 0 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | nBERR_FSB_OBUF.EXP | 11285 | ? | 0 | 0 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.SI | 7 | 9 | MC_SI_EXPORT SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_19_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_13_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 SRFF_INSTANCE | nBERR_FSB_OBUF.REG | nBERR_FSB_OBUF | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nBERR_FSB_OBUF.D | 10938 | ? | 0 | 0 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.XOR | 0 | 7 | ALU_F +NODE | nBERR_FSB_OBUF.D | 10859 | ? | 0 | 0 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10494 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nBERR_FSB_OBUF.Q | 10941 | ? | 0 | 0 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nBERR_FSB_OBUF.Q | 10862 | ? | 0 | 0 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | nVPA_FSB_OBUF | WarpSE_COPY_0_COPY_0 | 2155873536 | 13 | 1 +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | nVPA_FSB_OBUF | WarpSE_COPY_0_COPY_0 | 2155873536 | 12 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10463 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10465 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10466 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10544 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 10467 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10545 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 10468 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10546 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 10469 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10547 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 10470 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IONPReady | 10602 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.Q | IONPReady | 1 | 0 | MC_UIM +NODE | IONPReady | 10525 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.Q | IONPReady | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10531 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | QoSReady | 10651 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | QoSReady.Q | QoSReady | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10593 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10673 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10494 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nVPA_FSB_OBUF | 10611 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVPA_FSB_OBUF.Q | nVPA_FSB_OBUF | 0 | 0 | MC_Q +NODE | nVPA_FSB_OBUF | 10534 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVPA_FSB_OBUF.Q | nVPA_FSB_OBUF | 0 | 0 | MC_Q -SIGNAL_INSTANCE | nVPA_FSB_OBUF.SI | nVPA_FSB_OBUF | 0 | 12 | 3 +SIGNAL_INSTANCE | nVPA_FSB_OBUF.SI | nVPA_FSB_OBUF | 0 | 11 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10463 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10465 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10466 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10544 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 10467 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10545 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 10468 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10546 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 10469 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10547 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 10470 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IONPReady | 10602 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.Q | IONPReady | 1 | 0 | MC_UIM +NODE | IONPReady | 10525 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.Q | IONPReady | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10531 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | QoSReady | 10651 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | QoSReady.Q | QoSReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10673 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10593 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nVPA_FSB_OBUF.D1 | 10943 | ? | 0 | 4096 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nVPA_FSB_OBUF.D1 | 10864 | ? | 0 | 4096 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nVPA_FSB_OBUF.D2 | 10944 | ? | 0 | 4096 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 11 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | IONPReady | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | QoSReady -SPPTERM | 11 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | IONPReady | IV_TRUE | QoSReady | IV_TRUE | fsb/ASrf +SIGNAL | NODE | nVPA_FSB_OBUF.D2 | 10865 | ? | 0 | 4096 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 10 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | IONPReady | IV_FALSE | nAS_FSB_IBUF +SPPTERM | 10 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | IONPReady | IV_TRUE | fsb/ASrf OUTPUT_NODE_TYPE | 5 | 9 | MC_SI_SETF -SIGNAL | NODE | nVPA_FSB_OBUF.SETF | 10945 | ? | 0 | 4096 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.SI | 5 | 9 | MC_SI_SETF +SIGNAL | NODE | nVPA_FSB_OBUF.SETF | 10866 | ? | 0 | 4096 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.SI | 5 | 9 | MC_SI_SETF SPPTERM | 1 | IV_TRUE | nAS_FSB_IBUF SRFF_INSTANCE | nVPA_FSB_OBUF.REG | nVPA_FSB_OBUF | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nVPA_FSB_OBUF.D | 10942 | ? | 0 | 0 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.XOR | 0 | 7 | ALU_F +NODE | nVPA_FSB_OBUF.D | 10863 | ? | 0 | 0 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10494 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 2 | 8 | SRFF_S -SIGNAL | NODE | nVPA_FSB_OBUF.SETF | 10945 | ? | 0 | 4096 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.SI | 5 | 9 | MC_SI_SETF +SIGNAL | NODE | nVPA_FSB_OBUF.SETF | 10866 | ? | 0 | 4096 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.SI | 5 | 9 | MC_SI_SETF SPPTERM | 1 | IV_TRUE | nAS_FSB_IBUF OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nVPA_FSB_OBUF.Q | 10946 | ? | 0 | 0 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nVPA_FSB_OBUF.Q | 10867 | ? | 0 | 0 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | Inv+OptxMapped | nRAS_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 13 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10463 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10544 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 10467 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10618 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 10707 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 10628 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10545 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 10468 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10546 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 10469 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 10549 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 10472 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 10568 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 10491 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10465 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10466 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 10599 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 10522 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nRAMLWE_OBUF.EXP | 11382 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMLWE_OBUF.EXP | nRAMLWE_OBUF | 4 | 0 | MC_EXPORT +NODE | nRAMLWE_OBUF.EXP | 11295 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMLWE_OBUF.EXP | nRAMLWE_OBUF | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nRAS_OBUF | 10612 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAS_OBUF.Q | nRAS_OBUF | 0 | 0 | MC_Q +NODE | nRAS_OBUF | 10535 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAS_OBUF.Q | nRAS_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | nRAS_OBUF.EXP | 11381 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAS_OBUF.EXP | nRAS_OBUF | 4 | 0 | MC_EXPORT +NODE | nRAS_OBUF.EXP | 11294 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAS_OBUF.EXP | nRAS_OBUF | 4 | 0 | MC_EXPORT SIGNAL_INSTANCE | nRAS_OBUF.SI | nRAS_OBUF | 0 | 13 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10463 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10544 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 10467 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10618 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 10707 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 10628 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10545 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 10468 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10546 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 10469 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 10549 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 10472 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 10568 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 10491 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10465 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10466 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 10599 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 10522 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nRAMLWE_OBUF.EXP | 11382 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMLWE_OBUF.EXP | nRAMLWE_OBUF | 4 | 0 | MC_EXPORT +NODE | nRAMLWE_OBUF.EXP | 11295 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMLWE_OBUF.EXP | nRAMLWE_OBUF | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nRAS_OBUF.D1 | 10948 | ? | 0 | 4096 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nRAS_OBUF.D1 | 10869 | ? | 0 | 4096 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nRAS_OBUF.D2 | 10949 | ? | 0 | 4096 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nRAS_OBUF.D2 | 10870 | ? | 0 | 4096 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | nRAMLWE_OBUF.EXP OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | nRAS_OBUF.EXP | 11366 | ? | 0 | 0 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | nRAS_OBUF.EXP | 11279 | ? | 0 | 0 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.SI | 7 | 9 | MC_SI_EXPORT SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_19_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_18_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_17_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 @@ -2923,788 +2838,778 @@ SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A SRFF_INSTANCE | nRAS_OBUF.REG | nRAS_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nRAS_OBUF.D | 10947 | ? | 0 | 0 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.XOR | 0 | 7 | ALU_F +NODE | nRAS_OBUF.D | 10868 | ? | 0 | 0 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nRAS_OBUF.Q | 10950 | ? | 0 | 0 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nRAS_OBUF.Q | 10871 | ? | 0 | 0 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | nBR_IOB_OBUF | WarpSE_COPY_0_COPY_0 | 2155877376 | 12 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBR_IOB_OBUF | 10614 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.Q | nBR_IOB_OBUF | 1 | 0 | MC_UIM +NODE | nBR_IOB_OBUF | 10537 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.Q | nBR_IOB_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10628 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd1 | 10551 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10629 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd2 | 10552 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/nIPL2r | 10657 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/nIPL2r.Q | cnt/nIPL2r | 1 | 0 | MC_UIM +NODE | cnt/nIPL2r | 10580 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/nIPL2r.Q | cnt/nIPL2r | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10494 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10463 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10545 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 10468 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10618 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 10707 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 10628 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10546 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 10469 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10547 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 10470 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nBR_IOB_OBUF$Q | 10613 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.Q | nBR_IOB_OBUF | 0 | 0 | MC_Q +NODE | nBR_IOB_OBUF$Q | 10536 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.Q | nBR_IOB_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | nBR_IOB_OBUF | 10614 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.Q | nBR_IOB_OBUF | 1 | 0 | MC_UIM +NODE | nBR_IOB_OBUF | 10537 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.Q | nBR_IOB_OBUF | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | nBR_IOB_OBUF.EXP | 11389 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.EXP | nBR_IOB_OBUF | 4 | 0 | MC_EXPORT +NODE | nBR_IOB_OBUF.EXP | 11302 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.EXP | nBR_IOB_OBUF | 4 | 0 | MC_EXPORT SIGNAL_INSTANCE | nBR_IOB_OBUF.SI | nBR_IOB_OBUF | 0 | 11 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBR_IOB_OBUF | 10614 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.Q | nBR_IOB_OBUF | 1 | 0 | MC_UIM +NODE | nBR_IOB_OBUF | 10537 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.Q | nBR_IOB_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10628 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd1 | 10551 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10629 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd2 | 10552 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/nIPL2r | 10657 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/nIPL2r.Q | cnt/nIPL2r | 1 | 0 | MC_UIM +NODE | cnt/nIPL2r | 10580 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/nIPL2r.Q | cnt/nIPL2r | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10463 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10545 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 10468 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10618 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 10707 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 10628 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10546 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 10469 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10547 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 10470 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nBR_IOB_OBUF.D1 | 10952 | ? | 0 | 4096 | nBR_IOB_OBUF | NULL | NULL | nBR_IOB_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nBR_IOB_OBUF.D1 | 10873 | ? | 0 | 4096 | nBR_IOB_OBUF | NULL | NULL | nBR_IOB_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nBR_IOB_OBUF.D2 | 10953 | ? | 0 | 4096 | nBR_IOB_OBUF | NULL | NULL | nBR_IOB_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nBR_IOB_OBUF.D2 | 10874 | ? | 0 | 4096 | nBR_IOB_OBUF | NULL | NULL | nBR_IOB_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 3 | IV_TRUE | nBR_IOB_OBUF | IV_FALSE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 SPPTERM | 4 | IV_FALSE | nBR_IOB_OBUF | IV_FALSE | cnt/IS_FSM_FFd1 | IV_TRUE | cnt/IS_FSM_FFd2 | IV_FALSE | cnt/nIPL2r OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | nBR_IOB_OBUF.EXP | 11374 | ? | 0 | 0 | nBR_IOB_OBUF | NULL | NULL | nBR_IOB_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | nBR_IOB_OBUF.EXP | 11287 | ? | 0 | 0 | nBR_IOB_OBUF | NULL | NULL | nBR_IOB_OBUF.SI | 7 | 9 | MC_SI_EXPORT SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_18_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_17_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_16_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 SRFF_INSTANCE | nBR_IOB_OBUF.REG | nBR_IOB_OBUF | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nBR_IOB_OBUF.D | 10951 | ? | 0 | 0 | nBR_IOB_OBUF | NULL | NULL | nBR_IOB_OBUF.XOR | 0 | 7 | ALU_F +NODE | nBR_IOB_OBUF.D | 10872 | ? | 0 | 0 | nBR_IOB_OBUF | NULL | NULL | nBR_IOB_OBUF.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10494 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nBR_IOB_OBUF.Q | 10954 | ? | 0 | 0 | nBR_IOB_OBUF | NULL | NULL | nBR_IOB_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nBR_IOB_OBUF.Q | 10875 | ? | 0 | 0 | nBR_IOB_OBUF | NULL | NULL | nBR_IOB_OBUF.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | OptxMapped | RA_11_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 3 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10544 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 10467 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 10616 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 10539 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10466 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_11_OBUF$Q | 10615 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_11_OBUF.Q | RA_11_OBUF | 0 | 0 | MC_Q +NODE | RA_11_OBUF$Q | 10538 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_11_OBUF.Q | RA_11_OBUF | 0 | 0 | MC_Q SIGNAL_INSTANCE | RA_11_OBUF.SI | RA_11_OBUF | 0 | 3 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10544 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 10467 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 10616 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 10539 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10466 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_11_OBUF.D1 | 10956 | ? | 0 | 4096 | RA_11_OBUF | NULL | NULL | RA_11_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_11_OBUF.D1 | 10877 | ? | 0 | 4096 | RA_11_OBUF | NULL | NULL | RA_11_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_11_OBUF.D2 | 10957 | ? | 0 | 4096 | RA_11_OBUF | NULL | NULL | RA_11_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_11_OBUF.D2 | 10878 | ? | 0 | 4096 | RA_11_OBUF | NULL | NULL | RA_11_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | ram/RASEL SPPTERM | 2 | IV_TRUE | A_FSB_19_IBUF | IV_FALSE | ram/RASEL SRFF_INSTANCE | RA_11_OBUF.REG | RA_11_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_11_OBUF.D | 10955 | ? | 0 | 0 | RA_11_OBUF | NULL | NULL | RA_11_OBUF.XOR | 0 | 7 | ALU_F +NODE | RA_11_OBUF.D | 10876 | ? | 0 | 0 | RA_11_OBUF | NULL | NULL | RA_11_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_11_OBUF.Q | 10958 | ? | 0 | 0 | RA_11_OBUF | NULL | NULL | RA_11_OBUF.REG | 0 | 8 | SRFF_Q +NODE | RA_11_OBUF.Q | 10879 | ? | 0 | 0 | RA_11_OBUF | NULL | NULL | RA_11_OBUF.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RASEL | WarpSE_COPY_0_COPY_0 | 2155873280 | 10 | 2 +MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RASEL | WarpSE_COPY_0_COPY_0 | 2155873280 | 9 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd7 | 10632 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd7 | 10555 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10463 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMEN | 10594 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM +NODE | ram/RAMEN | 10517 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 10599 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 10522 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10531 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd8 | 10624 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd8 | 10547 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10673 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10593 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once | 10607 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +NODE | FCLK_IBUF/FCLK | 10494 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RASEL | 10616 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | ram/RASEL.EXP | 11319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.EXP | ram/RASEL | 4 | 0 | MC_EXPORT +NODE | ram/RASEL | 10539 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | ram/RASEL.SI | ram/RASEL | 0 | 9 | 3 +SIGNAL_INSTANCE | ram/RASEL.SI | ram/RASEL | 0 | 8 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd7 | 10632 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd7 | 10555 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10463 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMEN | 10594 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM +NODE | ram/RAMEN | 10517 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 10599 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 10522 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10531 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd8 | 10624 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd8 | 10547 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10673 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once | 10607 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10593 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RASEL.D1 | 10960 | ? | 0 | 4096 | ram/RASEL | NULL | NULL | ram/RASEL.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RASEL.D1 | 10881 | ? | 0 | 4096 | ram/RASEL | NULL | NULL | ram/RASEL.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RASEL.D2 | 10961 | ? | 0 | 4096 | ram/RASEL | NULL | NULL | ram/RASEL.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/RASEL.D2 | 10882 | ? | 0 | 4096 | ram/RASEL | NULL | NULL | ram/RASEL.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | ram/RS_FSM_FFd7 SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | ram/RAMEN | IV_TRUE | cs/nOverlay | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd8 SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | ram/RAMEN | IV_TRUE | cs/nOverlay | IV_TRUE | ram/RS_FSM_FFd8 | IV_TRUE | fsb/ASrf -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | ram/RASEL.EXP | 11310 | ? | 0 | 0 | ram/RASEL | NULL | NULL | ram/RASEL.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | ram/RAMEN | IV_TRUE | cs/nOverlay | IV_FALSE | ram/Once | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd8 -SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | ram/RAMEN | IV_TRUE | cs/nOverlay | IV_FALSE | ram/Once | IV_TRUE | ram/RS_FSM_FFd8 | IV_TRUE | fsb/ASrf SRFF_INSTANCE | ram/RASEL.REG | ram/RASEL | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RASEL.D | 10959 | ? | 0 | 0 | ram/RASEL | NULL | NULL | ram/RASEL.XOR | 0 | 7 | ALU_F +NODE | ram/RASEL.D | 10880 | ? | 0 | 0 | ram/RASEL | NULL | NULL | ram/RASEL.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10494 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RASEL.Q | 10962 | ? | 0 | 0 | ram/RASEL | NULL | NULL | ram/RASEL.REG | 0 | 8 | SRFF_Q +NODE | ram/RASEL.Q | 10883 | ? | 0 | 0 | ram/RASEL | NULL | NULL | ram/RASEL.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/TS_FSM_FFd1 | WarpSE_COPY_0_COPY_0 | 2155873280 | 4 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10618 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 10617 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 10638 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +NODE | iobs/IOACTr | 10560 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10494 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/TS_FSM_FFd1 | 10617 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobs/TS_FSM_FFd1.SI | iobs/TS_FSM_FFd1 | 0 | 3 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10618 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 10617 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 10638 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +NODE | iobs/IOACTr | 10560 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/TS_FSM_FFd1.D1 | 10964 | ? | 0 | 4096 | iobs/TS_FSM_FFd1 | NULL | NULL | iobs/TS_FSM_FFd1.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/TS_FSM_FFd1.D1 | 10885 | ? | 0 | 4096 | iobs/TS_FSM_FFd1 | NULL | NULL | iobs/TS_FSM_FFd1.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/TS_FSM_FFd1.D2 | 10965 | ? | 0 | 4096 | iobs/TS_FSM_FFd1 | NULL | NULL | iobs/TS_FSM_FFd1.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/TS_FSM_FFd1.D2 | 10886 | ? | 0 | 4096 | iobs/TS_FSM_FFd1 | NULL | NULL | iobs/TS_FSM_FFd1.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | iobs/TS_FSM_FFd2 SPPTERM | 2 | IV_TRUE | iobs/TS_FSM_FFd1 | IV_TRUE | iobs/IOACTr SRFF_INSTANCE | iobs/TS_FSM_FFd1.REG | iobs/TS_FSM_FFd1 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/TS_FSM_FFd1.D | 10963 | ? | 0 | 0 | iobs/TS_FSM_FFd1 | NULL | NULL | iobs/TS_FSM_FFd1.XOR | 0 | 7 | ALU_F +NODE | iobs/TS_FSM_FFd1.D | 10884 | ? | 0 | 0 | iobs/TS_FSM_FFd1 | NULL | NULL | iobs/TS_FSM_FFd1.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10494 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/TS_FSM_FFd1.Q | 10966 | ? | 0 | 0 | iobs/TS_FSM_FFd1 | NULL | NULL | iobs/TS_FSM_FFd1.REG | 0 | 8 | SRFF_Q +NODE | iobs/TS_FSM_FFd1.Q | 10887 | ? | 0 | 0 | iobs/TS_FSM_FFd1 | NULL | NULL | iobs/TS_FSM_FFd1.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | iobs/TS_FSM_FFd2 | WarpSE_COPY_0_COPY_0 | 2155873536 | 10 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 10617 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10618 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 10638 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +NODE | iobs/IOACTr | 10560 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 10577 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | iobs/Sent | 10500 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 10707 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 10628 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10466 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 10616 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 10539 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10544 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 10467 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP15_.EXP | 11380 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP15_.EXP | EXP15_ | 4 | 0 | MC_EXPORT +NODE | EXP16_.EXP | 11293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP16_.EXP | EXP16_ | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10494 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/TS_FSM_FFd2 | 10618 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | iobs/TS_FSM_FFd2.EXP | 11379 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.EXP | iobs/TS_FSM_FFd2 | 4 | 0 | MC_EXPORT +NODE | iobs/TS_FSM_FFd2.EXP | 11292 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.EXP | iobs/TS_FSM_FFd2 | 4 | 0 | MC_EXPORT SIGNAL_INSTANCE | iobs/TS_FSM_FFd2.SI | iobs/TS_FSM_FFd2 | 0 | 9 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 10617 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10618 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 10638 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +NODE | iobs/IOACTr | 10560 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 10577 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | iobs/Sent | 10500 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 10707 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 10628 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10466 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 10616 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 10539 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10544 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 10467 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP15_.EXP | 11380 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP15_.EXP | EXP15_ | 4 | 0 | MC_EXPORT +NODE | EXP16_.EXP | 11293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP16_.EXP | EXP16_ | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/TS_FSM_FFd2.D1 | 10968 | ? | 0 | 4096 | iobs/TS_FSM_FFd2 | NULL | NULL | iobs/TS_FSM_FFd2.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/TS_FSM_FFd2.D1 | 10889 | ? | 0 | 4096 | iobs/TS_FSM_FFd2 | NULL | NULL | iobs/TS_FSM_FFd2.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/TS_FSM_FFd2.D2 | 10969 | ? | 0 | 4096 | iobs/TS_FSM_FFd2 | NULL | NULL | iobs/TS_FSM_FFd2.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | EXP15_.EXP +SIGNAL | NODE | iobs/TS_FSM_FFd2.D2 | 10890 | ? | 0 | 4096 | iobs/TS_FSM_FFd2 | NULL | NULL | iobs/TS_FSM_FFd2.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | EXP16_.EXP SPPTERM | 2 | IV_TRUE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 SPPTERM | 2 | IV_TRUE | iobs/TS_FSM_FFd1 | IV_TRUE | iobs/IOACTr SPPTERM | 3 | IV_TRUE | iobs/Sent | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | iobs/TS_FSM_FFd2.EXP | 11364 | ? | 0 | 0 | iobs/TS_FSM_FFd2 | NULL | NULL | iobs/TS_FSM_FFd2.SI | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | iobs/TS_FSM_FFd2.EXP | 11277 | ? | 0 | 0 | iobs/TS_FSM_FFd2 | NULL | NULL | iobs/TS_FSM_FFd2.SI | 7 | 9 | MC_SI_EXPORT SPPTERM | 2 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | ram/RASEL SPPTERM | 2 | IV_TRUE | A_FSB_19_IBUF | IV_FALSE | ram/RASEL SRFF_INSTANCE | iobs/TS_FSM_FFd2.REG | iobs/TS_FSM_FFd2 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/TS_FSM_FFd2.D | 10967 | ? | 0 | 0 | iobs/TS_FSM_FFd2 | NULL | NULL | iobs/TS_FSM_FFd2.XOR | 0 | 7 | ALU_F +NODE | iobs/TS_FSM_FFd2.D | 10888 | ? | 0 | 0 | iobs/TS_FSM_FFd2 | NULL | NULL | iobs/TS_FSM_FFd2.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10494 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/TS_FSM_FFd2.Q | 10970 | ? | 0 | 0 | iobs/TS_FSM_FFd2 | NULL | NULL | iobs/TS_FSM_FFd2.REG | 0 | 8 | SRFF_Q +NODE | iobs/TS_FSM_FFd2.Q | 10891 | ? | 0 | 0 | iobs/TS_FSM_FFd2 | NULL | NULL | iobs/TS_FSM_FFd2.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | Inv+PrldHigh+OptxMapped | iobm/IOS_FSM_FFd7 | WarpSE_COPY_0_COPY_0 | 2155873024 | 7 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 10619 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 10542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 10636 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 10558 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/C8Mr | 10621 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM +NODE | iobm/C8Mr | 10544 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IORDREQr | 10643 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM +NODE | iobm/IORDREQr | 10566 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 10709 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 10630 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOWRREQr | 10661 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM +NODE | iobm/IOWRREQr | 10584 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 10557 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10480 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/IOS_FSM_FFd7 | 10619 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 10542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/IOS_FSM_FFd7.SI | iobm/IOS_FSM_FFd7 | 0 | 6 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 10619 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 10542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 10636 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 10558 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/C8Mr | 10621 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM +NODE | iobm/C8Mr | 10544 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IORDREQr | 10643 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM +NODE | iobm/IORDREQr | 10566 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 10709 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 10630 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOWRREQr | 10661 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM +NODE | iobm/IOWRREQr | 10584 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/IOS_FSM_FFd7.D1 | 10972 | ? | 0 | 4096 | iobm/IOS_FSM_FFd7 | NULL | NULL | iobm/IOS_FSM_FFd7.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/IOS_FSM_FFd7.D1 | 10893 | ? | 0 | 4096 | iobm/IOS_FSM_FFd7 | NULL | NULL | iobm/IOS_FSM_FFd7.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/IOS_FSM_FFd7.D2 | 10973 | ? | 0 | 4096 | iobm/IOS_FSM_FFd7 | NULL | NULL | iobm/IOS_FSM_FFd7.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/IOS_FSM_FFd7.D2 | 10894 | ? | 0 | 4096 | iobm/IOS_FSM_FFd7 | NULL | NULL | iobm/IOS_FSM_FFd7.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_FALSE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/IOS_FSM_FFd1 SPPTERM | 4 | IV_FALSE | iobm/C8Mr | IV_FALSE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/IORDREQr | IV_FALSE | AoutOE SPPTERM | 4 | IV_FALSE | iobm/C8Mr | IV_FALSE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/IOWRREQr | IV_FALSE | AoutOE SRFF_INSTANCE | iobm/IOS_FSM_FFd7.REG | iobm/IOS_FSM_FFd7 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/IOS_FSM_FFd7.D | 10971 | ? | 0 | 0 | iobm/IOS_FSM_FFd7 | NULL | NULL | iobm/IOS_FSM_FFd7.XOR | 0 | 7 | ALU_F +NODE | iobm/IOS_FSM_FFd7.D | 10892 | ? | 0 | 0 | iobm/IOS_FSM_FFd7 | NULL | NULL | iobm/IOS_FSM_FFd7.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 10557 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10480 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/IOS_FSM_FFd7.Q | 10974 | ? | 0 | 0 | iobm/IOS_FSM_FFd7 | NULL | NULL | iobm/IOS_FSM_FFd7.REG | 0 | 8 | SRFF_Q +NODE | iobm/IOS_FSM_FFd7.Q | 10895 | ? | 0 | 0 | iobm/IOS_FSM_FFd7 | NULL | NULL | iobm/IOS_FSM_FFd7.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/IOS_FSM_FFd3 | WarpSE_COPY_0_COPY_0 | 2155873280 | 6 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 10623 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 10546 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 10620 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 10543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/C8Mr | 10621 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM +NODE | iobm/C8Mr | 10544 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOBERR | 10579 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM +NODE | IOBERR | 10502 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IODONE | 10582 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.Q | IODONE | 1 | 0 | MC_UIM +NODE | IODONE | 10505 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.Q | IODONE | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 10557 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10480 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/IOS_FSM_FFd3 | 10620 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 10543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/IOS_FSM_FFd3.SI | iobm/IOS_FSM_FFd3 | 0 | 5 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 10623 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 10546 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 10620 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 10543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/C8Mr | 10621 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM +NODE | iobm/C8Mr | 10544 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOBERR | 10579 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM +NODE | IOBERR | 10502 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IODONE | 10582 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.Q | IODONE | 1 | 0 | MC_UIM +NODE | IODONE | 10505 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.Q | IODONE | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/IOS_FSM_FFd3.D1 | 10976 | ? | 0 | 4096 | iobm/IOS_FSM_FFd3 | NULL | NULL | iobm/IOS_FSM_FFd3.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/IOS_FSM_FFd3.D1 | 10897 | ? | 0 | 4096 | iobm/IOS_FSM_FFd3 | NULL | NULL | iobm/IOS_FSM_FFd3.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/IOS_FSM_FFd3.D2 | 10977 | ? | 0 | 4096 | iobm/IOS_FSM_FFd3 | NULL | NULL | iobm/IOS_FSM_FFd3.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/IOS_FSM_FFd3.D2 | 10898 | ? | 0 | 4096 | iobm/IOS_FSM_FFd3 | NULL | NULL | iobm/IOS_FSM_FFd3.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | iobm/IOS_FSM_FFd4 SPPTERM | 2 | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/C8Mr SPPTERM | 3 | IV_FALSE | IOBERR | IV_FALSE | IODONE | IV_TRUE | iobm/IOS_FSM_FFd3 SRFF_INSTANCE | iobm/IOS_FSM_FFd3.REG | iobm/IOS_FSM_FFd3 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/IOS_FSM_FFd3.D | 10975 | ? | 0 | 0 | iobm/IOS_FSM_FFd3 | NULL | NULL | iobm/IOS_FSM_FFd3.XOR | 0 | 7 | ALU_F +NODE | iobm/IOS_FSM_FFd3.D | 10896 | ? | 0 | 0 | iobm/IOS_FSM_FFd3 | NULL | NULL | iobm/IOS_FSM_FFd3.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 10557 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10480 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/IOS_FSM_FFd3.Q | 10978 | ? | 0 | 0 | iobm/IOS_FSM_FFd3 | NULL | NULL | iobm/IOS_FSM_FFd3.REG | 0 | 8 | SRFF_Q +NODE | iobm/IOS_FSM_FFd3.Q | 10899 | ? | 0 | 0 | iobm/IOS_FSM_FFd3 | NULL | NULL | iobm/IOS_FSM_FFd3.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/C8Mr | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF | 10551 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX +NODE | C8M_IBUF | 10474 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 10557 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10480 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/C8Mr | 10621 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM +NODE | iobm/C8Mr | 10544 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/C8Mr.SI | iobm/C8Mr | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF | 10551 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX +NODE | C8M_IBUF | 10474 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/C8Mr.D1 | 10980 | ? | 0 | 4096 | iobm/C8Mr | NULL | NULL | iobm/C8Mr.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/C8Mr.D1 | 10901 | ? | 0 | 4096 | iobm/C8Mr | NULL | NULL | iobm/C8Mr.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/C8Mr.D2 | 10981 | ? | 0 | 4096 | iobm/C8Mr | NULL | NULL | iobm/C8Mr.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/C8Mr.D2 | 10902 | ? | 0 | 4096 | iobm/C8Mr | NULL | NULL | iobm/C8Mr.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | C8M_IBUF SRFF_INSTANCE | iobm/C8Mr.REG | iobm/C8Mr | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/C8Mr.D | 10979 | ? | 0 | 0 | iobm/C8Mr | NULL | NULL | iobm/C8Mr.XOR | 0 | 7 | ALU_F +NODE | iobm/C8Mr.D | 10900 | ? | 0 | 0 | iobm/C8Mr | NULL | NULL | iobm/C8Mr.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 10557 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10480 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/C8Mr.Q | 10982 | ? | 0 | 0 | iobm/C8Mr | NULL | NULL | iobm/C8Mr.REG | 0 | 8 | SRFF_Q +NODE | iobm/C8Mr.Q | 10903 | ? | 0 | 0 | iobm/C8Mr | NULL | NULL | iobm/C8Mr.REG | 0 | 8 | SRFF_Q INPUT_INSTANCE | 0 | 0 | NULL | E_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | E | 10776 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | E | 10697 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | E_IBUF | 10653 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX +NODE | E_IBUF | 10576 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX MACROCELL_INSTANCE | Inv+PrldLow+Tff+OptxMapped+Ce | iobm/ES<0> | WarpSE_COPY_0_COPY_0 | 2424313088 | 7 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 10622 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 10545 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | E_IBUF | 10653 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX +NODE | E_IBUF | 10576 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 10659 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +NODE | iobm/Er | 10582 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 10625 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 10548 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 10630 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 10553 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 10631 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +NODE | iobm/ES<3> | 10554 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF/FCLK- | 10553 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 10476 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/ES<0> | 10622 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 10545 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/ES<0>.SI | iobm/ES<0> | 0 | 6 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 10622 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 10545 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | E_IBUF | 10653 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX +NODE | E_IBUF | 10576 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 10659 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +NODE | iobm/Er | 10582 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 10625 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 10548 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 10630 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 10553 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 10631 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +NODE | iobm/ES<3> | 10554 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/ES<0>.D1 | 10984 | ? | 0 | 4096 | iobm/ES<0> | NULL | NULL | iobm/ES<0>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/ES<0>.D1 | 10905 | ? | 0 | 4096 | iobm/ES<0> | NULL | NULL | iobm/ES<0>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/ES<0>.D2 | 10985 | ? | 0 | 4096 | iobm/ES<0> | NULL | NULL | iobm/ES<0>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/ES<0>.D2 | 10906 | ? | 0 | 4096 | iobm/ES<0> | NULL | NULL | iobm/ES<0>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 3 | IV_TRUE | iobm/ES<0> | IV_FALSE | E_IBUF | IV_TRUE | iobm/Er SPPTERM | 5 | IV_FALSE | iobm/ES<0> | IV_FALSE | iobm/ES<2> | IV_FALSE | iobm/ES<1> | IV_FALSE | iobm/ES<3> | IV_TRUE | E_IBUF SPPTERM | 5 | IV_FALSE | iobm/ES<0> | IV_FALSE | iobm/ES<2> | IV_FALSE | iobm/ES<1> | IV_FALSE | iobm/ES<3> | IV_FALSE | iobm/Er SRFF_INSTANCE | iobm/ES<0>.REG | iobm/ES<0> | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/ES<0>.D | 10983 | ? | 0 | 0 | iobm/ES<0> | NULL | NULL | iobm/ES<0>.XOR | 0 | 7 | ALU_F +NODE | iobm/ES<0>.D | 10904 | ? | 0 | 0 | iobm/ES<0> | NULL | NULL | iobm/ES<0>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C8M_IBUF/FCLK- | 10553 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 10476 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/ES<0>.Q | 10986 | ? | 0 | 0 | iobm/ES<0> | NULL | NULL | iobm/ES<0>.REG | 0 | 8 | SRFF_Q +NODE | iobm/ES<0>.Q | 10907 | ? | 0 | 0 | iobm/ES<0> | NULL | NULL | iobm/ES<0>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/IOS_FSM_FFd4 | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 10626 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 10549 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 10557 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10480 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/IOS_FSM_FFd4 | 10623 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 10546 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/IOS_FSM_FFd4.SI | iobm/IOS_FSM_FFd4 | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 10626 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 10549 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/IOS_FSM_FFd4.D1 | 10988 | ? | 0 | 4096 | iobm/IOS_FSM_FFd4 | NULL | NULL | iobm/IOS_FSM_FFd4.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/IOS_FSM_FFd4.D1 | 10909 | ? | 0 | 4096 | iobm/IOS_FSM_FFd4 | NULL | NULL | iobm/IOS_FSM_FFd4.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/IOS_FSM_FFd4.D2 | 10989 | ? | 0 | 4096 | iobm/IOS_FSM_FFd4 | NULL | NULL | iobm/IOS_FSM_FFd4.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/IOS_FSM_FFd4.D2 | 10910 | ? | 0 | 4096 | iobm/IOS_FSM_FFd4 | NULL | NULL | iobm/IOS_FSM_FFd4.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | iobm/IOS_FSM_FFd5 SRFF_INSTANCE | iobm/IOS_FSM_FFd4.REG | iobm/IOS_FSM_FFd4 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/IOS_FSM_FFd4.D | 10987 | ? | 0 | 0 | iobm/IOS_FSM_FFd4 | NULL | NULL | iobm/IOS_FSM_FFd4.XOR | 0 | 7 | ALU_F +NODE | iobm/IOS_FSM_FFd4.D | 10908 | ? | 0 | 0 | iobm/IOS_FSM_FFd4 | NULL | NULL | iobm/IOS_FSM_FFd4.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 10557 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10480 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/IOS_FSM_FFd4.Q | 10990 | ? | 0 | 0 | iobm/IOS_FSM_FFd4 | NULL | NULL | iobm/IOS_FSM_FFd4.REG | 0 | 8 | SRFF_Q +NODE | iobm/IOS_FSM_FFd4.Q | 10911 | ? | 0 | 0 | iobm/IOS_FSM_FFd4 | NULL | NULL | iobm/IOS_FSM_FFd4.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | Inv+PrldHigh+OptxMapped | ram/RS_FSM_FFd8 | WarpSE_COPY_0_COPY_0 | 2155873024 | 13 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd8 | 10624 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd8 | 10547 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd4 | 10645 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd4 | 10568 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 10595 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +NODE | ram/RefDone | 10518 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefReq | 10596 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM +NODE | RefReq | 10519 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10531 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10673 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10593 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 10573 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +NODE | RefUrg | 10496 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10463 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMEN | 10594 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM +NODE | ram/RAMEN | 10517 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 10599 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 10522 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10494 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RAMReady.EXP | 11321 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RAMReady.EXP | RAMReady | 4 | 0 | MC_EXPORT +NODE | RAMReady.EXP | 11234 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RAMReady.EXP | RAMReady | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RS_FSM_FFd8 | 10624 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd8 | 10547 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | ram/RS_FSM_FFd8.EXP | 11322 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.EXP | ram/RS_FSM_FFd8 | 4 | 0 | MC_EXPORT +NODE | ram/RS_FSM_FFd8.EXP | 11235 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.EXP | ram/RS_FSM_FFd8 | 4 | 0 | MC_EXPORT SIGNAL_INSTANCE | ram/RS_FSM_FFd8.SI | ram/RS_FSM_FFd8 | 0 | 12 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd8 | 10624 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd8 | 10547 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd4 | 10645 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd4 | 10568 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 10595 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +NODE | ram/RefDone | 10518 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefReq | 10596 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM +NODE | RefReq | 10519 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10531 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10673 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10593 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 10573 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +NODE | RefUrg | 10496 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10463 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMEN | 10594 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM +NODE | ram/RAMEN | 10517 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 10599 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 10522 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RAMReady.EXP | 11321 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RAMReady.EXP | RAMReady | 4 | 0 | MC_EXPORT +NODE | RAMReady.EXP | 11234 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RAMReady.EXP | RAMReady | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RS_FSM_FFd8.D1 | 10992 | ? | 0 | 4096 | ram/RS_FSM_FFd8 | NULL | NULL | ram/RS_FSM_FFd8.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RS_FSM_FFd8.D1 | 10913 | ? | 0 | 4096 | ram/RS_FSM_FFd8 | NULL | NULL | ram/RS_FSM_FFd8.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RS_FSM_FFd8.D2 | 10993 | ? | 0 | 4096 | ram/RS_FSM_FFd8 | NULL | NULL | ram/RS_FSM_FFd8.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/RS_FSM_FFd8.D2 | 10914 | ? | 0 | 4096 | ram/RS_FSM_FFd8 | NULL | NULL | ram/RS_FSM_FFd8.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | RAMReady.EXP SPPTERM | 2 | IV_FALSE | ram/RS_FSM_FFd8 | IV_FALSE | ram/RS_FSM_FFd4 SPPTERM | 5 | IV_TRUE | RefUrg | IV_FALSE | ram/RefDone | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd4 | IV_FALSE | fsb/ASrf SPPTERM | 5 | IV_FALSE | ram/RefDone | IV_TRUE | RefReq | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd4 | IV_FALSE | fsb/ASrf SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | ram/RAMEN | IV_TRUE | cs/nOverlay | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd4 OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | ram/RS_FSM_FFd8.EXP | 11313 | ? | 0 | 0 | ram/RS_FSM_FFd8 | NULL | NULL | ram/RS_FSM_FFd8.SI | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | ram/RS_FSM_FFd8.EXP | 11226 | ? | 0 | 0 | ram/RS_FSM_FFd8 | NULL | NULL | ram/RS_FSM_FFd8.SI | 7 | 9 | MC_SI_EXPORT SPPTERM | 5 | IV_TRUE | RefUrg | IV_FALSE | ram/RefDone | IV_TRUE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd8 | IV_FALSE | fsb/ASrf SRFF_INSTANCE | ram/RS_FSM_FFd8.REG | ram/RS_FSM_FFd8 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RS_FSM_FFd8.D | 10991 | ? | 0 | 0 | ram/RS_FSM_FFd8 | NULL | NULL | ram/RS_FSM_FFd8.XOR | 0 | 7 | ALU_F +NODE | ram/RS_FSM_FFd8.D | 10912 | ? | 0 | 0 | ram/RS_FSM_FFd8 | NULL | NULL | ram/RS_FSM_FFd8.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10494 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RS_FSM_FFd8.Q | 10994 | ? | 0 | 0 | ram/RS_FSM_FFd8 | NULL | NULL | ram/RS_FSM_FFd8.REG | 0 | 8 | SRFF_Q +NODE | ram/RS_FSM_FFd8.Q | 10915 | ? | 0 | 0 | ram/RS_FSM_FFd8 | NULL | NULL | ram/RS_FSM_FFd8.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped+Ce | iobm/ES<2> | WarpSE_COPY_0_COPY_0 | 2424312832 | 6 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 10622 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 10545 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 10630 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 10553 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 10659 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +NODE | iobm/Er | 10582 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | E_IBUF | 10653 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX +NODE | E_IBUF | 10576 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 10625 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 10548 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF/FCLK- | 10553 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 10476 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/ES<2> | 10625 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 10548 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/ES<2>.SI | iobm/ES<2> | 0 | 5 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 10622 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 10545 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 10630 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 10553 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 10659 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +NODE | iobm/Er | 10582 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | E_IBUF | 10653 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX +NODE | E_IBUF | 10576 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 10625 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 10548 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/ES<2>.D1 | 10996 | ? | 0 | 4096 | iobm/ES<2> | NULL | NULL | iobm/ES<2>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/ES<2>.D1 | 10917 | ? | 0 | 4096 | iobm/ES<2> | NULL | NULL | iobm/ES<2>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/ES<2>.D2 | 10997 | ? | 0 | 4096 | iobm/ES<2> | NULL | NULL | iobm/ES<2>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/ES<2>.D2 | 10918 | ? | 0 | 4096 | iobm/ES<2> | NULL | NULL | iobm/ES<2>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 3 | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<1> | IV_TRUE | E_IBUF SPPTERM | 3 | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<1> | IV_FALSE | iobm/Er SPPTERM | 3 | IV_TRUE | iobm/ES<2> | IV_FALSE | E_IBUF | IV_TRUE | iobm/Er SRFF_INSTANCE | iobm/ES<2>.REG | iobm/ES<2> | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/ES<2>.D | 10995 | ? | 0 | 0 | iobm/ES<2> | NULL | NULL | iobm/ES<2>.XOR | 0 | 7 | ALU_F +NODE | iobm/ES<2>.D | 10916 | ? | 0 | 0 | iobm/ES<2> | NULL | NULL | iobm/ES<2>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C8M_IBUF/FCLK- | 10553 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 10476 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/ES<2>.Q | 10998 | ? | 0 | 0 | iobm/ES<2> | NULL | NULL | iobm/ES<2>.REG | 0 | 8 | SRFF_Q +NODE | iobm/ES<2>.Q | 10919 | ? | 0 | 0 | iobm/ES<2> | NULL | NULL | iobm/ES<2>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/IOS_FSM_FFd5 | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 10627 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd6 | 10550 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 10557 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10480 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/IOS_FSM_FFd5 | 10626 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 10549 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/IOS_FSM_FFd5.SI | iobm/IOS_FSM_FFd5 | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 10627 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd6 | 10550 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/IOS_FSM_FFd5.D1 | 11000 | ? | 0 | 4096 | iobm/IOS_FSM_FFd5 | NULL | NULL | iobm/IOS_FSM_FFd5.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/IOS_FSM_FFd5.D1 | 10921 | ? | 0 | 4096 | iobm/IOS_FSM_FFd5 | NULL | NULL | iobm/IOS_FSM_FFd5.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/IOS_FSM_FFd5.D2 | 11001 | ? | 0 | 4096 | iobm/IOS_FSM_FFd5 | NULL | NULL | iobm/IOS_FSM_FFd5.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/IOS_FSM_FFd5.D2 | 10922 | ? | 0 | 4096 | iobm/IOS_FSM_FFd5 | NULL | NULL | iobm/IOS_FSM_FFd5.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | iobm/IOS_FSM_FFd6 SRFF_INSTANCE | iobm/IOS_FSM_FFd5.REG | iobm/IOS_FSM_FFd5 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/IOS_FSM_FFd5.D | 10999 | ? | 0 | 0 | iobm/IOS_FSM_FFd5 | NULL | NULL | iobm/IOS_FSM_FFd5.XOR | 0 | 7 | ALU_F +NODE | iobm/IOS_FSM_FFd5.D | 10920 | ? | 0 | 0 | iobm/IOS_FSM_FFd5 | NULL | NULL | iobm/IOS_FSM_FFd5.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 10557 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10480 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/IOS_FSM_FFd5.Q | 11002 | ? | 0 | 0 | iobm/IOS_FSM_FFd5 | NULL | NULL | iobm/IOS_FSM_FFd5.REG | 0 | 8 | SRFF_Q +NODE | iobm/IOS_FSM_FFd5.Q | 10923 | ? | 0 | 0 | iobm/IOS_FSM_FFd5 | NULL | NULL | iobm/IOS_FSM_FFd5.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/IOS_FSM_FFd6 | WarpSE_COPY_0_COPY_0 | 2155873280 | 6 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 10619 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 10542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/C8Mr | 10621 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM +NODE | iobm/C8Mr | 10544 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IORDREQr | 10643 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM +NODE | iobm/IORDREQr | 10566 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 10709 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 10630 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOWRREQr | 10661 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM +NODE | iobm/IOWRREQr | 10584 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 10557 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10480 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/IOS_FSM_FFd6 | 10627 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd6 | 10550 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/IOS_FSM_FFd6.SI | iobm/IOS_FSM_FFd6 | 0 | 5 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 10619 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 10542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/C8Mr | 10621 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM +NODE | iobm/C8Mr | 10544 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IORDREQr | 10643 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM +NODE | iobm/IORDREQr | 10566 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 10709 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 10630 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOWRREQr | 10661 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM +NODE | iobm/IOWRREQr | 10584 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/IOS_FSM_FFd6.D1 | 11004 | ? | 0 | 4096 | iobm/IOS_FSM_FFd6 | NULL | NULL | iobm/IOS_FSM_FFd6.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/IOS_FSM_FFd6.D1 | 10925 | ? | 0 | 4096 | iobm/IOS_FSM_FFd6 | NULL | NULL | iobm/IOS_FSM_FFd6.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/IOS_FSM_FFd6.D2 | 11005 | ? | 0 | 4096 | iobm/IOS_FSM_FFd6 | NULL | NULL | iobm/IOS_FSM_FFd6.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/IOS_FSM_FFd6.D2 | 10926 | ? | 0 | 4096 | iobm/IOS_FSM_FFd6 | NULL | NULL | iobm/IOS_FSM_FFd6.SI | 2 | 9 | MC_SI_D2 SPPTERM | 4 | IV_TRUE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/C8Mr | IV_TRUE | iobm/IORDREQr | IV_FALSE | AoutOE SPPTERM | 4 | IV_TRUE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/C8Mr | IV_TRUE | iobm/IOWRREQr | IV_FALSE | AoutOE SRFF_INSTANCE | iobm/IOS_FSM_FFd6.REG | iobm/IOS_FSM_FFd6 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/IOS_FSM_FFd6.D | 11003 | ? | 0 | 0 | iobm/IOS_FSM_FFd6 | NULL | NULL | iobm/IOS_FSM_FFd6.XOR | 0 | 7 | ALU_F +NODE | iobm/IOS_FSM_FFd6.D | 10924 | ? | 0 | 0 | iobm/IOS_FSM_FFd6 | NULL | NULL | iobm/IOS_FSM_FFd6.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 10557 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10480 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/IOS_FSM_FFd6.Q | 11006 | ? | 0 | 0 | iobm/IOS_FSM_FFd6 | NULL | NULL | iobm/IOS_FSM_FFd6.REG | 0 | 8 | SRFF_Q +NODE | iobm/IOS_FSM_FFd6.Q | 10927 | ? | 0 | 0 | iobm/IOS_FSM_FFd6 | NULL | NULL | iobm/IOS_FSM_FFd6.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/IS_FSM_FFd1 | WarpSE_COPY_0_COPY_0 | 2155877376 | 25 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 10573 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +NODE | RefUrg | 10496 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10628 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd1 | 10551 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10629 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd2 | 10552 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10654 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 10577 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/nIPL2r | 10657 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/nIPL2r.Q | cnt/nIPL2r | 1 | 0 | MC_UIM +NODE | cnt/nIPL2r | 10580 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/nIPL2r.Q | cnt/nIPL2r | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10670 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 10591 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimerTC | 10671 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimerTC.Q | cnt/LTimerTC | 1 | 0 | MC_UIM +NODE | cnt/LTimerTC | 10592 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimerTC.Q | cnt/LTimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10494 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10463 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10465 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10466 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10544 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 10467 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10545 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 10468 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10546 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 10469 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10547 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 10470 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 10549 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 10472 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 10577 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | iobs/Sent | 10500 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10600 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10523 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW1 | 10601 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM +NODE | iobs/IORW1 | 10524 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 10617 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10673 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10593 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 10707 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 10628 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10618 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 10568 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 10491 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/IS_FSM_FFd1 | 10628 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd1 | 10551 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | cnt/IS_FSM_FFd1.EXP | 11390 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.EXP | cnt/IS_FSM_FFd1 | 4 | 0 | MC_EXPORT +NODE | cnt/IS_FSM_FFd1.EXP | 11303 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.EXP | cnt/IS_FSM_FFd1 | 4 | 0 | MC_EXPORT SIGNAL_INSTANCE | cnt/IS_FSM_FFd1.SI | cnt/IS_FSM_FFd1 | 0 | 24 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 10573 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +NODE | RefUrg | 10496 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10628 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd1 | 10551 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10629 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd2 | 10552 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10654 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 10577 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/nIPL2r | 10657 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/nIPL2r.Q | cnt/nIPL2r | 1 | 0 | MC_UIM +NODE | cnt/nIPL2r | 10580 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/nIPL2r.Q | cnt/nIPL2r | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10670 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 10591 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimerTC | 10671 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimerTC.Q | cnt/LTimerTC | 1 | 0 | MC_UIM +NODE | cnt/LTimerTC | 10592 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimerTC.Q | cnt/LTimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10463 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10465 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10466 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10544 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 10467 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10545 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 10468 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10546 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 10469 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10547 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 10470 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 10549 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 10472 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 10577 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | iobs/Sent | 10500 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10600 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10523 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW1 | 10601 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM +NODE | iobs/IORW1 | 10524 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 10617 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10673 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10593 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 10707 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 10628 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10618 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 10568 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 10491 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/IS_FSM_FFd1.D1 | 11008 | ? | 0 | 4096 | cnt/IS_FSM_FFd1 | NULL | NULL | cnt/IS_FSM_FFd1.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/IS_FSM_FFd1.D1 | 10929 | ? | 0 | 4096 | cnt/IS_FSM_FFd1 | NULL | NULL | cnt/IS_FSM_FFd1.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/IS_FSM_FFd1.D2 | 11009 | ? | 0 | 4096 | cnt/IS_FSM_FFd1 | NULL | NULL | cnt/IS_FSM_FFd1.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/IS_FSM_FFd1.D2 | 10930 | ? | 0 | 4096 | cnt/IS_FSM_FFd1 | NULL | NULL | cnt/IS_FSM_FFd1.SI | 2 | 9 | MC_SI_D2 SPPTERM | 7 | IV_TRUE | RefUrg | IV_FALSE | cnt/IS_FSM_FFd1 | IV_TRUE | cnt/IS_FSM_FFd2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/nIPL2r | IV_TRUE | cnt/Er<1> | IV_TRUE | cnt/LTimerTC OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | cnt/IS_FSM_FFd1.EXP | 11375 | ? | 0 | 0 | cnt/IS_FSM_FFd1 | NULL | NULL | cnt/IS_FSM_FFd1.SI | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | cnt/IS_FSM_FFd1.EXP | 11288 | ? | 0 | 0 | cnt/IS_FSM_FFd1 | NULL | NULL | cnt/IS_FSM_FFd1.SI | 7 | 9 | MC_SI_EXPORT SPPTERM | 15 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | iobs/IORW1 | IV_TRUE | iobs/TS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 SPPTERM | 15 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | iobs/IORW1 | IV_TRUE | iobs/TS_FSM_FFd2 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 SPPTERM | 15 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | iobs/IORW1 | IV_TRUE | iobs/TS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 @@ -3712,95 +3617,95 @@ SPPTERM | 15 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A SRFF_INSTANCE | cnt/IS_FSM_FFd1.REG | cnt/IS_FSM_FFd1 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/IS_FSM_FFd1.D | 11007 | ? | 0 | 0 | cnt/IS_FSM_FFd1 | NULL | NULL | cnt/IS_FSM_FFd1.XOR | 0 | 7 | ALU_F +NODE | cnt/IS_FSM_FFd1.D | 10928 | ? | 0 | 0 | cnt/IS_FSM_FFd1 | NULL | NULL | cnt/IS_FSM_FFd1.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10494 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/IS_FSM_FFd1.Q | 11010 | ? | 0 | 0 | cnt/IS_FSM_FFd1 | NULL | NULL | cnt/IS_FSM_FFd1.REG | 0 | 8 | SRFF_Q +NODE | cnt/IS_FSM_FFd1.Q | 10931 | ? | 0 | 0 | cnt/IS_FSM_FFd1 | NULL | NULL | cnt/IS_FSM_FFd1.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/IS_FSM_FFd2 | WarpSE_COPY_0_COPY_0 | 2155877376 | 7 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 10573 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +NODE | RefUrg | 10496 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10628 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd1 | 10551 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10629 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd2 | 10552 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10654 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 10577 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10670 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 10591 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimerTC | 10671 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimerTC.Q | cnt/LTimerTC | 1 | 0 | MC_UIM +NODE | cnt/LTimerTC | 10592 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimerTC.Q | cnt/LTimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10494 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/IS_FSM_FFd2 | 10629 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd2 | 10552 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cnt/IS_FSM_FFd2.SI | cnt/IS_FSM_FFd2 | 0 | 6 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 10573 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +NODE | RefUrg | 10496 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10628 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd1 | 10551 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10629 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd2 | 10552 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10654 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 10577 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10670 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 10591 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimerTC | 10671 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimerTC.Q | cnt/LTimerTC | 1 | 0 | MC_UIM +NODE | cnt/LTimerTC | 10592 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimerTC.Q | cnt/LTimerTC | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/IS_FSM_FFd2.D1 | 11012 | ? | 0 | 4096 | cnt/IS_FSM_FFd2 | NULL | NULL | cnt/IS_FSM_FFd2.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/IS_FSM_FFd2.D1 | 10933 | ? | 0 | 4096 | cnt/IS_FSM_FFd2 | NULL | NULL | cnt/IS_FSM_FFd2.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/IS_FSM_FFd2.D2 | 11013 | ? | 0 | 4096 | cnt/IS_FSM_FFd2 | NULL | NULL | cnt/IS_FSM_FFd2.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/IS_FSM_FFd2.D2 | 10934 | ? | 0 | 4096 | cnt/IS_FSM_FFd2 | NULL | NULL | cnt/IS_FSM_FFd2.SI | 2 | 9 | MC_SI_D2 SPPTERM | 6 | IV_TRUE | RefUrg | IV_TRUE | cnt/IS_FSM_FFd1 | IV_TRUE | cnt/IS_FSM_FFd2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> | IV_TRUE | cnt/LTimerTC SPPTERM | 6 | IV_TRUE | RefUrg | IV_FALSE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> | IV_TRUE | cnt/LTimerTC SRFF_INSTANCE | cnt/IS_FSM_FFd2.REG | cnt/IS_FSM_FFd2 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/IS_FSM_FFd2.D | 11011 | ? | 0 | 0 | cnt/IS_FSM_FFd2 | NULL | NULL | cnt/IS_FSM_FFd2.XOR | 0 | 7 | ALU_F +NODE | cnt/IS_FSM_FFd2.D | 10932 | ? | 0 | 0 | cnt/IS_FSM_FFd2 | NULL | NULL | cnt/IS_FSM_FFd2.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10494 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/IS_FSM_FFd2.Q | 11014 | ? | 0 | 0 | cnt/IS_FSM_FFd2 | NULL | NULL | cnt/IS_FSM_FFd2.REG | 0 | 8 | SRFF_Q +NODE | cnt/IS_FSM_FFd2.Q | 10935 | ? | 0 | 0 | cnt/IS_FSM_FFd2 | NULL | NULL | cnt/IS_FSM_FFd2.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped+Ce | iobm/ES<1> | WarpSE_COPY_0_COPY_0 | 2424308992 | 7 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 10622 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 10545 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 10630 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 10553 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | E_IBUF | 10653 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX +NODE | E_IBUF | 10576 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 10659 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +NODE | iobm/Er | 10582 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 10625 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 10548 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 10631 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +NODE | iobm/ES<3> | 10554 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF/FCLK- | 10553 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 10476 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/ES<1> | 10630 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 10553 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/ES<1>.SI | iobm/ES<1> | 0 | 6 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 10622 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 10545 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 10630 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 10553 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | E_IBUF | 10653 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX +NODE | E_IBUF | 10576 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 10659 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +NODE | iobm/Er | 10582 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 10625 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 10548 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 10631 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +NODE | iobm/ES<3> | 10554 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/ES<1>.D1 | 11016 | ? | 0 | 4096 | iobm/ES<1> | NULL | NULL | iobm/ES<1>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/ES<1>.D1 | 10937 | ? | 0 | 4096 | iobm/ES<1> | NULL | NULL | iobm/ES<1>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/ES<1>.D2 | 11017 | ? | 0 | 4096 | iobm/ES<1> | NULL | NULL | iobm/ES<1>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/ES<1>.D2 | 10938 | ? | 0 | 4096 | iobm/ES<1> | NULL | NULL | iobm/ES<1>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<1> SPPTERM | 2 | IV_FALSE | iobm/ES<0> | IV_FALSE | iobm/ES<1> SPPTERM | 2 | IV_FALSE | E_IBUF | IV_TRUE | iobm/Er @@ -3808,48 +3713,48 @@ SPPTERM | 3 | IV_TRUE | iobm/ES<0> | IV_FALSE | iobm/ES<2> | IV_TRUE | iobm/ES<3 SRFF_INSTANCE | iobm/ES<1>.REG | iobm/ES<1> | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/ES<1>.D | 11015 | ? | 0 | 0 | iobm/ES<1> | NULL | NULL | iobm/ES<1>.XOR | 0 | 7 | ALU_F +NODE | iobm/ES<1>.D | 10936 | ? | 0 | 0 | iobm/ES<1> | NULL | NULL | iobm/ES<1>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C8M_IBUF/FCLK- | 10553 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 10476 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/ES<1>.Q | 11018 | ? | 0 | 0 | iobm/ES<1> | NULL | NULL | iobm/ES<1>.REG | 0 | 8 | SRFF_Q +NODE | iobm/ES<1>.Q | 10939 | ? | 0 | 0 | iobm/ES<1> | NULL | NULL | iobm/ES<1>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped+Ce | iobm/ES<3> | WarpSE_COPY_0_COPY_0 | 2424312832 | 7 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 10631 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +NODE | iobm/ES<3> | 10554 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | E_IBUF | 10653 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX +NODE | E_IBUF | 10576 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 10659 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +NODE | iobm/Er | 10582 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 10622 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 10545 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 10625 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 10548 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 10630 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 10553 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF/FCLK- | 10553 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 10476 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/ES<3> | 10631 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +NODE | iobm/ES<3> | 10554 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/ES<3>.SI | iobm/ES<3> | 0 | 6 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 10631 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +NODE | iobm/ES<3> | 10554 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | E_IBUF | 10653 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX +NODE | E_IBUF | 10576 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 10659 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +NODE | iobm/Er | 10582 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 10622 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 10545 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 10625 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 10548 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 10630 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 10553 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/ES<3>.D1 | 11020 | ? | 0 | 4096 | iobm/ES<3> | NULL | NULL | iobm/ES<3>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/ES<3>.D1 | 10941 | ? | 0 | 4096 | iobm/ES<3> | NULL | NULL | iobm/ES<3>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/ES<3>.D2 | 11021 | ? | 0 | 4096 | iobm/ES<3> | NULL | NULL | iobm/ES<3>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/ES<3>.D2 | 10942 | ? | 0 | 4096 | iobm/ES<3> | NULL | NULL | iobm/ES<3>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 3 | IV_TRUE | iobm/ES<3> | IV_FALSE | E_IBUF | IV_TRUE | iobm/Er SPPTERM | 4 | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<2> | IV_TRUE | iobm/ES<1> | IV_TRUE | E_IBUF SPPTERM | 4 | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<2> | IV_TRUE | iobm/ES<1> | IV_FALSE | iobm/Er @@ -3857,210 +3762,111 @@ SPPTERM | 4 | IV_TRUE | iobm/ES<0> | IV_FALSE | iobm/ES<2> | IV_FALSE | iobm/ES< SRFF_INSTANCE | iobm/ES<3>.REG | iobm/ES<3> | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/ES<3>.D | 11019 | ? | 0 | 0 | iobm/ES<3> | NULL | NULL | iobm/ES<3>.XOR | 0 | 7 | ALU_F +NODE | iobm/ES<3>.D | 10940 | ? | 0 | 0 | iobm/ES<3> | NULL | NULL | iobm/ES<3>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C8M_IBUF/FCLK- | 10553 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 10476 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/ES<3>.Q | 11022 | ? | 0 | 0 | iobm/ES<3> | NULL | NULL | iobm/ES<3>.REG | 0 | 8 | SRFF_Q +NODE | iobm/ES<3>.Q | 10943 | ? | 0 | 0 | iobm/ES<3> | NULL | NULL | iobm/ES<3>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RS_FSM_FFd7 | WarpSE_COPY_0_COPY_0 | 2155873280 | 8 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10463 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMEN | 10594 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM +NODE | ram/RAMEN | 10517 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 10599 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 10522 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10531 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd8 | 10624 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd8 | 10547 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10673 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10593 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10494 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RS_FSM_FFd7 | 10632 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd7 | 10555 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | ram/RS_FSM_FFd7.SI | ram/RS_FSM_FFd7 | 0 | 7 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10463 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMEN | 10594 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM +NODE | ram/RAMEN | 10517 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 10599 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 10522 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10531 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd8 | 10624 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd8 | 10547 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10673 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10593 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RS_FSM_FFd7.D1 | 11024 | ? | 0 | 4096 | ram/RS_FSM_FFd7 | NULL | NULL | ram/RS_FSM_FFd7.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RS_FSM_FFd7.D1 | 10945 | ? | 0 | 4096 | ram/RS_FSM_FFd7 | NULL | NULL | ram/RS_FSM_FFd7.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RS_FSM_FFd7.D2 | 11025 | ? | 0 | 4096 | ram/RS_FSM_FFd7 | NULL | NULL | ram/RS_FSM_FFd7.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/RS_FSM_FFd7.D2 | 10946 | ? | 0 | 4096 | ram/RS_FSM_FFd7 | NULL | NULL | ram/RS_FSM_FFd7.SI | 2 | 9 | MC_SI_D2 SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | ram/RAMEN | IV_TRUE | cs/nOverlay | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd8 SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | ram/RAMEN | IV_TRUE | cs/nOverlay | IV_TRUE | ram/RS_FSM_FFd8 | IV_TRUE | fsb/ASrf SRFF_INSTANCE | ram/RS_FSM_FFd7.REG | ram/RS_FSM_FFd7 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RS_FSM_FFd7.D | 11023 | ? | 0 | 0 | ram/RS_FSM_FFd7 | NULL | NULL | ram/RS_FSM_FFd7.XOR | 0 | 7 | ALU_F +NODE | ram/RS_FSM_FFd7.D | 10944 | ? | 0 | 0 | ram/RS_FSM_FFd7 | NULL | NULL | ram/RS_FSM_FFd7.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10494 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RS_FSM_FFd7.Q | 11026 | ? | 0 | 0 | ram/RS_FSM_FFd7 | NULL | NULL | ram/RS_FSM_FFd7.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/Load1 | WarpSE_COPY_0_COPY_0 | 2155873280 | 19 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10544 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10545 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10546 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10547 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 10568 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 10577 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10600 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10618 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 10707 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 10549 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 10617 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10673 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/CAS.EXP | 11324 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/CAS.EXP | ram/CAS | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/Load1 | 10633 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobs/Load1.SI | iobs/Load1 | 0 | 18 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10544 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10545 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10546 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10547 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 10568 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 10577 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10600 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10618 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 10707 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 10549 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 10617 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10673 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/CAS.EXP | 11324 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/CAS.EXP | ram/CAS | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/Load1.D1 | 11028 | ? | 0 | 4096 | iobs/Load1 | NULL | NULL | iobs/Load1.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/Load1.D2 | 11029 | ? | 0 | 4096 | iobs/Load1 | NULL | NULL | iobs/Load1.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | ram/CAS.EXP -SPPTERM | 14 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | iobs/TS_FSM_FFd1 | IV_TRUE | ALE1 -SPPTERM | 14 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 -SPPTERM | 14 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | iobs/TS_FSM_FFd1 | IV_TRUE | ALE1 -SPPTERM | 14 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 -SPPTERM | 14 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | iobs/TS_FSM_FFd2 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 - -SRFF_INSTANCE | iobs/Load1.REG | iobs/Load1 | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/Load1.D | 11027 | ? | 0 | 0 | iobs/Load1 | NULL | NULL | iobs/Load1.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/Load1.Q | 11030 | ? | 0 | 0 | iobs/Load1 | NULL | NULL | iobs/Load1.REG | 0 | 8 | SRFF_Q +NODE | ram/RS_FSM_FFd7.Q | 10947 | ? | 0 | 0 | ram/RS_FSM_FFd7 | NULL | NULL | ram/RS_FSM_FFd7.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | IOACT | WarpSE_COPY_0_COPY_0 | 2155873280 | 10 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 10626 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 10549 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 10627 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd6 | 10550 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 10623 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 10546 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 10620 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 10543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/C8Mr | 10621 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM +NODE | iobm/C8Mr | 10544 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 10619 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 10542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IORDREQr | 10643 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM +NODE | iobm/IORDREQr | 10566 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 10709 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 10630 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDinLE_OBUF.EXP | 11356 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDinLE_OBUF.EXP | nDinLE_OBUF | 4 | 0 | MC_EXPORT +NODE | nDinLE_OBUF.EXP | 11269 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDinLE_OBUF.EXP | nDinLE_OBUF | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 10557 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10480 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | IOACT | 10634 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM +NODE | IOACT | 10556 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM SIGNAL_INSTANCE | IOACT.SI | IOACT | 0 | 9 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 10626 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 10549 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 10627 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd6 | 10550 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 10623 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 10546 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 10620 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 10543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/C8Mr | 10621 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM +NODE | iobm/C8Mr | 10544 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 10619 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 10542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IORDREQr | 10643 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM +NODE | iobm/IORDREQr | 10566 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 10709 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 10630 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDinLE_OBUF.EXP | 11356 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDinLE_OBUF.EXP | nDinLE_OBUF | 4 | 0 | MC_EXPORT +NODE | nDinLE_OBUF.EXP | 11269 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDinLE_OBUF.EXP | nDinLE_OBUF | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | IOACT.D1 | 11032 | ? | 0 | 4096 | IOACT | NULL | NULL | IOACT.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | IOACT.D1 | 10949 | ? | 0 | 4096 | IOACT | NULL | NULL | IOACT.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | IOACT.D2 | 11033 | ? | 0 | 4096 | IOACT | NULL | NULL | IOACT.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | IOACT.D2 | 10950 | ? | 0 | 4096 | IOACT | NULL | NULL | IOACT.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | iobm/IOS_FSM_FFd4 SPPTERM | 1 | IV_TRUE | iobm/IOS_FSM_FFd5 SPPTERM | 1 | IV_TRUE | iobm/IOS_FSM_FFd6 @@ -4070,188 +3876,287 @@ SPPTERM | 3 | IV_TRUE | iobm/IOS_FSM_FFd7 | IV_TRUE | iobm/IORDREQr | IV_FALSE | SRFF_INSTANCE | IOACT.REG | IOACT | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | IOACT.D | 11031 | ? | 0 | 0 | IOACT | NULL | NULL | IOACT.XOR | 0 | 7 | ALU_F +NODE | IOACT.D | 10948 | ? | 0 | 0 | IOACT | NULL | NULL | IOACT.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 10557 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10480 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | IOACT.Q | 11034 | ? | 0 | 0 | IOACT | NULL | NULL | IOACT.REG | 0 | 8 | SRFF_Q +NODE | IOACT.Q | 10951 | ? | 0 | 0 | IOACT | NULL | NULL | IOACT.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | Inv+PrldLow+Tff+OptxMapped | cnt/WS<0> | WarpSE_COPY_0_COPY_0 | 2155877632 | 4 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10531 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<0> | 10635 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<0>.Q | cnt/WS<0> | 1 | 0 | MC_UIM +NODE | cnt/WS<0> | 10557 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<0>.Q | cnt/WS<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10673 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10593 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10494 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/WS<0> | 10635 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<0>.Q | cnt/WS<0> | 1 | 0 | MC_UIM +NODE | cnt/WS<0> | 10557 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<0>.Q | cnt/WS<0> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cnt/WS<0>.SI | cnt/WS<0> | 0 | 3 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10531 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<0> | 10635 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<0>.Q | cnt/WS<0> | 1 | 0 | MC_UIM +NODE | cnt/WS<0> | 10557 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<0>.Q | cnt/WS<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10673 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10593 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/WS<0>.D1 | 11036 | ? | 0 | 4096 | cnt/WS<0> | NULL | NULL | cnt/WS<0>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/WS<0>.D1 | 10953 | ? | 0 | 4096 | cnt/WS<0> | NULL | NULL | cnt/WS<0>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/WS<0>.D2 | 11037 | ? | 0 | 4096 | cnt/WS<0> | NULL | NULL | cnt/WS<0>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/WS<0>.D2 | 10954 | ? | 0 | 4096 | cnt/WS<0> | NULL | NULL | cnt/WS<0>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 3 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | cnt/WS<0> | IV_FALSE | fsb/ASrf SRFF_INSTANCE | cnt/WS<0>.REG | cnt/WS<0> | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/WS<0>.D | 11035 | ? | 0 | 0 | cnt/WS<0> | NULL | NULL | cnt/WS<0>.XOR | 0 | 7 | ALU_F +NODE | cnt/WS<0>.D | 10952 | ? | 0 | 0 | cnt/WS<0> | NULL | NULL | cnt/WS<0>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10494 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/WS<0>.Q | 11038 | ? | 0 | 0 | cnt/WS<0> | NULL | NULL | cnt/WS<0>.REG | 0 | 8 | SRFF_Q +NODE | cnt/WS<0>.Q | 10955 | ? | 0 | 0 | cnt/WS<0> | NULL | NULL | cnt/WS<0>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/IOS_FSM_FFd1 | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 10637 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 10559 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 10557 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10480 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/IOS_FSM_FFd1 | 10636 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 10558 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/IOS_FSM_FFd1.SI | iobm/IOS_FSM_FFd1 | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 10637 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 10559 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/IOS_FSM_FFd1.D1 | 11040 | ? | 0 | 4096 | iobm/IOS_FSM_FFd1 | NULL | NULL | iobm/IOS_FSM_FFd1.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/IOS_FSM_FFd1.D1 | 10957 | ? | 0 | 4096 | iobm/IOS_FSM_FFd1 | NULL | NULL | iobm/IOS_FSM_FFd1.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/IOS_FSM_FFd1.D2 | 11041 | ? | 0 | 4096 | iobm/IOS_FSM_FFd1 | NULL | NULL | iobm/IOS_FSM_FFd1.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/IOS_FSM_FFd1.D2 | 10958 | ? | 0 | 4096 | iobm/IOS_FSM_FFd1 | NULL | NULL | iobm/IOS_FSM_FFd1.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | iobm/IOS_FSM_FFd2 SRFF_INSTANCE | iobm/IOS_FSM_FFd1.REG | iobm/IOS_FSM_FFd1 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/IOS_FSM_FFd1.D | 11039 | ? | 0 | 0 | iobm/IOS_FSM_FFd1 | NULL | NULL | iobm/IOS_FSM_FFd1.XOR | 0 | 7 | ALU_F +NODE | iobm/IOS_FSM_FFd1.D | 10956 | ? | 0 | 0 | iobm/IOS_FSM_FFd1 | NULL | NULL | iobm/IOS_FSM_FFd1.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 10557 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10480 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/IOS_FSM_FFd1.Q | 11042 | ? | 0 | 0 | iobm/IOS_FSM_FFd1 | NULL | NULL | iobm/IOS_FSM_FFd1.REG | 0 | 8 | SRFF_Q +NODE | iobm/IOS_FSM_FFd1.Q | 10959 | ? | 0 | 0 | iobm/IOS_FSM_FFd1 | NULL | NULL | iobm/IOS_FSM_FFd1.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/IOS_FSM_FFd2 | WarpSE_COPY_0_COPY_0 | 2155873280 | 5 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IODONE | 10582 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.Q | IODONE | 1 | 0 | MC_UIM +NODE | IODONE | 10505 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.Q | IODONE | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 10620 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 10543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/C8Mr | 10621 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM +NODE | iobm/C8Mr | 10544 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOBERR | 10579 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM +NODE | IOBERR | 10502 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 10557 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10480 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/IOS_FSM_FFd2 | 10637 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 10559 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/IOS_FSM_FFd2.SI | iobm/IOS_FSM_FFd2 | 0 | 4 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IODONE | 10582 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.Q | IODONE | 1 | 0 | MC_UIM +NODE | IODONE | 10505 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.Q | IODONE | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 10620 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 10543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/C8Mr | 10621 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM +NODE | iobm/C8Mr | 10544 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOBERR | 10579 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM +NODE | IOBERR | 10502 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/IOS_FSM_FFd2.D1 | 11044 | ? | 0 | 4096 | iobm/IOS_FSM_FFd2 | NULL | NULL | iobm/IOS_FSM_FFd2.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/IOS_FSM_FFd2.D1 | 10961 | ? | 0 | 4096 | iobm/IOS_FSM_FFd2 | NULL | NULL | iobm/IOS_FSM_FFd2.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/IOS_FSM_FFd2.D2 | 11045 | ? | 0 | 4096 | iobm/IOS_FSM_FFd2 | NULL | NULL | iobm/IOS_FSM_FFd2.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/IOS_FSM_FFd2.D2 | 10962 | ? | 0 | 4096 | iobm/IOS_FSM_FFd2 | NULL | NULL | iobm/IOS_FSM_FFd2.SI | 2 | 9 | MC_SI_D2 SPPTERM | 3 | IV_TRUE | IOBERR | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/C8Mr SPPTERM | 3 | IV_TRUE | IODONE | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/C8Mr SRFF_INSTANCE | iobm/IOS_FSM_FFd2.REG | iobm/IOS_FSM_FFd2 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/IOS_FSM_FFd2.D | 11043 | ? | 0 | 0 | iobm/IOS_FSM_FFd2 | NULL | NULL | iobm/IOS_FSM_FFd2.XOR | 0 | 7 | ALU_F +NODE | iobm/IOS_FSM_FFd2.D | 10960 | ? | 0 | 0 | iobm/IOS_FSM_FFd2 | NULL | NULL | iobm/IOS_FSM_FFd2.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 10557 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10480 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/IOS_FSM_FFd2.Q | 11046 | ? | 0 | 0 | iobm/IOS_FSM_FFd2 | NULL | NULL | iobm/IOS_FSM_FFd2.REG | 0 | 8 | SRFF_Q +NODE | iobm/IOS_FSM_FFd2.Q | 10963 | ? | 0 | 0 | iobm/IOS_FSM_FFd2 | NULL | NULL | iobm/IOS_FSM_FFd2.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/IOACTr | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOACT | 10634 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM +NODE | IOACT | 10556 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10494 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/IOACTr | 10638 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +NODE | iobs/IOACTr | 10560 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobs/IOACTr.SI | iobs/IOACTr | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOACT | 10634 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM +NODE | IOACT | 10556 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/IOACTr.D1 | 11048 | ? | 0 | 4096 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/IOACTr.D1 | 10965 | ? | 0 | 4096 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/IOACTr.D2 | 11049 | ? | 0 | 4096 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/IOACTr.D2 | 10966 | ? | 0 | 4096 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | IOACT SRFF_INSTANCE | iobs/IOACTr.REG | iobs/IOACTr | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/IOACTr.D | 11047 | ? | 0 | 0 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.XOR | 0 | 7 | ALU_F +NODE | iobs/IOACTr.D | 10964 | ? | 0 | 0 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10494 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/IOACTr.Q | 11050 | ? | 0 | 0 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.REG | 0 | 8 | SRFF_Q +NODE | iobs/IOACTr.Q | 10967 | ? | 0 | 0 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/Load1 | WarpSE_COPY_0_COPY_0 | 2155873280 | 19 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 10463 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 10464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 10465 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 10466 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 10467 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 10468 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 10469 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 10470 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 10491 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Sent | 10500 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 10523 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 10531 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd2 | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 10628 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 10472 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd1 | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 10593 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 10494 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/CAS.EXP | 11237 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/CAS.EXP | ram/CAS | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobs/Load1 | 10561 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobs/Load1.SI | iobs/Load1 | 0 | 18 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 10463 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 10464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 10465 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 10466 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 10467 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 10468 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 10469 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 10470 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 10491 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Sent | 10500 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 10523 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 10531 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd2 | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 10628 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 10472 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd1 | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 10593 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/CAS.EXP | 11237 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/CAS.EXP | ram/CAS | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/Load1.D1 | 10969 | ? | 0 | 4096 | iobs/Load1 | NULL | NULL | iobs/Load1.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/Load1.D2 | 10970 | ? | 0 | 4096 | iobs/Load1 | NULL | NULL | iobs/Load1.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | ram/CAS.EXP +SPPTERM | 14 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | iobs/TS_FSM_FFd1 | IV_TRUE | ALE1 +SPPTERM | 14 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 +SPPTERM | 14 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | iobs/TS_FSM_FFd1 | IV_TRUE | ALE1 +SPPTERM | 14 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 +SPPTERM | 14 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | iobs/TS_FSM_FFd2 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 + +SRFF_INSTANCE | iobs/Load1.REG | iobs/Load1 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobs/Load1.D | 10968 | ? | 0 | 0 | iobs/Load1 | NULL | NULL | iobs/Load1.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 10494 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobs/Load1.Q | 10971 | ? | 0 | 0 | iobs/Load1 | NULL | NULL | iobs/Load1.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | Inv+PrldLow+Tff+OptxMapped | IOL0 | WarpSE_COPY_0_COPY_0 | 2155877632 | 10 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 10617 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 10577 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | iobs/Sent | 10500 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10618 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 10707 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 10628 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nLDS_FSB_IBUF | 10603 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nLDS_FSB_IBUF | 10526 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOL0 | 10639 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM +NODE | IOL0 | 10562 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOL1 | 10604 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOL1.Q | iobs/IOL1 | 1 | 0 | MC_UIM +NODE | iobs/IOL1 | 10527 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOL1.Q | iobs/IOL1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_11_OBUF$BUF0.EXP | 11378 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_11_OBUF$BUF0.EXP | RA_11_OBUF$BUF0 | 4 | 0 | MC_EXPORT +NODE | RA_11_OBUF$BUF0.EXP | 11291 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_11_OBUF$BUF0.EXP | RA_11_OBUF$BUF0 | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP20_.EXP | 11392 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP20_.EXP | EXP20_ | 4 | 0 | MC_EXPORT +NODE | EXP21_.EXP | 11305 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP21_.EXP | EXP21_ | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10494 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | IOL0 | 10639 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM +NODE | IOL0 | 10562 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | IOL0.SI | IOL0 | 0 | 9 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 10617 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 10577 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | iobs/Sent | 10500 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10618 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 10707 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 10628 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nLDS_FSB_IBUF | 10603 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nLDS_FSB_IBUF | 10526 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOL0 | 10639 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM +NODE | IOL0 | 10562 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOL1 | 10604 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOL1.Q | iobs/IOL1 | 1 | 0 | MC_UIM +NODE | iobs/IOL1 | 10527 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOL1.Q | iobs/IOL1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_11_OBUF$BUF0.EXP | 11378 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_11_OBUF$BUF0.EXP | RA_11_OBUF$BUF0 | 4 | 0 | MC_EXPORT +NODE | RA_11_OBUF$BUF0.EXP | 11291 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_11_OBUF$BUF0.EXP | RA_11_OBUF$BUF0 | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP20_.EXP | 11392 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP20_.EXP | EXP20_ | 4 | 0 | MC_EXPORT +NODE | EXP21_.EXP | 11305 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP21_.EXP | EXP21_ | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | IOL0.D1 | 11052 | ? | 0 | 4096 | IOL0 | NULL | NULL | IOL0.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | IOL0.D1 | 10973 | ? | 0 | 4096 | IOL0 | NULL | NULL | IOL0.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | IOL0.D2 | 11053 | ? | 0 | 4096 | IOL0 | NULL | NULL | IOL0.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | IOL0.D2 | 10974 | ? | 0 | 4096 | IOL0 | NULL | NULL | IOL0.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | iobs/TS_FSM_FFd1 SPPTERM | 1 | IV_TRUE | RA_11_OBUF$BUF0.EXP -SPPTERM | 1 | IV_TRUE | EXP20_.EXP +SPPTERM | 1 | IV_TRUE | EXP21_.EXP SPPTERM | 3 | IV_TRUE | iobs/Sent | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 SPPTERM | 3 | IV_TRUE | nLDS_FSB_IBUF | IV_FALSE | IOL0 | IV_TRUE | ALE1 SPPTERM | 3 | IV_FALSE | nLDS_FSB_IBUF | IV_TRUE | IOL0 | IV_TRUE | ALE1 @@ -4259,62 +4164,62 @@ SPPTERM | 3 | IV_TRUE | iobs/IOL1 | IV_TRUE | IOL0 | IV_FALSE | ALE1 SRFF_INSTANCE | IOL0.REG | IOL0 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | IOL0.D | 11051 | ? | 0 | 0 | IOL0 | NULL | NULL | IOL0.XOR | 0 | 7 | ALU_F +NODE | IOL0.D | 10972 | ? | 0 | 0 | IOL0 | NULL | NULL | IOL0.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10494 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | IOL0.Q | 11054 | ? | 0 | 0 | IOL0 | NULL | NULL | IOL0.REG | 0 | 8 | SRFF_Q +NODE | IOL0.Q | 10975 | ? | 0 | 0 | IOL0 | NULL | NULL | IOL0.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | Inv+PrldLow+Tff+OptxMapped | IOU0 | WarpSE_COPY_0_COPY_0 | 2155877632 | 10 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 10617 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 10577 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | iobs/Sent | 10500 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10618 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 10707 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 10628 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nUDS_FSB_IBUF | 10605 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nUDS_FSB_IBUF | 10528 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOU0 | 10640 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM +NODE | IOU0 | 10563 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOU1 | 10606 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOU1.Q | iobs/IOU1 | 1 | 0 | MC_UIM +NODE | iobs/IOU1 | 10529 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOU1.Q | iobs/IOU1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP19_.EXP | 11388 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP19_.EXP | EXP19_ | 4 | 0 | MC_EXPORT +NODE | EXP20_.EXP | 11301 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP20_.EXP | EXP20_ | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBR_IOB_OBUF.EXP | 11389 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.EXP | nBR_IOB_OBUF | 4 | 0 | MC_EXPORT +NODE | nBR_IOB_OBUF.EXP | 11302 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.EXP | nBR_IOB_OBUF | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10494 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | IOU0 | 10640 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM +NODE | IOU0 | 10563 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | IOU0.SI | IOU0 | 0 | 9 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 10617 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 10577 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | iobs/Sent | 10500 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10618 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 10707 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 10628 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nUDS_FSB_IBUF | 10605 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nUDS_FSB_IBUF | 10528 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOU0 | 10640 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM +NODE | IOU0 | 10563 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOU1 | 10606 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOU1.Q | iobs/IOU1 | 1 | 0 | MC_UIM +NODE | iobs/IOU1 | 10529 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOU1.Q | iobs/IOU1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP19_.EXP | 11388 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP19_.EXP | EXP19_ | 4 | 0 | MC_EXPORT +NODE | EXP20_.EXP | 11301 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP20_.EXP | EXP20_ | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBR_IOB_OBUF.EXP | 11389 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.EXP | nBR_IOB_OBUF | 4 | 0 | MC_EXPORT +NODE | nBR_IOB_OBUF.EXP | 11302 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.EXP | nBR_IOB_OBUF | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | IOU0.D1 | 11056 | ? | 0 | 4096 | IOU0 | NULL | NULL | IOU0.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | IOU0.D1 | 10977 | ? | 0 | 4096 | IOU0 | NULL | NULL | IOU0.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | IOU0.D2 | 11057 | ? | 0 | 4096 | IOU0 | NULL | NULL | IOU0.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | IOU0.D2 | 10978 | ? | 0 | 4096 | IOU0 | NULL | NULL | IOU0.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | iobs/TS_FSM_FFd1 -SPPTERM | 1 | IV_TRUE | EXP19_.EXP +SPPTERM | 1 | IV_TRUE | EXP20_.EXP SPPTERM | 1 | IV_TRUE | nBR_IOB_OBUF.EXP SPPTERM | 3 | IV_TRUE | iobs/Sent | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 SPPTERM | 3 | IV_TRUE | nUDS_FSB_IBUF | IV_FALSE | IOU0 | IV_TRUE | ALE1 @@ -4323,102 +4228,94 @@ SPPTERM | 3 | IV_TRUE | iobs/IOU1 | IV_TRUE | IOU0 | IV_FALSE | ALE1 SRFF_INSTANCE | IOU0.REG | IOU0 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | IOU0.D | 11055 | ? | 0 | 0 | IOU0 | NULL | NULL | IOU0.XOR | 0 | 7 | ALU_F +NODE | IOU0.D | 10976 | ? | 0 | 0 | IOU0 | NULL | NULL | IOU0.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10494 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | IOU0.Q | 11058 | ? | 0 | 0 | IOU0 | NULL | NULL | IOU0.REG | 0 | 8 | SRFF_Q +NODE | IOU0.Q | 10979 | ? | 0 | 0 | IOU0 | NULL | NULL | IOU0.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | cnt/WS<1> | WarpSE_COPY_0_COPY_0 | 2155873536 | 19 | 2 +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | cnt/WS<1> | WarpSE_COPY_0_COPY_0 | 2155873536 | 17 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<0> | 10635 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<0>.Q | cnt/WS<0> | 1 | 0 | MC_UIM +NODE | nAS_FSB_IBUF | 10531 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<1> | 10641 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<1>.Q | cnt/WS<1> | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10593 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 10577 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | iobs/Sent | 10500 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IONPReady | 10602 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.Q | IONPReady | 1 | 0 | MC_UIM +NODE | IONPReady | 10525 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.Q | IONPReady | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10494 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IODONEr | 10674 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IODONEr.Q | iobs/IODONEr | 1 | 0 | MC_UIM +NODE | iobs/IODONEr | 10595 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IODONEr.Q | iobs/IODONEr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10463 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10673 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 10464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10465 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10466 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 10467 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 10468 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10544 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 10469 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10545 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 10470 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10546 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 10472 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10547 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10523 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 10549 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10600 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<2>.EXP | 11337 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<2>.EXP | cnt/WS<2> | 4 | 0 | MC_EXPORT +NODE | cnt/WS<2>.EXP | 11250 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<2>.EXP | cnt/WS<2> | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/WS<1> | 10641 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<1>.Q | cnt/WS<1> | 1 | 0 | MC_UIM +NODE | cnt/WS<1> | 10564 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<1>.Q | cnt/WS<1> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | cnt/WS<1>.EXP | 11338 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<1>.EXP | cnt/WS<1> | 4 | 0 | MC_EXPORT +NODE | cnt/WS<1>.EXP | 11251 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<1>.EXP | cnt/WS<1> | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | cnt/WS<1>.SI | cnt/WS<1> | 0 | 18 | 3 +SIGNAL_INSTANCE | cnt/WS<1>.SI | cnt/WS<1> | 0 | 16 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<0> | 10635 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<0>.Q | cnt/WS<0> | 1 | 0 | MC_UIM +NODE | nAS_FSB_IBUF | 10531 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<1> | 10641 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<1>.Q | cnt/WS<1> | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10593 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 10577 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | iobs/Sent | 10500 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IONPReady | 10602 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.Q | IONPReady | 1 | 0 | MC_UIM +NODE | IONPReady | 10525 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.Q | IONPReady | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IODONEr | 10674 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IODONEr.Q | iobs/IODONEr | 1 | 0 | MC_UIM +NODE | iobs/IODONEr | 10595 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IODONEr.Q | iobs/IODONEr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10463 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10673 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 10464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10465 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10466 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 10467 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 10468 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10544 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 10469 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10545 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 10470 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10546 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 10472 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10547 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10523 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 10549 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10600 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<2>.EXP | 11337 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<2>.EXP | cnt/WS<2> | 4 | 0 | MC_EXPORT +NODE | cnt/WS<2>.EXP | 11250 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<2>.EXP | cnt/WS<2> | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/WS<1>.D1 | 11060 | ? | 0 | 4096 | cnt/WS<1> | NULL | NULL | cnt/WS<1>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/WS<1>.D1 | 10981 | ? | 0 | 4096 | cnt/WS<1> | NULL | NULL | cnt/WS<1>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/WS<1>.D2 | 11061 | ? | 0 | 4096 | cnt/WS<1> | NULL | NULL | cnt/WS<1>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/WS<1>.D2 | 10982 | ? | 0 | 4096 | cnt/WS<1> | NULL | NULL | cnt/WS<1>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | cnt/WS<2>.EXP -SPPTERM | 2 | IV_TRUE | cnt/WS<0> | IV_TRUE | cnt/WS<1> +SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | cnt/WS<1>.EXP | 11330 | ? | 0 | 0 | cnt/WS<1> | NULL | NULL | cnt/WS<1>.SI | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | cnt/WS<1>.EXP | 11243 | ? | 0 | 0 | cnt/WS<1> | NULL | NULL | cnt/WS<1>.SI | 7 | 9 | MC_SI_EXPORT SPPTERM | 2 | IV_FALSE | iobs/Sent | IV_FALSE | IONPReady SPPTERM | 2 | IV_FALSE | IONPReady | IV_FALSE | iobs/IODONEr SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf @@ -4426,287 +4323,287 @@ SPPTERM | 11 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A SRFF_INSTANCE | cnt/WS<1>.REG | cnt/WS<1> | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/WS<1>.D | 11059 | ? | 0 | 0 | cnt/WS<1> | NULL | NULL | cnt/WS<1>.XOR | 0 | 7 | ALU_F +NODE | cnt/WS<1>.D | 10980 | ? | 0 | 0 | cnt/WS<1> | NULL | NULL | cnt/WS<1>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10494 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/WS<1>.Q | 11062 | ? | 0 | 0 | cnt/WS<1> | NULL | NULL | cnt/WS<1>.REG | 0 | 8 | SRFF_Q +NODE | cnt/WS<1>.Q | 10983 | ? | 0 | 0 | cnt/WS<1> | NULL | NULL | cnt/WS<1>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/WS<2> | WarpSE_COPY_0_COPY_0 | 2155877376 | 6 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10531 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<0> | 10635 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<0>.Q | cnt/WS<0> | 1 | 0 | MC_UIM +NODE | cnt/WS<0> | 10557 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<0>.Q | cnt/WS<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<1> | 10641 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<1>.Q | cnt/WS<1> | 1 | 0 | MC_UIM +NODE | cnt/WS<1> | 10564 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<1>.Q | cnt/WS<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10673 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10593 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<2> | 10642 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<2>.Q | cnt/WS<2> | 1 | 0 | MC_UIM +NODE | cnt/WS<2> | 10565 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<2>.Q | cnt/WS<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10494 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/WS<2> | 10642 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<2>.Q | cnt/WS<2> | 1 | 0 | MC_UIM +NODE | cnt/WS<2> | 10565 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<2>.Q | cnt/WS<2> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | cnt/WS<2>.EXP | 11337 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<2>.EXP | cnt/WS<2> | 4 | 0 | MC_EXPORT +NODE | cnt/WS<2>.EXP | 11250 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<2>.EXP | cnt/WS<2> | 4 | 0 | MC_EXPORT SIGNAL_INSTANCE | cnt/WS<2>.SI | cnt/WS<2> | 0 | 5 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10531 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<0> | 10635 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<0>.Q | cnt/WS<0> | 1 | 0 | MC_UIM +NODE | cnt/WS<0> | 10557 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<0>.Q | cnt/WS<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<1> | 10641 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<1>.Q | cnt/WS<1> | 1 | 0 | MC_UIM +NODE | cnt/WS<1> | 10564 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<1>.Q | cnt/WS<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10673 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10593 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<2> | 10642 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<2>.Q | cnt/WS<2> | 1 | 0 | MC_UIM +NODE | cnt/WS<2> | 10565 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<2>.Q | cnt/WS<2> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/WS<2>.D1 | 11064 | ? | 0 | 4096 | cnt/WS<2> | NULL | NULL | cnt/WS<2>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/WS<2>.D1 | 10985 | ? | 0 | 4096 | cnt/WS<2> | NULL | NULL | cnt/WS<2>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/WS<2>.D2 | 11065 | ? | 0 | 4096 | cnt/WS<2> | NULL | NULL | cnt/WS<2>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/WS<2>.D2 | 10986 | ? | 0 | 4096 | cnt/WS<2> | NULL | NULL | cnt/WS<2>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 3 | IV_TRUE | nAS_FSB_IBUF | IV_TRUE | cnt/WS<2> | IV_FALSE | fsb/ASrf SPPTERM | 3 | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | cnt/WS<0> | IV_TRUE | cnt/WS<1> SPPTERM | 3 | IV_TRUE | cnt/WS<0> | IV_TRUE | cnt/WS<1> | IV_TRUE | fsb/ASrf OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | cnt/WS<2>.EXP | 11329 | ? | 0 | 0 | cnt/WS<2> | NULL | NULL | cnt/WS<2>.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +SIGNAL | NODE | cnt/WS<2>.EXP | 11242 | ? | 0 | 0 | cnt/WS<2> | NULL | NULL | cnt/WS<2>.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 2 | IV_TRUE | cnt/WS<0> | IV_TRUE | cnt/WS<1> SPPTERM | 2 | IV_FALSE | cnt/WS<0> | IV_FALSE | cnt/WS<1> SRFF_INSTANCE | cnt/WS<2>.REG | cnt/WS<2> | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/WS<2>.D | 11063 | ? | 0 | 0 | cnt/WS<2> | NULL | NULL | cnt/WS<2>.XOR | 0 | 7 | ALU_F +NODE | cnt/WS<2>.D | 10984 | ? | 0 | 0 | cnt/WS<2> | NULL | NULL | cnt/WS<2>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10494 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/WS<2>.Q | 11066 | ? | 0 | 0 | cnt/WS<2> | NULL | NULL | cnt/WS<2>.REG | 0 | 8 | SRFF_Q +NODE | cnt/WS<2>.Q | 10987 | ? | 0 | 0 | cnt/WS<2> | NULL | NULL | cnt/WS<2>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/IORDREQr | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IORDREQ | 10649 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IORDREQ.Q | IORDREQ | 1 | 0 | MC_UIM +NODE | IORDREQ | 10572 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IORDREQ.Q | IORDREQ | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 10557 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10480 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/IORDREQr | 10643 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM +NODE | iobm/IORDREQr | 10566 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/IORDREQr.SI | iobm/IORDREQr | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IORDREQ | 10649 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IORDREQ.Q | IORDREQ | 1 | 0 | MC_UIM +NODE | IORDREQ | 10572 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IORDREQ.Q | IORDREQ | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/IORDREQr.D1 | 11068 | ? | 0 | 4096 | iobm/IORDREQr | NULL | NULL | iobm/IORDREQr.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/IORDREQr.D1 | 10989 | ? | 0 | 4096 | iobm/IORDREQr | NULL | NULL | iobm/IORDREQr.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/IORDREQr.D2 | 11069 | ? | 0 | 4096 | iobm/IORDREQr | NULL | NULL | iobm/IORDREQr.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/IORDREQr.D2 | 10990 | ? | 0 | 4096 | iobm/IORDREQr | NULL | NULL | iobm/IORDREQr.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | IORDREQ SRFF_INSTANCE | iobm/IORDREQr.REG | iobm/IORDREQr | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/IORDREQr.D | 11067 | ? | 0 | 0 | iobm/IORDREQr | NULL | NULL | iobm/IORDREQr.XOR | 0 | 7 | ALU_F +NODE | iobm/IORDREQr.D | 10988 | ? | 0 | 0 | iobm/IORDREQr | NULL | NULL | iobm/IORDREQr.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 10557 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10480 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/IORDREQr.Q | 11070 | ? | 0 | 0 | iobm/IORDREQr | NULL | NULL | iobm/IORDREQr.REG | 0 | 8 | SRFF_Q +NODE | iobm/IORDREQr.Q | 10991 | ? | 0 | 0 | iobm/IORDREQr | NULL | NULL | iobm/IORDREQr.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RS_FSM_FFd3 | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd6 | 10647 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd6.Q | ram/RS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd6 | 10570 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd6.Q | ram/RS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10494 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RS_FSM_FFd3 | 10644 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd3 | 10567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | ram/RS_FSM_FFd3.SI | ram/RS_FSM_FFd3 | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd6 | 10647 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd6.Q | ram/RS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd6 | 10570 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd6.Q | ram/RS_FSM_FFd6 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RS_FSM_FFd3.D1 | 11072 | ? | 0 | 4096 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RS_FSM_FFd3.D1 | 10993 | ? | 0 | 4096 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RS_FSM_FFd3.D2 | 11073 | ? | 0 | 4096 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/RS_FSM_FFd3.D2 | 10994 | ? | 0 | 4096 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | ram/RS_FSM_FFd6 SRFF_INSTANCE | ram/RS_FSM_FFd3.REG | ram/RS_FSM_FFd3 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RS_FSM_FFd3.D | 11071 | ? | 0 | 0 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.XOR | 0 | 7 | ALU_F +NODE | ram/RS_FSM_FFd3.D | 10992 | ? | 0 | 0 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10494 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RS_FSM_FFd3.Q | 11074 | ? | 0 | 0 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.REG | 0 | 8 | SRFF_Q +NODE | ram/RS_FSM_FFd3.Q | 10995 | ? | 0 | 0 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | ram/RS_FSM_FFd4 | WarpSE_COPY_0_COPY_0 | 2155873536 | 5 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd5 | 10646 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd5.Q | ram/RS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd5 | 10569 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd5.Q | ram/RS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 10686 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd1 | 10607 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 10573 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +NODE | RefUrg | 10496 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 10595 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +NODE | ram/RefDone | 10518 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10494 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RS_FSM_FFd4 | 10645 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd4 | 10568 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | ram/RS_FSM_FFd4.SI | ram/RS_FSM_FFd4 | 0 | 4 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd5 | 10646 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd5.Q | ram/RS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd5 | 10569 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd5.Q | ram/RS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 10686 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd1 | 10607 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 10573 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +NODE | RefUrg | 10496 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 10595 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +NODE | ram/RefDone | 10518 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RS_FSM_FFd4.D1 | 11076 | ? | 0 | 4096 | ram/RS_FSM_FFd4 | NULL | NULL | ram/RS_FSM_FFd4.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RS_FSM_FFd4.D1 | 10997 | ? | 0 | 4096 | ram/RS_FSM_FFd4 | NULL | NULL | ram/RS_FSM_FFd4.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RS_FSM_FFd4.D2 | 11077 | ? | 0 | 4096 | ram/RS_FSM_FFd4 | NULL | NULL | ram/RS_FSM_FFd4.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/RS_FSM_FFd4.D2 | 10998 | ? | 0 | 4096 | ram/RS_FSM_FFd4 | NULL | NULL | ram/RS_FSM_FFd4.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_FALSE | ram/RS_FSM_FFd5 | IV_FALSE | ram/RS_FSM_FFd1 SPPTERM | 3 | IV_TRUE | RefUrg | IV_FALSE | ram/RefDone | IV_FALSE | ram/RS_FSM_FFd1 SRFF_INSTANCE | ram/RS_FSM_FFd4.REG | ram/RS_FSM_FFd4 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RS_FSM_FFd4.D | 11075 | ? | 0 | 0 | ram/RS_FSM_FFd4 | NULL | NULL | ram/RS_FSM_FFd4.XOR | 0 | 7 | ALU_F +NODE | ram/RS_FSM_FFd4.D | 10996 | ? | 0 | 0 | ram/RS_FSM_FFd4 | NULL | NULL | ram/RS_FSM_FFd4.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10494 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RS_FSM_FFd4.Q | 11078 | ? | 0 | 0 | ram/RS_FSM_FFd4 | NULL | NULL | ram/RS_FSM_FFd4.REG | 0 | 8 | SRFF_Q +NODE | ram/RS_FSM_FFd4.Q | 10999 | ? | 0 | 0 | ram/RS_FSM_FFd4 | NULL | NULL | ram/RS_FSM_FFd4.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RS_FSM_FFd5 | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd7 | 10632 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd7 | 10555 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10494 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RS_FSM_FFd5 | 10646 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd5.Q | ram/RS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd5 | 10569 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd5.Q | ram/RS_FSM_FFd5 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | ram/RS_FSM_FFd5.SI | ram/RS_FSM_FFd5 | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd7 | 10632 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd7 | 10555 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RS_FSM_FFd5.D1 | 11080 | ? | 0 | 4096 | ram/RS_FSM_FFd5 | NULL | NULL | ram/RS_FSM_FFd5.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RS_FSM_FFd5.D1 | 11001 | ? | 0 | 4096 | ram/RS_FSM_FFd5 | NULL | NULL | ram/RS_FSM_FFd5.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RS_FSM_FFd5.D2 | 11081 | ? | 0 | 4096 | ram/RS_FSM_FFd5 | NULL | NULL | ram/RS_FSM_FFd5.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/RS_FSM_FFd5.D2 | 11002 | ? | 0 | 4096 | ram/RS_FSM_FFd5 | NULL | NULL | ram/RS_FSM_FFd5.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | ram/RS_FSM_FFd7 SRFF_INSTANCE | ram/RS_FSM_FFd5.REG | ram/RS_FSM_FFd5 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RS_FSM_FFd5.D | 11079 | ? | 0 | 0 | ram/RS_FSM_FFd5 | NULL | NULL | ram/RS_FSM_FFd5.XOR | 0 | 7 | ALU_F +NODE | ram/RS_FSM_FFd5.D | 11000 | ? | 0 | 0 | ram/RS_FSM_FFd5 | NULL | NULL | ram/RS_FSM_FFd5.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10494 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RS_FSM_FFd5.Q | 11082 | ? | 0 | 0 | ram/RS_FSM_FFd5 | NULL | NULL | ram/RS_FSM_FFd5.REG | 0 | 8 | SRFF_Q +NODE | ram/RS_FSM_FFd5.Q | 11003 | ? | 0 | 0 | ram/RS_FSM_FFd5 | NULL | NULL | ram/RS_FSM_FFd5.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RS_FSM_FFd6 | WarpSE_COPY_0_COPY_0 | 2155873280 | 8 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 10573 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +NODE | RefUrg | 10496 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 10595 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +NODE | ram/RefDone | 10518 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd5 | 10646 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd5.Q | ram/RS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd5 | 10569 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd5.Q | ram/RS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefReq | 10596 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM +NODE | RefReq | 10519 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10531 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd8 | 10624 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd8 | 10547 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10673 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10593 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10494 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RS_FSM_FFd6 | 10647 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd6.Q | ram/RS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd6 | 10570 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd6.Q | ram/RS_FSM_FFd6 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | ram/RS_FSM_FFd6.SI | ram/RS_FSM_FFd6 | 0 | 7 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 10573 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +NODE | RefUrg | 10496 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 10595 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +NODE | ram/RefDone | 10518 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd5 | 10646 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd5.Q | ram/RS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd5 | 10569 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd5.Q | ram/RS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefReq | 10596 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM +NODE | RefReq | 10519 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10531 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd8 | 10624 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd8 | 10547 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10673 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10593 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RS_FSM_FFd6.D1 | 11084 | ? | 0 | 4096 | ram/RS_FSM_FFd6 | NULL | NULL | ram/RS_FSM_FFd6.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RS_FSM_FFd6.D1 | 11005 | ? | 0 | 4096 | ram/RS_FSM_FFd6 | NULL | NULL | ram/RS_FSM_FFd6.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RS_FSM_FFd6.D2 | 11085 | ? | 0 | 4096 | ram/RS_FSM_FFd6 | NULL | NULL | ram/RS_FSM_FFd6.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/RS_FSM_FFd6.D2 | 11006 | ? | 0 | 4096 | ram/RS_FSM_FFd6 | NULL | NULL | ram/RS_FSM_FFd6.SI | 2 | 9 | MC_SI_D2 SPPTERM | 3 | IV_TRUE | RefUrg | IV_FALSE | ram/RefDone | IV_TRUE | ram/RS_FSM_FFd5 SPPTERM | 5 | IV_TRUE | RefUrg | IV_FALSE | ram/RefDone | IV_TRUE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd8 | IV_FALSE | fsb/ASrf SPPTERM | 5 | IV_FALSE | ram/RefDone | IV_TRUE | RefReq | IV_TRUE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd8 | IV_FALSE | fsb/ASrf SRFF_INSTANCE | ram/RS_FSM_FFd6.REG | ram/RS_FSM_FFd6 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RS_FSM_FFd6.D | 11083 | ? | 0 | 0 | ram/RS_FSM_FFd6 | NULL | NULL | ram/RS_FSM_FFd6.XOR | 0 | 7 | ALU_F +NODE | ram/RS_FSM_FFd6.D | 11004 | ? | 0 | 0 | ram/RS_FSM_FFd6 | NULL | NULL | ram/RS_FSM_FFd6.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10494 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RS_FSM_FFd6.Q | 11086 | ? | 0 | 0 | ram/RS_FSM_FFd6 | NULL | NULL | ram/RS_FSM_FFd6.REG | 0 | 8 | SRFF_Q +NODE | ram/RS_FSM_FFd6.Q | 11007 | ? | 0 | 0 | ram/RS_FSM_FFd6 | NULL | NULL | ram/RS_FSM_FFd6.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | ALE0M | WarpSE_COPY_0_COPY_0 | 2155873536 | 12 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 10619 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 10542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 10620 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 10543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 10623 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 10546 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 10626 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 10549 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 10627 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd6 | 10550 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 10636 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 10558 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 10637 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 10559 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE0M | 10648 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ALE0M.Q | ALE0M | 1 | 0 | MC_UIM +NODE | ALE0M | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ALE0M.Q | ALE0M | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 10709 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 10630 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IORDREQr | 10643 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM +NODE | iobm/IORDREQr | 10566 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOWRREQr | 10661 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM +NODE | iobm/IOWRREQr | 10584 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 10557 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10480 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ALE0M | 10648 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ALE0M.Q | ALE0M | 1 | 0 | MC_UIM +NODE | ALE0M | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ALE0M.Q | ALE0M | 1 | 0 | MC_UIM SIGNAL_INSTANCE | ALE0M.SI | ALE0M | 0 | 11 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 10619 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 10542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 10620 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 10543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 10623 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 10546 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 10626 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 10549 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 10627 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd6 | 10550 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 10636 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 10558 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 10637 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 10559 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE0M | 10648 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ALE0M.Q | ALE0M | 1 | 0 | MC_UIM +NODE | ALE0M | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ALE0M.Q | ALE0M | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 10709 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 10630 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IORDREQr | 10643 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM +NODE | iobm/IORDREQr | 10566 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOWRREQr | 10661 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM +NODE | iobm/IOWRREQr | 10584 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ALE0M.D1 | 11088 | ? | 0 | 4096 | ALE0M | NULL | NULL | ALE0M.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ALE0M.D1 | 11009 | ? | 0 | 4096 | ALE0M | NULL | NULL | ALE0M.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ALE0M.D2 | 11089 | ? | 0 | 4096 | ALE0M | NULL | NULL | ALE0M.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ALE0M.D2 | 11010 | ? | 0 | 4096 | ALE0M | NULL | NULL | ALE0M.SI | 2 | 9 | MC_SI_D2 SPPTERM | 6 | IV_TRUE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 | IV_TRUE | AoutOE SPPTERM | 6 | IV_FALSE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 | IV_TRUE | iobm/IOS_FSM_FFd1 SPPTERM | 6 | IV_FALSE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 | IV_TRUE | iobm/IOS_FSM_FFd2 @@ -4715,56 +4612,56 @@ SPPTERM | 7 | IV_TRUE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FA SRFF_INSTANCE | ALE0M.REG | ALE0M | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ALE0M.D | 11087 | ? | 0 | 0 | ALE0M | NULL | NULL | ALE0M.XOR | 0 | 7 | ALU_F +NODE | ALE0M.D | 11008 | ? | 0 | 0 | ALE0M | NULL | NULL | ALE0M.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 10557 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10480 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ALE0M.Q | 11090 | ? | 0 | 0 | ALE0M | NULL | NULL | ALE0M.REG | 0 | 8 | SRFF_Q +NODE | ALE0M.Q | 11011 | ? | 0 | 0 | ALE0M | NULL | NULL | ALE0M.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | IORDREQ | WarpSE_COPY_0_COPY_0 | 2155873536 | 9 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 10617 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10618 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 10638 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +NODE | iobs/IOACTr | 10560 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IORDREQ | 10649 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IORDREQ.Q | IORDREQ | 1 | 0 | MC_UIM +NODE | IORDREQ | 10572 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IORDREQ.Q | IORDREQ | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10600 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10523 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 10707 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 10628 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 10577 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | iobs/Sent | 10500 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nROMWE_OBUF.EXP | 11325 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMWE_OBUF.EXP | nROMWE_OBUF | 4 | 0 | MC_EXPORT +NODE | nROMWE_OBUF.EXP | 11238 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMWE_OBUF.EXP | nROMWE_OBUF | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10494 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | IORDREQ | 10649 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IORDREQ.Q | IORDREQ | 1 | 0 | MC_UIM +NODE | IORDREQ | 10572 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IORDREQ.Q | IORDREQ | 1 | 0 | MC_UIM SIGNAL_INSTANCE | IORDREQ.SI | IORDREQ | 0 | 8 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 10617 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10618 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 10638 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +NODE | iobs/IOACTr | 10560 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IORDREQ | 10649 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IORDREQ.Q | IORDREQ | 1 | 0 | MC_UIM +NODE | IORDREQ | 10572 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IORDREQ.Q | IORDREQ | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10600 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10523 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 10707 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 10628 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 10577 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | iobs/Sent | 10500 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nROMWE_OBUF.EXP | 11325 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMWE_OBUF.EXP | nROMWE_OBUF | 4 | 0 | MC_EXPORT +NODE | nROMWE_OBUF.EXP | 11238 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMWE_OBUF.EXP | nROMWE_OBUF | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | IORDREQ.D1 | 11092 | ? | 0 | 4096 | IORDREQ | NULL | NULL | IORDREQ.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | IORDREQ.D1 | 11013 | ? | 0 | 4096 | IORDREQ | NULL | NULL | IORDREQ.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | IORDREQ.D2 | 11093 | ? | 0 | 4096 | IORDREQ | NULL | NULL | IORDREQ.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | IORDREQ.D2 | 11014 | ? | 0 | 4096 | IORDREQ | NULL | NULL | IORDREQ.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | nROMWE_OBUF.EXP SPPTERM | 2 | IV_TRUE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 SPPTERM | 2 | IV_TRUE | iobs/TS_FSM_FFd1 | IV_TRUE | iobs/IOACTr @@ -4774,78 +4671,78 @@ SPPTERM | 3 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | SRFF_INSTANCE | IORDREQ.REG | IORDREQ | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | IORDREQ.D | 11091 | ? | 0 | 0 | IORDREQ | NULL | NULL | IORDREQ.XOR | 0 | 7 | ALU_F +NODE | IORDREQ.D | 11012 | ? | 0 | 0 | IORDREQ | NULL | NULL | IORDREQ.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10494 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | IORDREQ.Q | 11094 | ? | 0 | 0 | IORDREQ | NULL | NULL | IORDREQ.REG | 0 | 8 | SRFF_Q +NODE | IORDREQ.Q | 11015 | ? | 0 | 0 | IORDREQ | NULL | NULL | IORDREQ.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | IOWRREQ | WarpSE_COPY_0_COPY_0 | 2155873280 | 14 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 10617 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10618 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOWRREQ | 10650 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOWRREQ.Q | IOWRREQ | 1 | 0 | MC_UIM +NODE | IOWRREQ | 10573 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOWRREQ.Q | IOWRREQ | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 10638 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +NODE | iobs/IOACTr | 10560 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW1 | 10601 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM +NODE | iobs/IORW1 | 10524 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 10707 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 10628 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10463 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 10577 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | iobs/Sent | 10500 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10600 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10523 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10531 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10673 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10593 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nRAMUWE_OBUF.EXP | 11384 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMUWE_OBUF.EXP | nRAMUWE_OBUF | 4 | 0 | MC_EXPORT +NODE | nRAMUWE_OBUF.EXP | 11297 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMUWE_OBUF.EXP | nRAMUWE_OBUF | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP17_.EXP | 11385 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP17_.EXP | EXP17_ | 4 | 0 | MC_EXPORT +NODE | EXP18_.EXP | 11298 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP18_.EXP | EXP18_ | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10494 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | IOWRREQ | 10650 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOWRREQ.Q | IOWRREQ | 1 | 0 | MC_UIM +NODE | IOWRREQ | 10573 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOWRREQ.Q | IOWRREQ | 1 | 0 | MC_UIM SIGNAL_INSTANCE | IOWRREQ.SI | IOWRREQ | 0 | 13 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 10617 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10618 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOWRREQ | 10650 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOWRREQ.Q | IOWRREQ | 1 | 0 | MC_UIM +NODE | IOWRREQ | 10573 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOWRREQ.Q | IOWRREQ | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 10638 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +NODE | iobs/IOACTr | 10560 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW1 | 10601 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM +NODE | iobs/IORW1 | 10524 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 10707 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 10628 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10463 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 10577 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | iobs/Sent | 10500 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10600 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10523 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10531 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10673 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10593 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nRAMUWE_OBUF.EXP | 11384 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMUWE_OBUF.EXP | nRAMUWE_OBUF | 4 | 0 | MC_EXPORT +NODE | nRAMUWE_OBUF.EXP | 11297 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMUWE_OBUF.EXP | nRAMUWE_OBUF | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP17_.EXP | 11385 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP17_.EXP | EXP17_ | 4 | 0 | MC_EXPORT +NODE | EXP18_.EXP | 11298 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP18_.EXP | EXP18_ | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | IOWRREQ.D1 | 11096 | ? | 0 | 4096 | IOWRREQ | NULL | NULL | IOWRREQ.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | IOWRREQ.D1 | 11017 | ? | 0 | 4096 | IOWRREQ | NULL | NULL | IOWRREQ.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | IOWRREQ.D2 | 11097 | ? | 0 | 4096 | IOWRREQ | NULL | NULL | IOWRREQ.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | IOWRREQ.D2 | 11018 | ? | 0 | 4096 | IOWRREQ | NULL | NULL | IOWRREQ.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | nRAMUWE_OBUF.EXP -SPPTERM | 1 | IV_TRUE | EXP17_.EXP +SPPTERM | 1 | IV_TRUE | EXP18_.EXP SPPTERM | 3 | IV_FALSE | iobs/TS_FSM_FFd1 | IV_TRUE | iobs/TS_FSM_FFd2 | IV_TRUE | IOWRREQ SPPTERM | 3 | IV_TRUE | iobs/TS_FSM_FFd2 | IV_FALSE | iobs/IOACTr | IV_TRUE | IOWRREQ SPPTERM | 4 | IV_FALSE | iobs/IORW1 | IV_FALSE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_FALSE | ALE1 @@ -4854,66 +4751,66 @@ SPPTERM | 7 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FS SRFF_INSTANCE | IOWRREQ.REG | IOWRREQ | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | IOWRREQ.D | 11095 | ? | 0 | 0 | IOWRREQ | NULL | NULL | IOWRREQ.XOR | 0 | 7 | ALU_F +NODE | IOWRREQ.D | 11016 | ? | 0 | 0 | IOWRREQ | NULL | NULL | IOWRREQ.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10494 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | IOWRREQ.Q | 11098 | ? | 0 | 0 | IOWRREQ | NULL | NULL | IOWRREQ.REG | 0 | 8 | SRFF_Q +NODE | IOWRREQ.Q | 11019 | ? | 0 | 0 | IOWRREQ | NULL | NULL | IOWRREQ.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | QoSReady | WarpSE_COPY_0_COPY_0 | 2155873280 | 11 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10463 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$$OpTx$FX_DC$354_INV$541.UIM | 10752 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | $OpTx$$OpTx$FX_DC$354_INV$541.Q | $OpTx$$OpTx$FX_DC$354_INV$541 | 1 | 0 | MC_UIM +NODE | $OpTx$$OpTx$FX_DC$354_INV$541.UIM | 10673 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | $OpTx$$OpTx$FX_DC$354_INV$541.Q | $OpTx$$OpTx$FX_DC$354_INV$541 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10600 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10523 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | QoSReady | 10651 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | QoSReady.Q | QoSReady | 1 | 0 | MC_UIM +NODE | QoSReady | 10574 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | QoSReady.Q | QoSReady | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 10574 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 10497 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 10575 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 10498 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10466 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAoutOE_OBUF.EXP | 11335 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.EXP | nAoutOE_OBUF | 4 | 0 | MC_EXPORT +NODE | nAoutOE_OBUF.EXP | 11248 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.EXP | nAoutOE_OBUF | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP12_.EXP | 11342 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP12_.EXP | EXP12_ | 4 | 0 | MC_EXPORT +NODE | EXP13_.EXP | 11255 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP13_.EXP | EXP13_ | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10494 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | QoSReady | 10651 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | QoSReady.Q | QoSReady | 1 | 0 | MC_UIM +NODE | QoSReady | 10574 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | QoSReady.Q | QoSReady | 1 | 0 | MC_UIM SIGNAL_INSTANCE | QoSReady.SI | QoSReady | 0 | 10 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10463 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$$OpTx$FX_DC$354_INV$541.UIM | 10752 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | $OpTx$$OpTx$FX_DC$354_INV$541.Q | $OpTx$$OpTx$FX_DC$354_INV$541 | 1 | 0 | MC_UIM +NODE | $OpTx$$OpTx$FX_DC$354_INV$541.UIM | 10673 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | $OpTx$$OpTx$FX_DC$354_INV$541.Q | $OpTx$$OpTx$FX_DC$354_INV$541 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10600 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10523 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | QoSReady | 10651 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | QoSReady.Q | QoSReady | 1 | 0 | MC_UIM +NODE | QoSReady | 10574 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | QoSReady.Q | QoSReady | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 10574 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 10497 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 10575 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 10498 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10466 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAoutOE_OBUF.EXP | 11335 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.EXP | nAoutOE_OBUF | 4 | 0 | MC_EXPORT +NODE | nAoutOE_OBUF.EXP | 11248 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.EXP | nAoutOE_OBUF | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP12_.EXP | 11342 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP12_.EXP | EXP12_ | 4 | 0 | MC_EXPORT +NODE | EXP13_.EXP | 11255 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP13_.EXP | EXP13_ | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | QoSReady.D1 | 11100 | ? | 0 | 4096 | QoSReady | NULL | NULL | QoSReady.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | QoSReady.D1 | 11021 | ? | 0 | 4096 | QoSReady | NULL | NULL | QoSReady.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | QoSReady.D2 | 11101 | ? | 0 | 4096 | QoSReady | NULL | NULL | QoSReady.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | QoSReady.D2 | 11022 | ? | 0 | 4096 | QoSReady | NULL | NULL | QoSReady.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | nAoutOE_OBUF.EXP -SPPTERM | 1 | IV_TRUE | EXP12_.EXP +SPPTERM | 1 | IV_TRUE | EXP13_.EXP SPPTERM | 2 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | $OpTx$$OpTx$FX_DC$354_INV$541.UIM SPPTERM | 2 | IV_FALSE | cnt/LTimer<0> | IV_FALSE | cnt/LTimer<1> SPPTERM | 2 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | $OpTx$$OpTx$FX_DC$354_INV$541.UIM @@ -4922,434 +4819,352 @@ SPPTERM | 3 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | $Op SRFF_INSTANCE | QoSReady.REG | QoSReady | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | QoSReady.D | 11099 | ? | 0 | 0 | QoSReady | NULL | NULL | QoSReady.XOR | 0 | 7 | ALU_F +NODE | QoSReady.D | 11020 | ? | 0 | 0 | QoSReady | NULL | NULL | QoSReady.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10494 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | QoSReady.Q | 11102 | ? | 0 | 0 | QoSReady | NULL | NULL | QoSReady.REG | 0 | 8 | SRFF_Q +NODE | QoSReady.Q | 11023 | ? | 0 | 0 | QoSReady | NULL | NULL | QoSReady.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | RAMReady | WarpSE_COPY_0_COPY_0 | 2155873536 | 13 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd8 | 10624 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd8 | 10547 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd4 | 10645 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd4 | 10568 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RAMReady | 10652 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RAMReady.Q | RAMReady | 1 | 0 | MC_UIM +NODE | RAMReady | 10575 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RAMReady.Q | RAMReady | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10531 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10673 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10593 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 10595 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +NODE | ram/RefDone | 10518 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefReq | 10596 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM +NODE | RefReq | 10519 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 10573 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +NODE | RefUrg | 10496 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10494 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10463 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMEN | 10594 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM +NODE | ram/RAMEN | 10517 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 10599 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 10522 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | RAMReady | 10652 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RAMReady.Q | RAMReady | 1 | 0 | MC_UIM +NODE | RAMReady | 10575 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RAMReady.Q | RAMReady | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | RAMReady.EXP | 11321 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RAMReady.EXP | RAMReady | 4 | 0 | MC_EXPORT +NODE | RAMReady.EXP | 11234 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RAMReady.EXP | RAMReady | 4 | 0 | MC_EXPORT SIGNAL_INSTANCE | RAMReady.SI | RAMReady | 0 | 12 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd8 | 10624 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd8 | 10547 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd4 | 10645 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd4 | 10568 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RAMReady | 10652 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RAMReady.Q | RAMReady | 1 | 0 | MC_UIM +NODE | RAMReady | 10575 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RAMReady.Q | RAMReady | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10531 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10673 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10593 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 10595 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +NODE | ram/RefDone | 10518 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefReq | 10596 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM +NODE | RefReq | 10519 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 10573 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +NODE | RefUrg | 10496 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10463 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMEN | 10594 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM +NODE | ram/RAMEN | 10517 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 10599 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 10522 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RAMReady.D1 | 11104 | ? | 0 | 4096 | RAMReady | NULL | NULL | RAMReady.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RAMReady.D1 | 11025 | ? | 0 | 4096 | RAMReady | NULL | NULL | RAMReady.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RAMReady.D2 | 11105 | ? | 0 | 4096 | RAMReady | NULL | NULL | RAMReady.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RAMReady.D2 | 11026 | ? | 0 | 4096 | RAMReady | NULL | NULL | RAMReady.SI | 2 | 9 | MC_SI_D2 SPPTERM | 3 | IV_FALSE | ram/RS_FSM_FFd8 | IV_FALSE | ram/RS_FSM_FFd4 | IV_FALSE | RAMReady SPPTERM | 4 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd8 | IV_FALSE | ram/RS_FSM_FFd4 | IV_FALSE | fsb/ASrf SPPTERM | 5 | IV_TRUE | RefUrg | IV_FALSE | ram/RefDone | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd4 | IV_FALSE | fsb/ASrf SPPTERM | 5 | IV_FALSE | ram/RefDone | IV_TRUE | RefReq | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd4 | IV_FALSE | fsb/ASrf OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | RAMReady.EXP | 11312 | ? | 0 | 0 | RAMReady | NULL | NULL | RAMReady.SI | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | RAMReady.EXP | 11225 | ? | 0 | 0 | RAMReady | NULL | NULL | RAMReady.SI | 7 | 9 | MC_SI_EXPORT SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | ram/RAMEN | IV_TRUE | cs/nOverlay | IV_FALSE | ram/RS_FSM_FFd4 | IV_TRUE | fsb/ASrf SRFF_INSTANCE | RAMReady.REG | RAMReady | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RAMReady.D | 11103 | ? | 0 | 0 | RAMReady | NULL | NULL | RAMReady.XOR | 0 | 7 | ALU_F +NODE | RAMReady.D | 11024 | ? | 0 | 0 | RAMReady | NULL | NULL | RAMReady.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10494 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RAMReady.Q | 11106 | ? | 0 | 0 | RAMReady | NULL | NULL | RAMReady.REG | 0 | 8 | SRFF_Q +NODE | RAMReady.Q | 11027 | ? | 0 | 0 | RAMReady | NULL | NULL | RAMReady.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | cnt/Er<0> | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | E_IBUF | 10653 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX +NODE | E_IBUF | 10576 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10494 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/Er<0> | 10654 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 10577 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cnt/Er<0>.SI | cnt/Er<0> | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | E_IBUF | 10653 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX +NODE | E_IBUF | 10576 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/Er<0>.D1 | 11108 | ? | 0 | 4096 | cnt/Er<0> | NULL | NULL | cnt/Er<0>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/Er<0>.D1 | 11029 | ? | 0 | 4096 | cnt/Er<0> | NULL | NULL | cnt/Er<0>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/Er<0>.D2 | 11109 | ? | 0 | 4096 | cnt/Er<0> | NULL | NULL | cnt/Er<0>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/Er<0>.D2 | 11030 | ? | 0 | 4096 | cnt/Er<0> | NULL | NULL | cnt/Er<0>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | E_IBUF SRFF_INSTANCE | cnt/Er<0>.REG | cnt/Er<0> | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/Er<0>.D | 11107 | ? | 0 | 0 | cnt/Er<0> | NULL | NULL | cnt/Er<0>.XOR | 0 | 7 | ALU_F +NODE | cnt/Er<0>.D | 11028 | ? | 0 | 0 | cnt/Er<0> | NULL | NULL | cnt/Er<0>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10494 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/Er<0>.Q | 11110 | ? | 0 | 0 | cnt/Er<0> | NULL | NULL | cnt/Er<0>.REG | 0 | 8 | SRFF_Q +NODE | cnt/Er<0>.Q | 11031 | ? | 0 | 0 | cnt/Er<0> | NULL | NULL | cnt/Er<0>.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/WS<3> | WarpSE_COPY_0_COPY_0 | 2155877376 | 26 | 2 +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/WS<3> | WarpSE_COPY_0_COPY_0 | 2155877376 | 7 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10531 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<3> | 10655 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<3>.Q | cnt/WS<3> | 1 | 0 | MC_UIM +NODE | cnt/WS<3> | 10578 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<3>.Q | cnt/WS<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10673 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10593 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<0> | 10635 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<0>.Q | cnt/WS<0> | 1 | 0 | MC_UIM +NODE | cnt/WS<0> | 10557 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<0>.Q | cnt/WS<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<1> | 10641 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<1>.Q | cnt/WS<1> | 1 | 0 | MC_UIM +NODE | cnt/WS<1> | 10564 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<1>.Q | cnt/WS<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<2> | 10642 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<2>.Q | cnt/WS<2> | 1 | 0 | MC_UIM +NODE | cnt/WS<2> | 10565 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<2>.Q | cnt/WS<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10544 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10545 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10546 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10547 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 10548 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 10549 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 10550 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 10568 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 10569 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 10570 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10600 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10628 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10629 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 10666 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM | 10751 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.Q | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | 1 | 0 | MC_UIM +NODE | FCLK_IBUF/FCLK | 10494 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/WS<3> | 10655 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<3>.Q | cnt/WS<3> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | cnt/WS<3>.EXP | 11340 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<3>.EXP | cnt/WS<3> | 4 | 0 | MC_EXPORT +NODE | cnt/WS<3> | 10578 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<3>.Q | cnt/WS<3> | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | cnt/WS<3>.SI | cnt/WS<3> | 0 | 25 | 3 +SIGNAL_INSTANCE | cnt/WS<3>.SI | cnt/WS<3> | 0 | 6 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10531 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<3> | 10655 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<3>.Q | cnt/WS<3> | 1 | 0 | MC_UIM +NODE | cnt/WS<3> | 10578 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<3>.Q | cnt/WS<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10673 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10593 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<0> | 10635 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<0>.Q | cnt/WS<0> | 1 | 0 | MC_UIM +NODE | cnt/WS<0> | 10557 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<0>.Q | cnt/WS<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<1> | 10641 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<1>.Q | cnt/WS<1> | 1 | 0 | MC_UIM +NODE | cnt/WS<1> | 10564 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<1>.Q | cnt/WS<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<2> | 10642 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<2>.Q | cnt/WS<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10544 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10545 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10546 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10547 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 10548 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 10549 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 10550 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 10568 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 10569 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 10570 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10600 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10628 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10629 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 10666 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM | 10751 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.Q | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | 1 | 0 | MC_UIM +NODE | cnt/WS<2> | 10565 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<2>.Q | cnt/WS<2> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/WS<3>.D1 | 11112 | ? | 0 | 4096 | cnt/WS<3> | NULL | NULL | cnt/WS<3>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/WS<3>.D1 | 11033 | ? | 0 | 4096 | cnt/WS<3> | NULL | NULL | cnt/WS<3>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/WS<3>.D2 | 11113 | ? | 0 | 4096 | cnt/WS<3> | NULL | NULL | cnt/WS<3>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/WS<3>.D2 | 11034 | ? | 0 | 4096 | cnt/WS<3> | NULL | NULL | cnt/WS<3>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 3 | IV_TRUE | nAS_FSB_IBUF | IV_TRUE | cnt/WS<3> | IV_FALSE | fsb/ASrf SPPTERM | 4 | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | cnt/WS<0> | IV_TRUE | cnt/WS<1> | IV_TRUE | cnt/WS<2> SPPTERM | 4 | IV_TRUE | cnt/WS<0> | IV_TRUE | cnt/WS<1> | IV_TRUE | cnt/WS<2> | IV_TRUE | fsb/ASrf -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | cnt/WS<3>.EXP | 11332 | ? | 0 | 0 | cnt/WS<3> | NULL | NULL | cnt/WS<3>.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 20 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | fsb/ASrf | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM -SPPTERM | 20 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | fsb/ASrf | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM SRFF_INSTANCE | cnt/WS<3>.REG | cnt/WS<3> | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/WS<3>.D | 11111 | ? | 0 | 0 | cnt/WS<3> | NULL | NULL | cnt/WS<3>.XOR | 0 | 7 | ALU_F +NODE | cnt/WS<3>.D | 11032 | ? | 0 | 0 | cnt/WS<3> | NULL | NULL | cnt/WS<3>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10494 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/WS<3>.Q | 11114 | ? | 0 | 0 | cnt/WS<3> | NULL | NULL | cnt/WS<3>.REG | 0 | 8 | SRFF_Q +NODE | cnt/WS<3>.Q | 11035 | ? | 0 | 0 | cnt/WS<3> | NULL | NULL | cnt/WS<3>.REG | 0 | 8 | SRFF_Q INPUT_INSTANCE | 0 | 0 | NULL | nIPL2_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nIPL2 | 10777 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | nIPL2 | 10698 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | nIPL2_IBUF | 10656 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nIPL2_IBUF | 0 | 5 | II_IMUX +NODE | nIPL2_IBUF | 10579 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nIPL2_IBUF | 0 | 5 | II_IMUX MACROCELL_INSTANCE | PrldLow+OptxMapped | cnt/nIPL2r | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nIPL2_IBUF | 10656 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nIPL2_IBUF | 0 | 5 | II_IMUX +NODE | nIPL2_IBUF | 10579 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nIPL2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10494 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/nIPL2r | 10657 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/nIPL2r.Q | cnt/nIPL2r | 1 | 0 | MC_UIM +NODE | cnt/nIPL2r | 10580 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/nIPL2r.Q | cnt/nIPL2r | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cnt/nIPL2r.SI | cnt/nIPL2r | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nIPL2_IBUF | 10656 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nIPL2_IBUF | 0 | 5 | II_IMUX +NODE | nIPL2_IBUF | 10579 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nIPL2_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/nIPL2r.D1 | 11116 | ? | 0 | 4096 | cnt/nIPL2r | NULL | NULL | cnt/nIPL2r.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/nIPL2r.D1 | 11037 | ? | 0 | 4096 | cnt/nIPL2r | NULL | NULL | cnt/nIPL2r.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/nIPL2r.D2 | 11117 | ? | 0 | 4096 | cnt/nIPL2r | NULL | NULL | cnt/nIPL2r.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/nIPL2r.D2 | 11038 | ? | 0 | 4096 | cnt/nIPL2r | NULL | NULL | cnt/nIPL2r.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | nIPL2_IBUF SRFF_INSTANCE | cnt/nIPL2r.REG | cnt/nIPL2r | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/nIPL2r.D | 11115 | ? | 0 | 0 | cnt/nIPL2r | NULL | NULL | cnt/nIPL2r.XOR | 0 | 7 | ALU_F +NODE | cnt/nIPL2r.D | 11036 | ? | 0 | 0 | cnt/nIPL2r | NULL | NULL | cnt/nIPL2r.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10494 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/nIPL2r.Q | 11118 | ? | 0 | 0 | cnt/nIPL2r | NULL | NULL | cnt/nIPL2r.REG | 0 | 8 | SRFF_Q +NODE | cnt/nIPL2r.Q | 11039 | ? | 0 | 0 | cnt/nIPL2r | NULL | NULL | cnt/nIPL2r.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | iobm/DoutOE | WarpSE_COPY_0_COPY_0 | 2155877376 | 11 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 10619 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 10542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/C8Mr | 10621 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM +NODE | iobm/C8Mr | 10544 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DoutOE | 10658 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DoutOE.Q | iobm/DoutOE | 1 | 0 | MC_UIM +NODE | iobm/DoutOE | 10581 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DoutOE.Q | iobm/DoutOE | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOWRREQr | 10661 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM +NODE | iobm/IOWRREQr | 10584 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 10620 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 10543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 10623 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 10546 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 10626 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 10549 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 10627 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd6 | 10550 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 10557 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10480 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOL0 | 10639 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM +NODE | IOL0 | 10562 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IORDREQr | 10643 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM +NODE | iobm/IORDREQr | 10566 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/DoutOE | 10658 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DoutOE.Q | iobm/DoutOE | 1 | 0 | MC_UIM +NODE | iobm/DoutOE | 10581 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DoutOE.Q | iobm/DoutOE | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | iobm/DoutOE.EXP | 11354 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DoutOE.EXP | iobm/DoutOE | 4 | 0 | MC_EXPORT +NODE | iobm/DoutOE.EXP | 11267 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DoutOE.EXP | iobm/DoutOE | 4 | 0 | MC_EXPORT SIGNAL_INSTANCE | iobm/DoutOE.SI | iobm/DoutOE | 0 | 10 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 10619 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 10542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/C8Mr | 10621 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM +NODE | iobm/C8Mr | 10544 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DoutOE | 10658 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DoutOE.Q | iobm/DoutOE | 1 | 0 | MC_UIM +NODE | iobm/DoutOE | 10581 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DoutOE.Q | iobm/DoutOE | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOWRREQr | 10661 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM +NODE | iobm/IOWRREQr | 10584 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 10620 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 10543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 10623 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 10546 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 10626 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 10549 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 10627 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd6 | 10550 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOL0 | 10639 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM +NODE | IOL0 | 10562 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IORDREQr | 10643 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM +NODE | iobm/IORDREQr | 10566 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/DoutOE.D1 | 11120 | ? | 0 | 4096 | iobm/DoutOE | NULL | NULL | iobm/DoutOE.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/DoutOE.D1 | 11041 | ? | 0 | 4096 | iobm/DoutOE | NULL | NULL | iobm/DoutOE.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/DoutOE.D2 | 11121 | ? | 0 | 4096 | iobm/DoutOE | NULL | NULL | iobm/DoutOE.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/DoutOE.D2 | 11042 | ? | 0 | 4096 | iobm/DoutOE | NULL | NULL | iobm/DoutOE.SI | 2 | 9 | MC_SI_D2 SPPTERM | 4 | IV_TRUE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/C8Mr | IV_FALSE | iobm/DoutOE | IV_TRUE | iobm/IOWRREQr SPPTERM | 6 | IV_FALSE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 | IV_TRUE | iobm/DoutOE SPPTERM | 6 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/C8Mr | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 | IV_TRUE | iobm/DoutOE SPPTERM | 6 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 | IV_TRUE | iobm/DoutOE | IV_FALSE | iobm/IOWRREQr OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | iobm/DoutOE.EXP | 11351 | ? | 0 | 0 | iobm/DoutOE | NULL | NULL | iobm/DoutOE.SI | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | iobm/DoutOE.EXP | 11264 | ? | 0 | 0 | iobm/DoutOE | NULL | NULL | iobm/DoutOE.SI | 7 | 9 | MC_SI_EXPORT SPPTERM | 4 | IV_TRUE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/C8Mr | IV_TRUE | IOL0 | IV_TRUE | iobm/IORDREQr SRFF_INSTANCE | iobm/DoutOE.REG | iobm/DoutOE | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/DoutOE.D | 11119 | ? | 0 | 0 | iobm/DoutOE | NULL | NULL | iobm/DoutOE.XOR | 0 | 7 | ALU_F +NODE | iobm/DoutOE.D | 11040 | ? | 0 | 0 | iobm/DoutOE | NULL | NULL | iobm/DoutOE.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 10557 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10480 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/DoutOE.Q | 11122 | ? | 0 | 0 | iobm/DoutOE | NULL | NULL | iobm/DoutOE.REG | 0 | 8 | SRFF_Q +NODE | iobm/DoutOE.Q | 11043 | ? | 0 | 0 | iobm/DoutOE | NULL | NULL | iobm/DoutOE.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | iobm/Er | WarpSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | E_IBUF | 10653 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX +NODE | E_IBUF | 10576 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF/FCLK- | 10553 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 10476 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/Er | 10659 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +NODE | iobm/Er | 10582 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/Er.SI | iobm/Er | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | E_IBUF | 10653 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX +NODE | E_IBUF | 10576 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/Er.D1 | 11124 | ? | 0 | 4096 | iobm/Er | NULL | NULL | iobm/Er.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/Er.D1 | 11045 | ? | 0 | 4096 | iobm/Er | NULL | NULL | iobm/Er.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/Er.D2 | 11125 | ? | 0 | 4096 | iobm/Er | NULL | NULL | iobm/Er.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/Er.D2 | 11046 | ? | 0 | 4096 | iobm/Er | NULL | NULL | iobm/Er.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | E_IBUF SRFF_INSTANCE | iobm/Er.REG | iobm/Er | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/Er.D | 11123 | ? | 0 | 0 | iobm/Er | NULL | NULL | iobm/Er.XOR | 0 | 7 | ALU_F +NODE | iobm/Er.D | 11044 | ? | 0 | 0 | iobm/Er | NULL | NULL | iobm/Er.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C8M_IBUF/FCLK- | 10553 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 10476 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/Er.Q | 11126 | ? | 0 | 0 | iobm/Er | NULL | NULL | iobm/Er.REG | 0 | 8 | SRFF_Q +NODE | iobm/Er.Q | 11047 | ? | 0 | 0 | iobm/Er | NULL | NULL | iobm/Er.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/IOS0 | WarpSE_COPY_0_COPY_0 | 2155873280 | 13 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 10636 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 10558 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 10619 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 10542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/C8Mr | 10621 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM +NODE | iobm/C8Mr | 10544 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 10709 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 10630 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IORDREQr | 10643 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM +NODE | iobm/IORDREQr | 10566 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOWRREQr | 10661 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM +NODE | iobm/IOWRREQr | 10584 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 10620 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 10543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 10623 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 10546 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 10626 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 10549 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 10627 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd6 | 10550 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 10637 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 10559 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS0 | 10660 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS0.Q | iobm/IOS0 | 1 | 0 | MC_UIM +NODE | iobm/IOS0 | 10583 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS0.Q | iobm/IOS0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 10557 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10480 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/IOS0 | 10660 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS0.Q | iobm/IOS0 | 1 | 0 | MC_UIM +NODE | iobm/IOS0 | 10583 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS0.Q | iobm/IOS0 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/IOS0.SI | iobm/IOS0 | 0 | 12 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 10636 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 10558 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 10619 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 10542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/C8Mr | 10621 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM +NODE | iobm/C8Mr | 10544 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 10709 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 10630 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IORDREQr | 10643 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM +NODE | iobm/IORDREQr | 10566 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOWRREQr | 10661 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM +NODE | iobm/IOWRREQr | 10584 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 10620 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 10543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 10623 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 10546 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 10626 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 10549 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 10627 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd6 | 10550 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 10637 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 10559 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS0 | 10660 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS0.Q | iobm/IOS0 | 1 | 0 | MC_UIM +NODE | iobm/IOS0 | 10583 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS0.Q | iobm/IOS0 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/IOS0.D1 | 11128 | ? | 0 | 4096 | iobm/IOS0 | NULL | NULL | iobm/IOS0.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/IOS0.D1 | 11049 | ? | 0 | 4096 | iobm/IOS0 | NULL | NULL | iobm/IOS0.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/IOS0.D2 | 11129 | ? | 0 | 4096 | iobm/IOS0 | NULL | NULL | iobm/IOS0.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/IOS0.D2 | 11050 | ? | 0 | 4096 | iobm/IOS0 | NULL | NULL | iobm/IOS0.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | iobm/IOS_FSM_FFd1 SPPTERM | 2 | IV_TRUE | iobm/IOS_FSM_FFd7 | IV_TRUE | iobm/C8Mr SPPTERM | 2 | IV_TRUE | iobm/IOS_FSM_FFd7 | IV_TRUE | AoutOE @@ -5358,754 +5173,688 @@ SPPTERM | 7 | IV_FALSE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_F SRFF_INSTANCE | iobm/IOS0.REG | iobm/IOS0 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/IOS0.D | 11127 | ? | 0 | 0 | iobm/IOS0 | NULL | NULL | iobm/IOS0.XOR | 0 | 7 | ALU_F +NODE | iobm/IOS0.D | 11048 | ? | 0 | 0 | iobm/IOS0 | NULL | NULL | iobm/IOS0.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 10557 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10480 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/IOS0.Q | 11130 | ? | 0 | 0 | iobm/IOS0 | NULL | NULL | iobm/IOS0.REG | 0 | 8 | SRFF_Q +NODE | iobm/IOS0.Q | 11051 | ? | 0 | 0 | iobm/IOS0 | NULL | NULL | iobm/IOS0.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/IOWRREQr | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOWRREQ | 10650 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOWRREQ.Q | IOWRREQ | 1 | 0 | MC_UIM +NODE | IOWRREQ | 10573 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOWRREQ.Q | IOWRREQ | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 10557 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10480 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/IOWRREQr | 10661 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM +NODE | iobm/IOWRREQr | 10584 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/IOWRREQr.SI | iobm/IOWRREQr | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOWRREQ | 10650 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOWRREQ.Q | IOWRREQ | 1 | 0 | MC_UIM +NODE | IOWRREQ | 10573 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOWRREQ.Q | IOWRREQ | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/IOWRREQr.D1 | 11132 | ? | 0 | 4096 | iobm/IOWRREQr | NULL | NULL | iobm/IOWRREQr.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/IOWRREQr.D1 | 11053 | ? | 0 | 4096 | iobm/IOWRREQr | NULL | NULL | iobm/IOWRREQr.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/IOWRREQr.D2 | 11133 | ? | 0 | 4096 | iobm/IOWRREQr | NULL | NULL | iobm/IOWRREQr.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/IOWRREQr.D2 | 11054 | ? | 0 | 4096 | iobm/IOWRREQr | NULL | NULL | iobm/IOWRREQr.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | IOWRREQ SRFF_INSTANCE | iobm/IOWRREQr.REG | iobm/IOWRREQr | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/IOWRREQr.D | 11131 | ? | 0 | 0 | iobm/IOWRREQr | NULL | NULL | iobm/IOWRREQr.XOR | 0 | 7 | ALU_F +NODE | iobm/IOWRREQr.D | 11052 | ? | 0 | 0 | iobm/IOWRREQr | NULL | NULL | iobm/IOWRREQr.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 10557 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 10480 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/IOWRREQr.Q | 11134 | ? | 0 | 0 | iobm/IOWRREQr | NULL | NULL | iobm/IOWRREQr.REG | 0 | 8 | SRFF_Q +NODE | iobm/IOWRREQr.Q | 11055 | ? | 0 | 0 | iobm/IOWRREQr | NULL | NULL | iobm/IOWRREQr.REG | 0 | 8 | SRFF_Q INPUT_INSTANCE | 0 | 0 | NULL | nVPA_IOB_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nVPA_IOB | 10778 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | nVPA_IOB | 10699 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | nVPA_IOB_IBUF | 10662 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX +NODE | nVPA_IOB_IBUF | 10585 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | iobm/VPAr | WarpSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nVPA_IOB_IBUF | 10662 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX +NODE | nVPA_IOB_IBUF | 10585 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF/FCLK- | 10553 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 10476 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/VPAr | 10663 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/VPAr.Q | iobm/VPAr | 1 | 0 | MC_UIM +NODE | iobm/VPAr | 10586 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/VPAr.Q | iobm/VPAr | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/VPAr.SI | iobm/VPAr | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nVPA_IOB_IBUF | 10662 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX +NODE | nVPA_IOB_IBUF | 10585 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/VPAr.D1 | 11136 | ? | 0 | 4096 | iobm/VPAr | NULL | NULL | iobm/VPAr.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/VPAr.D1 | 11057 | ? | 0 | 4096 | iobm/VPAr | NULL | NULL | iobm/VPAr.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/VPAr.D2 | 11137 | ? | 0 | 4096 | iobm/VPAr | NULL | NULL | iobm/VPAr.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/VPAr.D2 | 11058 | ? | 0 | 4096 | iobm/VPAr | NULL | NULL | iobm/VPAr.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_FALSE | nVPA_IOB_IBUF SRFF_INSTANCE | iobm/VPAr.REG | iobm/VPAr | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/VPAr.D | 11135 | ? | 0 | 0 | iobm/VPAr | NULL | NULL | iobm/VPAr.XOR | 0 | 7 | ALU_F +NODE | iobm/VPAr.D | 11056 | ? | 0 | 0 | iobm/VPAr | NULL | NULL | iobm/VPAr.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C8M_IBUF/FCLK- | 10553 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 10476 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/VPAr.Q | 11138 | ? | 0 | 0 | iobm/VPAr | NULL | NULL | iobm/VPAr.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/Clear1 | WarpSE_COPY_0_COPY_0 | 2155873280 | 3 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 10617 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10618 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/Clear1 | 10664 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Clear1.Q | iobs/Clear1 | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobs/Clear1.SI | iobs/Clear1 | 0 | 2 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 10617 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10618 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/Clear1.D1 | 11140 | ? | 0 | 4096 | iobs/Clear1 | NULL | NULL | iobs/Clear1.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/Clear1.D2 | 11141 | ? | 0 | 4096 | iobs/Clear1 | NULL | NULL | iobs/Clear1.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_FALSE | iobs/TS_FSM_FFd1 | IV_TRUE | iobs/TS_FSM_FFd2 - -SRFF_INSTANCE | iobs/Clear1.REG | iobs/Clear1 | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/Clear1.D | 11139 | ? | 0 | 0 | iobs/Clear1 | NULL | NULL | iobs/Clear1.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/Clear1.Q | 11142 | ? | 0 | 0 | iobs/Clear1 | NULL | NULL | iobs/Clear1.REG | 0 | 8 | SRFF_Q +NODE | iobm/VPAr.Q | 11059 | ? | 0 | 0 | iobm/VPAr | NULL | NULL | iobm/VPAr.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RS_FSM_FFd2 | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 10644 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd3 | 10567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10494 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RS_FSM_FFd2 | 10665 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd2 | 10587 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | ram/RS_FSM_FFd2.SI | ram/RS_FSM_FFd2 | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 10644 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd3 | 10567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RS_FSM_FFd2.D1 | 11144 | ? | 0 | 4096 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RS_FSM_FFd2.D1 | 11061 | ? | 0 | 4096 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RS_FSM_FFd2.D2 | 11145 | ? | 0 | 4096 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/RS_FSM_FFd2.D2 | 11062 | ? | 0 | 4096 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | ram/RS_FSM_FFd3 SRFF_INSTANCE | ram/RS_FSM_FFd2.REG | ram/RS_FSM_FFd2 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RS_FSM_FFd2.D | 11143 | ? | 0 | 0 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.XOR | 0 | 7 | ALU_F +NODE | ram/RS_FSM_FFd2.D | 11060 | ? | 0 | 0 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10494 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RS_FSM_FFd2.Q | 11146 | ? | 0 | 0 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.REG | 0 | 8 | SRFF_Q +NODE | ram/RS_FSM_FFd2.Q | 11063 | ? | 0 | 0 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+OptxMapped | ALE0S | WarpSE_COPY_0_COPY_0 | 2155873280 | 24 | 2 +MACROCELL_INSTANCE | PrldLow+OptxMapped | ALE0S | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10618 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10544 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10545 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10546 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10547 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 10548 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 10549 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 10550 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 10568 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 10569 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 10570 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10600 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10628 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10629 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 10666 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM | 10751 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.Q | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 10667 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10673 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | FCLK_IBUF/FCLK | 10494 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ALE0S | 10668 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ALE0S.Q | ALE0S | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | ALE0S.EXP | 11349 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ALE0S.EXP | ALE0S | 4 | 0 | MC_EXPORT +NODE | ALE0S | 10590 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ALE0S.Q | ALE0S | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | ALE0S.SI | ALE0S | 0 | 23 | 3 +SIGNAL_INSTANCE | ALE0S.SI | ALE0S | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10618 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10544 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10545 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10546 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10547 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 10548 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 10549 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 10550 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 10568 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 10569 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 10570 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10600 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10628 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10629 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 10666 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM | 10751 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.Q | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 10667 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10673 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ALE0S.D1 | 11148 | ? | 0 | 4096 | ALE0S | NULL | NULL | ALE0S.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ALE0S.D1 | 11065 | ? | 0 | 4096 | ALE0S | NULL | NULL | ALE0S.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ALE0S.D2 | 11149 | ? | 0 | 4096 | ALE0S | NULL | NULL | ALE0S.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ALE0S.D2 | 11066 | ? | 0 | 4096 | ALE0S | NULL | NULL | ALE0S.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | iobs/TS_FSM_FFd2 + +SRFF_INSTANCE | ALE0S.REG | ALE0S | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | ALE0S.D | 11064 | ? | 0 | 0 | ALE0S | NULL | NULL | ALE0S.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 10494 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | ALE0S.Q | 11067 | ? | 0 | 0 | ALE0S | NULL | NULL | ALE0S.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped | cnt/Er<1> | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 10577 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 10494 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 10591 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cnt/Er<1>.SI | cnt/Er<1> | 0 | 1 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 10577 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/Er<1>.D1 | 11069 | ? | 0 | 4096 | cnt/Er<1> | NULL | NULL | cnt/Er<1>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/Er<1>.D2 | 11070 | ? | 0 | 4096 | cnt/Er<1> | NULL | NULL | cnt/Er<1>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | cnt/Er<0> + +SRFF_INSTANCE | cnt/Er<1>.REG | cnt/Er<1> | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/Er<1>.D | 11068 | ? | 0 | 0 | cnt/Er<1> | NULL | NULL | cnt/Er<1>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 10494 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/Er<1>.Q | 11071 | ? | 0 | 0 | cnt/Er<1> | NULL | NULL | cnt/Er<1>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped | cnt/LTimerTC | WarpSE_COPY_0_COPY_0 | 2155873280 | 35 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<0> | 10497 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<1> | 10498 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<10> | 10506 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<2> | 10507 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<3> | 10508 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<4> | 10509 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<5> | 10510 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<6> | 10511 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<7> | 10512 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<8> | 10513 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<9> | 10514 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<11> | 10520 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.Q | cnt/LTimer<11> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 10494 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 10463 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 10464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 10465 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 10466 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 10467 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 10468 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 10469 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 10470 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 10471 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 10472 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 10473 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 10491 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 10492 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 10493 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 10523 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 10531 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/IS_FSM_FFd1 | 10551 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/IS_FSM_FFd2 | 10552 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 10588 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM | 10672 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.Q | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 10589 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 10593 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/LTimerTC | 10592 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimerTC.Q | cnt/LTimerTC | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | cnt/LTimerTC.EXP | 11262 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimerTC.EXP | cnt/LTimerTC | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | cnt/LTimerTC.SI | cnt/LTimerTC | 0 | 34 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<0> | 10497 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<1> | 10498 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<10> | 10506 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<2> | 10507 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<3> | 10508 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<4> | 10509 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<5> | 10510 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<6> | 10511 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<7> | 10512 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<8> | 10513 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<9> | 10514 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<11> | 10520 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.Q | cnt/LTimer<11> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 10463 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 10464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 10465 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 10466 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 10467 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 10468 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 10469 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 10470 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 10471 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 10472 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 10473 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 10491 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 10492 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 10493 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 10523 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 10531 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/IS_FSM_FFd1 | 10551 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/IS_FSM_FFd2 | 10552 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 10588 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM | 10672 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.Q | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 10589 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 10593 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimerTC.D1 | 11073 | ? | 0 | 4096 | cnt/LTimerTC | NULL | NULL | cnt/LTimerTC.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/LTimerTC.D2 | 11074 | ? | 0 | 4096 | cnt/LTimerTC | NULL | NULL | cnt/LTimerTC.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 12 | IV_FALSE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<10> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/LTimer<7> | IV_TRUE | cnt/LTimer<8> | IV_TRUE | cnt/LTimer<9> | IV_TRUE | cnt/LTimer<11> OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | ALE0S.EXP | 11345 | ? | 0 | 0 | ALE0S | NULL | NULL | ALE0S.SI | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | cnt/LTimerTC.EXP | 11258 | ? | 0 | 0 | cnt/LTimerTC | NULL | NULL | cnt/LTimerTC.SI | 7 | 9 | MC_SI_EXPORT SPPTERM | 20 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM SPPTERM | 20 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | fsb/ASrf | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM SPPTERM | 20 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM SPPTERM | 20 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM -SRFF_INSTANCE | ALE0S.REG | ALE0S | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ALE0S.D | 11147 | ? | 0 | 0 | ALE0S | NULL | NULL | ALE0S.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ALE0S.Q | 11150 | ? | 0 | 0 | ALE0S | NULL | NULL | ALE0S.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+OptxMapped | IOPWReady | WarpSE_COPY_0_COPY_0 | 2155873280 | 4 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Clear1 | 10664 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Clear1.Q | iobs/Clear1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Load1 | 10633 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 10707 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | IOPWReady | 10669 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOPWReady.Q | IOPWReady | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | IOPWReady.SI | IOPWReady | 0 | 3 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Clear1 | 10664 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Clear1.Q | iobs/Clear1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Load1 | 10633 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 10707 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | IOPWReady.D1 | 11152 | ? | 0 | 4096 | IOPWReady | NULL | NULL | IOPWReady.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | IOPWReady.D2 | 11153 | ? | 0 | 4096 | IOPWReady | NULL | NULL | IOPWReady.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | iobs/Clear1 -SPPTERM | 2 | IV_FALSE | iobs/Load1 | IV_TRUE | ALE1 - -SRFF_INSTANCE | IOPWReady.REG | IOPWReady | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | IOPWReady.D | 11151 | ? | 0 | 0 | IOPWReady | NULL | NULL | IOPWReady.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | IOPWReady.Q | 11154 | ? | 0 | 0 | IOPWReady | NULL | NULL | IOPWReady.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+OptxMapped | cnt/Er<1> | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10654 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/Er<1> | 10670 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | cnt/Er<1>.SI | cnt/Er<1> | 0 | 1 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10654 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/Er<1>.D1 | 11156 | ? | 0 | 4096 | cnt/Er<1> | NULL | NULL | cnt/Er<1>.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/Er<1>.D2 | 11157 | ? | 0 | 4096 | cnt/Er<1> | NULL | NULL | cnt/Er<1>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | cnt/Er<0> - -SRFF_INSTANCE | cnt/Er<1>.REG | cnt/Er<1> | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/Er<1>.D | 11155 | ? | 0 | 0 | cnt/Er<1> | NULL | NULL | cnt/Er<1>.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/Er<1>.Q | 11158 | ? | 0 | 0 | cnt/Er<1> | NULL | NULL | cnt/Er<1>.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+OptxMapped | cnt/LTimerTC | WarpSE_COPY_0_COPY_0 | 2155873280 | 13 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 10574 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 10575 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<10> | 10583 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 10584 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 10585 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 10586 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 10587 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 10588 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 10589 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<8> | 10590 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<9> | 10591 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<11> | 10597 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.Q | cnt/LTimer<11> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimerTC | 10671 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimerTC.Q | cnt/LTimerTC | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | cnt/LTimerTC.SI | cnt/LTimerTC | 0 | 12 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 10574 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 10575 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<10> | 10583 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 10584 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 10585 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 10586 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 10587 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 10588 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 10589 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<8> | 10590 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<9> | 10591 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<11> | 10597 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.Q | cnt/LTimer<11> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimerTC.D1 | 11160 | ? | 0 | 4096 | cnt/LTimerTC | NULL | NULL | cnt/LTimerTC.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimerTC.D2 | 11161 | ? | 0 | 4096 | cnt/LTimerTC | NULL | NULL | cnt/LTimerTC.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 12 | IV_FALSE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<10> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/LTimer<7> | IV_TRUE | cnt/LTimer<8> | IV_TRUE | cnt/LTimer<9> | IV_TRUE | cnt/LTimer<11> - SRFF_INSTANCE | cnt/LTimerTC.REG | cnt/LTimerTC | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimerTC.D | 11159 | ? | 0 | 0 | cnt/LTimerTC | NULL | NULL | cnt/LTimerTC.XOR | 0 | 7 | ALU_F +NODE | cnt/LTimerTC.D | 11072 | ? | 0 | 0 | cnt/LTimerTC | NULL | NULL | cnt/LTimerTC.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10494 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimerTC.Q | 11162 | ? | 0 | 0 | cnt/LTimerTC | NULL | NULL | cnt/LTimerTC.REG | 0 | 8 | SRFF_Q +NODE | cnt/LTimerTC.Q | 11075 | ? | 0 | 0 | cnt/LTimerTC | NULL | NULL | cnt/LTimerTC.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+OptxMapped | cs/ODCSr | WarpSE_COPY_0_COPY_0 | 2155873280 | 7 | 1 +MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | fsb/ASrf | WarpSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10531 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10673 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK- | 10495 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cs/ODCSr | 10672 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/ODCSr.Q | cs/ODCSr | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10593 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | cs/ODCSr.SI | cs/ODCSr | 0 | 6 | 2 +SIGNAL_INSTANCE | fsb/ASrf.SI | fsb/ASrf | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10673 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | nAS_FSB_IBUF | 10531 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cs/ODCSr.D1 | 11164 | ? | 0 | 4096 | cs/ODCSr | NULL | NULL | cs/ODCSr.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | fsb/ASrf.D1 | 11077 | ? | 0 | 4096 | fsb/ASrf | NULL | NULL | fsb/ASrf.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cs/ODCSr.D2 | 11165 | ? | 0 | 4096 | cs/ODCSr | NULL | NULL | cs/ODCSr.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | nAS_FSB_IBUF -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_TRUE | fsb/ASrf - -SRFF_INSTANCE | cs/ODCSr.REG | cs/ODCSr | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cs/ODCSr.D | 11163 | ? | 0 | 0 | cs/ODCSr | NULL | NULL | cs/ODCSr.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cs/ODCSr.Q | 11166 | ? | 0 | 0 | cs/ODCSr | NULL | NULL | cs/ODCSr.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | fsb/ASrf | WarpSE_COPY_0_COPY_0 | 2424308736 | 6 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK- | 10572 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 7 | 5 | II_FCLKINV -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBR_IOB_OBUF | 10614 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.Q | nBR_IOB_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10628 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10629 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 10709 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | fsb/ASrf | 10673 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | fsb/ASrf.EXP | 11336 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.EXP | fsb/ASrf | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | fsb/ASrf.SI | fsb/ASrf | 0 | 5 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBR_IOB_OBUF | 10614 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.Q | nBR_IOB_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10628 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10629 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 10709 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | fsb/ASrf.D1 | 11168 | ? | 0 | 4096 | fsb/ASrf | NULL | NULL | fsb/ASrf.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | fsb/ASrf.D2 | 11169 | ? | 0 | 4096 | fsb/ASrf | NULL | NULL | fsb/ASrf.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | fsb/ASrf.D2 | 11078 | ? | 0 | 4096 | fsb/ASrf | NULL | NULL | fsb/ASrf.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_FALSE | nAS_FSB_IBUF -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | fsb/ASrf.EXP | 11328 | ? | 0 | 0 | fsb/ASrf | NULL | NULL | fsb/ASrf.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 3 | IV_FALSE | nBR_IOB_OBUF | IV_TRUE | cnt/IS_FSM_FFd1 | IV_TRUE | cnt/IS_FSM_FFd2 -SPPTERM | 3 | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_FALSE | AoutOE SRFF_INSTANCE | fsb/ASrf.REG | fsb/ASrf | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | fsb/ASrf.D | 11167 | ? | 0 | 0 | fsb/ASrf | NULL | NULL | fsb/ASrf.XOR | 0 | 7 | ALU_F +NODE | fsb/ASrf.D | 11076 | ? | 0 | 0 | fsb/ASrf | NULL | NULL | fsb/ASrf.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK- | 10572 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 7 | 5 | II_FCLKINV +NODE | FCLK_IBUF/FCLK- | 10495 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | fsb/ASrf.Q | 11170 | ? | 0 | 0 | fsb/ASrf | NULL | NULL | fsb/ASrf.REG | 0 | 8 | SRFF_Q +NODE | fsb/ASrf.Q | 11079 | ? | 0 | 0 | fsb/ASrf | NULL | NULL | fsb/ASrf.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/Clear1 | WarpSE_COPY_0_COPY_0 | 2155873280 | 18 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd1 | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd2 | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 10494 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 10463 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 10464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 10465 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 10466 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 10467 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 10468 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 10469 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 10470 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 10472 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Sent | 10500 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 10523 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 10531 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 10628 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 10593 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 10491 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobs/Clear1 | 10594 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Clear1.Q | iobs/Clear1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | iobs/Clear1.EXP | 11231 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Clear1.EXP | iobs/Clear1 | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | iobs/Clear1.SI | iobs/Clear1 | 0 | 17 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd1 | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd2 | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 10463 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 10464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 10465 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 10466 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 10467 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 10468 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 10469 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 10470 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 10472 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Sent | 10500 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 10523 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 10531 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 10628 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 10593 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 10491 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/Clear1.D1 | 11081 | ? | 0 | 4096 | iobs/Clear1 | NULL | NULL | iobs/Clear1.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/Clear1.D2 | 11082 | ? | 0 | 4096 | iobs/Clear1 | NULL | NULL | iobs/Clear1.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_FALSE | iobs/TS_FSM_FFd1 | IV_TRUE | iobs/TS_FSM_FFd2 +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | iobs/Clear1.EXP | 11222 | ? | 0 | 0 | iobs/Clear1 | NULL | NULL | iobs/Clear1.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 13 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ALE1 +SPPTERM | 13 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 +SPPTERM | 13 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 + +SRFF_INSTANCE | iobs/Clear1.REG | iobs/Clear1 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobs/Clear1.D | 11080 | ? | 0 | 0 | iobs/Clear1 | NULL | NULL | iobs/Clear1.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 10494 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobs/Clear1.Q | 11083 | ? | 0 | 0 | iobs/Clear1 | NULL | NULL | iobs/Clear1.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/IODONEr | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IODONE | 10582 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.Q | IODONE | 1 | 0 | MC_UIM +NODE | IODONE | 10505 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.Q | IODONE | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10494 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/IODONEr | 10674 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IODONEr.Q | iobs/IODONEr | 1 | 0 | MC_UIM +NODE | iobs/IODONEr | 10595 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IODONEr.Q | iobs/IODONEr | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobs/IODONEr.SI | iobs/IODONEr | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IODONE | 10582 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.Q | IODONE | 1 | 0 | MC_UIM +NODE | IODONE | 10505 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.Q | IODONE | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/IODONEr.D1 | 11172 | ? | 0 | 4096 | iobs/IODONEr | NULL | NULL | iobs/IODONEr.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/IODONEr.D1 | 11085 | ? | 0 | 4096 | iobs/IODONEr | NULL | NULL | iobs/IODONEr.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/IODONEr.D2 | 11173 | ? | 0 | 4096 | iobs/IODONEr | NULL | NULL | iobs/IODONEr.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/IODONEr.D2 | 11086 | ? | 0 | 4096 | iobs/IODONEr | NULL | NULL | iobs/IODONEr.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | IODONE SRFF_INSTANCE | iobs/IODONEr.REG | iobs/IODONEr | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/IODONEr.D | 11171 | ? | 0 | 0 | iobs/IODONEr | NULL | NULL | iobs/IODONEr.XOR | 0 | 7 | ALU_F +NODE | iobs/IODONEr.D | 11084 | ? | 0 | 0 | iobs/IODONEr | NULL | NULL | iobs/IODONEr.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10494 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/IODONEr.Q | 11174 | ? | 0 | 0 | iobs/IODONEr | NULL | NULL | iobs/IODONEr.REG | 0 | 8 | SRFF_Q +NODE | iobs/IODONEr.Q | 11087 | ? | 0 | 0 | iobs/IODONEr | NULL | NULL | iobs/IODONEr.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+OptxMapped | nRESout | WarpSE_COPY_0_COPY_0 | 2155873280 | 12 | 2 +MACROCELL_INSTANCE | PrldLow+OptxMapped | nRESout | WarpSE_COPY_0_COPY_0 | 2155873280 | 5 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10628 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd1 | 10551 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10629 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd2 | 10552 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10494 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | nBR_IOB_OBUF | 10537 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.Q | nBR_IOB_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 10569 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$$OpTx$FX_DC$354_INV$541.UIM | 10752 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | $OpTx$$OpTx$FX_DC$354_INV$541.Q | $OpTx$$OpTx$FX_DC$354_INV$541 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 10666 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 10667 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<0> | 10635 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<0>.Q | cnt/WS<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<1> | 10641 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<1>.Q | cnt/WS<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<2> | 10642 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<2>.Q | cnt/WS<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<3> | 10655 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<3>.Q | cnt/WS<3> | 1 | 0 | MC_UIM +NODE | AoutOE | 10630 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | nRESout | 10675 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRESout.Q | nRESout | 1 | 0 | MC_UIM +NODE | nRESout | 10596 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRESout.Q | nRESout | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | nRESout.EXP | 11341 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRESout.EXP | nRESout | 4 | 0 | MC_EXPORT +NODE | nRESout.EXP | 11249 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRESout.EXP | nRESout | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | nRESout.SI | nRESout | 0 | 11 | 3 +SIGNAL_INSTANCE | nRESout.SI | nRESout | 0 | 4 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10628 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd1 | 10551 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10629 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd2 | 10552 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | nBR_IOB_OBUF | 10537 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.Q | nBR_IOB_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 10569 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$$OpTx$FX_DC$354_INV$541.UIM | 10752 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | $OpTx$$OpTx$FX_DC$354_INV$541.Q | $OpTx$$OpTx$FX_DC$354_INV$541 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 10666 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 10667 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<0> | 10635 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<0>.Q | cnt/WS<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<1> | 10641 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<1>.Q | cnt/WS<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<2> | 10642 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<2>.Q | cnt/WS<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/WS<3> | 10655 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/WS<3>.Q | cnt/WS<3> | 1 | 0 | MC_UIM +NODE | AoutOE | 10630 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nRESout.D1 | 11176 | ? | 0 | 4096 | nRESout | NULL | NULL | nRESout.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nRESout.D1 | 11089 | ? | 0 | 4096 | nRESout | NULL | NULL | nRESout.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nRESout.D2 | 11177 | ? | 0 | 4096 | nRESout | NULL | NULL | nRESout.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nRESout.D2 | 11090 | ? | 0 | 4096 | nRESout | NULL | NULL | nRESout.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | nRESout.EXP | 11333 | ? | 0 | 0 | nRESout | NULL | NULL | nRESout.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 3 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | $OpTx$$OpTx$FX_DC$354_INV$541.UIM -SPPTERM | 4 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_9_IBUF | IV_FALSE | A_FSB_8_IBUF | IV_FALSE | $OpTx$$OpTx$FX_DC$354_INV$541.UIM -SPPTERM | 5 | IV_TRUE | cnt/WS<0> | IV_TRUE | cnt/WS<1> | IV_TRUE | cnt/WS<2> | IV_TRUE | cnt/WS<3> | IV_FALSE | $OpTx$$OpTx$FX_DC$354_INV$541.UIM +SIGNAL | NODE | nRESout.EXP | 11241 | ? | 0 | 0 | nRESout | NULL | NULL | nRESout.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 3 | IV_FALSE | nBR_IOB_OBUF | IV_TRUE | cnt/IS_FSM_FFd1 | IV_TRUE | cnt/IS_FSM_FFd2 +SPPTERM | 3 | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_FALSE | AoutOE SRFF_INSTANCE | nRESout.REG | nRESout | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nRESout.D | 11175 | ? | 0 | 0 | nRESout | NULL | NULL | nRESout.XOR | 0 | 7 | ALU_F +NODE | nRESout.D | 11088 | ? | 0 | 0 | nRESout | NULL | NULL | nRESout.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10494 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nRESout.Q | 11178 | ? | 0 | 0 | nRESout | NULL | NULL | nRESout.REG | 0 | 8 | SRFF_Q +NODE | nRESout.Q | 11091 | ? | 0 | 0 | nRESout | NULL | NULL | nRESout.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/CAS | WarpSE_COPY_0_COPY_0 | 2155873280 | 20 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd6 | 10647 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd6.Q | ram/RS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd6 | 10570 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd6.Q | ram/RS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd7 | 10632 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd7 | 10555 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10463 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10465 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10466 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10544 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 10467 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10545 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 10468 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10546 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 10469 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10547 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 10470 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 10549 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 10472 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 10577 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | iobs/Sent | 10500 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10600 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10523 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10494 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 10617 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10673 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10593 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 10707 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 10628 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10618 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 10568 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 10491 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP10_.EXP | 11323 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP10_.EXP | EXP10_ | 4 | 0 | MC_EXPORT +NODE | EXP10_.EXP | 11236 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP10_.EXP | EXP10_ | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/CAS | 10676 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/CAS.Q | ram/CAS | 1 | 0 | MC_UIM +NODE | ram/CAS | 10597 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/CAS.Q | ram/CAS | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | ram/CAS.EXP | 11324 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/CAS.EXP | ram/CAS | 4 | 0 | MC_EXPORT +NODE | ram/CAS.EXP | 11237 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/CAS.EXP | ram/CAS | 4 | 0 | MC_EXPORT SIGNAL_INSTANCE | ram/CAS.SI | ram/CAS | 0 | 19 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd6 | 10647 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd6.Q | ram/RS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd6 | 10570 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd6.Q | ram/RS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd7 | 10632 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd7 | 10555 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10463 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10465 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10466 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10544 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 10467 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10545 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 10468 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10546 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 10469 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10547 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 10470 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 10549 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 10472 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 10577 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | iobs/Sent | 10500 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10600 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10523 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 10617 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10673 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10593 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 10707 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 10628 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10618 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 10568 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 10491 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP10_.EXP | 11323 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP10_.EXP | EXP10_ | 4 | 0 | MC_EXPORT +NODE | EXP10_.EXP | 11236 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP10_.EXP | EXP10_ | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/CAS.D1 | 11180 | ? | 0 | 4096 | ram/CAS | NULL | NULL | ram/CAS.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/CAS.D1 | 11093 | ? | 0 | 4096 | ram/CAS | NULL | NULL | ram/CAS.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/CAS.D2 | 11181 | ? | 0 | 4096 | ram/CAS | NULL | NULL | ram/CAS.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/CAS.D2 | 11094 | ? | 0 | 4096 | ram/CAS | NULL | NULL | ram/CAS.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | ram/RS_FSM_FFd7 SPPTERM | 1 | IV_TRUE | ram/RS_FSM_FFd6 SPPTERM | 1 | IV_TRUE | EXP10_.EXP OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | ram/CAS.EXP | 11315 | ? | 0 | 0 | ram/CAS | NULL | NULL | ram/CAS.SI | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | ram/CAS.EXP | 11228 | ? | 0 | 0 | ram/CAS | NULL | NULL | ram/CAS.SI | 7 | 9 | MC_SI_EXPORT SPPTERM | 14 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | iobs/TS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 SPPTERM | 14 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | iobs/TS_FSM_FFd2 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 SPPTERM | 14 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | iobs/TS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 SRFF_INSTANCE | ram/CAS.REG | ram/CAS | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/CAS.D | 11179 | ? | 0 | 0 | ram/CAS | NULL | NULL | ram/CAS.XOR | 0 | 7 | ALU_F +NODE | ram/CAS.D | 11092 | ? | 0 | 0 | ram/CAS | NULL | NULL | ram/CAS.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10494 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/CAS.Q | 11182 | ? | 0 | 0 | ram/CAS | NULL | NULL | ram/CAS.REG | 0 | 8 | SRFF_Q +NODE | ram/CAS.Q | 11095 | ? | 0 | 0 | ram/CAS | NULL | NULL | ram/CAS.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | ram/RASrf | WarpSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd7 | 10632 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd7 | 10555 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK- | 10572 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 7 | 5 | II_FCLKINV +NODE | FCLK_IBUF/FCLK- | 10495 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RASrf | 10677 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASrf.Q | ram/RASrf | 1 | 0 | MC_UIM +NODE | ram/RASrf | 10598 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASrf.Q | ram/RASrf | 1 | 0 | MC_UIM SIGNAL_INSTANCE | ram/RASrf.SI | ram/RASrf | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd7 | 10632 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd7 | 10555 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RASrf.D1 | 11184 | ? | 0 | 4096 | ram/RASrf | NULL | NULL | ram/RASrf.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RASrf.D1 | 11097 | ? | 0 | 4096 | ram/RASrf | NULL | NULL | ram/RASrf.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RASrf.D2 | 11185 | ? | 0 | 4096 | ram/RASrf | NULL | NULL | ram/RASrf.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/RASrf.D2 | 11098 | ? | 0 | 4096 | ram/RASrf | NULL | NULL | ram/RASrf.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | ram/RS_FSM_FFd7 SRFF_INSTANCE | ram/RASrf.REG | ram/RASrf | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RASrf.D | 11183 | ? | 0 | 0 | ram/RASrf | NULL | NULL | ram/RASrf.XOR | 0 | 7 | ALU_F +NODE | ram/RASrf.D | 11096 | ? | 0 | 0 | ram/RASrf | NULL | NULL | ram/RASrf.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK- | 10572 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 7 | 5 | II_FCLKINV +NODE | FCLK_IBUF/FCLK- | 10495 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RASrf.Q | 11186 | ? | 0 | 0 | ram/RASrf | NULL | NULL | ram/RASrf.REG | 0 | 8 | SRFF_Q +NODE | ram/RASrf.Q | 11099 | ? | 0 | 0 | ram/RASrf | NULL | NULL | ram/RASrf.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RASrr | WarpSE_COPY_0_COPY_0 | 2155873280 | 10 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 10644 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd3 | 10567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd6 | 10647 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd6.Q | ram/RS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd6 | 10570 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd6.Q | ram/RS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10463 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMEN | 10594 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM +NODE | ram/RAMEN | 10517 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 10599 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 10522 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10531 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd8 | 10624 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd8 | 10547 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10673 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10593 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10494 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RASrr | 10678 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASrr.Q | ram/RASrr | 1 | 0 | MC_UIM +NODE | ram/RASrr | 10599 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASrr.Q | ram/RASrr | 1 | 0 | MC_UIM SIGNAL_INSTANCE | ram/RASrr.SI | ram/RASrr | 0 | 9 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 10644 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd3 | 10567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd6 | 10647 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd6.Q | ram/RS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd6 | 10570 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd6.Q | ram/RS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10463 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMEN | 10594 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM +NODE | ram/RAMEN | 10517 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 10599 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 10522 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10531 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd8 | 10624 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd8 | 10547 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10673 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10593 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RASrr.D1 | 11188 | ? | 0 | 4096 | ram/RASrr | NULL | NULL | ram/RASrr.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RASrr.D1 | 11101 | ? | 0 | 4096 | ram/RASrr | NULL | NULL | ram/RASrr.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RASrr.D2 | 11189 | ? | 0 | 4096 | ram/RASrr | NULL | NULL | ram/RASrr.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/RASrr.D2 | 11102 | ? | 0 | 4096 | ram/RASrr | NULL | NULL | ram/RASrr.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | ram/RS_FSM_FFd3 SPPTERM | 1 | IV_TRUE | ram/RS_FSM_FFd6 SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | ram/RAMEN | IV_TRUE | cs/nOverlay | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd8 @@ -6113,532 +5862,532 @@ SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | ra SRFF_INSTANCE | ram/RASrr.REG | ram/RASrr | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RASrr.D | 11187 | ? | 0 | 0 | ram/RASrr | NULL | NULL | ram/RASrr.XOR | 0 | 7 | ALU_F +NODE | ram/RASrr.D | 11100 | ? | 0 | 0 | ram/RASrr | NULL | NULL | ram/RASrr.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10494 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RASrr.Q | 11190 | ? | 0 | 0 | ram/RASrr | NULL | NULL | ram/RASrr.REG | 0 | 8 | SRFF_Q +NODE | ram/RASrr.Q | 11103 | ? | 0 | 0 | ram/RASrr | NULL | NULL | ram/RASrr.REG | 0 | 8 | SRFF_Q INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_1_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<1> | 10781 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<1> | 10702 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_1_IBUF | 10679 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_1_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_1_IBUF | 10600 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_1_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_7_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<7> | 10782 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<7> | 10703 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_7_IBUF | 10680 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_7_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_7_IBUF | 10601 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_7_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_2_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<2> | 10783 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<2> | 10704 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_2_IBUF | 10681 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_2_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_2_IBUF | 10602 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_2_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_3_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<3> | 10784 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<3> | 10705 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_3_IBUF | 10682 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_3_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_3_IBUF | 10603 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_3_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_4_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<4> | 10785 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<4> | 10706 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_4_IBUF | 10683 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_4_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_4_IBUF | 10604 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_4_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_5_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<5> | 10786 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<5> | 10707 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_5_IBUF | 10684 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_5_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_5_IBUF | 10605 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_5_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_6_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<6> | 10787 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<6> | 10708 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_6_IBUF | 10685 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_6_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_6_IBUF | 10606 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_6_IBUF | 0 | 5 | II_IMUX MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RS_FSM_FFd1 | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 10665 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd2 | 10587 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10494 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RS_FSM_FFd1 | 10686 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd1 | 10607 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | ram/RS_FSM_FFd1.SI | ram/RS_FSM_FFd1 | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 10665 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd2 | 10587 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RS_FSM_FFd1.D1 | 11192 | ? | 0 | 4096 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RS_FSM_FFd1.D1 | 11105 | ? | 0 | 4096 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RS_FSM_FFd1.D2 | 11193 | ? | 0 | 4096 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/RS_FSM_FFd1.D2 | 11106 | ? | 0 | 4096 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | ram/RS_FSM_FFd2 SRFF_INSTANCE | ram/RS_FSM_FFd1.REG | ram/RS_FSM_FFd1 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RS_FSM_FFd1.D | 11191 | ? | 0 | 0 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.XOR | 0 | 7 | ALU_F +NODE | ram/RS_FSM_FFd1.D | 11104 | ? | 0 | 0 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10494 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RS_FSM_FFd1.Q | 11194 | ? | 0 | 0 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.REG | 0 | 8 | SRFF_Q +NODE | ram/RS_FSM_FFd1.Q | 11107 | ? | 0 | 0 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | OptxMapped | RA_0_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 3 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 10616 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 10539 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_1_IBUF | 10679 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_1_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_1_IBUF | 10600 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_1_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 10666 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_9_IBUF | 10588 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_0_OBUF | 10687 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_0_OBUF.Q | RA_0_OBUF | 0 | 0 | MC_Q +NODE | RA_0_OBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_0_OBUF.Q | RA_0_OBUF | 0 | 0 | MC_Q SIGNAL_INSTANCE | RA_0_OBUF.SI | RA_0_OBUF | 0 | 3 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 10616 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 10539 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_1_IBUF | 10679 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_1_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_1_IBUF | 10600 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_1_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 10666 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_9_IBUF | 10588 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_0_OBUF.D1 | 11196 | ? | 0 | 4096 | RA_0_OBUF | NULL | NULL | RA_0_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_0_OBUF.D1 | 11109 | ? | 0 | 4096 | RA_0_OBUF | NULL | NULL | RA_0_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_0_OBUF.D2 | 11197 | ? | 0 | 4096 | RA_0_OBUF | NULL | NULL | RA_0_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_0_OBUF.D2 | 11110 | ? | 0 | 4096 | RA_0_OBUF | NULL | NULL | RA_0_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_1_IBUF SPPTERM | 2 | IV_FALSE | ram/RASEL | IV_TRUE | A_FSB_9_IBUF SRFF_INSTANCE | RA_0_OBUF.REG | RA_0_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_0_OBUF.D | 11195 | ? | 0 | 0 | RA_0_OBUF | NULL | NULL | RA_0_OBUF.XOR | 0 | 7 | ALU_F +NODE | RA_0_OBUF.D | 11108 | ? | 0 | 0 | RA_0_OBUF | NULL | NULL | RA_0_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_0_OBUF.Q | 11198 | ? | 0 | 0 | RA_0_OBUF | NULL | NULL | RA_0_OBUF.REG | 0 | 8 | SRFF_Q +NODE | RA_0_OBUF.Q | 11111 | ? | 0 | 0 | RA_0_OBUF | NULL | NULL | RA_0_OBUF.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | OptxMapped | RA_10_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 3 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10546 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 10469 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 10616 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 10539 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_7_IBUF | 10680 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_7_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_7_IBUF | 10601 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_7_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_10_OBUF | 10688 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_10_OBUF.Q | RA_10_OBUF | 0 | 0 | MC_Q +NODE | RA_10_OBUF | 10609 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_10_OBUF.Q | RA_10_OBUF | 0 | 0 | MC_Q SIGNAL_INSTANCE | RA_10_OBUF.SI | RA_10_OBUF | 0 | 3 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10546 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 10469 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 10616 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 10539 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_7_IBUF | 10680 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_7_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_7_IBUF | 10601 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_7_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_10_OBUF.D1 | 11200 | ? | 0 | 4096 | RA_10_OBUF | NULL | NULL | RA_10_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_10_OBUF.D1 | 11113 | ? | 0 | 4096 | RA_10_OBUF | NULL | NULL | RA_10_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_10_OBUF.D2 | 11201 | ? | 0 | 4096 | RA_10_OBUF | NULL | NULL | RA_10_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_10_OBUF.D2 | 11114 | ? | 0 | 4096 | RA_10_OBUF | NULL | NULL | RA_10_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | A_FSB_17_IBUF | IV_FALSE | ram/RASEL SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_7_IBUF SRFF_INSTANCE | RA_10_OBUF.REG | RA_10_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_10_OBUF.D | 11199 | ? | 0 | 0 | RA_10_OBUF | NULL | NULL | RA_10_OBUF.XOR | 0 | 7 | ALU_F +NODE | RA_10_OBUF.D | 11112 | ? | 0 | 0 | RA_10_OBUF | NULL | NULL | RA_10_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_10_OBUF.Q | 11202 | ? | 0 | 0 | RA_10_OBUF | NULL | NULL | RA_10_OBUF.REG | 0 | 8 | SRFF_Q +NODE | RA_10_OBUF.Q | 11115 | ? | 0 | 0 | RA_10_OBUF | NULL | NULL | RA_10_OBUF.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | OptxMapped | RA_1_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 16 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 10570 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_10_IBUF | 10493 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 10616 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 10539 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_2_IBUF | 10681 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_2_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_2_IBUF | 10602 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 10573 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +NODE | RefUrg | 10496 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 10574 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 10497 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 10575 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 10498 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 10584 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 10507 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 10585 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 10508 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 10586 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 10509 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 10587 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<5> | 10510 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 10588 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<6> | 10511 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 10589 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<7> | 10512 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<8> | 10590 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<8> | 10513 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10629 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd2 | 10552 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10654 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 10577 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10670 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 10591 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_1_OBUF | 10689 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_1_OBUF.Q | RA_1_OBUF | 0 | 0 | MC_Q +NODE | RA_1_OBUF | 10610 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_1_OBUF.Q | RA_1_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | RA_1_OBUF.EXP | 11361 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_1_OBUF.EXP | RA_1_OBUF | 4 | 0 | MC_EXPORT +NODE | RA_1_OBUF.EXP | 11274 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_1_OBUF.EXP | RA_1_OBUF | 4 | 0 | MC_EXPORT SIGNAL_INSTANCE | RA_1_OBUF.SI | RA_1_OBUF | 0 | 16 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 10570 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_10_IBUF | 10493 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 10616 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 10539 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_2_IBUF | 10681 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_2_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_2_IBUF | 10602 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 10573 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +NODE | RefUrg | 10496 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 10574 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 10497 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 10575 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 10498 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 10584 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 10507 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 10585 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 10508 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 10586 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 10509 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 10587 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<5> | 10510 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 10588 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<6> | 10511 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 10589 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<7> | 10512 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<8> | 10590 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<8> | 10513 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10629 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd2 | 10552 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10654 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 10577 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10670 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 10591 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_1_OBUF.D1 | 11204 | ? | 0 | 4096 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_1_OBUF.D1 | 11117 | ? | 0 | 4096 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_1_OBUF.D2 | 11205 | ? | 0 | 4096 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_1_OBUF.D2 | 11118 | ? | 0 | 4096 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | ram/RASEL SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_2_IBUF OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | RA_1_OBUF.EXP | 11358 | ? | 0 | 0 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | RA_1_OBUF.EXP | 11271 | ? | 0 | 0 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.SI | 7 | 9 | MC_SI_EXPORT SPPTERM | 13 | IV_TRUE | RefUrg | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/LTimer<7> | IV_TRUE | cnt/LTimer<8> | IV_TRUE | cnt/IS_FSM_FFd2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SRFF_INSTANCE | RA_1_OBUF.REG | RA_1_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_1_OBUF.D | 11203 | ? | 0 | 0 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.XOR | 0 | 7 | ALU_F +NODE | RA_1_OBUF.D | 11116 | ? | 0 | 0 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_1_OBUF.Q | 11206 | ? | 0 | 0 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.REG | 0 | 8 | SRFF_Q +NODE | RA_1_OBUF.Q | 11119 | ? | 0 | 0 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | OptxMapped | RA_2_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 3 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10547 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 10470 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 10616 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 10539 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_7_IBUF | 10680 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_7_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_7_IBUF | 10601 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_7_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_2_OBUF | 10690 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_2_OBUF.Q | RA_2_OBUF | 0 | 0 | MC_Q +NODE | RA_2_OBUF | 10611 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_2_OBUF.Q | RA_2_OBUF | 0 | 0 | MC_Q SIGNAL_INSTANCE | RA_2_OBUF.SI | RA_2_OBUF | 0 | 3 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10547 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 10470 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 10616 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 10539 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_7_IBUF | 10680 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_7_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_7_IBUF | 10601 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_7_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_2_OBUF.D1 | 11208 | ? | 0 | 4096 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_2_OBUF.D1 | 11121 | ? | 0 | 4096 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_2_OBUF.D2 | 11209 | ? | 0 | 4096 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_2_OBUF.D2 | 11122 | ? | 0 | 4096 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | ram/RASEL SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_7_IBUF SRFF_INSTANCE | RA_2_OBUF.REG | RA_2_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_2_OBUF.D | 11207 | ? | 0 | 0 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.XOR | 0 | 7 | ALU_F +NODE | RA_2_OBUF.D | 11120 | ? | 0 | 0 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_2_OBUF.Q | 11210 | ? | 0 | 0 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.REG | 0 | 8 | SRFF_Q +NODE | RA_2_OBUF.Q | 11123 | ? | 0 | 0 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | OptxMapped | RA_4_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 3 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 10569 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_11_IBUF | 10492 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 10616 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 10539 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_3_IBUF | 10682 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_3_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_3_IBUF | 10603 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_3_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_4_OBUF | 10691 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_4_OBUF.Q | RA_4_OBUF | 0 | 0 | MC_Q +NODE | RA_4_OBUF | 10612 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_4_OBUF.Q | RA_4_OBUF | 0 | 0 | MC_Q SIGNAL_INSTANCE | RA_4_OBUF.SI | RA_4_OBUF | 0 | 3 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 10569 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_11_IBUF | 10492 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 10616 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 10539 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_3_IBUF | 10682 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_3_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_3_IBUF | 10603 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_3_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_4_OBUF.D1 | 11212 | ? | 0 | 4096 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_4_OBUF.D1 | 11125 | ? | 0 | 4096 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_4_OBUF.D2 | 11213 | ? | 0 | 4096 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_4_OBUF.D2 | 11126 | ? | 0 | 4096 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | A_FSB_11_IBUF | IV_FALSE | ram/RASEL SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_3_IBUF SRFF_INSTANCE | RA_4_OBUF.REG | RA_4_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_4_OBUF.D | 11211 | ? | 0 | 0 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.XOR | 0 | 7 | ALU_F +NODE | RA_4_OBUF.D | 11124 | ? | 0 | 0 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_4_OBUF.Q | 11214 | ? | 0 | 0 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.REG | 0 | 8 | SRFF_Q +NODE | RA_4_OBUF.Q | 11127 | ? | 0 | 0 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | OptxMapped | RA_5_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 3 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 10550 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_12_IBUF | 10473 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 10616 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 10539 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_4_IBUF | 10683 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_4_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_4_IBUF | 10604 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_4_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_5_OBUF | 10692 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_5_OBUF.Q | RA_5_OBUF | 0 | 0 | MC_Q +NODE | RA_5_OBUF | 10613 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_5_OBUF.Q | RA_5_OBUF | 0 | 0 | MC_Q SIGNAL_INSTANCE | RA_5_OBUF.SI | RA_5_OBUF | 0 | 3 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 10550 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_12_IBUF | 10473 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 10616 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 10539 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_4_IBUF | 10683 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_4_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_4_IBUF | 10604 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_4_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_5_OBUF.D1 | 11216 | ? | 0 | 4096 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_5_OBUF.D1 | 11129 | ? | 0 | 4096 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_5_OBUF.D2 | 11217 | ? | 0 | 4096 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_5_OBUF.D2 | 11130 | ? | 0 | 4096 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | A_FSB_12_IBUF | IV_FALSE | ram/RASEL SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_4_IBUF SRFF_INSTANCE | RA_5_OBUF.REG | RA_5_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_5_OBUF.D | 11215 | ? | 0 | 0 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.XOR | 0 | 7 | ALU_F +NODE | RA_5_OBUF.D | 11128 | ? | 0 | 0 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_5_OBUF.Q | 11218 | ? | 0 | 0 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.REG | 0 | 8 | SRFF_Q +NODE | RA_5_OBUF.Q | 11131 | ? | 0 | 0 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | OptxMapped | RA_6_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 3 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 10549 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 10472 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 10616 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 10539 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_5_IBUF | 10684 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_5_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_5_IBUF | 10605 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_5_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_6_OBUF | 10693 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_6_OBUF.Q | RA_6_OBUF | 0 | 0 | MC_Q +NODE | RA_6_OBUF | 10614 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_6_OBUF.Q | RA_6_OBUF | 0 | 0 | MC_Q SIGNAL_INSTANCE | RA_6_OBUF.SI | RA_6_OBUF | 0 | 3 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 10549 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 10472 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 10616 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 10539 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_5_IBUF | 10684 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_5_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_5_IBUF | 10605 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_5_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_6_OBUF.D1 | 11220 | ? | 0 | 4096 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_6_OBUF.D1 | 11133 | ? | 0 | 4096 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_6_OBUF.D2 | 11221 | ? | 0 | 4096 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_6_OBUF.D2 | 11134 | ? | 0 | 4096 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | ram/RASEL SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_5_IBUF SRFF_INSTANCE | RA_6_OBUF.REG | RA_6_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_6_OBUF.D | 11219 | ? | 0 | 0 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.XOR | 0 | 7 | ALU_F +NODE | RA_6_OBUF.D | 11132 | ? | 0 | 0 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_6_OBUF.Q | 11222 | ? | 0 | 0 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.REG | 0 | 8 | SRFF_Q +NODE | RA_6_OBUF.Q | 11135 | ? | 0 | 0 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | OptxMapped | RA_7_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 3 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 10568 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 10491 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 10616 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 10539 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_6_IBUF | 10685 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_6_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_6_IBUF | 10606 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_6_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_7_OBUF | 10694 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_7_OBUF.Q | RA_7_OBUF | 0 | 0 | MC_Q +NODE | RA_7_OBUF | 10615 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_7_OBUF.Q | RA_7_OBUF | 0 | 0 | MC_Q SIGNAL_INSTANCE | RA_7_OBUF.SI | RA_7_OBUF | 0 | 3 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 10568 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 10491 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 10616 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 10539 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_6_IBUF | 10685 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_6_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_6_IBUF | 10606 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_6_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_7_OBUF.D1 | 11224 | ? | 0 | 4096 | RA_7_OBUF | NULL | NULL | RA_7_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_7_OBUF.D1 | 11137 | ? | 0 | 4096 | RA_7_OBUF | NULL | NULL | RA_7_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_7_OBUF.D2 | 11225 | ? | 0 | 4096 | RA_7_OBUF | NULL | NULL | RA_7_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_7_OBUF.D2 | 11138 | ? | 0 | 4096 | RA_7_OBUF | NULL | NULL | RA_7_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | ram/RASEL SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_6_IBUF SRFF_INSTANCE | RA_7_OBUF.REG | RA_7_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_7_OBUF.D | 11223 | ? | 0 | 0 | RA_7_OBUF | NULL | NULL | RA_7_OBUF.XOR | 0 | 7 | ALU_F +NODE | RA_7_OBUF.D | 11136 | ? | 0 | 0 | RA_7_OBUF | NULL | NULL | RA_7_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_7_OBUF.Q | 11226 | ? | 0 | 0 | RA_7_OBUF | NULL | NULL | RA_7_OBUF.REG | 0 | 8 | SRFF_Q +NODE | RA_7_OBUF.Q | 11139 | ? | 0 | 0 | RA_7_OBUF | NULL | NULL | RA_7_OBUF.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | OptxMapped | RA_8_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 3 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10545 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 10468 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 10616 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 10539 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10465 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_8_OBUF | 10695 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_8_OBUF.Q | RA_8_OBUF | 0 | 0 | MC_Q +NODE | RA_8_OBUF | 10616 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_8_OBUF.Q | RA_8_OBUF | 0 | 0 | MC_Q SIGNAL_INSTANCE | RA_8_OBUF.SI | RA_8_OBUF | 0 | 3 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10545 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 10468 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 10616 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 10539 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10465 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_8_OBUF.D1 | 11228 | ? | 0 | 4096 | RA_8_OBUF | NULL | NULL | RA_8_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_8_OBUF.D1 | 11141 | ? | 0 | 4096 | RA_8_OBUF | NULL | NULL | RA_8_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_8_OBUF.D2 | 11229 | ? | 0 | 4096 | RA_8_OBUF | NULL | NULL | RA_8_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_8_OBUF.D2 | 11142 | ? | 0 | 4096 | RA_8_OBUF | NULL | NULL | RA_8_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | ram/RASEL SPPTERM | 2 | IV_TRUE | A_FSB_18_IBUF | IV_FALSE | ram/RASEL SRFF_INSTANCE | RA_8_OBUF.REG | RA_8_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_8_OBUF.D | 11227 | ? | 0 | 0 | RA_8_OBUF | NULL | NULL | RA_8_OBUF.XOR | 0 | 7 | ALU_F +NODE | RA_8_OBUF.D | 11140 | ? | 0 | 0 | RA_8_OBUF | NULL | NULL | RA_8_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_8_OBUF.Q | 11230 | ? | 0 | 0 | RA_8_OBUF | NULL | NULL | RA_8_OBUF.REG | 0 | 8 | SRFF_Q +NODE | RA_8_OBUF.Q | 11143 | ? | 0 | 0 | RA_8_OBUF | NULL | NULL | RA_8_OBUF.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | OptxMapped | RA_9_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 3 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 10548 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_15_IBUF | 10471 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 10616 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 10539 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 10667 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_8_IBUF | 10589 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_9_OBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_9_OBUF.Q | RA_9_OBUF | 0 | 0 | MC_Q +NODE | RA_9_OBUF | 10617 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_9_OBUF.Q | RA_9_OBUF | 0 | 0 | MC_Q SIGNAL_INSTANCE | RA_9_OBUF.SI | RA_9_OBUF | 0 | 3 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 10548 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_15_IBUF | 10471 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 10616 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +NODE | ram/RASEL | 10539 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 10667 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_8_IBUF | 10589 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_9_OBUF.D1 | 11232 | ? | 0 | 4096 | RA_9_OBUF | NULL | NULL | RA_9_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_9_OBUF.D1 | 11145 | ? | 0 | 4096 | RA_9_OBUF | NULL | NULL | RA_9_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_9_OBUF.D2 | 11233 | ? | 0 | 4096 | RA_9_OBUF | NULL | NULL | RA_9_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_9_OBUF.D2 | 11146 | ? | 0 | 4096 | RA_9_OBUF | NULL | NULL | RA_9_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | ram/RASEL SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_8_IBUF SRFF_INSTANCE | RA_9_OBUF.REG | RA_9_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_9_OBUF.D | 11231 | ? | 0 | 0 | RA_9_OBUF | NULL | NULL | RA_9_OBUF.XOR | 0 | 7 | ALU_F +NODE | RA_9_OBUF.D | 11144 | ? | 0 | 0 | RA_9_OBUF | NULL | NULL | RA_9_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_9_OBUF.Q | 11234 | ? | 0 | 0 | RA_9_OBUF | NULL | NULL | RA_9_OBUF.REG | 0 | 8 | SRFF_Q +NODE | RA_9_OBUF.Q | 11147 | ? | 0 | 0 | RA_9_OBUF | NULL | NULL | RA_9_OBUF.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | Inv+OptxMapped | nOE_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10600 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10523 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10531 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nOE_OBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nOE_OBUF.Q | nOE_OBUF | 0 | 0 | MC_Q +NODE | nOE_OBUF | 10618 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nOE_OBUF.Q | nOE_OBUF | 0 | 0 | MC_Q SIGNAL_INSTANCE | nOE_OBUF.SI | nOE_OBUF | 0 | 2 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10600 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10523 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10531 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nOE_OBUF.D1 | 11236 | ? | 0 | 4096 | nOE_OBUF | NULL | NULL | nOE_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nOE_OBUF.D1 | 11149 | ? | 0 | 4096 | nOE_OBUF | NULL | NULL | nOE_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nOE_OBUF.D2 | 11237 | ? | 0 | 4096 | nOE_OBUF | NULL | NULL | nOE_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nOE_OBUF.D2 | 11150 | ? | 0 | 4096 | nOE_OBUF | NULL | NULL | nOE_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF SRFF_INSTANCE | nOE_OBUF.REG | nOE_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nOE_OBUF.D | 11235 | ? | 0 | 0 | nOE_OBUF | NULL | NULL | nOE_OBUF.XOR | 0 | 7 | ALU_F +NODE | nOE_OBUF.D | 11148 | ? | 0 | 0 | nOE_OBUF | NULL | NULL | nOE_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nOE_OBUF.Q | 11238 | ? | 0 | 0 | nOE_OBUF | NULL | NULL | nOE_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nOE_OBUF.Q | 11151 | ? | 0 | 0 | nOE_OBUF | NULL | NULL | nOE_OBUF.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | Inv+OptxMapped | nROMWE_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 11 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10600 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10523 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10531 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW1 | 10601 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM +NODE | iobs/IORW1 | 10524 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10618 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 10707 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 10628 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10463 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10673 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10593 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10465 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10466 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 10599 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 10522 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nROMWE_OBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMWE_OBUF.Q | nROMWE_OBUF | 0 | 0 | MC_Q +NODE | nROMWE_OBUF | 10619 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMWE_OBUF.Q | nROMWE_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | nROMWE_OBUF.EXP | 11325 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMWE_OBUF.EXP | nROMWE_OBUF | 4 | 0 | MC_EXPORT +NODE | nROMWE_OBUF.EXP | 11238 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMWE_OBUF.EXP | nROMWE_OBUF | 4 | 0 | MC_EXPORT SIGNAL_INSTANCE | nROMWE_OBUF.SI | nROMWE_OBUF | 0 | 11 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10600 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10523 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10531 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW1 | 10601 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM +NODE | iobs/IORW1 | 10524 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10618 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 10707 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 10628 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10463 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10673 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10593 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10465 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10466 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 10599 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 10522 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nROMWE_OBUF.D1 | 11240 | ? | 0 | 4096 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nROMWE_OBUF.D1 | 11153 | ? | 0 | 4096 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nROMWE_OBUF.D2 | 11241 | ? | 0 | 4096 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nROMWE_OBUF.D2 | 11154 | ? | 0 | 4096 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | nROMWE_OBUF.EXP | 11316 | ? | 0 | 0 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | nROMWE_OBUF.EXP | 11229 | ? | 0 | 0 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.SI | 7 | 9 | MC_SI_EXPORT SPPTERM | 3 | IV_FALSE | iobs/IORW1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_FALSE | ALE1 SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 SPPTERM | 4 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_FALSE | fsb/ASrf | IV_TRUE | ALE1 @@ -6646,291 +6395,291 @@ SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A SRFF_INSTANCE | nROMWE_OBUF.REG | nROMWE_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nROMWE_OBUF.D | 11239 | ? | 0 | 0 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.XOR | 0 | 7 | ALU_F +NODE | nROMWE_OBUF.D | 11152 | ? | 0 | 0 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nROMWE_OBUF.Q | 11242 | ? | 0 | 0 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nROMWE_OBUF.Q | 11155 | ? | 0 | 0 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | OptxMapped | nADoutLE0_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE0M | 10648 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ALE0M.Q | ALE0M | 1 | 0 | MC_UIM +NODE | ALE0M | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ALE0M.Q | ALE0M | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE0S | 10668 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ALE0S.Q | ALE0S | 1 | 0 | MC_UIM +NODE | ALE0S | 10590 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ALE0S.Q | ALE0S | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nADoutLE0_OBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE0_OBUF.Q | nADoutLE0_OBUF | 0 | 0 | MC_Q +NODE | nADoutLE0_OBUF | 10620 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE0_OBUF.Q | nADoutLE0_OBUF | 0 | 0 | MC_Q SIGNAL_INSTANCE | nADoutLE0_OBUF.SI | nADoutLE0_OBUF | 0 | 2 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE0M | 10648 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ALE0M.Q | ALE0M | 1 | 0 | MC_UIM +NODE | ALE0M | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ALE0M.Q | ALE0M | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE0S | 10668 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ALE0S.Q | ALE0S | 1 | 0 | MC_UIM +NODE | ALE0S | 10590 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ALE0S.Q | ALE0S | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nADoutLE0_OBUF.D1 | 11244 | ? | 0 | 4096 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nADoutLE0_OBUF.D1 | 11157 | ? | 0 | 4096 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nADoutLE0_OBUF.D2 | 11245 | ? | 0 | 4096 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nADoutLE0_OBUF.D2 | 11158 | ? | 0 | 4096 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_FALSE | ALE0M | IV_FALSE | ALE0S SRFF_INSTANCE | nADoutLE0_OBUF.REG | nADoutLE0_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nADoutLE0_OBUF.D | 11243 | ? | 0 | 0 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.XOR | 0 | 7 | ALU_F +NODE | nADoutLE0_OBUF.D | 11156 | ? | 0 | 0 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nADoutLE0_OBUF.Q | 11246 | ? | 0 | 0 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nADoutLE0_OBUF.Q | 11159 | ? | 0 | 0 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | nCAS_OBUF | WarpSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/CAS | 10676 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/CAS.Q | ram/CAS | 1 | 0 | MC_UIM +NODE | ram/CAS | 10597 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/CAS.Q | ram/CAS | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK- | 10572 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 7 | 5 | II_FCLKINV +NODE | FCLK_IBUF/FCLK- | 10495 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nCAS_OBUF | 10700 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nCAS_OBUF.Q | nCAS_OBUF | 0 | 0 | MC_Q +NODE | nCAS_OBUF | 10621 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nCAS_OBUF.Q | nCAS_OBUF | 0 | 0 | MC_Q SIGNAL_INSTANCE | nCAS_OBUF.SI | nCAS_OBUF | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/CAS | 10676 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/CAS.Q | ram/CAS | 1 | 0 | MC_UIM +NODE | ram/CAS | 10597 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/CAS.Q | ram/CAS | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nCAS_OBUF.D1 | 11248 | ? | 0 | 4096 | nCAS_OBUF | NULL | NULL | nCAS_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nCAS_OBUF.D1 | 11161 | ? | 0 | 4096 | nCAS_OBUF | NULL | NULL | nCAS_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nCAS_OBUF.D2 | 11249 | ? | 0 | 4096 | nCAS_OBUF | NULL | NULL | nCAS_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nCAS_OBUF.D2 | 11162 | ? | 0 | 4096 | nCAS_OBUF | NULL | NULL | nCAS_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_FALSE | ram/CAS SRFF_INSTANCE | nCAS_OBUF.REG | nCAS_OBUF | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nCAS_OBUF.D | 11247 | ? | 0 | 0 | nCAS_OBUF | NULL | NULL | nCAS_OBUF.XOR | 0 | 7 | ALU_F +NODE | nCAS_OBUF.D | 11160 | ? | 0 | 0 | nCAS_OBUF | NULL | NULL | nCAS_OBUF.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK- | 10572 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 7 | 5 | II_FCLKINV +NODE | FCLK_IBUF/FCLK- | 10495 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nCAS_OBUF.Q | 11250 | ? | 0 | 0 | nCAS_OBUF | NULL | NULL | nCAS_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nCAS_OBUF.Q | 11163 | ? | 0 | 0 | nCAS_OBUF | NULL | NULL | nCAS_OBUF.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | nDTACK_FSB_OBUF | WarpSE_COPY_0_COPY_0 | 2155873280 | 10 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | QoSReady | 10651 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | QoSReady.Q | QoSReady | 1 | 0 | MC_UIM +NODE | A_FSB_23_IBUF | 10463 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | IONPReady | 10525 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.Q | IONPReady | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IONPReady | 10602 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.Q | IONPReady | 1 | 0 | MC_UIM +NODE | QoSReady | 10574 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | QoSReady.Q | QoSReady | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10531 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10673 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10593 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10466 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10465 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once.EXP | 11320 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/Once.EXP | ram/Once | 4 | 0 | MC_EXPORT +NODE | cs/nOverlay.EXP | 11233 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.EXP | cs/nOverlay | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10494 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nDTACK_FSB_OBUF | 10701 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 0 | 0 | MC_Q +NODE | nDTACK_FSB_OBUF | 10622 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 0 | 0 | MC_Q SIGNAL_INSTANCE | nDTACK_FSB_OBUF.SI | nDTACK_FSB_OBUF | 0 | 9 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | QoSReady | 10651 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | QoSReady.Q | QoSReady | 1 | 0 | MC_UIM +NODE | A_FSB_23_IBUF | 10463 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | IONPReady | 10525 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.Q | IONPReady | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IONPReady | 10602 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.Q | IONPReady | 1 | 0 | MC_UIM +NODE | QoSReady | 10574 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | QoSReady.Q | QoSReady | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10531 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10673 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10593 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10466 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10465 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once.EXP | 11320 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/Once.EXP | ram/Once | 4 | 0 | MC_EXPORT +NODE | cs/nOverlay.EXP | 11233 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.EXP | cs/nOverlay | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nDTACK_FSB_OBUF.D1 | 11252 | ? | 0 | 4096 | nDTACK_FSB_OBUF | NULL | NULL | nDTACK_FSB_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nDTACK_FSB_OBUF.D1 | 11165 | ? | 0 | 4096 | nDTACK_FSB_OBUF | NULL | NULL | nDTACK_FSB_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nDTACK_FSB_OBUF.D2 | 11253 | ? | 0 | 4096 | nDTACK_FSB_OBUF | NULL | NULL | nDTACK_FSB_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_FALSE | QoSReady -SPPTERM | 1 | IV_TRUE | ram/Once.EXP +SIGNAL | NODE | nDTACK_FSB_OBUF.D2 | 11166 | ? | 0 | 4096 | nDTACK_FSB_OBUF | NULL | NULL | nDTACK_FSB_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | cs/nOverlay.EXP SPPTERM | 2 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | IONPReady +SPPTERM | 2 | IV_FALSE | IONPReady | IV_FALSE | QoSReady SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf SPPTERM | 3 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | IONPReady SPPTERM | 3 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | IONPReady SRFF_INSTANCE | nDTACK_FSB_OBUF.REG | nDTACK_FSB_OBUF | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nDTACK_FSB_OBUF.D | 11251 | ? | 0 | 0 | nDTACK_FSB_OBUF | NULL | NULL | nDTACK_FSB_OBUF.XOR | 0 | 7 | ALU_F +NODE | nDTACK_FSB_OBUF.D | 11164 | ? | 0 | 0 | nDTACK_FSB_OBUF | NULL | NULL | nDTACK_FSB_OBUF.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10494 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nDTACK_FSB_OBUF.Q | 11254 | ? | 0 | 0 | nDTACK_FSB_OBUF | NULL | NULL | nDTACK_FSB_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nDTACK_FSB_OBUF.Q | 11167 | ? | 0 | 0 | nDTACK_FSB_OBUF | NULL | NULL | nDTACK_FSB_OBUF.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped+Ce | nDinLE_OBUF | WarpSE_COPY_0_COPY_0 | 2424308992 | 11 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 10620 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 10543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 10623 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 10546 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK- | 10558 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV +NODE | C16M_IBUF/FCLK- | 10481 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOBERR | 10579 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM +NODE | IOBERR | 10502 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IODONE | 10582 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.Q | IODONE | 1 | 0 | MC_UIM +NODE | IODONE | 10505 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.Q | IODONE | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 10619 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 10542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOWRREQr | 10661 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM +NODE | iobm/IOWRREQr | 10584 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 10709 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 10630 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOACT | 10634 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM +NODE | IOACT | 10556 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 10636 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 10558 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 10637 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 10559 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nDinLE_OBUF | 10702 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDinLE_OBUF.Q | nDinLE_OBUF | 0 | 0 | MC_Q +NODE | nDinLE_OBUF | 10623 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDinLE_OBUF.Q | nDinLE_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | nDinLE_OBUF.EXP | 11356 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDinLE_OBUF.EXP | nDinLE_OBUF | 4 | 0 | MC_EXPORT +NODE | nDinLE_OBUF.EXP | 11269 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDinLE_OBUF.EXP | nDinLE_OBUF | 4 | 0 | MC_EXPORT SIGNAL_INSTANCE | nDinLE_OBUF.SI | nDinLE_OBUF | 0 | 10 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 10620 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 10543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 10623 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 10546 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOBERR | 10579 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM +NODE | IOBERR | 10502 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IODONE | 10582 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.Q | IODONE | 1 | 0 | MC_UIM +NODE | IODONE | 10505 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.Q | IODONE | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 10619 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 10542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOWRREQr | 10661 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM +NODE | iobm/IOWRREQr | 10584 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 10709 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 10630 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOACT | 10634 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM +NODE | IOACT | 10556 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 10636 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 10558 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 10637 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 10559 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nDinLE_OBUF.D1 | 11256 | ? | 0 | 4096 | nDinLE_OBUF | NULL | NULL | nDinLE_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nDinLE_OBUF.D1 | 11169 | ? | 0 | 4096 | nDinLE_OBUF | NULL | NULL | nDinLE_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nDinLE_OBUF.D2 | 11257 | ? | 0 | 4096 | nDinLE_OBUF | NULL | NULL | nDinLE_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nDinLE_OBUF.D2 | 11170 | ? | 0 | 4096 | nDinLE_OBUF | NULL | NULL | nDinLE_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd4 OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | nDinLE_OBUF.EXP | 11353 | ? | 0 | 0 | nDinLE_OBUF | NULL | NULL | nDinLE_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | nDinLE_OBUF.EXP | 11266 | ? | 0 | 0 | nDinLE_OBUF | NULL | NULL | nDinLE_OBUF.SI | 7 | 9 | MC_SI_EXPORT SPPTERM | 3 | IV_FALSE | IOBERR | IV_FALSE | IODONE | IV_TRUE | iobm/IOS_FSM_FFd3 SPPTERM | 3 | IV_TRUE | iobm/IOS_FSM_FFd7 | IV_TRUE | iobm/IOWRREQr | IV_FALSE | AoutOE SPPTERM | 5 | IV_FALSE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_TRUE | IOACT | IV_FALSE | iobm/IOS_FSM_FFd1 | IV_FALSE | iobm/IOS_FSM_FFd2 SRFF_INSTANCE | nDinLE_OBUF.REG | nDinLE_OBUF | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nDinLE_OBUF.D | 11255 | ? | 0 | 0 | nDinLE_OBUF | NULL | NULL | nDinLE_OBUF.XOR | 0 | 7 | ALU_F +NODE | nDinLE_OBUF.D | 11168 | ? | 0 | 0 | nDinLE_OBUF | NULL | NULL | nDinLE_OBUF.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK- | 10558 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV +NODE | C16M_IBUF/FCLK- | 10481 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nDinLE_OBUF.Q | 11258 | ? | 0 | 0 | nDinLE_OBUF | NULL | NULL | nDinLE_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nDinLE_OBUF.Q | 11171 | ? | 0 | 0 | nDinLE_OBUF | NULL | NULL | nDinLE_OBUF.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | FbkInv+PinTrst+Merge+OptxMapped | N0 | WarpSE_COPY_0_COPY_0 | 2155923456 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nRESout | 10675 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRESout.Q | nRESout | 1 | 0 | MC_UIM +NODE | nRESout | 10596 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRESout.Q | nRESout | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | N0 | 10703 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | N0.Q | N0 | 0 | 0 | MC_Q +NODE | N0 | 10624 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | N0.Q | N0 | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 2 | 0 | MC_OE -NODE | N0$OE | 10704 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | N0.BUFOE.OUT | N0 | 2 | 0 | MC_OE +NODE | N0$OE | 10625 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | N0.BUFOE.OUT | N0 | 2 | 0 | MC_OE SIGNAL_INSTANCE | N0.SI | N0 | 0 | 1 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nRESout | 10675 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRESout.Q | nRESout | 1 | 0 | MC_UIM +NODE | nRESout | 10596 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRESout.Q | nRESout | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | N0.D1 | 11260 | ? | 0 | 4096 | N0 | NULL | NULL | N0.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | N0.D1 | 11173 | ? | 0 | 4096 | N0 | NULL | NULL | N0.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | N0.D2 | 11261 | ? | 0 | 4096 | N0 | NULL | NULL | N0.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | N0.D2 | 11174 | ? | 0 | 4096 | N0 | NULL | NULL | N0.SI | 2 | 9 | MC_SI_D2 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 4 | 9 | MC_SI_TRST -SIGNAL | NODE | N0.TRST | 11263 | ? | 0 | 4096 | N0 | NULL | NULL | N0.SI | 4 | 9 | MC_SI_TRST +SIGNAL | NODE | N0.TRST | 11176 | ? | 0 | 4096 | N0 | NULL | NULL | N0.SI | 4 | 9 | MC_SI_TRST SPPTERM | 1 | IV_FALSE | nRESout SRFF_INSTANCE | N0.REG | N0 | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | N0.D | 11259 | ? | 0 | 0 | N0 | NULL | NULL | N0.XOR | 0 | 7 | ALU_F +NODE | N0.D | 11172 | ? | 0 | 0 | N0 | NULL | NULL | N0.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | N0.Q | 11264 | ? | 0 | 0 | N0 | NULL | NULL | N0.REG | 0 | 8 | SRFF_Q +NODE | N0.Q | 11177 | ? | 0 | 0 | N0 | NULL | NULL | N0.REG | 0 | 8 | SRFF_Q BUF_INSTANCE | N0.BUFOE | N0 | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 10 | CTOR_UNKNOWN -SIGNAL | NODE | N0.TRST | 11263 | ? | 0 | 4096 | N0 | NULL | NULL | N0.SI | 4 | 9 | MC_SI_TRST +SIGNAL | NODE | N0.TRST | 11176 | ? | 0 | 4096 | N0 | NULL | NULL | N0.SI | 4 | 9 | MC_SI_TRST SPPTERM | 1 | IV_FALSE | nRESout OUTPUT_NODE_TYPE | 0 | 10 | BUF_OUT -NODE | N0.BUFOE.OUT | 11262 | ? | 0 | 0 | N0 | NULL | NULL | N0.BUFOE | 0 | 10 | BUF_OUT +NODE | N0.BUFOE.OUT | 11175 | ? | 0 | 0 | N0 | NULL | NULL | N0.BUFOE | 0 | 10 | BUF_OUT MACROCELL_INSTANCE | OptxMapped | RA_11_OBUF$BUF0 | WarpSE_COPY_0_COPY_0 | 2155872256 | 12 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10463 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10544 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 10467 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10618 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 10707 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 10628 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10545 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 10468 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10546 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 10469 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10547 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 10470 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10465 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10466 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 10599 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 10522 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2.EXP | 11379 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.EXP | iobs/TS_FSM_FFd2 | 4 | 0 | MC_EXPORT +NODE | iobs/TS_FSM_FFd2.EXP | 11292 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.EXP | iobs/TS_FSM_FFd2 | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_11_OBUF$BUF0 | 10705 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_11_OBUF$BUF0.Q | RA_11_OBUF$BUF0 | 0 | 0 | MC_Q +NODE | RA_11_OBUF$BUF0 | 10626 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_11_OBUF$BUF0.Q | RA_11_OBUF$BUF0 | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | RA_11_OBUF$BUF0.EXP | 11378 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_11_OBUF$BUF0.EXP | RA_11_OBUF$BUF0 | 4 | 0 | MC_EXPORT +NODE | RA_11_OBUF$BUF0.EXP | 11291 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_11_OBUF$BUF0.EXP | RA_11_OBUF$BUF0 | 4 | 0 | MC_EXPORT SIGNAL_INSTANCE | RA_11_OBUF$BUF0.SI | RA_11_OBUF$BUF0 | 0 | 12 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10463 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10544 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 10467 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10618 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 10707 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 10628 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10545 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 10468 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10546 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 10469 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10547 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 10470 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10465 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10466 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 10599 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 10522 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2.EXP | 11379 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.EXP | iobs/TS_FSM_FFd2 | 4 | 0 | MC_EXPORT +NODE | iobs/TS_FSM_FFd2.EXP | 11292 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.EXP | iobs/TS_FSM_FFd2 | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_11_OBUF$BUF0.D1 | 11266 | ? | 0 | 4096 | RA_11_OBUF$BUF0 | NULL | NULL | RA_11_OBUF$BUF0.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_11_OBUF$BUF0.D1 | 11179 | ? | 0 | 4096 | RA_11_OBUF$BUF0 | NULL | NULL | RA_11_OBUF$BUF0.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_11_OBUF$BUF0.D2 | 11267 | ? | 0 | 4096 | RA_11_OBUF$BUF0 | NULL | NULL | RA_11_OBUF$BUF0.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_11_OBUF$BUF0.D2 | 11180 | ? | 0 | 4096 | RA_11_OBUF$BUF0 | NULL | NULL | RA_11_OBUF$BUF0.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | iobs/TS_FSM_FFd2.EXP OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | RA_11_OBUF$BUF0.EXP | 11363 | ? | 0 | 0 | RA_11_OBUF$BUF0 | NULL | NULL | RA_11_OBUF$BUF0.SI | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | RA_11_OBUF$BUF0.EXP | 11276 | ? | 0 | 0 | RA_11_OBUF$BUF0 | NULL | NULL | RA_11_OBUF$BUF0.SI | 7 | 9 | MC_SI_EXPORT SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_19_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_18_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_17_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 @@ -6939,98 +6688,98 @@ SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A SRFF_INSTANCE | RA_11_OBUF$BUF0.REG | RA_11_OBUF$BUF0 | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_11_OBUF$BUF0.D | 11265 | ? | 0 | 0 | RA_11_OBUF$BUF0 | NULL | NULL | RA_11_OBUF$BUF0.XOR | 0 | 7 | ALU_F +NODE | RA_11_OBUF$BUF0.D | 11178 | ? | 0 | 0 | RA_11_OBUF$BUF0 | NULL | NULL | RA_11_OBUF$BUF0.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_11_OBUF$BUF0.Q | 11268 | ? | 0 | 0 | RA_11_OBUF$BUF0 | NULL | NULL | RA_11_OBUF$BUF0.REG | 0 | 8 | SRFF_Q +NODE | RA_11_OBUF$BUF0.Q | 11181 | ? | 0 | 0 | RA_11_OBUF$BUF0 | NULL | NULL | RA_11_OBUF$BUF0.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | Inv+PrldHigh+OptxMapped | nADoutLE1_OBUF | WarpSE_COPY_0_COPY_0 | 2155873024 | 4 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Clear1 | 10664 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Clear1.Q | iobs/Clear1 | 1 | 0 | MC_UIM +NODE | iobs/Clear1 | 10594 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Clear1.Q | iobs/Clear1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 10707 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 10628 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Load1 | 10633 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM +NODE | iobs/Load1 | 10561 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10494 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nADoutLE1_OBUF | 10706 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 0 | 0 | MC_Q +NODE | nADoutLE1_OBUF | 10627 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ALE1 | 10707 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 10628 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM SIGNAL_INSTANCE | nADoutLE1_OBUF.SI | nADoutLE1_OBUF | 0 | 3 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Clear1 | 10664 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Clear1.Q | iobs/Clear1 | 1 | 0 | MC_UIM +NODE | iobs/Clear1 | 10594 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Clear1.Q | iobs/Clear1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 10707 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 10628 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Load1 | 10633 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM +NODE | iobs/Load1 | 10561 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nADoutLE1_OBUF.D1 | 11270 | ? | 0 | 4096 | nADoutLE1_OBUF | NULL | NULL | nADoutLE1_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nADoutLE1_OBUF.D1 | 11183 | ? | 0 | 4096 | nADoutLE1_OBUF | NULL | NULL | nADoutLE1_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nADoutLE1_OBUF.D2 | 11271 | ? | 0 | 4096 | nADoutLE1_OBUF | NULL | NULL | nADoutLE1_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nADoutLE1_OBUF.D2 | 11184 | ? | 0 | 4096 | nADoutLE1_OBUF | NULL | NULL | nADoutLE1_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | iobs/Load1 SPPTERM | 2 | IV_FALSE | iobs/Clear1 | IV_FALSE | ALE1 SRFF_INSTANCE | nADoutLE1_OBUF.REG | nADoutLE1_OBUF | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nADoutLE1_OBUF.D | 11269 | ? | 0 | 0 | nADoutLE1_OBUF | NULL | NULL | nADoutLE1_OBUF.XOR | 0 | 7 | ALU_F +NODE | nADoutLE1_OBUF.D | 11182 | ? | 0 | 0 | nADoutLE1_OBUF | NULL | NULL | nADoutLE1_OBUF.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10494 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nADoutLE1_OBUF.Q | 11272 | ? | 0 | 0 | nADoutLE1_OBUF | NULL | NULL | nADoutLE1_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nADoutLE1_OBUF.Q | 11185 | ? | 0 | 0 | nADoutLE1_OBUF | NULL | NULL | nADoutLE1_OBUF.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | Inv+PrldHigh+OptxMapped | nAoutOE_OBUF | WarpSE_COPY_0_COPY_0 | 2155873024 | 9 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10546 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 10469 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$$OpTx$FX_DC$354_INV$541.UIM | 10752 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | $OpTx$$OpTx$FX_DC$354_INV$541.Q | $OpTx$$OpTx$FX_DC$354_INV$541 | 1 | 0 | MC_UIM +NODE | $OpTx$$OpTx$FX_DC$354_INV$541.UIM | 10673 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | $OpTx$$OpTx$FX_DC$354_INV$541.Q | $OpTx$$OpTx$FX_DC$354_INV$541 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10547 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 10470 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10494 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 10549 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 10472 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 10568 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 10491 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 10570 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_10_IBUF | 10493 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf.EXP | 11336 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.EXP | fsb/ASrf | 4 | 0 | MC_EXPORT +NODE | nRESout.EXP | 11249 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRESout.EXP | nRESout | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nAoutOE_OBUF | 10708 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 0 | 0 | MC_Q +NODE | nAoutOE_OBUF | 10629 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | AoutOE | 10709 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 10630 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | nAoutOE_OBUF.EXP | 11335 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.EXP | nAoutOE_OBUF | 4 | 0 | MC_EXPORT +NODE | nAoutOE_OBUF.EXP | 11248 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.EXP | nAoutOE_OBUF | 4 | 0 | MC_EXPORT SIGNAL_INSTANCE | nAoutOE_OBUF.SI | nAoutOE_OBUF | 0 | 8 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10546 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 10469 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$$OpTx$FX_DC$354_INV$541.UIM | 10752 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | $OpTx$$OpTx$FX_DC$354_INV$541.Q | $OpTx$$OpTx$FX_DC$354_INV$541 | 1 | 0 | MC_UIM +NODE | $OpTx$$OpTx$FX_DC$354_INV$541.UIM | 10673 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | $OpTx$$OpTx$FX_DC$354_INV$541.Q | $OpTx$$OpTx$FX_DC$354_INV$541 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10547 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 10470 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 10549 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 10472 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 10568 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 10491 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 10570 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_10_IBUF | 10493 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf.EXP | 11336 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.EXP | fsb/ASrf | 4 | 0 | MC_EXPORT +NODE | nRESout.EXP | 11249 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRESout.EXP | nRESout | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nAoutOE_OBUF.D1 | 11274 | ? | 0 | 4096 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nAoutOE_OBUF.D1 | 11187 | ? | 0 | 4096 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nAoutOE_OBUF.D2 | 11275 | ? | 0 | 4096 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | fsb/ASrf.EXP +SIGNAL | NODE | nAoutOE_OBUF.D2 | 11188 | ? | 0 | 4096 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | nRESout.EXP OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | nAoutOE_OBUF.EXP | 11327 | ? | 0 | 0 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | nAoutOE_OBUF.EXP | 11240 | ? | 0 | 0 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.SI | 7 | 9 | MC_SI_EXPORT SPPTERM | 3 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_17_IBUF | IV_FALSE | $OpTx$$OpTx$FX_DC$354_INV$541.UIM SPPTERM | 3 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_16_IBUF | IV_FALSE | $OpTx$$OpTx$FX_DC$354_INV$541.UIM SPPTERM | 3 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_13_IBUF | IV_FALSE | $OpTx$$OpTx$FX_DC$354_INV$541.UIM @@ -7039,219 +6788,219 @@ SPPTERM | 3 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | $O SRFF_INSTANCE | nAoutOE_OBUF.REG | nAoutOE_OBUF | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nAoutOE_OBUF.D | 11273 | ? | 0 | 0 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.XOR | 0 | 7 | ALU_F +NODE | nAoutOE_OBUF.D | 11186 | ? | 0 | 0 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 10571 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 10494 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nAoutOE_OBUF.Q | 11276 | ? | 0 | 0 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nAoutOE_OBUF.Q | 11189 | ? | 0 | 0 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | Inv+OptxMapped | nDinOE_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 6 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10463 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10600 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10523 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10531 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10466 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10465 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nDinOE_OBUF | 10710 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDinOE_OBUF.Q | nDinOE_OBUF | 0 | 0 | MC_Q +NODE | nDinOE_OBUF | 10631 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDinOE_OBUF.Q | nDinOE_OBUF | 0 | 0 | MC_Q SIGNAL_INSTANCE | nDinOE_OBUF.SI | nDinOE_OBUF | 0 | 6 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10463 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10600 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10523 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10531 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10466 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10465 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nDinOE_OBUF.D1 | 11278 | ? | 0 | 4096 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nDinOE_OBUF.D1 | 11191 | ? | 0 | 4096 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nDinOE_OBUF.D2 | 11279 | ? | 0 | 4096 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nDinOE_OBUF.D2 | 11192 | ? | 0 | 4096 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 3 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF SPPTERM | 4 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF SPPTERM | 4 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF SRFF_INSTANCE | nDinOE_OBUF.REG | nDinOE_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nDinOE_OBUF.D | 11277 | ? | 0 | 0 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.XOR | 0 | 7 | ALU_F +NODE | nDinOE_OBUF.D | 11190 | ? | 0 | 0 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nDinOE_OBUF.Q | 11280 | ? | 0 | 0 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nDinOE_OBUF.Q | 11193 | ? | 0 | 0 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | Inv+OptxMapped | nDoutOE_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 5 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DoutOE | 10658 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DoutOE.Q | iobm/DoutOE | 1 | 0 | MC_UIM +NODE | iobm/DoutOE | 10581 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DoutOE.Q | iobm/DoutOE | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 10709 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 10630 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IORDREQr | 10643 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM +NODE | iobm/IORDREQr | 10566 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS0 | 10660 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS0.Q | iobm/IOS0 | 1 | 0 | MC_UIM +NODE | iobm/IOS0 | 10583 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS0.Q | iobm/IOS0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOWRREQr | 10661 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM +NODE | iobm/IOWRREQr | 10584 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nDoutOE_OBUF | 10711 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDoutOE_OBUF.Q | nDoutOE_OBUF | 0 | 0 | MC_Q +NODE | nDoutOE_OBUF | 10632 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDoutOE_OBUF.Q | nDoutOE_OBUF | 0 | 0 | MC_Q SIGNAL_INSTANCE | nDoutOE_OBUF.SI | nDoutOE_OBUF | 0 | 5 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DoutOE | 10658 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DoutOE.Q | iobm/DoutOE | 1 | 0 | MC_UIM +NODE | iobm/DoutOE | 10581 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DoutOE.Q | iobm/DoutOE | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 10709 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 10630 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IORDREQr | 10643 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM +NODE | iobm/IORDREQr | 10566 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS0 | 10660 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS0.Q | iobm/IOS0 | 1 | 0 | MC_UIM +NODE | iobm/IOS0 | 10583 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS0.Q | iobm/IOS0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOWRREQr | 10661 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM +NODE | iobm/IOWRREQr | 10584 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nDoutOE_OBUF.D1 | 11282 | ? | 0 | 4096 | nDoutOE_OBUF | NULL | NULL | nDoutOE_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nDoutOE_OBUF.D1 | 11195 | ? | 0 | 4096 | nDoutOE_OBUF | NULL | NULL | nDoutOE_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nDoutOE_OBUF.D2 | 11283 | ? | 0 | 4096 | nDoutOE_OBUF | NULL | NULL | nDoutOE_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nDoutOE_OBUF.D2 | 11196 | ? | 0 | 4096 | nDoutOE_OBUF | NULL | NULL | nDoutOE_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | iobm/DoutOE | IV_FALSE | AoutOE SPPTERM | 4 | IV_FALSE | iobm/IORDREQr | IV_TRUE | iobm/IOS0 | IV_FALSE | iobm/IOWRREQr | IV_FALSE | AoutOE SRFF_INSTANCE | nDoutOE_OBUF.REG | nDoutOE_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nDoutOE_OBUF.D | 11281 | ? | 0 | 0 | nDoutOE_OBUF | NULL | NULL | nDoutOE_OBUF.XOR | 0 | 7 | ALU_F +NODE | nDoutOE_OBUF.D | 11194 | ? | 0 | 0 | nDoutOE_OBUF | NULL | NULL | nDoutOE_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nDoutOE_OBUF.Q | 11284 | ? | 0 | 0 | nDoutOE_OBUF | NULL | NULL | nDoutOE_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nDoutOE_OBUF.Q | 11197 | ? | 0 | 0 | nDoutOE_OBUF | NULL | NULL | nDoutOE_OBUF.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | Inv+OptxMapped | nRAMLWE_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 9 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMEN | 10594 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM +NODE | ram/RAMEN | 10517 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10600 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10523 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nLDS_FSB_IBUF | 10603 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nLDS_FSB_IBUF | 10526 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10531 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASrf | 10677 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASrf.Q | ram/RASrf | 1 | 0 | MC_UIM +NODE | ram/RASrf | 10598 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASrf.Q | ram/RASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASrr | 10678 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASrr.Q | ram/RASrr | 1 | 0 | MC_UIM +NODE | ram/RASrr | 10599 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASrr.Q | ram/RASrr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10463 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 10599 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 10522 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nRAMLWE_OBUF | 10712 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMLWE_OBUF.Q | nRAMLWE_OBUF | 0 | 0 | MC_Q +NODE | nRAMLWE_OBUF | 10633 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMLWE_OBUF.Q | nRAMLWE_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | nRAMLWE_OBUF.EXP | 11382 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMLWE_OBUF.EXP | nRAMLWE_OBUF | 4 | 0 | MC_EXPORT +NODE | nRAMLWE_OBUF.EXP | 11295 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMLWE_OBUF.EXP | nRAMLWE_OBUF | 4 | 0 | MC_EXPORT SIGNAL_INSTANCE | nRAMLWE_OBUF.SI | nRAMLWE_OBUF | 0 | 9 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMEN | 10594 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM +NODE | ram/RAMEN | 10517 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10600 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10523 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nLDS_FSB_IBUF | 10603 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nLDS_FSB_IBUF | 10526 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10531 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASrf | 10677 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASrf.Q | ram/RASrf | 1 | 0 | MC_UIM +NODE | ram/RASrf | 10598 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASrf.Q | ram/RASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASrr | 10678 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASrr.Q | ram/RASrr | 1 | 0 | MC_UIM +NODE | ram/RASrr | 10599 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASrr.Q | ram/RASrr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10463 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 10599 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 10522 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nRAMLWE_OBUF.D1 | 11286 | ? | 0 | 4096 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nRAMLWE_OBUF.D1 | 11199 | ? | 0 | 4096 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nRAMLWE_OBUF.D2 | 11287 | ? | 0 | 4096 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nRAMLWE_OBUF.D2 | 11200 | ? | 0 | 4096 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 4 | IV_TRUE | ram/RAMEN | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nLDS_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | nRAMLWE_OBUF.EXP | 11367 | ? | 0 | 0 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | nRAMLWE_OBUF.EXP | 11280 | ? | 0 | 0 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.SI | 7 | 9 | MC_SI_EXPORT SPPTERM | 1 | IV_TRUE | ram/RASrf SPPTERM | 1 | IV_TRUE | ram/RASrr SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | ram/RAMEN | IV_TRUE | cs/nOverlay | IV_FALSE | nAS_FSB_IBUF SRFF_INSTANCE | nRAMLWE_OBUF.REG | nRAMLWE_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nRAMLWE_OBUF.D | 11285 | ? | 0 | 0 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.XOR | 0 | 7 | ALU_F +NODE | nRAMLWE_OBUF.D | 11198 | ? | 0 | 0 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nRAMLWE_OBUF.Q | 11288 | ? | 0 | 0 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nRAMLWE_OBUF.Q | 11201 | ? | 0 | 0 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | Inv+OptxMapped | nRAMUWE_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 12 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10465 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 10577 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | iobs/Sent | 10500 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10600 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10523 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10531 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 10617 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10618 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 10707 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 10628 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10673 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10593 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10466 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 10599 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 10522 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP16_.EXP | 11383 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP16_.EXP | EXP16_ | 4 | 0 | MC_EXPORT +NODE | EXP17_.EXP | 11296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP17_.EXP | EXP17_ | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nRAMUWE_OBUF | 10713 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMUWE_OBUF.Q | nRAMUWE_OBUF | 0 | 0 | MC_Q +NODE | nRAMUWE_OBUF | 10634 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMUWE_OBUF.Q | nRAMUWE_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | nRAMUWE_OBUF.EXP | 11384 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMUWE_OBUF.EXP | nRAMUWE_OBUF | 4 | 0 | MC_EXPORT +NODE | nRAMUWE_OBUF.EXP | 11297 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMUWE_OBUF.EXP | nRAMUWE_OBUF | 4 | 0 | MC_EXPORT SIGNAL_INSTANCE | nRAMUWE_OBUF.SI | nRAMUWE_OBUF | 0 | 12 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10465 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 10577 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | iobs/Sent | 10500 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10600 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10523 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10531 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 10617 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10618 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 10707 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 10628 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10673 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10593 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10466 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 10599 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 10522 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP16_.EXP | 11383 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP16_.EXP | EXP16_ | 4 | 0 | MC_EXPORT +NODE | EXP17_.EXP | 11296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP17_.EXP | EXP17_ | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nRAMUWE_OBUF.D1 | 11290 | ? | 0 | 4096 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nRAMUWE_OBUF.D1 | 11203 | ? | 0 | 4096 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nRAMUWE_OBUF.D2 | 11291 | ? | 0 | 4096 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | EXP16_.EXP +SIGNAL | NODE | nRAMUWE_OBUF.D2 | 11204 | ? | 0 | 4096 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | EXP17_.EXP OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | nRAMUWE_OBUF.EXP | 11369 | ? | 0 | 0 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | nRAMUWE_OBUF.EXP | 11282 | ? | 0 | 0 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.SI | 7 | 9 | MC_SI_EXPORT SPPTERM | 8 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 SPPTERM | 8 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 SPPTERM | 8 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 @@ -7260,520 +7009,520 @@ SPPTERM | 8 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | cs/nOv SRFF_INSTANCE | nRAMUWE_OBUF.REG | nRAMUWE_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nRAMUWE_OBUF.D | 11289 | ? | 0 | 0 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.XOR | 0 | 7 | ALU_F +NODE | nRAMUWE_OBUF.D | 11202 | ? | 0 | 0 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nRAMUWE_OBUF.Q | 11292 | ? | 0 | 0 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nRAMUWE_OBUF.Q | 11205 | ? | 0 | 0 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | Inv+OptxMapped | nROMCS_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 22 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10463 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10465 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10466 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 10599 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 10522 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10544 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 10467 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10545 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 10468 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10546 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 10469 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10547 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 10470 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 10548 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_15_IBUF | 10471 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 10549 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 10472 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 10550 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_12_IBUF | 10473 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 10568 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 10491 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 10569 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_11_IBUF | 10492 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 10570 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_10_IBUF | 10493 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10600 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10523 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10628 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd1 | 10551 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10629 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd2 | 10552 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 10666 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_9_IBUF | 10588 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10673 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10593 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM | 10751 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.Q | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | 1 | 0 | MC_UIM +NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM | 10672 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.Q | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 10667 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_8_IBUF | 10589 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nROMCS_OBUF | 10714 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.Q | nROMCS_OBUF | 0 | 0 | MC_Q +NODE | nROMCS_OBUF | 10635 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.Q | nROMCS_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | nROMCS_OBUF.EXP | 11348 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.EXP | nROMCS_OBUF | 4 | 0 | MC_EXPORT +NODE | nROMCS_OBUF.EXP | 11261 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.EXP | nROMCS_OBUF | 4 | 0 | MC_EXPORT SIGNAL_INSTANCE | nROMCS_OBUF.SI | nROMCS_OBUF | 0 | 22 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10463 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10465 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10466 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 10599 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 10522 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10544 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 10467 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10545 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 10468 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10546 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 10469 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10547 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 10470 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 10548 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_15_IBUF | 10471 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 10549 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 10472 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 10550 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_12_IBUF | 10473 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 10568 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 10491 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 10569 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_11_IBUF | 10492 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 10570 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_10_IBUF | 10493 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10600 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10523 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10628 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd1 | 10551 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10629 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd2 | 10552 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 10666 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_9_IBUF | 10588 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10673 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10593 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM | 10751 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.Q | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | 1 | 0 | MC_UIM +NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM | 10672 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.Q | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 10667 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_8_IBUF | 10589 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nROMCS_OBUF.D1 | 11294 | ? | 0 | 4096 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nROMCS_OBUF.D1 | 11207 | ? | 0 | 4096 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nROMCS_OBUF.D2 | 11295 | ? | 0 | 4096 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nROMCS_OBUF.D2 | 11208 | ? | 0 | 4096 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | cs/nOverlay OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | nROMCS_OBUF.EXP | 11344 | ? | 0 | 0 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | nROMCS_OBUF.EXP | 11257 | ? | 0 | 0 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.SI | 7 | 9 | MC_SI_EXPORT SPPTERM | 20 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | fsb/ASrf | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM SPPTERM | 20 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | fsb/ASrf | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM SPPTERM | 20 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | fsb/ASrf | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM SRFF_INSTANCE | nROMCS_OBUF.REG | nROMCS_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nROMCS_OBUF.D | 11293 | ? | 0 | 0 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.XOR | 0 | 7 | ALU_F +NODE | nROMCS_OBUF.D | 11206 | ? | 0 | 0 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nROMCS_OBUF.Q | 11296 | ? | 0 | 0 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nROMCS_OBUF.Q | 11209 | ? | 0 | 0 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | FbkInv+Merge+OptxMapped | C25MEN_OBUF | WarpSE_COPY_0_COPY_0 | 2155907072 | 0 | 1 OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | C25MEN_OBUF | 10715 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | C25MEN_OBUF.Q | C25MEN_OBUF | 0 | 0 | MC_Q +NODE | C25MEN_OBUF | 10636 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | C25MEN_OBUF.Q | C25MEN_OBUF | 0 | 0 | MC_Q SIGNAL_INSTANCE | C25MEN_OBUF.SI | C25MEN_OBUF | 0 | 0 | 2 OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | C25MEN_OBUF.D1 | 11298 | ? | 0 | 4096 | C25MEN_OBUF | NULL | NULL | C25MEN_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | C25MEN_OBUF.D1 | 11211 | ? | 0 | 4096 | C25MEN_OBUF | NULL | NULL | C25MEN_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | C25MEN_OBUF.D2 | 11299 | ? | 0 | 4096 | C25MEN_OBUF | NULL | NULL | C25MEN_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | C25MEN_OBUF.D2 | 11212 | ? | 0 | 4096 | C25MEN_OBUF | NULL | NULL | C25MEN_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 0 | IV_DC SRFF_INSTANCE | C25MEN_OBUF.REG | C25MEN_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | C25MEN_OBUF.D | 11297 | ? | 0 | 0 | C25MEN_OBUF | NULL | NULL | C25MEN_OBUF.XOR | 0 | 7 | ALU_F +NODE | C25MEN_OBUF.D | 11210 | ? | 0 | 0 | C25MEN_OBUF | NULL | NULL | C25MEN_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | C25MEN_OBUF.Q | 11300 | ? | 0 | 0 | C25MEN_OBUF | NULL | NULL | C25MEN_OBUF.REG | 0 | 8 | SRFF_Q +NODE | C25MEN_OBUF.Q | 11213 | ? | 0 | 0 | C25MEN_OBUF | NULL | NULL | C25MEN_OBUF.REG | 0 | 8 | SRFF_Q OUTPUT_INSTANCE | 0 | nVMA_IOB | WarpSE_COPY_0_COPY_0 | 7 | 2 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nVMA_IOBout$Q | 10554 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.Q | nVMA_IOBout | 0 | 0 | MC_Q +NODE | nVMA_IOBout$Q | 10477 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.Q | nVMA_IOBout | 0 | 0 | MC_Q INPUT_NODE_TYPE | 2 | 6 | OI_OE -NODE | nVMA_IOBout$OE | 10556 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.BUFOE.OUT | nVMA_IOBout | 2 | 0 | MC_OE +NODE | nVMA_IOBout$OE | 10479 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.BUFOE.OUT | nVMA_IOBout | 2 | 0 | MC_OE OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nVMA_IOB | 10716 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nVMA_IOB | 0 | 6 | OI_OUT +NODE | nVMA_IOB | 10637 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nVMA_IOB | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nAS_IOB | WarpSE_COPY_0_COPY_0 | 7 | 2 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nAS_IOBout$Q | 10559 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAS_IOBout.Q | nAS_IOBout | 0 | 0 | MC_Q +NODE | nAS_IOBout$Q | 10482 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAS_IOBout.Q | nAS_IOBout | 0 | 0 | MC_Q INPUT_NODE_TYPE | 2 | 6 | OI_OE -NODE | nAS_IOBout$OE | 10561 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAS_IOBout.BUFOE.OUT | nAS_IOBout | 2 | 0 | MC_OE +NODE | nAS_IOBout$OE | 10484 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAS_IOBout.BUFOE.OUT | nAS_IOBout | 2 | 0 | MC_OE OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nAS_IOB | 10717 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_IOB | 0 | 6 | OI_OUT +NODE | nAS_IOB | 10638 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_IOB | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nLDS_IOB | WarpSE_COPY_0_COPY_0 | 7 | 2 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nLDS_IOBout$Q | 10562 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nLDS_IOBout.Q | nLDS_IOBout | 0 | 0 | MC_Q +NODE | nLDS_IOBout$Q | 10485 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nLDS_IOBout.Q | nLDS_IOBout | 0 | 0 | MC_Q INPUT_NODE_TYPE | 2 | 6 | OI_OE -NODE | nLDS_IOBout$OE | 10564 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nLDS_IOBout.BUFOE.OUT | nLDS_IOBout | 2 | 0 | MC_OE +NODE | nLDS_IOBout$OE | 10487 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nLDS_IOBout.BUFOE.OUT | nLDS_IOBout | 2 | 0 | MC_OE OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nLDS_IOB | 10718 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_IOB | 0 | 6 | OI_OUT +NODE | nLDS_IOB | 10639 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_IOB | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nUDS_IOB | WarpSE_COPY_0_COPY_0 | 7 | 2 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nUDS_IOBout$Q | 10565 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nUDS_IOBout.Q | nUDS_IOBout | 0 | 0 | MC_Q +NODE | nUDS_IOBout$Q | 10488 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nUDS_IOBout.Q | nUDS_IOBout | 0 | 0 | MC_Q INPUT_NODE_TYPE | 2 | 6 | OI_OE -NODE | nUDS_IOBout$OE | 10567 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nUDS_IOBout.BUFOE.OUT | nUDS_IOBout | 2 | 0 | MC_OE +NODE | nUDS_IOBout$OE | 10490 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nUDS_IOBout.BUFOE.OUT | nUDS_IOBout | 2 | 0 | MC_OE OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nUDS_IOB | 10719 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_IOB | 0 | 6 | OI_OUT +NODE | nUDS_IOB | 10640 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_IOB | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nBERR_FSB | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nBERR_FSB_OBUF | 10609 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.Q | nBERR_FSB_OBUF | 0 | 0 | MC_Q +NODE | nBERR_FSB_OBUF | 10532 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.Q | nBERR_FSB_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nBERR_FSB | 10720 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_FSB | 0 | 6 | OI_OUT +NODE | nBERR_FSB | 10641 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_FSB | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nVPA_FSB | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nVPA_FSB_OBUF | 10611 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVPA_FSB_OBUF.Q | nVPA_FSB_OBUF | 0 | 0 | MC_Q +NODE | nVPA_FSB_OBUF | 10534 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVPA_FSB_OBUF.Q | nVPA_FSB_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nVPA_FSB | 10721 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nVPA_FSB | 0 | 6 | OI_OUT +NODE | nVPA_FSB | 10642 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nVPA_FSB | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nRAS | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nRAS_OBUF | 10612 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAS_OBUF.Q | nRAS_OBUF | 0 | 0 | MC_Q +NODE | nRAS_OBUF | 10535 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAS_OBUF.Q | nRAS_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nRAS | 10722 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nRAS | 0 | 6 | OI_OUT +NODE | nRAS | 10643 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nRAS | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nBR_IOB | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nBR_IOB_OBUF$Q | 10613 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.Q | nBR_IOB_OBUF | 0 | 0 | MC_Q +NODE | nBR_IOB_OBUF$Q | 10536 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.Q | nBR_IOB_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nBR_IOB | 10723 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBR_IOB | 0 | 6 | OI_OUT +NODE | nBR_IOB | 10644 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBR_IOB | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | RA<3> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_11_OBUF$Q | 10615 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_11_OBUF.Q | RA_11_OBUF | 0 | 0 | MC_Q +NODE | RA_11_OBUF$Q | 10538 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_11_OBUF.Q | RA_11_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<3> | 10724 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<3> | 0 | 6 | OI_OUT +NODE | RA<3> | 10645 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<3> | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | RA<0> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_0_OBUF | 10687 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_0_OBUF.Q | RA_0_OBUF | 0 | 0 | MC_Q +NODE | RA_0_OBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_0_OBUF.Q | RA_0_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<0> | 10725 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<0> | 0 | 6 | OI_OUT +NODE | RA<0> | 10646 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<0> | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | RA<10> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_10_OBUF | 10688 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_10_OBUF.Q | RA_10_OBUF | 0 | 0 | MC_Q +NODE | RA_10_OBUF | 10609 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_10_OBUF.Q | RA_10_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<10> | 10726 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<10> | 0 | 6 | OI_OUT +NODE | RA<10> | 10647 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<10> | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | RA<1> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_1_OBUF | 10689 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_1_OBUF.Q | RA_1_OBUF | 0 | 0 | MC_Q +NODE | RA_1_OBUF | 10610 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_1_OBUF.Q | RA_1_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<1> | 10727 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<1> | 0 | 6 | OI_OUT +NODE | RA<1> | 10648 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<1> | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | RA<2> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_2_OBUF | 10690 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_2_OBUF.Q | RA_2_OBUF | 0 | 0 | MC_Q +NODE | RA_2_OBUF | 10611 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_2_OBUF.Q | RA_2_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<2> | 10728 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<2> | 0 | 6 | OI_OUT +NODE | RA<2> | 10649 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<2> | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | RA<4> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_4_OBUF | 10691 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_4_OBUF.Q | RA_4_OBUF | 0 | 0 | MC_Q +NODE | RA_4_OBUF | 10612 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_4_OBUF.Q | RA_4_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<4> | 10729 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<4> | 0 | 6 | OI_OUT +NODE | RA<4> | 10650 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<4> | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | RA<5> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_5_OBUF | 10692 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_5_OBUF.Q | RA_5_OBUF | 0 | 0 | MC_Q +NODE | RA_5_OBUF | 10613 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_5_OBUF.Q | RA_5_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<5> | 10730 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<5> | 0 | 6 | OI_OUT +NODE | RA<5> | 10651 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<5> | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | RA<6> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_6_OBUF | 10693 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_6_OBUF.Q | RA_6_OBUF | 0 | 0 | MC_Q +NODE | RA_6_OBUF | 10614 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_6_OBUF.Q | RA_6_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<6> | 10731 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<6> | 0 | 6 | OI_OUT +NODE | RA<6> | 10652 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<6> | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | RA<7> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_7_OBUF | 10694 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_7_OBUF.Q | RA_7_OBUF | 0 | 0 | MC_Q +NODE | RA_7_OBUF | 10615 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_7_OBUF.Q | RA_7_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<7> | 10732 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<7> | 0 | 6 | OI_OUT +NODE | RA<7> | 10653 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<7> | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | RA<8> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_8_OBUF | 10695 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_8_OBUF.Q | RA_8_OBUF | 0 | 0 | MC_Q +NODE | RA_8_OBUF | 10616 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_8_OBUF.Q | RA_8_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<8> | 10733 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<8> | 0 | 6 | OI_OUT +NODE | RA<8> | 10654 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<8> | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | RA<9> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_9_OBUF | 10696 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_9_OBUF.Q | RA_9_OBUF | 0 | 0 | MC_Q +NODE | RA_9_OBUF | 10617 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_9_OBUF.Q | RA_9_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<9> | 10734 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<9> | 0 | 6 | OI_OUT +NODE | RA<9> | 10655 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<9> | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nOE | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nOE_OBUF | 10697 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nOE_OBUF.Q | nOE_OBUF | 0 | 0 | MC_Q +NODE | nOE_OBUF | 10618 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nOE_OBUF.Q | nOE_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nOE | 10735 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nOE | 0 | 6 | OI_OUT +NODE | nOE | 10656 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nOE | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nROMWE | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nROMWE_OBUF | 10698 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMWE_OBUF.Q | nROMWE_OBUF | 0 | 0 | MC_Q +NODE | nROMWE_OBUF | 10619 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMWE_OBUF.Q | nROMWE_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nROMWE | 10736 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nROMWE | 0 | 6 | OI_OUT +NODE | nROMWE | 10657 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nROMWE | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nADoutLE0 | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nADoutLE0_OBUF | 10699 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE0_OBUF.Q | nADoutLE0_OBUF | 0 | 0 | MC_Q +NODE | nADoutLE0_OBUF | 10620 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE0_OBUF.Q | nADoutLE0_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nADoutLE0 | 10737 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nADoutLE0 | 0 | 6 | OI_OUT +NODE | nADoutLE0 | 10658 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nADoutLE0 | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nCAS | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nCAS_OBUF | 10700 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nCAS_OBUF.Q | nCAS_OBUF | 0 | 0 | MC_Q +NODE | nCAS_OBUF | 10621 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nCAS_OBUF.Q | nCAS_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nCAS | 10738 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nCAS | 0 | 6 | OI_OUT +NODE | nCAS | 10659 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nCAS | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nDTACK_FSB | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nDTACK_FSB_OBUF | 10701 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 0 | 0 | MC_Q +NODE | nDTACK_FSB_OBUF | 10622 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nDTACK_FSB | 10739 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_FSB | 0 | 6 | OI_OUT +NODE | nDTACK_FSB | 10660 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_FSB | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nDinLE | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nDinLE_OBUF | 10702 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDinLE_OBUF.Q | nDinLE_OBUF | 0 | 0 | MC_Q +NODE | nDinLE_OBUF | 10623 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDinLE_OBUF.Q | nDinLE_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nDinLE | 10740 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDinLE | 0 | 6 | OI_OUT +NODE | nDinLE | 10661 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDinLE | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nRES | WarpSE_COPY_0_COPY_0 | 7 | 2 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | N0 | 10703 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | N0.Q | N0 | 0 | 0 | MC_Q +NODE | N0 | 10624 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | N0.Q | N0 | 0 | 0 | MC_Q INPUT_NODE_TYPE | 2 | 6 | OI_OE -NODE | N0$OE | 10704 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | N0.BUFOE.OUT | N0 | 2 | 0 | MC_OE +NODE | N0$OE | 10625 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | N0.BUFOE.OUT | N0 | 2 | 0 | MC_OE OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nRES | 10741 | PIPO | 0 | 64 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nRES | 0 | 6 | OI_OUT +NODE | nRES | 10662 | PIPO | 0 | 64 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nRES | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | RA<11> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_11_OBUF$BUF0 | 10705 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_11_OBUF$BUF0.Q | RA_11_OBUF$BUF0 | 0 | 0 | MC_Q +NODE | RA_11_OBUF$BUF0 | 10626 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_11_OBUF$BUF0.Q | RA_11_OBUF$BUF0 | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<11> | 10742 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<11> | 0 | 6 | OI_OUT +NODE | RA<11> | 10663 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<11> | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nADoutLE1 | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nADoutLE1_OBUF | 10706 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 0 | 0 | MC_Q +NODE | nADoutLE1_OBUF | 10627 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nADoutLE1 | 10743 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nADoutLE1 | 0 | 6 | OI_OUT +NODE | nADoutLE1 | 10664 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nADoutLE1 | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nAoutOE | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nAoutOE_OBUF | 10708 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 0 | 0 | MC_Q +NODE | nAoutOE_OBUF | 10629 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nAoutOE | 10744 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAoutOE | 0 | 6 | OI_OUT +NODE | nAoutOE | 10665 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAoutOE | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nDinOE | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nDinOE_OBUF | 10710 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDinOE_OBUF.Q | nDinOE_OBUF | 0 | 0 | MC_Q +NODE | nDinOE_OBUF | 10631 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDinOE_OBUF.Q | nDinOE_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nDinOE | 10745 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDinOE | 0 | 6 | OI_OUT +NODE | nDinOE | 10666 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDinOE | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nDoutOE | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nDoutOE_OBUF | 10711 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDoutOE_OBUF.Q | nDoutOE_OBUF | 0 | 0 | MC_Q +NODE | nDoutOE_OBUF | 10632 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDoutOE_OBUF.Q | nDoutOE_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nDoutOE | 10746 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDoutOE | 0 | 6 | OI_OUT +NODE | nDoutOE | 10667 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDoutOE | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nRAMLWE | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nRAMLWE_OBUF | 10712 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMLWE_OBUF.Q | nRAMLWE_OBUF | 0 | 0 | MC_Q +NODE | nRAMLWE_OBUF | 10633 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMLWE_OBUF.Q | nRAMLWE_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nRAMLWE | 10747 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nRAMLWE | 0 | 6 | OI_OUT +NODE | nRAMLWE | 10668 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nRAMLWE | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nRAMUWE | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nRAMUWE_OBUF | 10713 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMUWE_OBUF.Q | nRAMUWE_OBUF | 0 | 0 | MC_Q +NODE | nRAMUWE_OBUF | 10634 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMUWE_OBUF.Q | nRAMUWE_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nRAMUWE | 10748 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nRAMUWE | 0 | 6 | OI_OUT +NODE | nRAMUWE | 10669 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nRAMUWE | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nROMCS | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nROMCS_OBUF | 10714 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.Q | nROMCS_OBUF | 0 | 0 | MC_Q +NODE | nROMCS_OBUF | 10635 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.Q | nROMCS_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nROMCS | 10749 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nROMCS | 0 | 6 | OI_OUT +NODE | nROMCS | 10670 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nROMCS | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | C25MEN | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | C25MEN_OBUF | 10715 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | C25MEN_OBUF.Q | C25MEN_OBUF | 0 | 0 | MC_Q +NODE | C25MEN_OBUF | 10636 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | C25MEN_OBUF.Q | C25MEN_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | C25MEN | 10750 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C25MEN | 0 | 6 | OI_OUT +NODE | C25MEN | 10671 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C25MEN | 0 | 6 | OI_OUT MACROCELL_INSTANCE | SoftPfbk | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | WarpSE_COPY_0_COPY_0 | 2181038080 | 37 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 10573 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +NODE | RefUrg | 10496 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10654 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 10577 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10670 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 10591 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 10574 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 10497 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 10575 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 10498 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<10> | 10583 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<10> | 10506 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 10584 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 10507 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 10585 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 10508 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 10586 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 10509 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 10587 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<5> | 10510 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 10588 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<6> | 10511 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 10589 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<7> | 10512 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<8> | 10590 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<8> | 10513 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<9> | 10591 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<9> | 10514 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<11> | 10597 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.Q | cnt/LTimer<11> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<11> | 10520 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.Q | cnt/LTimer<11> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10628 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd1 | 10551 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10629 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd2 | 10552 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10463 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10465 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10466 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10544 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 10467 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10545 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 10468 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10546 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 10469 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10547 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 10470 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 10548 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_15_IBUF | 10471 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 10549 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 10472 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 10550 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_12_IBUF | 10473 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 10568 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 10491 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 10569 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_11_IBUF | 10492 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 10570 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_10_IBUF | 10493 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10600 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10523 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10531 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 10667 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_8_IBUF | 10589 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 10666 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_9_IBUF | 10588 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<9>.EXP | 11360 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.EXP | cnt/LTimer<9> | 4 | 0 | MC_EXPORT +NODE | cnt/LTimer<9>.EXP | 11273 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.EXP | cnt/LTimer<9> | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<10>.EXP | 11362 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.EXP | cnt/LTimer<10> | 4 | 0 | MC_EXPORT +NODE | cnt/LTimer<10>.EXP | 11275 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.EXP | cnt/LTimer<10> | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM | 10751 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.Q | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | 1 | 0 | MC_UIM +NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM | 10672 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.Q | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.SI | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | 0 | 37 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 10573 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +NODE | RefUrg | 10496 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 10654 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 10577 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 10670 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 10591 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 10574 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 10497 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 10575 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 10498 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<10> | 10583 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<10> | 10506 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 10584 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 10507 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 10585 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 10508 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 10586 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 10509 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 10587 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<5> | 10510 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 10588 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<6> | 10511 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 10589 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<7> | 10512 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<8> | 10590 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<8> | 10513 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<9> | 10591 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<9> | 10514 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<11> | 10597 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.Q | cnt/LTimer<11> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<11> | 10520 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.Q | cnt/LTimer<11> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10628 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd1 | 10551 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10629 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd2 | 10552 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10463 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10465 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10466 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10544 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 10467 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10545 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 10468 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10546 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 10469 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10547 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 10470 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 10548 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_15_IBUF | 10471 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 10549 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 10472 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 10550 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_12_IBUF | 10473 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 10568 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 10491 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 10569 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_11_IBUF | 10492 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 10570 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_10_IBUF | 10493 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10600 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10523 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10531 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 10667 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_8_IBUF | 10589 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 10666 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_9_IBUF | 10588 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<9>.EXP | 11360 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.EXP | cnt/LTimer<9> | 4 | 0 | MC_EXPORT +NODE | cnt/LTimer<9>.EXP | 11273 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.EXP | cnt/LTimer<9> | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<10>.EXP | 11362 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.EXP | cnt/LTimer<10> | 4 | 0 | MC_EXPORT +NODE | cnt/LTimer<10>.EXP | 11275 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.EXP | cnt/LTimer<10> | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.D1 | 11302 | ? | 0 | 4096 | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | NULL | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.D1 | 11215 | ? | 0 | 4096 | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | NULL | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.D2 | 11303 | ? | 0 | 4096 | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | NULL | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.D2 | 11216 | ? | 0 | 4096 | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | NULL | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | cnt/LTimer<9>.EXP SPPTERM | 1 | IV_TRUE | cnt/LTimer<10>.EXP SPPTERM | 3 | IV_TRUE | RefUrg | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> @@ -7784,87 +7533,87 @@ SPPTERM | 19 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A SRFF_INSTANCE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.REG | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.D | 11301 | ? | 0 | 0 | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | NULL | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.XOR | 0 | 7 | ALU_F +NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.D | 11214 | ? | 0 | 0 | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | NULL | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.Q | 11304 | ? | 0 | 0 | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | NULL | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.REG | 0 | 8 | SRFF_Q +NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.Q | 11217 | ? | 0 | 0 | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | NULL | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | SoftPfbk | $OpTx$$OpTx$FX_DC$354_INV$541 | WarpSE_COPY_0_COPY_0 | 2181038080 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10531 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10673 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10593 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | $OpTx$$OpTx$FX_DC$354_INV$541.UIM | 10752 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | $OpTx$$OpTx$FX_DC$354_INV$541.Q | $OpTx$$OpTx$FX_DC$354_INV$541 | 1 | 0 | MC_UIM +NODE | $OpTx$$OpTx$FX_DC$354_INV$541.UIM | 10673 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | $OpTx$$OpTx$FX_DC$354_INV$541.Q | $OpTx$$OpTx$FX_DC$354_INV$541 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | $OpTx$$OpTx$FX_DC$354_INV$541.SI | $OpTx$$OpTx$FX_DC$354_INV$541 | 0 | 2 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10531 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10673 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10593 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | $OpTx$$OpTx$FX_DC$354_INV$541.D1 | 11306 | ? | 0 | 4096 | $OpTx$$OpTx$FX_DC$354_INV$541 | NULL | NULL | $OpTx$$OpTx$FX_DC$354_INV$541.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | $OpTx$$OpTx$FX_DC$354_INV$541.D1 | 11219 | ? | 0 | 4096 | $OpTx$$OpTx$FX_DC$354_INV$541 | NULL | NULL | $OpTx$$OpTx$FX_DC$354_INV$541.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | $OpTx$$OpTx$FX_DC$354_INV$541.D2 | 11307 | ? | 0 | 4096 | $OpTx$$OpTx$FX_DC$354_INV$541 | NULL | NULL | $OpTx$$OpTx$FX_DC$354_INV$541.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | $OpTx$$OpTx$FX_DC$354_INV$541.D2 | 11220 | ? | 0 | 4096 | $OpTx$$OpTx$FX_DC$354_INV$541 | NULL | NULL | $OpTx$$OpTx$FX_DC$354_INV$541.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf SRFF_INSTANCE | $OpTx$$OpTx$FX_DC$354_INV$541.REG | $OpTx$$OpTx$FX_DC$354_INV$541 | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | $OpTx$$OpTx$FX_DC$354_INV$541.D | 11305 | ? | 0 | 0 | $OpTx$$OpTx$FX_DC$354_INV$541 | NULL | NULL | $OpTx$$OpTx$FX_DC$354_INV$541.XOR | 0 | 7 | ALU_F +NODE | $OpTx$$OpTx$FX_DC$354_INV$541.D | 11218 | ? | 0 | 0 | $OpTx$$OpTx$FX_DC$354_INV$541 | NULL | NULL | $OpTx$$OpTx$FX_DC$354_INV$541.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | $OpTx$$OpTx$FX_DC$354_INV$541.Q | 11308 | ? | 0 | 0 | $OpTx$$OpTx$FX_DC$354_INV$541 | NULL | NULL | $OpTx$$OpTx$FX_DC$354_INV$541.REG | 0 | 8 | SRFF_Q +NODE | $OpTx$$OpTx$FX_DC$354_INV$541.Q | 11221 | ? | 0 | 0 | $OpTx$$OpTx$FX_DC$354_INV$541 | NULL | NULL | $OpTx$$OpTx$FX_DC$354_INV$541.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | NULL | EXP10_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 11 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 10573 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +NODE | RefUrg | 10496 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 10595 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +NODE | ram/RefDone | 10518 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd5 | 10646 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd5.Q | ram/RS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd5 | 10569 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd5.Q | ram/RS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10531 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd8 | 10624 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd8 | 10547 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10673 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10593 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefReq | 10596 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM +NODE | RefReq | 10519 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10463 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMEN | 10594 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM +NODE | ram/RAMEN | 10517 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 10599 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 10522 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP10_.EXP | 11323 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP10_.EXP | EXP10_ | 4 | 0 | MC_EXPORT +NODE | EXP10_.EXP | 11236 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP10_.EXP | EXP10_ | 4 | 0 | MC_EXPORT SIGNAL_INSTANCE | EXP10_.SI | EXP10_ | 0 | 11 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 10573 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +NODE | RefUrg | 10496 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 10595 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +NODE | ram/RefDone | 10518 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd5 | 10646 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd5.Q | ram/RS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd5 | 10569 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd5.Q | ram/RS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10531 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd8 | 10624 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd8 | 10547 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10673 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10593 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefReq | 10596 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM +NODE | RefReq | 10519 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10463 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMEN | 10594 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM +NODE | ram/RAMEN | 10517 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 10599 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 10522 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP10_.EXP | 11314 | ? | 0 | 0 | EXP10_ | NULL | NULL | EXP10_.SI | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP10_.EXP | 11227 | ? | 0 | 0 | EXP10_ | NULL | NULL | EXP10_.SI | 7 | 9 | MC_SI_EXPORT SPPTERM | 3 | IV_TRUE | RefUrg | IV_FALSE | ram/RefDone | IV_TRUE | ram/RS_FSM_FFd5 SPPTERM | 5 | IV_TRUE | RefUrg | IV_FALSE | ram/RefDone | IV_TRUE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd8 | IV_FALSE | fsb/ASrf SPPTERM | 5 | IV_FALSE | ram/RefDone | IV_TRUE | RefReq | IV_TRUE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd8 | IV_FALSE | fsb/ASrf @@ -7873,242 +7622,352 @@ SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | ra MACROCELL_INSTANCE | NULL | EXP11_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 17 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10465 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 10577 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | iobs/Sent | 10500 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 10617 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10618 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10673 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10593 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 10707 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 10628 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10466 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 10599 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 10522 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10531 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10463 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10544 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 10467 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10545 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 10468 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10546 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 10469 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10547 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 10470 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 10568 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 10491 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10600 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10523 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP11_.EXP | 11326 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP11_.EXP | EXP11_ | 4 | 0 | MC_EXPORT +NODE | EXP11_.EXP | 11239 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP11_.EXP | EXP11_ | 4 | 0 | MC_EXPORT SIGNAL_INSTANCE | EXP11_.SI | EXP11_ | 0 | 17 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10465 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 10577 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | iobs/Sent | 10500 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 10617 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10618 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10673 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10593 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 10707 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 10628 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10466 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 10599 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 10522 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10531 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10463 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10544 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 10467 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10545 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 10468 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10546 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 10469 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10547 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 10470 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 10568 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 10491 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10600 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10523 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP11_.EXP | 11317 | ? | 0 | 0 | EXP11_ | NULL | NULL | EXP11_.SI | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP11_.EXP | 11230 | ? | 0 | 0 | EXP11_ | NULL | NULL | EXP11_.SI | 7 | 9 | MC_SI_EXPORT SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | cs/nOverlay | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | cs/nOverlay | IV_FALSE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 SPPTERM | 13 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ALE1 -MACROCELL_INSTANCE | NULL | EXP12_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 8 | 1 +MACROCELL_INSTANCE | NULL | EXP12_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 24 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | cnt/LTimer<0> | 10497 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | cnt/LTimer<1> | 10498 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$$OpTx$FX_DC$354_INV$541.UIM | 10752 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | $OpTx$$OpTx$FX_DC$354_INV$541.Q | $OpTx$$OpTx$FX_DC$354_INV$541 | 1 | 0 | MC_UIM +NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM | 10672 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.Q | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10544 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10463 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10545 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 10548 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10465 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 10550 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10466 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nRESout.EXP | 11341 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRESout.EXP | nRESout | 4 | 0 | MC_EXPORT +NODE | A_FSB_19_IBUF | 10467 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 10468 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 10469 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 10470 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 10471 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 10472 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 10473 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 10491 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 10492 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 10493 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 10523 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 10531 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/IS_FSM_FFd1 | 10551 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/IS_FSM_FFd2 | 10552 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 10588 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 10589 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IONPReady.EXP | 11252 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.EXP | IONPReady | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP12_.EXP | 11342 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP12_.EXP | EXP12_ | 4 | 0 | MC_EXPORT +NODE | EXP12_.EXP | 11253 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP12_.EXP | EXP12_ | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | EXP12_.SI | EXP12_ | 0 | 8 | 1 +SIGNAL_INSTANCE | EXP12_.SI | EXP12_ | 0 | 24 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | cnt/LTimer<0> | 10497 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | cnt/LTimer<1> | 10498 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$$OpTx$FX_DC$354_INV$541.UIM | 10752 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | $OpTx$$OpTx$FX_DC$354_INV$541.Q | $OpTx$$OpTx$FX_DC$354_INV$541 | 1 | 0 | MC_UIM +NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM | 10672 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.Q | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10544 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10463 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10545 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 10548 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10465 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 10550 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10466 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nRESout.EXP | 11341 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRESout.EXP | nRESout | 4 | 0 | MC_EXPORT +NODE | A_FSB_19_IBUF | 10467 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 10468 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 10469 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 10470 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 10471 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 10472 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 10473 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 10491 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 10492 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 10493 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 10523 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 10531 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/IS_FSM_FFd1 | 10551 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/IS_FSM_FFd2 | 10552 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 10588 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 10589 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IONPReady.EXP | 11252 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.EXP | IONPReady | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP12_.EXP | 11334 | ? | 0 | 0 | EXP12_ | NULL | NULL | EXP12_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 1 | IV_TRUE | nRESout.EXP +SIGNAL | NODE | EXP12_.EXP | 11245 | ? | 0 | 0 | EXP12_ | NULL | NULL | EXP12_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 1 | IV_TRUE | IONPReady.EXP +SPPTERM | 3 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM +SPPTERM | 20 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM +SPPTERM | 20 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM +SPPTERM | 20 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM +SPPTERM | 20 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM + +MACROCELL_INSTANCE | NULL | EXP13_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 8 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 10464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 10465 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | $OpTx$$OpTx$FX_DC$354_INV$541.UIM | 10673 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | $OpTx$$OpTx$FX_DC$354_INV$541.Q | $OpTx$$OpTx$FX_DC$354_INV$541 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 10467 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 10468 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 10471 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 10473 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<1>.EXP | 11254 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.EXP | cnt/LTimer<1> | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP13_.EXP | 11255 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP13_.EXP | EXP13_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP13_.SI | EXP13_ | 0 | 8 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 10464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 10465 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | $OpTx$$OpTx$FX_DC$354_INV$541.UIM | 10673 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | $OpTx$$OpTx$FX_DC$354_INV$541.Q | $OpTx$$OpTx$FX_DC$354_INV$541 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 10467 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 10468 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 10471 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 10473 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<1>.EXP | 11254 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.EXP | cnt/LTimer<1> | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP13_.EXP | 11247 | ? | 0 | 0 | EXP13_ | NULL | NULL | EXP13_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 1 | IV_TRUE | cnt/LTimer<1>.EXP SPPTERM | 3 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | $OpTx$$OpTx$FX_DC$354_INV$541.UIM SPPTERM | 3 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_FALSE | $OpTx$$OpTx$FX_DC$354_INV$541.UIM SPPTERM | 3 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_FALSE | $OpTx$$OpTx$FX_DC$354_INV$541.UIM SPPTERM | 3 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | $OpTx$$OpTx$FX_DC$354_INV$541.UIM SPPTERM | 3 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_FALSE | $OpTx$$OpTx$FX_DC$354_INV$541.UIM -MACROCELL_INSTANCE | NULL | EXP13_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 26 | 1 +MACROCELL_INSTANCE | NULL | EXP14_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 26 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 10574 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 10497 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<10> | 10583 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<10> | 10506 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM | 10751 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.Q | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | 1 | 0 | MC_UIM +NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM | 10672 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.Q | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<8> | 10590 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<8> | 10513 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<9> | 10591 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<9> | 10514 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<11> | 10597 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.Q | cnt/LTimer<11> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<11> | 10520 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.Q | cnt/LTimer<11> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10463 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10465 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10466 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10544 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 10467 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10545 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 10468 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10546 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 10469 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10547 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 10470 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 10548 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_15_IBUF | 10471 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 10549 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 10472 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 10550 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_12_IBUF | 10473 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 10568 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 10491 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 10569 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_11_IBUF | 10492 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 10570 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_10_IBUF | 10493 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10600 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10523 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10531 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10628 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd1 | 10551 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10629 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd2 | 10552 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 10667 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_8_IBUF | 10589 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nROMCS_OBUF.EXP | 11348 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.EXP | nROMCS_OBUF | 4 | 0 | MC_EXPORT +NODE | nROMCS_OBUF.EXP | 11261 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.EXP | nROMCS_OBUF | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP13_.EXP | 11347 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP13_.EXP | EXP13_ | 4 | 0 | MC_EXPORT +NODE | EXP14_.EXP | 11260 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP14_.EXP | EXP14_ | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | EXP13_.SI | EXP13_ | 0 | 26 | 1 +SIGNAL_INSTANCE | EXP14_.SI | EXP14_ | 0 | 26 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 10574 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 10497 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<10> | 10583 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<10> | 10506 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM | 10751 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.Q | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | 1 | 0 | MC_UIM +NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM | 10672 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.Q | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<8> | 10590 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<8> | 10513 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<9> | 10591 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<9> | 10514 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<11> | 10597 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.Q | cnt/LTimer<11> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<11> | 10520 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.Q | cnt/LTimer<11> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10463 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10465 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10466 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10544 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 10467 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10545 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 10468 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10546 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 10469 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10547 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 10470 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 10548 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_15_IBUF | 10471 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 10549 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 10472 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 10550 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_12_IBUF | 10473 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 10568 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 10491 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 10569 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_11_IBUF | 10492 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 10570 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_10_IBUF | 10493 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10600 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10523 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10531 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd1 | 10628 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd1 | 10551 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd1.Q | cnt/IS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/IS_FSM_FFd2 | 10629 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/IS_FSM_FFd2 | 10552 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/IS_FSM_FFd2.Q | cnt/IS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 10667 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_8_IBUF | 10589 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nROMCS_OBUF.EXP | 11348 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.EXP | nROMCS_OBUF | 4 | 0 | MC_EXPORT +NODE | nROMCS_OBUF.EXP | 11261 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.EXP | nROMCS_OBUF | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP13_.EXP | 11343 | ? | 0 | 0 | EXP13_ | NULL | NULL | EXP13_.SI | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP14_.EXP | 11256 | ? | 0 | 0 | EXP14_ | NULL | NULL | EXP14_.SI | 7 | 9 | MC_SI_EXPORT SPPTERM | 1 | IV_TRUE | nROMCS_OBUF.EXP SPPTERM | 3 | IV_FALSE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<10> | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM SPPTERM | 3 | IV_FALSE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<8> | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM @@ -8116,103 +7975,103 @@ SPPTERM | 3 | IV_FALSE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<9> | IV_TRUE | cnt SPPTERM | 3 | IV_FALSE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<11> | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM SPPTERM | 20 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | cnt/IS_FSM_FFd1 | IV_FALSE | cnt/IS_FSM_FFd2 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM -MACROCELL_INSTANCE | NULL | EXP14_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 8 | 1 +MACROCELL_INSTANCE | NULL | EXP15_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 8 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 10574 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 10497 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 10585 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 10508 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM | 10751 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.Q | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | 1 | 0 | MC_UIM +NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM | 10672 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.Q | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 10586 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 10509 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 10587 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<5> | 10510 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 10588 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<6> | 10511 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 10589 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<7> | 10512 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE0S.EXP | 11349 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ALE0S.EXP | ALE0S | 4 | 0 | MC_EXPORT +NODE | cnt/LTimerTC.EXP | 11262 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimerTC.EXP | cnt/LTimerTC | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP14_.EXP | 11350 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP14_.EXP | EXP14_ | 4 | 0 | MC_EXPORT +NODE | EXP15_.EXP | 11263 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP15_.EXP | EXP15_ | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | EXP14_.SI | EXP14_ | 0 | 8 | 1 +SIGNAL_INSTANCE | EXP15_.SI | EXP15_ | 0 | 8 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 10574 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 10497 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 10585 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 10508 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM | 10751 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.Q | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | 1 | 0 | MC_UIM +NODE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM | 10672 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.Q | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 10586 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 10509 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 10587 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<5> | 10510 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 10588 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<6> | 10511 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 10589 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<7> | 10512 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE0S.EXP | 11349 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ALE0S.EXP | ALE0S | 4 | 0 | MC_EXPORT +NODE | cnt/LTimerTC.EXP | 11262 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimerTC.EXP | cnt/LTimerTC | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP14_.EXP | 11346 | ? | 0 | 0 | EXP14_ | NULL | NULL | EXP14_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 1 | IV_TRUE | ALE0S.EXP +SIGNAL | NODE | EXP15_.EXP | 11259 | ? | 0 | 0 | EXP15_ | NULL | NULL | EXP15_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 1 | IV_TRUE | cnt/LTimerTC.EXP SPPTERM | 3 | IV_FALSE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM SPPTERM | 3 | IV_FALSE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM SPPTERM | 3 | IV_FALSE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM SPPTERM | 3 | IV_FALSE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM SPPTERM | 3 | IV_FALSE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<7> | IV_TRUE | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM -MACROCELL_INSTANCE | NULL | EXP15_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 11 | 1 +MACROCELL_INSTANCE | NULL | EXP16_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 11 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10531 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10618 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10673 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10593 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 10707 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 10628 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10463 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10465 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10466 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10547 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 10470 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10600 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10523 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nRAS_OBUF.EXP | 11381 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAS_OBUF.EXP | nRAS_OBUF | 4 | 0 | MC_EXPORT +NODE | nRAS_OBUF.EXP | 11294 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAS_OBUF.EXP | nRAS_OBUF | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP15_.EXP | 11380 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP15_.EXP | EXP15_ | 4 | 0 | MC_EXPORT +NODE | EXP16_.EXP | 11293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP16_.EXP | EXP16_ | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | EXP15_.SI | EXP15_ | 0 | 11 | 1 +SIGNAL_INSTANCE | EXP16_.SI | EXP16_ | 0 | 11 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10531 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10618 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10673 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10593 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 10707 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 10628 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10463 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10465 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10466 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10547 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 10470 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10600 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10523 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nRAS_OBUF.EXP | 11381 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAS_OBUF.EXP | nRAS_OBUF | 4 | 0 | MC_EXPORT +NODE | nRAS_OBUF.EXP | 11294 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAS_OBUF.EXP | nRAS_OBUF | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP15_.EXP | 11365 | ? | 0 | 0 | EXP15_ | NULL | NULL | EXP15_.SI | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP16_.EXP | 11278 | ? | 0 | 0 | EXP16_ | NULL | NULL | EXP16_.SI | 7 | 9 | MC_SI_EXPORT SPPTERM | 1 | IV_TRUE | nRAS_OBUF.EXP SPPTERM | 4 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_FALSE | fsb/ASrf | IV_TRUE | ALE1 SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 @@ -8220,184 +8079,184 @@ SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_16_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 -MACROCELL_INSTANCE | NULL | EXP16_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 4 | 1 +MACROCELL_INSTANCE | NULL | EXP17_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 4 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMEN | 10594 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM +NODE | ram/RAMEN | 10517 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10600 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10523 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nUDS_FSB_IBUF | 10605 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nUDS_FSB_IBUF | 10528 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10531 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP16_.EXP | 11383 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP16_.EXP | EXP16_ | 4 | 0 | MC_EXPORT +NODE | EXP17_.EXP | 11296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP17_.EXP | EXP17_ | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | EXP16_.SI | EXP16_ | 0 | 4 | 1 +SIGNAL_INSTANCE | EXP17_.SI | EXP17_ | 0 | 4 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMEN | 10594 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM +NODE | ram/RAMEN | 10517 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10600 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10523 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nUDS_FSB_IBUF | 10605 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nUDS_FSB_IBUF | 10528 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10531 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP16_.EXP | 11368 | ? | 0 | 0 | EXP16_ | NULL | NULL | EXP16_.SI | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP17_.EXP | 11281 | ? | 0 | 0 | EXP17_ | NULL | NULL | EXP17_.SI | 7 | 9 | MC_SI_EXPORT SPPTERM | 4 | IV_TRUE | ram/RAMEN | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nUDS_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF -MACROCELL_INSTANCE | NULL | EXP17_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 17 | 1 +MACROCELL_INSTANCE | NULL | EXP18_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 17 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 10577 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | iobs/Sent | 10500 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 10599 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 10522 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10600 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10523 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 10617 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10618 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10673 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10593 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 10707 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 10628 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10465 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10466 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10544 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 10467 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10545 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 10468 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10546 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 10469 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10547 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 10470 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 10549 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 10472 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10531 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 10568 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 10491 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP17_.EXP | 11385 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP17_.EXP | EXP17_ | 4 | 0 | MC_EXPORT +NODE | EXP18_.EXP | 11298 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP18_.EXP | EXP18_ | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | EXP17_.SI | EXP17_ | 0 | 17 | 1 +SIGNAL_INSTANCE | EXP18_.SI | EXP18_ | 0 | 17 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 10577 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | iobs/Sent | 10500 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 10599 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 10522 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10600 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10523 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 10617 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10618 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10673 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10593 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 10707 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 10628 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10465 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10466 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 10544 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 10467 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 10545 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 10468 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 10546 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 10469 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 10547 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 10470 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 10549 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 10472 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10531 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 10568 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 10491 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP17_.EXP | 11370 | ? | 0 | 0 | EXP17_ | NULL | NULL | EXP17_.SI | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP18_.EXP | 11283 | ? | 0 | 0 | EXP18_ | NULL | NULL | EXP18_.SI | 7 | 9 | MC_SI_EXPORT SPPTERM | 8 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | cs/nOverlay | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 SPPTERM | 13 | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 SPPTERM | 13 | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 SPPTERM | 13 | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 SPPTERM | 13 | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 -MACROCELL_INSTANCE | NULL | EXP18_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 2 | 1 +MACROCELL_INSTANCE | NULL | EXP19_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOBERR | 10579 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM +NODE | IOBERR | 10502 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_FSB_OBUF.UIM | 10610 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.Q | nBERR_FSB_OBUF | 1 | 0 | MC_UIM +NODE | nBERR_FSB_OBUF.UIM | 10533 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.Q | nBERR_FSB_OBUF | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP18_.EXP | 11386 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP18_.EXP | EXP18_ | 4 | 0 | MC_EXPORT +NODE | EXP19_.EXP | 11299 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP19_.EXP | EXP19_ | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | EXP18_.SI | EXP18_ | 0 | 2 | 1 +SIGNAL_INSTANCE | EXP19_.SI | EXP19_ | 0 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOBERR | 10579 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM +NODE | IOBERR | 10502 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_FSB_OBUF.UIM | 10610 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.Q | nBERR_FSB_OBUF | 1 | 0 | MC_UIM +NODE | nBERR_FSB_OBUF.UIM | 10533 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.Q | nBERR_FSB_OBUF | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP18_.EXP | 11371 | ? | 0 | 0 | EXP18_ | NULL | NULL | EXP18_.SI | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP19_.EXP | 11284 | ? | 0 | 0 | EXP19_ | NULL | NULL | EXP19_.SI | 7 | 9 | MC_SI_EXPORT SPPTERM | 2 | IV_FALSE | IOBERR | IV_TRUE | nBERR_FSB_OBUF.UIM -MACROCELL_INSTANCE | NULL | EXP19_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 12 | 1 +MACROCELL_INSTANCE | NULL | EXP20_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 12 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOU1 | 10606 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOU1.Q | iobs/IOU1 | 1 | 0 | MC_UIM +NODE | iobs/IOU1 | 10529 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOU1.Q | iobs/IOU1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOU0 | 10640 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM +NODE | IOU0 | 10563 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 10707 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 10628 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10531 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10618 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10673 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10593 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10463 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10465 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10466 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10600 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10523 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_FSB_OBUF.EXP | 11387 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.EXP | nBERR_FSB_OBUF | 4 | 0 | MC_EXPORT +NODE | nBERR_FSB_OBUF.EXP | 11300 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.EXP | nBERR_FSB_OBUF | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP19_.EXP | 11388 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP19_.EXP | EXP19_ | 4 | 0 | MC_EXPORT +NODE | EXP20_.EXP | 11301 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP20_.EXP | EXP20_ | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | EXP19_.SI | EXP19_ | 0 | 12 | 1 +SIGNAL_INSTANCE | EXP20_.SI | EXP20_ | 0 | 12 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOU1 | 10606 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOU1.Q | iobs/IOU1 | 1 | 0 | MC_UIM +NODE | iobs/IOU1 | 10529 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOU1.Q | iobs/IOU1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOU0 | 10640 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM +NODE | IOU0 | 10563 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 10707 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 10628 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10531 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10618 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10673 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10593 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10463 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10465 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10466 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10600 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10523 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_FSB_OBUF.EXP | 11387 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.EXP | nBERR_FSB_OBUF | 4 | 0 | MC_EXPORT +NODE | nBERR_FSB_OBUF.EXP | 11300 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.EXP | nBERR_FSB_OBUF | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP19_.EXP | 11373 | ? | 0 | 0 | EXP19_ | NULL | NULL | EXP19_.SI | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP20_.EXP | 11286 | ? | 0 | 0 | EXP20_ | NULL | NULL | EXP20_.SI | 7 | 9 | MC_SI_EXPORT SPPTERM | 1 | IV_TRUE | nBERR_FSB_OBUF.EXP SPPTERM | 3 | IV_FALSE | iobs/IOU1 | IV_FALSE | IOU0 | IV_FALSE | ALE1 SPPTERM | 4 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_FALSE | fsb/ASrf | IV_TRUE | ALE1 @@ -8405,61 +8264,61 @@ SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 -MACROCELL_INSTANCE | NULL | EXP20_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 12 | 1 +MACROCELL_INSTANCE | NULL | EXP21_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 12 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOL1 | 10604 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOL1.Q | iobs/IOL1 | 1 | 0 | MC_UIM +NODE | iobs/IOL1 | 10527 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOL1.Q | iobs/IOL1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOL0 | 10639 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM +NODE | IOL0 | 10562 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 10707 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 10628 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10531 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10618 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10673 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10593 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10463 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10465 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10466 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10600 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10523 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW1.EXP | 11391 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.EXP | iobs/IORW1 | 4 | 0 | MC_EXPORT +NODE | iobs/IORW1.EXP | 11304 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.EXP | iobs/IORW1 | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP20_.EXP | 11392 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP20_.EXP | EXP20_ | 4 | 0 | MC_EXPORT +NODE | EXP21_.EXP | 11305 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP21_.EXP | EXP21_ | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | EXP20_.SI | EXP20_ | 0 | 12 | 1 +SIGNAL_INSTANCE | EXP21_.SI | EXP21_ | 0 | 12 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOL1 | 10604 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOL1.Q | iobs/IOL1 | 1 | 0 | MC_UIM +NODE | iobs/IOL1 | 10527 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOL1.Q | iobs/IOL1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOL0 | 10639 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM +NODE | IOL0 | 10562 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 10707 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 10628 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 10608 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 10531 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 10618 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 10673 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 10593 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 10540 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 10463 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 10541 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 10464 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 10542 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 10465 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 10543 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 10466 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 10600 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 10523 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW1.EXP | 11391 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.EXP | iobs/IORW1 | 4 | 0 | MC_EXPORT +NODE | iobs/IORW1.EXP | 11304 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.EXP | iobs/IORW1 | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP20_.EXP | 11377 | ? | 0 | 0 | EXP20_ | NULL | NULL | EXP20_.SI | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP21_.EXP | 11290 | ? | 0 | 0 | EXP21_ | NULL | NULL | EXP21_.SI | 7 | 9 | MC_SI_EXPORT SPPTERM | 1 | IV_TRUE | iobs/IORW1.EXP SPPTERM | 3 | IV_FALSE | iobs/IOL1 | IV_FALSE | IOL0 | IV_FALSE | ALE1 SPPTERM | 4 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_FALSE | fsb/ASrf | IV_TRUE | ALE1 @@ -8468,20 +8327,20 @@ SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 FB_INSTANCE | FOOBAR1_ | WarpSE_COPY_0_COPY_0 | 0 | 0 | 0 -FBPIN | 1 | iobs/Clear1 | 1 | NULL | 0 | NULL | 0 -FBPIN | 2 | iobm/Er | 1 | A_FSB_13_IBUF | 1 | NULL | 0 | 11 | 49152 -FBPIN | 3 | cnt/nIPL2r | 1 | A_FSB_14_IBUF | 1 | NULL | 0 | 12 | 49152 +FBPIN | 1 | ram/RS_FSM_FFd2 | 1 | NULL | 0 | NULL | 0 +FBPIN | 2 | ram/RS_FSM_FFd1 | 1 | A_FSB_13_IBUF | 1 | NULL | 0 | 11 | 49152 +FBPIN | 3 | iobm/Er | 1 | A_FSB_14_IBUF | 1 | NULL | 0 | 12 | 49152 FBPIN | 4 | cnt/Er<1> | 1 | NULL | 0 | NULL | 0 FBPIN | 5 | cnt/Er<0> | 1 | A_FSB_15_IBUF | 1 | NULL | 0 | 13 | 49152 -FBPIN | 6 | ram/RS_FSM_FFd4 | 1 | A_FSB_16_IBUF | 1 | NULL | 0 | 14 | 49152 -FBPIN | 7 | iobs/TS_FSM_FFd1 | 1 | NULL | 0 | NULL | 0 -FBPIN | 8 | iobs/IOU1 | 1 | A_FSB_17_IBUF | 1 | NULL | 0 | 15 | 49152 -FBPIN | 9 | cnt/Timer<0> | 1 | A_FSB_18_IBUF | 1 | NULL | 0 | 16 | 49152 -FBPIN | 10 | cnt/IS_FSM_FFd2 | 1 | NULL | 0 | NULL | 0 -FBPIN | 11 | RefUrg | 1 | A_FSB_19_IBUF | 1 | NULL | 0 | 17 | 49152 -FBPIN | 12 | RefReq | 1 | A_FSB_20_IBUF | 1 | NULL | 0 | 18 | 49152 -FBPIN | 13 | IOPWReady | 1 | NULL | 0 | NULL | 0 -FBPIN | 14 | IOBERR | 1 | A_FSB_21_IBUF | 1 | NULL | 0 | 19 | 49152 +FBPIN | 6 | ram/RefDone | 1 | A_FSB_16_IBUF | 1 | NULL | 0 | 14 | 49152 +FBPIN | 7 | ram/RS_FSM_FFd4 | 1 | NULL | 0 | NULL | 0 +FBPIN | 8 | iobs/TS_FSM_FFd1 | 1 | A_FSB_17_IBUF | 1 | NULL | 0 | 15 | 49152 +FBPIN | 9 | iobs/IOU1 | 1 | A_FSB_18_IBUF | 1 | NULL | 0 | 16 | 49152 +FBPIN | 10 | iobs/IOL1 | 1 | NULL | 0 | NULL | 0 +FBPIN | 11 | cnt/Timer<0> | 1 | A_FSB_19_IBUF | 1 | NULL | 0 | 17 | 49152 +FBPIN | 12 | cnt/IS_FSM_FFd2 | 1 | A_FSB_20_IBUF | 1 | NULL | 0 | 18 | 49152 +FBPIN | 13 | RefUrg | 1 | NULL | 0 | NULL | 0 +FBPIN | 14 | RefReq | 1 | A_FSB_21_IBUF | 1 | NULL | 0 | 19 | 49152 FBPIN | 15 | iobm/ES<2> | 1 | A_FSB_22_IBUF | 1 | NULL | 0 | 20 | 49152 FBPIN | 16 | cnt/Timer<1> | 1 | NULL | 0 | NULL | 0 FBPIN | 17 | cnt/Timer<3> | 1 | C16M_IBUF | 0 | NULL | 0 | 22 | 57344 @@ -8490,29 +8349,29 @@ FBPIN | 18 | cnt/Timer<2> | 1 | NULL | 0 | NULL | 0 FB_INSTANCE | FOOBAR2_ | WarpSE_COPY_0_COPY_0 | 0 | 0 | 0 FBPIN | 4 | ram/RS_FSM_FFd5 | 1 | NULL | 0 | NULL | 0 FBPIN | 5 | ram/RS_FSM_FFd3 | 1 | NULL | 0 | NULL | 0 | 1 | 53248 -FBPIN | 6 | ram/RS_FSM_FFd2 | 1 | A_FSB_5_IBUF | 1 | NULL | 0 | 2 | 53248 -FBPIN | 7 | ram/RS_FSM_FFd1 | 1 | NULL | 0 | NULL | 0 -FBPIN | 8 | ram/RASrf | 1 | A_FSB_6_IBUF | 1 | NULL | 0 | 3 | 53248 -FBPIN | 9 | iobs/IODONEr | 1 | A_FSB_7_IBUF | 1 | NULL | 0 | 4 | 53248 -FBPIN | 10 | iobs/IOACTr | 1 | NULL | 0 | NULL | 0 -FBPIN | 11 | iobm/VPAr | 1 | A_FSB_8_IBUF | 1 | NULL | 0 | 6 | 49152 -FBPIN | 12 | iobm/IOWRREQr | 1 | A_FSB_9_IBUF | 1 | NULL | 0 | 7 | 49152 -FBPIN | 13 | iobm/IOS_FSM_FFd5 | 1 | NULL | 0 | NULL | 0 -FBPIN | 14 | iobm/IOS_FSM_FFd4 | 1 | A_FSB_10_IBUF | 1 | NULL | 0 | 8 | 49152 -FBPIN | 15 | iobm/IOS_FSM_FFd1 | 1 | A_FSB_11_IBUF | 1 | NULL | 0 | 9 | 49152 -FBPIN | 16 | iobm/IORDREQr | 1 | NULL | 0 | NULL | 0 -FBPIN | 17 | iobm/C8Mr | 1 | A_FSB_12_IBUF | 1 | NULL | 0 | 10 | 49152 -FBPIN | 18 | iobm/IOS_FSM_FFd2 | 1 | NULL | 0 | NULL | 0 +FBPIN | 6 | ram/RASrf | 1 | A_FSB_5_IBUF | 1 | NULL | 0 | 2 | 53248 +FBPIN | 7 | iobs/IODONEr | 1 | NULL | 0 | NULL | 0 +FBPIN | 8 | iobs/IOACTr | 1 | A_FSB_6_IBUF | 1 | NULL | 0 | 3 | 53248 +FBPIN | 9 | iobm/VPAr | 1 | A_FSB_7_IBUF | 1 | NULL | 0 | 4 | 53248 +FBPIN | 10 | iobm/IOWRREQr | 1 | NULL | 0 | NULL | 0 +FBPIN | 11 | iobm/IOS_FSM_FFd5 | 1 | A_FSB_8_IBUF | 1 | NULL | 0 | 6 | 49152 +FBPIN | 12 | iobm/IOS_FSM_FFd4 | 1 | A_FSB_9_IBUF | 1 | NULL | 0 | 7 | 49152 +FBPIN | 13 | iobm/IOS_FSM_FFd1 | 1 | NULL | 0 | NULL | 0 +FBPIN | 14 | iobm/IORDREQr | 1 | A_FSB_10_IBUF | 1 | NULL | 0 | 8 | 49152 +FBPIN | 15 | iobm/C8Mr | 1 | A_FSB_11_IBUF | 1 | NULL | 0 | 9 | 49152 +FBPIN | 16 | cnt/nIPL2r | 1 | NULL | 0 | NULL | 0 +FBPIN | 17 | iobm/IOS_FSM_FFd2 | 1 | A_FSB_12_IBUF | 1 | NULL | 0 | 10 | 49152 +FBPIN | 18 | IOBERR | 1 | NULL | 0 | NULL | 0 FB_INSTANCE | FOOBAR3_ | WarpSE_COPY_0_COPY_0 | 0 | 0 | 0 FBPIN | 1 | iobs/Sent | 1 | NULL | 0 | NULL | 0 -FBPIN | 2 | ram/RefDone | 1 | C8M_IBUF | 1 | NULL | 0 | 23 | 57344 +FBPIN | 2 | iobs/Clear1 | 1 | C8M_IBUF | 1 | NULL | 0 | 23 | 57344 FBPIN | 3 | ram/RS_FSM_FFd7 | 1 | NULL | 0 | NULL | 0 -FBPIN | 4 | cs/nOverlay | 1 | NULL | 0 | NULL | 0 -FBPIN | 5 | ram/RS_FSM_FFd6 | 1 | A_FSB_23_IBUF | 1 | NULL | 0 | 24 | 49152 +FBPIN | 4 | ram/RS_FSM_FFd6 | 1 | NULL | 0 | NULL | 0 +FBPIN | 5 | ram/RASEL | 1 | A_FSB_23_IBUF | 1 | NULL | 0 | 24 | 49152 FBPIN | 6 | ram/RASrr | 1 | E_IBUF | 1 | NULL | 0 | 25 | 49152 -FBPIN | 7 | ram/RASEL | 1 | NULL | 0 | NULL | 0 -FBPIN | 8 | ram/Once | 1 | FCLK_IBUF | 0 | NULL | 0 | 27 | 57344 +FBPIN | 7 | ram/Once | 1 | NULL | 0 | NULL | 0 +FBPIN | 8 | cs/nOverlay | 1 | FCLK_IBUF | 0 | NULL | 0 | 27 | 57344 FBPIN | 9 | nDTACK_FSB_OBUF | 1 | NULL | 0 | nDTACK_FSB | 1 | 28 | 49152 FBPIN | 10 | RAMReady | 1 | NULL | 0 | NULL | 0 FBPIN | 11 | ram/RS_FSM_FFd8 | 1 | nWE_FSB_IBUF | 1 | NULL | 0 | 29 | 49152 @@ -8527,36 +8386,35 @@ FBPIN | 18 | EXP11_ | 1 | NULL | 0 | NULL | 0 FB_INSTANCE | FOOBAR4_ | WarpSE_COPY_0_COPY_0 | 0 | 0 | 0 FBPIN | 1 | QoSReady | 1 | NULL | 0 | NULL | 0 FBPIN | 2 | nAoutOE_OBUF | 1 | NULL | 0 | nAoutOE | 1 | 87 | 49152 -FBPIN | 3 | fsb/ASrf | 1 | NULL | 0 | NULL | 0 -FBPIN | 4 | cnt/WS<0> | 1 | NULL | 0 | NULL | 0 +FBPIN | 3 | nRESout | 1 | NULL | 0 | NULL | 0 +FBPIN | 4 | fsb/ASrf | 1 | NULL | 0 | NULL | 0 FBPIN | 5 | nDoutOE_OBUF | 1 | NULL | 0 | nDoutOE | 1 | 89 | 49152 FBPIN | 6 | nDinOE_OBUF | 1 | NULL | 0 | nDinOE | 1 | 90 | 49152 -FBPIN | 7 | $OpTx$$OpTx$FX_DC$354_INV$541 | 1 | NULL | 0 | NULL | 0 +FBPIN | 7 | cnt/WS<0> | 1 | NULL | 0 | NULL | 0 FBPIN | 8 | N0 | 1 | N01 | 1 | nRES | 1 | 91 | 49152 -FBPIN | 9 | iobs/IOL1 | 1 | nIPL2_IBUF | 1 | NULL | 0 | 92 | 49152 -FBPIN | 10 | cs/ODCSr | 1 | NULL | 0 | NULL | 0 +FBPIN | 9 | ALE0S | 1 | nIPL2_IBUF | 1 | NULL | 0 | 92 | 49152 +FBPIN | 10 | $OpTx$$OpTx$FX_DC$354_INV$541 | 1 | NULL | 0 | NULL | 0 FBPIN | 11 | nVPA_FSB_OBUF | 1 | NULL | 0 | nVPA_FSB | 1 | 93 | 49152 -FBPIN | 12 | cnt/WS<2> | 1 | A_FSB_1_IBUF | 1 | NULL | 0 | 94 | 49152 -FBPIN | 13 | cnt/WS<1> | 1 | NULL | 0 | NULL | 0 -FBPIN | 14 | IONPReady | 1 | A_FSB_2_IBUF | 1 | NULL | 0 | 95 | 49152 -FBPIN | 15 | cnt/LTimer<1> | 1 | A_FSB_3_IBUF | 1 | NULL | 0 | 96 | 49152 -FBPIN | 16 | cnt/WS<3> | 1 | NULL | 0 | NULL | 0 -FBPIN | 17 | nRESout | 1 | A_FSB_4_IBUF | 1 | NULL | 0 | 97 | 49152 -FBPIN | 18 | EXP12_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 12 | cnt/WS<3> | 1 | A_FSB_1_IBUF | 1 | NULL | 0 | 94 | 49152 +FBPIN | 13 | cnt/WS<2> | 1 | NULL | 0 | NULL | 0 +FBPIN | 14 | cnt/WS<1> | 1 | A_FSB_2_IBUF | 1 | NULL | 0 | 95 | 49152 +FBPIN | 15 | IONPReady | 1 | A_FSB_3_IBUF | 1 | NULL | 0 | 96 | 49152 +FBPIN | 16 | EXP12_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 17 | cnt/LTimer<1> | 1 | A_FSB_4_IBUF | 1 | NULL | 0 | 97 | 49152 +FBPIN | 18 | EXP13_ | 1 | NULL | 0 | NULL | 0 FB_INSTANCE | FOOBAR5_ | WarpSE_COPY_0_COPY_0 | 0 | 0 | 0 -FBPIN | 1 | EXP13_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 1 | EXP14_ | 1 | NULL | 0 | NULL | 0 FBPIN | 2 | nROMCS_OBUF | 1 | NULL | 0 | nROMCS | 1 | 35 | 49152 FBPIN | 5 | nCAS_OBUF | 1 | NULL | 0 | nCAS | 1 | 36 | 49152 FBPIN | 6 | nOE_OBUF | 1 | NULL | 0 | nOE | 1 | 37 | 49152 FBPIN | 9 | RA_4_OBUF | 1 | NULL | 0 | RA<4> | 1 | 40 | 49152 FBPIN | 11 | RA_11_OBUF | 1 | NULL | 0 | RA<3> | 1 | 41 | 49152 FBPIN | 12 | RA_5_OBUF | 1 | NULL | 0 | RA<5> | 1 | 42 | 49152 -FBPIN | 13 | cnt/LTimerTC | 1 | NULL | 0 | NULL | 0 FBPIN | 14 | RA_2_OBUF | 1 | NULL | 0 | RA<2> | 1 | 43 | 49152 FBPIN | 15 | RA_6_OBUF | 1 | NULL | 0 | RA<6> | 1 | 46 | 49152 -FBPIN | 16 | ALE0S | 1 | NULL | 0 | NULL | 0 -FBPIN | 17 | EXP14_ | 1 | NULL | 0 | NULL | 0 | 49 | 49152 +FBPIN | 16 | cnt/LTimerTC | 1 | NULL | 0 | NULL | 0 +FBPIN | 17 | EXP15_ | 1 | NULL | 0 | NULL | 0 | 49 | 49152 FBPIN | 18 | cnt/LTimer<0> | 1 | NULL | 0 | NULL | 0 FB_INSTANCE | FOOBAR6_ | WarpSE_COPY_0_COPY_0 | 0 | 0 | 0 @@ -8603,21 +8461,21 @@ FB_INSTANCE | FOOBAR8_ | WarpSE_COPY_0_COPY_0 | 0 | 0 | 0 FBPIN | 1 | IOL0 | 1 | NULL | 0 | NULL | 0 FBPIN | 2 | RA_11_OBUF$BUF0 | 1 | NULL | 0 | RA<11> | 1 | 63 | 49152 FBPIN | 3 | iobs/TS_FSM_FFd2 | 1 | NULL | 0 | NULL | 0 -FBPIN | 4 | EXP15_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 4 | EXP16_ | 1 | NULL | 0 | NULL | 0 FBPIN | 5 | nRAS_OBUF | 1 | NULL | 0 | nRAS | 1 | 64 | 49152 FBPIN | 6 | nRAMLWE_OBUF | 1 | NULL | 0 | nRAMLWE | 1 | 65 | 49152 -FBPIN | 7 | EXP16_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 7 | EXP17_ | 1 | NULL | 0 | NULL | 0 FBPIN | 8 | nRAMUWE_OBUF | 1 | NULL | 0 | nRAMUWE | 1 | 66 | 49152 FBPIN | 9 | IOWRREQ | 1 | NULL | 0 | NULL | 0 | 67 | 49152 -FBPIN | 10 | EXP17_ | 1 | NULL | 0 | NULL | 0 -FBPIN | 11 | EXP18_ | 1 | NULL | 0 | NULL | 0 | 68 | 49152 +FBPIN | 10 | EXP18_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 11 | EXP19_ | 1 | NULL | 0 | NULL | 0 | 68 | 49152 FBPIN | 12 | nBERR_FSB_OBUF | 1 | NULL | 0 | nBERR_FSB | 1 | 70 | 49152 -FBPIN | 13 | EXP19_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 13 | EXP20_ | 1 | NULL | 0 | NULL | 0 FBPIN | 14 | IOU0 | 1 | NULL | 0 | NULL | 0 | 71 | 49152 FBPIN | 15 | nBR_IOB_OBUF | 1 | NULL | 0 | nBR_IOB | 1 | 72 | 49152 FBPIN | 16 | cnt/IS_FSM_FFd1 | 1 | NULL | 0 | NULL | 0 FBPIN | 17 | iobs/IORW1 | 1 | NULL | 0 | NULL | 0 | 73 | 49152 -FBPIN | 18 | EXP20_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 18 | EXP21_ | 1 | NULL | 0 | NULL | 0 FB_INSTANCE | INPUTPINS_FOOBAR9_ | WarpSE_COPY_0_COPY_0 | 0 | 0 | 0 @@ -8625,98 +8483,98 @@ BUSINFO | A_FSB<23:1> | 23 | 0 | 0 | A_FSB<10> | 13 | A_FSB<11> | 12 | A_FSB<12> BUSINFO | RA<11:0> | 12 | 0 | 1 | RA<0> | 11 | RA<10> | 1 | RA<11> | 0 | RA<1> | 10 | RA<2> | 9 | RA<3> | 8 | RA<4> | 7 | RA<5> | 6 | RA<6> | 5 | RA<7> | 4 | RA<8> | 3 | RA<9> | 2 BUSINFO | SW<3:1> | 3 | 0 | 0 | SW<1> | 2 | SW<2> | 1 | SW<3> | 0 -FB_ORDER_OF_INPUTS | FOOBAR1_ | 1 | ram/RefDone | NULL | 2 | iobs/TS_FSM_FFd2 | NULL | 3 | cnt/Er<1> | NULL | 4 | cnt/Er<0> | NULL | 6 | iobs/TS_FSM_FFd1 | NULL -FB_ORDER_OF_INPUTS | FOOBAR1_ | 8 | cnt/Timer<0> | NULL | 12 | nUDS_FSB | 33 | 13 | ALE1 | NULL | 14 | iobs/Load1 | NULL | 15 | cnt/Timer<1> | NULL -FB_ORDER_OF_INPUTS | FOOBAR1_ | 16 | cnt/Timer<3> | NULL | 17 | cnt/Timer<2> | NULL | 20 | nIPL2 | 92 | 22 | iobm/Er | NULL | 27 | ram/RS_FSM_FFd5 | NULL -FB_ORDER_OF_INPUTS | FOOBAR1_ | 28 | iobm/ES<0> | NULL | 30 | cnt/IS_FSM_FFd1 | NULL | 32 | iobm/ES<1> | NULL | 33 | E | 25 | 36 | ram/RS_FSM_FFd1 | NULL -FB_ORDER_OF_INPUTS | FOOBAR1_ | 37 | cnt/LTimerTC | NULL | 38 | iobs/IOACTr | NULL | 39 | RefUrg | NULL | 42 | iobs/Clear1 | NULL | 46 | nBERR_IOB | 76 -FB_ORDER_OF_INPUTS | FOOBAR1_ | 49 | iobm/ES<2> | NULL | 51 | cnt/IS_FSM_FFd2 | NULL | 52 | nAS_IOBout | NULL +FB_ORDER_OF_INPUTS | FOOBAR1_ | 0 | ram/RS_FSM_FFd2 | NULL | 1 | ram/RS_FSM_FFd1 | NULL | 2 | iobs/TS_FSM_FFd2 | NULL | 3 | cnt/Er<1> | NULL | 4 | cnt/Er<0> | NULL +FB_ORDER_OF_INPUTS | FOOBAR1_ | 7 | iobs/TS_FSM_FFd1 | NULL | 10 | cnt/Timer<0> | NULL | 11 | cnt/IS_FSM_FFd2 | NULL | 14 | iobs/Load1 | NULL | 15 | cnt/Timer<1> | NULL +FB_ORDER_OF_INPUTS | FOOBAR1_ | 16 | cnt/Timer<3> | NULL | 17 | cnt/Timer<2> | NULL | 25 | ram/RS_FSM_FFd3 | NULL | 27 | iobm/Er | NULL | 28 | iobm/ES<0> | NULL +FB_ORDER_OF_INPUTS | FOOBAR1_ | 29 | nLDS_FSB | 30 | 30 | cnt/IS_FSM_FFd1 | NULL | 32 | iobm/ES<1> | NULL | 33 | E | 25 | 34 | iobs/IOACTr | NULL +FB_ORDER_OF_INPUTS | FOOBAR1_ | 39 | ram/RS_FSM_FFd5 | NULL | 43 | cnt/LTimerTC | NULL | 44 | ram/RefDone | NULL | 48 | RefReq | NULL | 49 | iobm/ES<2> | NULL +FB_ORDER_OF_INPUTS | FOOBAR1_ | 51 | nUDS_FSB | 33 | 52 | RefUrg | NULL -FB_IMUX_INDEX | FOOBAR1_ | -1 | 37 | 128 | 3 | 4 | -1 | 6 | -1 | 8 | -1 | -1 | -1 | 272 | 103 | 50 | 15 | 16 | 17 | -1 | -1 | 150 | -1 | 1 | -1 | -1 | -1 | -1 | 21 | 94 | -1 | 141 | -1 | 96 | 242 | -1 | -1 | 24 | 84 | 27 | 10 | -1 | -1 | 0 | -1 | -1 | -1 | 175 | -1 | -1 | 14 | -1 | 9 | 101 | -1 +FB_IMUX_INDEX | FOOBAR1_ | 0 | 1 | 128 | 3 | 4 | -1 | -1 | 7 | -1 | -1 | 10 | 11 | -1 | -1 | 50 | 15 | 16 | 17 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | 22 | -1 | 2 | 94 | 260 | 141 | -1 | 96 | 242 | 25 | -1 | -1 | -1 | -1 | 21 | -1 | -1 | -1 | 87 | 5 | -1 | -1 | -1 | 13 | 14 | -1 | 272 | 12 | -1 -FB_ORDER_OF_INPUTS | FOOBAR2_ | 0 | iobm/IOS_FSM_FFd6 | NULL | 4 | ram/RS_FSM_FFd3 | NULL | 5 | ram/RS_FSM_FFd2 | NULL | 8 | IOWRREQ | NULL | 9 | IODONE | NULL -FB_ORDER_OF_INPUTS | FOOBAR2_ | 11 | nVPA_IOB | 77 | 12 | C8M | 23 | 13 | IOBERR | NULL | 17 | IOACT | NULL | 33 | iobm/IOS_FSM_FFd3 | NULL -FB_ORDER_OF_INPUTS | FOOBAR2_ | 39 | ram/RS_FSM_FFd7 | NULL | 40 | iobm/IOS_FSM_FFd2 | NULL | 46 | iobm/C8Mr | NULL | 47 | iobm/IOS_FSM_FFd5 | NULL | 51 | ram/RS_FSM_FFd6 | NULL -FB_ORDER_OF_INPUTS | FOOBAR2_ | 52 | IORDREQ | NULL +FB_ORDER_OF_INPUTS | FOOBAR2_ | 3 | ram/RS_FSM_FFd6 | NULL | 6 | nIPL2 | 92 | 8 | IOWRREQ | NULL | 11 | nAS_IOBout | NULL | 12 | C8M | 23 +FB_ORDER_OF_INPUTS | FOOBAR2_ | 14 | iobm/C8Mr | NULL | 15 | nBERR_IOB | 76 | 17 | IOBERR | NULL | 24 | iobm/IOS_FSM_FFd6 | NULL | 28 | iobm/IOS_FSM_FFd5 | NULL +FB_ORDER_OF_INPUTS | FOOBAR2_ | 33 | iobm/IOS_FSM_FFd3 | NULL | 39 | ram/RS_FSM_FFd7 | NULL | 45 | nVPA_IOB | 77 | 46 | iobm/IOS_FSM_FFd2 | NULL | 47 | IODONE | NULL +FB_ORDER_OF_INPUTS | FOOBAR2_ | 52 | IORDREQ | NULL | 53 | IOACT | NULL -FB_IMUX_INDEX | FOOBAR2_ | 90 | -1 | -1 | -1 | 22 | 23 | -1 | -1 | 134 | 99 | -1 | 171 | 238 | 13 | -1 | -1 | -1 | 107 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | 93 | -1 | -1 | -1 | -1 | -1 | 38 | 35 | -1 | -1 | -1 | -1 | -1 | 34 | 30 | -1 | -1 | -1 | 40 | 51 | -1 +FB_IMUX_INDEX | FOOBAR2_ | -1 | -1 | -1 | 39 | -1 | -1 | 150 | -1 | 134 | -1 | -1 | 101 | 238 | -1 | 32 | 175 | -1 | 35 | -1 | -1 | -1 | -1 | -1 | -1 | 90 | -1 | -1 | -1 | 28 | -1 | -1 | -1 | -1 | 93 | -1 | -1 | -1 | -1 | -1 | 38 | -1 | -1 | -1 | -1 | -1 | 171 | 34 | 99 | -1 | -1 | -1 | -1 | 51 | 107 -FB_ORDER_OF_INPUTS | FOOBAR3_ | 0 | iobs/Sent | NULL | 1 | ram/RefDone | NULL | 2 | ram/RS_FSM_FFd7 | NULL | 3 | ram/RS_FSM_FFd5 | NULL | 4 | nRES | 91 -FB_ORDER_OF_INPUTS | FOOBAR3_ | 5 | ram/RS_FSM_FFd4 | NULL | 7 | ram/Once | NULL | 8 | nAS_FSB | 32 | 9 | iobs/IOACTr | NULL | 10 | RefUrg | NULL -FB_ORDER_OF_INPUTS | FOOBAR3_ | 11 | RefReq | NULL | 12 | IOPWReady | NULL | 13 | ALE1 | NULL | 15 | IORDREQ | NULL | 16 | iobs/IORW1 | NULL -FB_ORDER_OF_INPUTS | FOOBAR3_ | 18 | RAMReady | NULL | 19 | A_FSB<20> | 18 | 21 | A_FSB<19> | 17 | 23 | A_FSB<23> | 24 | 25 | ram/RS_FSM_FFd3 | NULL -FB_ORDER_OF_INPUTS | FOOBAR3_ | 28 | nWE_FSB | 29 | 33 | fsb/ASrf | NULL | 34 | ram/RAMEN | NULL | 35 | A_FSB<14> | 12 | 36 | cs/ODCSr | NULL -FB_ORDER_OF_INPUTS | FOOBAR3_ | 37 | iobs/TS_FSM_FFd2 | NULL | 38 | cs/nOverlay | NULL | 39 | A_FSB<22> | 20 | 41 | ram/RS_FSM_FFd8 | NULL | 43 | iobs/TS_FSM_FFd1 | NULL -FB_ORDER_OF_INPUTS | FOOBAR3_ | 44 | A_FSB<13> | 11 | 45 | IONPReady | NULL | 47 | A_FSB<21> | 19 | 48 | ram/RS_FSM_FFd2 | NULL | 49 | A_FSB<17> | 15 -FB_ORDER_OF_INPUTS | FOOBAR3_ | 50 | A_FSB<18> | 16 | 51 | ram/RS_FSM_FFd6 | NULL | 52 | QoSReady | NULL | 53 | A_FSB<16> | 14 +FB_ORDER_OF_INPUTS | FOOBAR3_ | 0 | iobs/Sent | NULL | 1 | A_FSB<14> | 12 | 2 | ram/RS_FSM_FFd7 | NULL | 3 | ram/RS_FSM_FFd5 | NULL | 4 | ram/RS_FSM_FFd3 | NULL +FB_ORDER_OF_INPUTS | FOOBAR3_ | 5 | ram/RefDone | NULL | 6 | ram/RS_FSM_FFd4 | NULL | 7 | iobs/IOACTr | NULL | 9 | RAMReady | NULL | 10 | ram/RS_FSM_FFd8 | NULL +FB_ORDER_OF_INPUTS | FOOBAR3_ | 12 | RefUrg | NULL | 13 | RefReq | NULL | 14 | A_FSB<23> | 24 | 15 | IORDREQ | NULL | 16 | iobs/IORW1 | NULL +FB_ORDER_OF_INPUTS | FOOBAR3_ | 19 | A_FSB<20> | 18 | 20 | A_FSB<16> | 14 | 21 | A_FSB<19> | 17 | 28 | nWE_FSB | 29 | 31 | IONPReady | NULL +FB_ORDER_OF_INPUTS | FOOBAR3_ | 33 | ram/Once | NULL | 34 | A_FSB<17> | 15 | 36 | nAS_FSB | 32 | 37 | iobs/TS_FSM_FFd2 | NULL | 38 | ram/RS_FSM_FFd6 | NULL +FB_ORDER_OF_INPUTS | FOOBAR3_ | 39 | A_FSB<22> | 20 | 42 | fsb/ASrf | NULL | 44 | A_FSB<13> | 11 | 45 | A_FSB<21> | 19 | 46 | cs/nOverlay | NULL +FB_ORDER_OF_INPUTS | FOOBAR3_ | 48 | ram/RAMEN | NULL | 49 | ALE1 | NULL | 50 | A_FSB<18> | 16 | 51 | nRES | 91 | 52 | QoSReady | NULL +FB_ORDER_OF_INPUTS | FOOBAR3_ | 53 | iobs/TS_FSM_FFd1 | NULL -FB_IMUX_INDEX | FOOBAR3_ | 36 | 37 | 38 | 21 | 148 | 5 | -1 | 43 | 268 | 27 | 10 | 11 | 12 | 103 | -1 | 51 | 142 | -1 | 45 | 226 | -1 | 222 | -1 | 240 | -1 | 22 | -1 | -1 | 256 | -1 | -1 | -1 | -1 | 56 | 47 | 210 | 63 | 128 | 39 | 230 | -1 | 46 | -1 | 6 | 208 | 67 | -1 | 228 | 23 | 216 | 218 | 40 | 54 | 214 +FB_IMUX_INDEX | FOOBAR3_ | 36 | 210 | 38 | 21 | 22 | 5 | 6 | 25 | -1 | 45 | 46 | -1 | 12 | 13 | 240 | 51 | 142 | -1 | -1 | 226 | 214 | 222 | -1 | -1 | -1 | -1 | -1 | -1 | 256 | -1 | -1 | 68 | -1 | 42 | 216 | -1 | 268 | 128 | 39 | 230 | -1 | -1 | 57 | -1 | 208 | 228 | 43 | -1 | 47 | 103 | 218 | 148 | 54 | 7 -FB_ORDER_OF_INPUTS | FOOBAR4_ | 0 | iobs/Sent | NULL | 1 | AoutOE | NULL | 2 | fsb/ASrf | NULL | 3 | cnt/WS<0> | NULL | 4 | A_FSB<9> | 7 -FB_ORDER_OF_INPUTS | FOOBAR4_ | 5 | A_FSB<16> | 14 | 6 | $OpTx$$OpTx$FX_DC$354_INV$541.UIM | NULL | 7 | iobm/DoutOE | NULL | 8 | iobs/IODONEr | NULL | 9 | cnt/IS_FSM_FFd2 | NULL -FB_ORDER_OF_INPUTS | FOOBAR4_ | 10 | A_FSB<11> | 9 | 11 | iobm/IOWRREQr | NULL | 12 | cnt/WS<1> | NULL | 13 | IONPReady | NULL | 14 | iobs/Load1 | NULL -FB_ORDER_OF_INPUTS | FOOBAR4_ | 15 | iobm/IORDREQr | NULL | 16 | nRESout | NULL | 17 | cnt/LTimer<0> | NULL | 19 | A_FSB<20> | 18 | 21 | A_FSB<19> | 17 -FB_ORDER_OF_INPUTS | FOOBAR4_ | 22 | A_FSB<12> | 10 | 28 | nWE_FSB | 29 | 29 | iobm/IOS0 | NULL | 30 | cnt/IS_FSM_FFd1 | NULL | 31 | cnt/LTimer<1> | NULL -FB_ORDER_OF_INPUTS | FOOBAR4_ | 33 | nAS_FSB | 32 | 34 | A_FSB<17> | 15 | 35 | A_FSB<14> | 12 | 36 | A_FSB<23> | 24 | 37 | nLDS_FSB | 30 -FB_ORDER_OF_INPUTS | FOOBAR4_ | 39 | A_FSB<22> | 20 | 40 | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM | NULL | 42 | nBR_IOB_OBUF | NULL | 43 | A_FSB<10> | 8 | 44 | A_FSB<13> | 11 -FB_ORDER_OF_INPUTS | FOOBAR4_ | 45 | A_FSB<18> | 16 | 46 | A_FSB<15> | 13 | 47 | A_FSB<21> | 19 | 49 | A_FSB<8> | 6 | 50 | cnt/WS<3> | NULL -FB_ORDER_OF_INPUTS | FOOBAR4_ | 52 | QoSReady | NULL | 53 | cnt/WS<2> | NULL +FB_ORDER_OF_INPUTS | FOOBAR4_ | 0 | iobs/Sent | NULL | 1 | A_FSB<14> | 12 | 2 | nRESout | NULL | 3 | fsb/ASrf | NULL | 4 | A_FSB<9> | 7 +FB_ORDER_OF_INPUTS | FOOBAR4_ | 5 | A_FSB<16> | 14 | 6 | iobs/IODONEr | NULL | 7 | iobm/DoutOE | NULL | 8 | nAS_FSB | 32 | 9 | iobm/IOWRREQr | NULL +FB_ORDER_OF_INPUTS | FOOBAR4_ | 10 | A_FSB<11> | 9 | 11 | cnt/IS_FSM_FFd2 | NULL | 12 | cnt/WS<2> | NULL | 13 | iobm/IORDREQr | NULL | 14 | IONPReady | NULL +FB_ORDER_OF_INPUTS | FOOBAR4_ | 15 | cnt/IS_FSM_FFd1 | NULL | 16 | cnt/LTimer<1> | NULL | 17 | cnt/LTimer<0> | NULL | 21 | A_FSB<19> | 17 | 22 | A_FSB<12> | 10 +FB_ORDER_OF_INPUTS | FOOBAR4_ | 23 | A_FSB<23> | 24 | 28 | nWE_FSB | 29 | 30 | A_FSB<20> | 18 | 31 | A_FSB<10> | 8 | 34 | A_FSB<17> | 15 +FB_ORDER_OF_INPUTS | FOOBAR4_ | 35 | cnt/WS<0> | NULL | 36 | $OpTx$$OpTx$FX_DC$354_INV$541.UIM | NULL | 37 | iobs/TS_FSM_FFd2 | NULL | 39 | A_FSB<22> | 20 | 40 | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM | NULL +FB_ORDER_OF_INPUTS | FOOBAR4_ | 42 | nBR_IOB_OBUF | NULL | 43 | iobm/IOS0 | NULL | 44 | A_FSB<13> | 11 | 45 | cnt/WS<1> | NULL | 46 | A_FSB<15> | 13 +FB_ORDER_OF_INPUTS | FOOBAR4_ | 47 | A_FSB<21> | 19 | 49 | A_FSB<8> | 6 | 50 | A_FSB<18> | 16 | 51 | AoutOE | NULL | 52 | QoSReady | NULL +FB_ORDER_OF_INPUTS | FOOBAR4_ | 53 | cnt/WS<3> | NULL -FB_IMUX_INDEX | FOOBAR4_ | 36 | 55 | 56 | 57 | 196 | 214 | 60 | 97 | 26 | 9 | 202 | 29 | 66 | 67 | 50 | 33 | 70 | 89 | -1 | 226 | -1 | 222 | 206 | -1 | -1 | -1 | -1 | -1 | 256 | 102 | 141 | 68 | -1 | 268 | 216 | 210 | 240 | 260 | -1 | 230 | 125 | -1 | 140 | 198 | 208 | 218 | 212 | 228 | -1 | 194 | 69 | -1 | 54 | 65 +FB_IMUX_INDEX | FOOBAR4_ | 36 | 210 | 56 | 57 | 196 | 214 | 24 | 97 | 268 | 27 | 202 | 11 | 66 | 31 | 68 | 141 | 70 | 89 | -1 | -1 | -1 | 222 | 206 | 240 | -1 | -1 | -1 | -1 | 256 | -1 | 226 | 198 | -1 | -1 | 216 | 60 | 63 | 128 | -1 | 230 | 125 | -1 | 140 | 102 | 208 | 67 | 212 | 228 | -1 | 194 | 218 | 55 | 54 | 65 -FB_ORDER_OF_INPUTS | FOOBAR5_ | 0 | cnt/LTimer<9> | NULL | 1 | A_FSB<14> | 12 | 2 | cnt/LTimer<8> | NULL | 3 | cs/nOverlay | NULL | 4 | A_FSB<22> | 20 -FB_ORDER_OF_INPUTS | FOOBAR5_ | 5 | A_FSB<16> | 14 | 6 | ram/RASEL | NULL | 7 | A_FSB<17> | 15 | 8 | A_FSB<3> | 96 | 9 | cnt/LTimer<5> | NULL -FB_ORDER_OF_INPUTS | FOOBAR5_ | 10 | A_FSB<11> | 9 | 12 | A_FSB<4> | 97 | 13 | ram/CAS | NULL | 14 | cnt/LTimer<1> | NULL | 15 | cnt/LTimer<11> | NULL -FB_ORDER_OF_INPUTS | FOOBAR5_ | 16 | cnt/LTimer<10> | NULL | 17 | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM | NULL | 19 | A_FSB<20> | 18 | 21 | A_FSB<19> | 17 | 22 | A_FSB<12> | 10 -FB_ORDER_OF_INPUTS | FOOBAR5_ | 23 | A_FSB<23> | 24 | 24 | A_FSB<15> | 13 | 27 | cnt/LTimer<7> | NULL | 28 | nWE_FSB | 29 | 30 | cnt/IS_FSM_FFd1 | NULL -FB_ORDER_OF_INPUTS | FOOBAR5_ | 33 | fsb/ASrf | NULL | 34 | A_FSB<5> | 2 | 36 | nAS_FSB | 32 | 37 | iobs/TS_FSM_FFd2 | NULL | 39 | cnt/LTimer<2> | NULL -FB_ORDER_OF_INPUTS | FOOBAR5_ | 40 | A_FSB<7> | 4 | 42 | cnt/LTimer<3> | NULL | 43 | A_FSB<10> | 8 | 44 | A_FSB<13> | 11 | 45 | A_FSB<18> | 16 -FB_ORDER_OF_INPUTS | FOOBAR5_ | 46 | cnt/LTimer<0> | NULL | 47 | A_FSB<21> | 19 | 48 | cnt/LTimer<4> | NULL | 49 | A_FSB<8> | 6 | 51 | cnt/IS_FSM_FFd2 | NULL -FB_ORDER_OF_INPUTS | FOOBAR5_ | 52 | cnt/LTimer<6> | NULL | 53 | A_FSB<9> | 7 +FB_ORDER_OF_INPUTS | FOOBAR5_ | 0 | cnt/LTimer<9> | NULL | 1 | A_FSB<14> | 12 | 2 | cnt/LTimer<8> | NULL | 3 | cnt/LTimer<7> | NULL | 4 | A_FSB<22> | 20 +FB_ORDER_OF_INPUTS | FOOBAR5_ | 5 | A_FSB<16> | 14 | 6 | cnt/LTimer<6> | NULL | 7 | cs/nOverlay | NULL | 8 | A_FSB<3> | 96 | 9 | cnt/LTimer<5> | NULL +FB_ORDER_OF_INPUTS | FOOBAR5_ | 12 | cnt/LTimer<4> | NULL | 13 | cnt/LTimer<3> | NULL | 14 | cnt/LTimer<2> | NULL | 15 | cnt/LTimer<11> | NULL | 16 | cnt/LTimer<10> | NULL +FB_ORDER_OF_INPUTS | FOOBAR5_ | 17 | cnt/LTimer_1_not0001/cnt/LTimer_1_not0001_D2.UIM | NULL | 19 | A_FSB<20> | 18 | 21 | A_FSB<19> | 17 | 22 | A_FSB<12> | 10 | 23 | A_FSB<23> | 24 +FB_ORDER_OF_INPUTS | FOOBAR5_ | 24 | A_FSB<15> | 13 | 26 | ram/CAS | NULL | 28 | nWE_FSB | 29 | 30 | cnt/IS_FSM_FFd1 | NULL | 31 | A_FSB<10> | 8 +FB_ORDER_OF_INPUTS | FOOBAR5_ | 33 | nAS_FSB | 32 | 34 | A_FSB<17> | 15 | 36 | A_FSB<4> | 97 | 37 | cnt/LTimer<1> | NULL | 39 | A_FSB<5> | 2 +FB_ORDER_OF_INPUTS | FOOBAR5_ | 40 | A_FSB<7> | 4 | 41 | cnt/IS_FSM_FFd2 | NULL | 42 | fsb/ASrf | NULL | 44 | A_FSB<13> | 11 | 46 | cnt/LTimer<0> | NULL +FB_ORDER_OF_INPUTS | FOOBAR5_ | 47 | A_FSB<21> | 19 | 49 | A_FSB<8> | 6 | 50 | A_FSB<18> | 16 | 51 | ram/RASEL | NULL | 52 | A_FSB<11> | 9 +FB_ORDER_OF_INPUTS | FOOBAR5_ | 53 | A_FSB<9> | 7 -FB_IMUX_INDEX | FOOBAR5_ | 108 | 210 | 110 | 39 | 230 | 214 | 42 | 216 | 168 | 117 | 202 | -1 | 172 | 49 | 68 | 123 | 124 | 125 | -1 | 226 | -1 | 222 | 206 | 240 | 212 | -1 | -1 | 111 | 256 | -1 | 141 | -1 | -1 | 56 | 182 | -1 | 268 | 128 | -1 | 122 | 190 | -1 | 121 | 198 | 208 | 218 | 89 | 228 | 120 | 194 | -1 | 9 | 114 | 196 +FB_IMUX_INDEX | FOOBAR5_ | 108 | 210 | 110 | 111 | 230 | 214 | 114 | 43 | 168 | 117 | -1 | -1 | 120 | 121 | 122 | 123 | 124 | 125 | -1 | 226 | -1 | 222 | 206 | 240 | 212 | -1 | 49 | -1 | 256 | -1 | 141 | 198 | -1 | 268 | 216 | -1 | 172 | 70 | -1 | 182 | 190 | 11 | 57 | -1 | 208 | -1 | 89 | 228 | -1 | 194 | 218 | 40 | 202 | 196 -FB_ORDER_OF_INPUTS | FOOBAR6_ | 0 | iobs/Clear1 | NULL | 1 | iobm/Er | NULL | 2 | iobm/IOS_FSM_FFd7 | NULL | 3 | iobm/IOS_FSM_FFd3 | NULL | 4 | iobm/ES<0> | NULL -FB_ORDER_OF_INPUTS | FOOBAR6_ | 5 | iobm/ES<3> | NULL | 6 | iobm/ES<1> | NULL | 7 | nDTACK_IOB | 78 | 8 | nLDS_IOBout | NULL | 9 | IODONE | NULL -FB_ORDER_OF_INPUTS | FOOBAR6_ | 10 | iobm/VPAr | NULL | 11 | nAS_IOBout | NULL | 12 | iobm/IOS_FSM_FFd5 | NULL | 13 | iobm/IOS_FSM_FFd4 | NULL | 14 | iobm/ES<2> | NULL -FB_ORDER_OF_INPUTS | FOOBAR6_ | 15 | ALE0S | NULL | 16 | iobm/C8Mr | NULL | 17 | iobm/IOS_FSM_FFd2 | NULL | 20 | IOL0 | NULL | 24 | iobm/IOS_FSM_FFd6 | NULL -FB_ORDER_OF_INPUTS | FOOBAR6_ | 25 | IOU0 | NULL | 27 | nUDS_IOBout | NULL | 29 | iobm/IOS0 | NULL | 33 | E | 25 | 34 | iobm/DoutOE | NULL -FB_ORDER_OF_INPUTS | FOOBAR6_ | 37 | iobm/IOS_FSM_FFd1 | NULL | 38 | ALE0M | NULL | 41 | nRES | 91 | 42 | iobs/Load1 | NULL | 43 | iobm/IOWRREQr | NULL -FB_ORDER_OF_INPUTS | FOOBAR6_ | 44 | nVMA_IOBout | NULL | 46 | ALE1 | NULL | 48 | IOBERR | NULL | 51 | AoutOE | NULL | 52 | iobm/IORDREQr | NULL -FB_ORDER_OF_INPUTS | FOOBAR6_ | 53 | IOACT | NULL +FB_ORDER_OF_INPUTS | FOOBAR6_ | 0 | iobm/IOS_FSM_FFd6 | NULL | 1 | iobs/Clear1 | NULL | 2 | iobm/Er | NULL | 3 | iobm/IOS_FSM_FFd3 | NULL | 4 | nRES | 91 +FB_ORDER_OF_INPUTS | FOOBAR6_ | 5 | iobm/ES<3> | NULL | 6 | iobm/ES<1> | NULL | 7 | nDTACK_IOB | 78 | 8 | iobm/VPAr | NULL | 9 | IODONE | NULL +FB_ORDER_OF_INPUTS | FOOBAR6_ | 10 | iobm/IOS_FSM_FFd5 | NULL | 11 | iobm/IOS_FSM_FFd4 | NULL | 12 | iobm/IOS_FSM_FFd1 | NULL | 13 | ALE1 | NULL | 14 | iobm/ES<2> | NULL +FB_ORDER_OF_INPUTS | FOOBAR6_ | 15 | ALE0M | NULL | 16 | iobm/IOS_FSM_FFd2 | NULL | 17 | IOACT | NULL | 20 | IOL0 | NULL | 22 | ALE0S | NULL +FB_ORDER_OF_INPUTS | FOOBAR6_ | 25 | IOU0 | NULL | 27 | nUDS_IOBout | NULL | 28 | iobm/ES<0> | NULL | 30 | iobm/IOS_FSM_FFd7 | NULL | 33 | E | 25 +FB_ORDER_OF_INPUTS | FOOBAR6_ | 34 | iobm/DoutOE | NULL | 37 | iobm/C8Mr | NULL | 38 | iobm/IOWRREQr | NULL | 40 | IOBERR | NULL | 41 | nLDS_IOBout | NULL +FB_ORDER_OF_INPUTS | FOOBAR6_ | 42 | iobs/Load1 | NULL | 43 | iobm/IOS0 | NULL | 44 | nVMA_IOBout | NULL | 50 | iobm/IORDREQr | NULL | 51 | AoutOE | NULL +FB_ORDER_OF_INPUTS | FOOBAR6_ | 52 | nAS_IOBout | NULL -FB_IMUX_INDEX | FOOBAR6_ | 0 | 1 | 92 | 93 | 94 | 95 | 96 | 167 | 98 | 99 | 28 | 101 | 30 | 31 | 14 | 87 | 34 | 35 | -1 | -1 | 126 | -1 | -1 | -1 | 90 | 139 | -1 | 100 | -1 | 102 | -1 | -1 | -1 | 242 | 97 | -1 | -1 | 32 | 105 | -1 | -1 | 148 | 50 | 29 | 91 | -1 | 103 | -1 | 13 | -1 | -1 | 55 | 33 | 107 +FB_IMUX_INDEX | FOOBAR6_ | 90 | 37 | 2 | 93 | 148 | 95 | 96 | 167 | 26 | 99 | 28 | 29 | 30 | 103 | 14 | 105 | 34 | 107 | -1 | -1 | 126 | -1 | 62 | -1 | -1 | 139 | -1 | 100 | 94 | -1 | 92 | -1 | -1 | 242 | 97 | -1 | -1 | 32 | 27 | -1 | 35 | 98 | 50 | 102 | 91 | -1 | -1 | -1 | -1 | -1 | 31 | 55 | 101 | -1 -FB_ORDER_OF_INPUTS | FOOBAR7_ | 0 | A_FSB<20> | 18 | 2 | fsb/ASrf | NULL | 3 | cnt/Er<1> | NULL | 4 | cnt/Er<0> | NULL | 5 | A_FSB<16> | 14 -FB_ORDER_OF_INPUTS | FOOBAR7_ | 6 | cnt/LTimer<6> | NULL | 8 | nAS_FSB | 32 | 9 | A_FSB<18> | 16 | 10 | RefUrg | NULL | 12 | cnt/LTimer<4> | NULL -FB_ORDER_OF_INPUTS | FOOBAR7_ | 13 | cnt/LTimer<3> | NULL | 14 | cnt/LTimer<2> | NULL | 15 | cnt/LTimer<11> | NULL | 16 | A_FSB<13> | 11 | 17 | cnt/LTimer<0> | NULL -FB_ORDER_OF_INPUTS | FOOBAR7_ | 21 | A_FSB<19> | 17 | 22 | A_FSB<12> | 10 | 23 | A_FSB<23> | 24 | 24 | cnt/LTimer<5> | NULL | 26 | A_FSB<6> | 3 -FB_ORDER_OF_INPUTS | FOOBAR7_ | 27 | cnt/LTimer<7> | NULL | 28 | nWE_FSB | 29 | 29 | cnt/LTimer<10> | NULL | 30 | cnt/IS_FSM_FFd1 | NULL | 31 | cnt/LTimer<1> | NULL -FB_ORDER_OF_INPUTS | FOOBAR7_ | 33 | ram/RASEL | NULL | 34 | A_FSB<17> | 15 | 35 | A_FSB<14> | 12 | 39 | A_FSB<22> | 20 | 40 | A_FSB<7> | 4 -FB_ORDER_OF_INPUTS | FOOBAR7_ | 43 | A_FSB<10> | 8 | 44 | cnt/LTimer<8> | NULL | 45 | A_FSB<1> | 94 | 46 | A_FSB<15> | 13 | 47 | A_FSB<21> | 19 -FB_ORDER_OF_INPUTS | FOOBAR7_ | 48 | A_FSB<2> | 95 | 49 | A_FSB<8> | 6 | 50 | cnt/LTimer<9> | NULL | 51 | cnt/IS_FSM_FFd2 | NULL | 52 | A_FSB<11> | 9 +FB_ORDER_OF_INPUTS | FOOBAR7_ | 0 | A_FSB<20> | 18 | 1 | A_FSB<14> | 12 | 2 | A_FSB<8> | 6 | 3 | cnt/Er<1> | NULL | 4 | cnt/Er<0> | NULL +FB_ORDER_OF_INPUTS | FOOBAR7_ | 5 | A_FSB<16> | 14 | 6 | A_FSB<10> | 8 | 7 | A_FSB<17> | 15 | 9 | A_FSB<18> | 16 | 10 | A_FSB<6> | 3 +FB_ORDER_OF_INPUTS | FOOBAR7_ | 11 | cnt/IS_FSM_FFd2 | NULL | 12 | RefUrg | NULL | 13 | A_FSB<19> | 17 | 14 | A_FSB<7> | 4 | 15 | cnt/IS_FSM_FFd1 | NULL +FB_ORDER_OF_INPUTS | FOOBAR7_ | 16 | cnt/LTimer<1> | NULL | 17 | cnt/LTimer<0> | NULL | 19 | A_FSB<2> | 95 | 22 | A_FSB<12> | 10 | 23 | A_FSB<23> | 24 +FB_ORDER_OF_INPUTS | FOOBAR7_ | 24 | A_FSB<15> | 13 | 25 | cnt/LTimer<2> | NULL | 27 | cnt/LTimer<7> | NULL | 28 | nWE_FSB | 29 | 29 | cnt/LTimer<10> | NULL +FB_ORDER_OF_INPUTS | FOOBAR7_ | 33 | cnt/LTimer<11> | NULL | 36 | nAS_FSB | 32 | 37 | A_FSB<13> | 11 | 38 | A_FSB<11> | 9 | 39 | A_FSB<22> | 20 +FB_ORDER_OF_INPUTS | FOOBAR7_ | 42 | fsb/ASrf | NULL | 44 | cnt/LTimer<8> | NULL | 45 | A_FSB<1> | 94 | 46 | cnt/LTimer<3> | NULL | 47 | A_FSB<21> | 19 +FB_ORDER_OF_INPUTS | FOOBAR7_ | 48 | cnt/LTimer<4> | NULL | 49 | cnt/LTimer<5> | NULL | 50 | cnt/LTimer<9> | NULL | 51 | ram/RASEL | NULL | 52 | cnt/LTimer<6> | NULL FB_ORDER_OF_INPUTS | FOOBAR7_ | 53 | A_FSB<9> | 7 -FB_IMUX_INDEX | FOOBAR7_ | 226 | -1 | 56 | 3 | 4 | 214 | 114 | -1 | 268 | 218 | 10 | -1 | 120 | 121 | 122 | 123 | 208 | 89 | -1 | -1 | -1 | 222 | 206 | 240 | 117 | -1 | 186 | 111 | 256 | 124 | 141 | 68 | -1 | 42 | 216 | 210 | -1 | -1 | -1 | 230 | 190 | -1 | -1 | 198 | 110 | 156 | 212 | 228 | 164 | 194 | 108 | 9 | 202 | 196 +FB_IMUX_INDEX | FOOBAR7_ | 226 | 210 | 194 | 3 | 4 | 214 | 198 | 216 | -1 | 218 | 186 | 11 | 12 | 222 | 190 | 141 | 70 | 89 | -1 | 164 | -1 | -1 | 206 | 240 | 212 | 122 | -1 | 111 | 256 | 124 | -1 | -1 | -1 | 123 | -1 | -1 | 268 | 208 | 202 | 230 | -1 | -1 | 57 | -1 | 110 | 156 | 121 | 228 | 120 | 117 | 108 | 40 | 114 | 196 -FB_ORDER_OF_INPUTS | FOOBAR8_ | 0 | IOL0 | NULL | 1 | A_FSB<14> | 12 | 2 | A_FSB<21> | 19 | 3 | cs/nOverlay | NULL | 4 | A_FSB<22> | 20 -FB_ORDER_OF_INPUTS | FOOBAR8_ | 5 | ram/RASrr | NULL | 6 | iobs/TS_FSM_FFd1 | NULL | 7 | iobs/IOU1 | NULL | 8 | nAS_FSB | 32 | 9 | cnt/IS_FSM_FFd2 | NULL -FB_ORDER_OF_INPUTS | FOOBAR8_ | 11 | ram/RAMEN | NULL | 12 | cnt/LTimerTC | NULL | 13 | IOBERR | NULL | 14 | A_FSB<23> | 24 | 15 | cnt/IS_FSM_FFd1 | NULL -FB_ORDER_OF_INPUTS | FOOBAR8_ | 16 | A_FSB<13> | 11 | 19 | A_FSB<20> | 18 | 20 | A_FSB<16> | 14 | 21 | A_FSB<19> | 17 | 22 | iobs/IOL1 | NULL -FB_ORDER_OF_INPUTS | FOOBAR8_ | 23 | fsb/ASrf | NULL | 27 | cnt/nIPL2r | NULL | 28 | nWE_FSB | 29 | 29 | nLDS_FSB | 30 | 33 | ram/RASEL | NULL -FB_ORDER_OF_INPUTS | FOOBAR8_ | 34 | ram/RASrf | NULL | 35 | nBERR_FSB_OBUF.UIM | NULL | 36 | cnt/Er<0> | NULL | 37 | iobs/TS_FSM_FFd2 | NULL | 38 | iobs/IOACTr | NULL -FB_ORDER_OF_INPUTS | FOOBAR8_ | 39 | RefUrg | NULL | 42 | nBR_IOB_OBUF | NULL | 43 | IOU0 | NULL | 44 | iobs/Sent | NULL | 45 | A_FSB<18> | 16 -FB_ORDER_OF_INPUTS | FOOBAR8_ | 46 | ALE1 | NULL | 47 | cnt/Er<1> | NULL | 49 | A_FSB<17> | 15 | 51 | nUDS_FSB | 33 | 52 | iobs/IORW1 | NULL +FB_ORDER_OF_INPUTS | FOOBAR8_ | 0 | IOL0 | NULL | 1 | A_FSB<14> | 12 | 2 | iobs/TS_FSM_FFd2 | NULL | 3 | fsb/ASrf | NULL | 4 | ram/RASEL | NULL +FB_ORDER_OF_INPUTS | FOOBAR8_ | 5 | ram/RASrr | NULL | 7 | iobs/TS_FSM_FFd1 | NULL | 8 | iobs/IOU1 | NULL | 9 | iobs/IOL1 | NULL | 11 | ram/RAMEN | NULL +FB_ORDER_OF_INPUTS | FOOBAR8_ | 12 | nUDS_FSB | 33 | 13 | ALE1 | NULL | 14 | nBR_IOB_OBUF | NULL | 15 | cnt/nIPL2r | NULL | 16 | iobs/IORW1 | NULL +FB_ORDER_OF_INPUTS | FOOBAR8_ | 17 | IOBERR | NULL | 19 | A_FSB<20> | 18 | 20 | A_FSB<16> | 14 | 21 | A_FSB<19> | 17 | 23 | A_FSB<23> | 24 +FB_ORDER_OF_INPUTS | FOOBAR8_ | 25 | IOU0 | NULL | 28 | nWE_FSB | 29 | 29 | nLDS_FSB | 30 | 30 | cnt/IS_FSM_FFd1 | NULL | 33 | nAS_FSB | 32 +FB_ORDER_OF_INPUTS | FOOBAR8_ | 34 | iobs/IOACTr | NULL | 35 | nBERR_FSB_OBUF.UIM | NULL | 36 | cnt/Er<0> | NULL | 37 | A_FSB<13> | 11 | 39 | A_FSB<22> | 20 +FB_ORDER_OF_INPUTS | FOOBAR8_ | 41 | cnt/IS_FSM_FFd2 | NULL | 43 | cnt/LTimerTC | NULL | 44 | iobs/Sent | NULL | 45 | A_FSB<21> | 19 | 46 | cs/nOverlay | NULL +FB_ORDER_OF_INPUTS | FOOBAR8_ | 47 | cnt/Er<1> | NULL | 48 | ram/RASrf | NULL | 49 | A_FSB<17> | 15 | 50 | A_FSB<18> | 16 | 52 | RefUrg | NULL FB_ORDER_OF_INPUTS | FOOBAR8_ | 53 | IOWRREQ | NULL -FB_IMUX_INDEX | FOOBAR8_ | 126 | 210 | 228 | 39 | 230 | 41 | 6 | 7 | 268 | 9 | -1 | 47 | 84 | 13 | 240 | 141 | 208 | -1 | -1 | 226 | 214 | 222 | 62 | 56 | -1 | -1 | -1 | 2 | 256 | 260 | -1 | -1 | -1 | 42 | 25 | 137 | 4 | 128 | 27 | 10 | -1 | -1 | 140 | 139 | 36 | 218 | 103 | 3 | -1 | 216 | -1 | 272 | 142 | 134 +FB_IMUX_INDEX | FOOBAR8_ | 126 | 210 | 128 | 57 | 40 | 41 | -1 | 7 | 8 | 9 | -1 | 47 | 272 | 103 | 140 | 33 | 142 | 35 | -1 | 226 | 214 | 222 | -1 | 240 | -1 | 139 | -1 | -1 | 256 | 260 | 141 | -1 | -1 | 268 | 25 | 137 | 4 | 208 | -1 | 230 | -1 | 11 | -1 | 87 | 36 | 228 | 43 | 3 | 23 | 216 | 218 | -1 | 12 | 134 GLOBAL_FCLK | C16M | 0 | 0 | C8M | 1 | 1 | FCLK | 2 | 2 diff --git a/cpld/XC95144XL/WarpSE.xml b/cpld/XC95144XL/WarpSE.xml index 590b381..708dd36 100644 --- a/cpld/XC95144XL/WarpSE.xml +++ b/cpld/XC95144XL/WarpSE.xml @@ -1,3 +1,3 @@ -WarpSE.rptC:/Xilinx/14.7/ISE_DS/ISE/xc9500xl/data/xc95144xl.chpWarpSE.mfd
Cpld - Unable to retrieve the path to the iSE Project Repository. Will use the default filename of 'WarpSE.ise'.INFO:Cpld - Inferring BUFG constraint for signal 'C16M' based upon the LOC constraint 'P22'. It is recommended that you declare this BUFG explicitedly in your design. Note that for certain device families the output of a BUFG constraint can not drive a gated clock, and the BUFG constraint will be ignored.INFO:Cpld - Inferring BUFG constraint for signal 'C8M' based upon the LOC constraint 'P23'. It is recommended that you declare this BUFG explicitedly in your design. Note that for certain device families the output of a BUFG constraint can not drive a gated clock, and the BUFG constraint will be ignored.INFO:Cpld - Inferring BUFG constraint for signal 'FCLK' based upon the LOC constraint 'P27'. It is recommended that you declare this BUFG explicitedly in your design. Note that for certain device families the output of a BUFG constraint can not drive a gated clock, and the BUFG constraint will be ignored.Cpld:1007 - Removing unused input(s) 'C20MEN'. The input(s) are unused after optimization. Please verify functionality via simulation.Cpld:1007 - Removing unused input(s) 'SW<1>'. The input(s) are unused after optimization. Please verify functionality via simulation.Cpld:1007 - Removing unused input(s) 'SW<2>'. The input(s) are unused after optimization. Please verify functionality via simulation.Cpld:1007 - Removing unused input(s) 'SW<3>'. The input(s) are unused after optimization. Please verify functionality via simulation.Cpld:1007 - Removing unused input(s) 'nBG_IOB'. The input(s) are unused after optimization. Please verify functionality via simulation. +WarpSE.rptC:/Xilinx/14.7/ISE_DS/ISE/xc9500xl/data/xc95144xl.chpWarpSE.mfd
Cpld - Unable to retrieve the path to the iSE Project Repository. Will use the default filename of 'WarpSE.ise'.INFO:Cpld - Inferring BUFG constraint for signal 'C16M' based upon the LOC constraint 'P22'. It is recommended that you declare this BUFG explicitedly in your design. Note that for certain device families the output of a BUFG constraint can not drive a gated clock, and the BUFG constraint will be ignored.INFO:Cpld - Inferring BUFG constraint for signal 'C8M' based upon the LOC constraint 'P23'. It is recommended that you declare this BUFG explicitedly in your design. Note that for certain device families the output of a BUFG constraint can not drive a gated clock, and the BUFG constraint will be ignored.INFO:Cpld - Inferring BUFG constraint for signal 'FCLK' based upon the LOC constraint 'P27'. It is recommended that you declare this BUFG explicitedly in your design. Note that for certain device families the output of a BUFG constraint can not drive a gated clock, and the BUFG constraint will be ignored.Cpld:1007 - Removing unused input(s) 'C20MEN'. The input(s) are unused after optimization. Please verify functionality via simulation.Cpld:1007 - Removing unused input(s) 'SW<1>'. The input(s) are unused after optimization. Please verify functionality via simulation.Cpld:1007 - Removing unused input(s) 'SW<2>'. The input(s) are unused after optimization. Please verify functionality via simulation.Cpld:1007 - Removing unused input(s) 'SW<3>'. The input(s) are unused after optimization. Please verify functionality via simulation.Cpld:1007 - Removing unused input(s) 'nBG_IOB'. The input(s) are unused after optimization. Please verify functionality via simulation. diff --git a/cpld/XC95144XL/WarpSE_ngdbuild.xrpt b/cpld/XC95144XL/WarpSE_ngdbuild.xrpt index 63808a9..93be39c 100644 --- a/cpld/XC95144XL/WarpSE_ngdbuild.xrpt +++ b/cpld/XC95144XL/WarpSE_ngdbuild.xrpt @@ -5,7 +5,7 @@ The structure and the elements are likely to change over the next few releases. This means code written to parse this file will need to be revisited each subsequent release.--> - +
@@ -66,17 +66,17 @@
- + - + - + @@ -85,13 +85,13 @@
- + - + - + diff --git a/cpld/XC95144XL/WarpSE_pad.csv b/cpld/XC95144XL/WarpSE_pad.csv index 52aa3dc..a349b06 100644 --- a/cpld/XC95144XL/WarpSE_pad.csv +++ b/cpld/XC95144XL/WarpSE_pad.csv @@ -1,7 +1,7 @@ Release 8.1i - Fit P.20131013 Copyright(c) 1995-2003 Xilinx Inc. All rights reserved - 4- 9-2023 5:01AM + 4- 9-2023 11:31PM NOTE: This file is designed to be imported into a spreadsheet program such as Microsoft Excel for viewing, printing and sorting. The comma ',' diff --git a/cpld/XC95144XL/WarpSE_xst.xrpt b/cpld/XC95144XL/WarpSE_xst.xrpt index 3563527..a5ae0ce 100644 --- a/cpld/XC95144XL/WarpSE_xst.xrpt +++ b/cpld/XC95144XL/WarpSE_xst.xrpt @@ -5,7 +5,7 @@ The structure and the elements are likely to change over the next few releases. This means code written to parse this file will need to be revisited each subsequent release.--> - +
@@ -79,8 +79,8 @@ - - + + @@ -93,8 +93,8 @@ - - + +
@@ -114,18 +114,18 @@
- - + + - + - - + + diff --git a/cpld/XC95144XL/_ngo/netlist.lst b/cpld/XC95144XL/_ngo/netlist.lst index 14e02bc..afedf21 100644 --- a/cpld/XC95144XL/_ngo/netlist.lst +++ b/cpld/XC95144XL/_ngo/netlist.lst @@ -1,2 +1,2 @@ -C:\Users\Wolf\Documents\GitHub\Warp-SE\cpld\XC95144XL\WarpSE.ngc 1681030880 +C:\Users\Wolf\Documents\GitHub\Warp-SE\cpld\XC95144XL\WarpSE.ngc 1681097470 OK diff --git a/cpld/XC95144XL/iseconfig/WarpSE.xreport b/cpld/XC95144XL/iseconfig/WarpSE.xreport index d0774f5..3afc450 100644 --- a/cpld/XC95144XL/iseconfig/WarpSE.xreport +++ b/cpld/XC95144XL/iseconfig/WarpSE.xreport @@ -1,9 +1,9 @@
- 2023-04-09T04:28:14 + 2023-04-09T23:31:34 WarpSE - 2023-04-09T02:22:59 + 2023-04-09T23:24:43 C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/iseconfig/WarpSE.xreport C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL\ 2023-04-07T01:51:28 diff --git a/cpld/XC95144XL/webtalk_pn.xml b/cpld/XC95144XL/webtalk_pn.xml index 834306a..d8f4920 100644 --- a/cpld/XC95144XL/webtalk_pn.xml +++ b/cpld/XC95144XL/webtalk_pn.xml @@ -3,7 +3,7 @@ - +
@@ -12,7 +12,6 @@ This means code written to parse this file will need to be revisited each subseq
- @@ -21,7 +20,6 @@ This means code written to parse this file will need to be revisited each subseq - diff --git a/cpld/XC95144XL/xlnx_auto_0_xdb/cst.xbcd b/cpld/XC95144XL/xlnx_auto_0_xdb/cst.xbcd index da4b900f75abaf64d5221cb9593ee76d0293f094..5b540992449d9e140e0263a8210566761285209f 100644 GIT binary patch delta 4990 zcmW+$dpuMBA9jgy=TL-lJ2Nzd$W%nbW?JYrg)${Y$*tUKWaN~~W-Kz3AwQMNB6p&W zTv8~Bz9kk>M9M9Z-(TZ=NDY@ab;srl> zr~(%LbjhWo?+|+`1oWa$hnW>L^%oaIjywcKA z(~mvWy?yo7Yj2uN_)qI6Cs*23w_=NU{xyMqH9>!eoAxCe#x-tST$D+Y-d#GQF?jj% z@h=OUJkgg{50e`=F8o+n`}WA_KUe+wT03vY$zO4eQD33%0aMF2JrU*A)q&yR-7VMt z%9>ZoE=*1T_o=)h5XY}GnAC-Awmn%$xo~mv^)%J+)x~!m{PZmQu5e) zTxqs5g;h1Z@Fe>|M#(>i->t-Yy9+*Hj*h=stP8i7pI$wUdu+R8sc>nKte9(IyZ7PI z_ecHT8D>0)uB~W%c&ylHf9_|S8vnIuA(z=MxdF2VDRUPZ_TSFu8VM_ToYh2)go?$P zpB|M_H>v01cBd+v%%|<`S3P%@+ULCXb81@s`;Ss-S?N^i`8m0y(7Z>Id2+(!7u~4$MVR#bk8e*sUa0E6p<0Y_FB&i{#+*N-$6f3cyJ%pn z?SbxmWv)=}BXUJ^z}@hv%xrHuJCzYaWAD})*TQO~g`|5VGPcWrpI)Nbe~ zq))j9Q?U+4K=2^VBVjZcZ}cVzv`AVy^?S+n=XY^VeWYx&c%SKNO3pyyU7Z6Dd`t_h zF5@@%Hdo_1Oh(kVT5e;;zKsJeD-*%eDMlN&dFBOD2T^^Qmj-Vi{w?0Omzz*}Hr2%k4F#K! z3{_mD5>+``ovmU)7p69hzaGP`o2y)BC){Puve@#(J;W>?%apC9Rs zamRI0nlbXeQ=$81^V5VYXqkmE-xPeOaQkJskA*9eGRI=v*=3oVi;7F?PV(#bJF@c^5YJuG=l!l@xTfLRtPvGX)OkmR>w< z1!Mm|2b<3tC1Y2Q=ZIQ2TA3S?WvnCSM5zAvYR~>0#`{0fkJKqN{t>E_lQ`#4jMm;Z z-%$u+l~H@r*=AbfnpkDJ?WoV)Zsv45rWq^#4YL zhF)=iu1hPf9yCq7-i7{}qY~s3l}_%ohqPZPwCZNz6r|K013Sd~kNKNZ-20RdTX{=9 zJ@mwNd9j#lT|0nl5BiU*jJ~aRXp5Tg<6xr{j;eTnY0<}qp_jJO(_^QZbB*e-2*ujY zIWuKD!B$$)KSm`ebWX*}<@|Kg_8N}rfwMx%d8QnzgwFk!8zPsd%zEC~Fn@07p#GPy z)cvjzOl((?yedPiRP@yZNN zCBCUTj{UH3LDz7F^DCbTih6c%N~kkMN97lIMtv3a+EuT`~3sVJd}q3wdPY1stHJHwJBq{hcETp_KE(ctV>GkWYNw_u>_ zfm)bBZ~;YE--8n3L*o58QZ28QVd(JMKdw-d(MYRV=l!REeSe8uPvfEwvgYm;rY$pTG7qp)TFiw};m8?O-P5 zEse80EHh{F;*kXU{_YVlTX(N=Q>^uiyXM{(A{Fls?#kPOEK|(=pUutbc9oxhmibd= zE{N@}=&PHpd5W`{@0)FL!1`;!E+3BzX5S|}#ycfQ5m-zSRdjT$v|;d6`lnrgie>aS zuG0LNLppm8>E86cHn6ZfVRXXzL-ehs2*Tc#OR}nE3#f}L#r*L~7k(&)M`MPU39(xU z?G9`L_}UFnEQeJ@p$Z=CM&erwlV1?pb%L=BzaxMm4`?doO*xdhbphJwU_ zaSCM4hBu1c%VN@J$VAYGLH?5F6k24F%o zUdSQ@csx@DKo+PVZC0kACQpn=tqcJ)w#3Mi>=r)#PQ)D~uxY52-Si0*4s$6}XzD9?=JO97ASxY}#+bD3=N~0gjH&3^AmNE|1Zm8~dvHNt z3Zy6lE_g$$Y#6=abc|qhI$qrcs3?aC;y~F8-~a-Moz4^hG`#u|0LlA^C`9DJXW4L^ z$Yrd+u$FdEd|GB4>i$-IgE-NngAnZ`bEYcr$f1fi=Hcq;>X-9(j+P(f=$p|W*- z7T%)>{OS#D6$b*Ff%hRmu0qW2VBpu=OPauL1LzN#az7S6NapWE&$T`6w5^aeOlZgE$SFdpdeGr= zSVR;`r9c}kA!EtrHcJU?+gy0~MjRbU2I5R}zKV zc(5WHzPe$UK(ZS$-)9dt<%6%E0Y$3#Xl3HwSA^)}LQQRi_WGI`MMy(WaMR9<12!Dvy0Bo|;i%g>aaNki#|0Ns+!N(j)Bg9k}&0M#DDFr#PQLfsDnY!qX@A;)Po z+ZOaSfB@EIY0%dj8f3%WVyBTut7z*u07W=)lTg3Jf!{n>gv7@RliLaH5wGoWTw4M= z4kalrIH0X;*m%P*BJ#SP;{=ip13SuT{%O`XQLM{A_scmf0!(n$U(6jmD(&1l5)3>| z{}zI%JA08p)eP7Gj1CG62sYW+1X`v#MLVz zj{69F-3pv#&S5dU8_cC-=iD#g=&pYT+Po8lM=ij3WSv}|=nY#{12LYeyf_S?$w`&0#Ghkng z>pImPDuS#B-xXqw14~_i5;7%6;J7A@8GdBlvD{w+?Vu-Ve$Ro)gKx&mVI;`vbzi^X zG8FJ$A2K9U;?`R@%%v(p_Gg0s%Ha48sNNKm#a%)6&)IOUV7F$|m%_{#HTHzi)6hZj z5MDKf3hA(6jdiSGDNKz~<4IuOL|LfeKgOb_N5OxZ$T$!hkz>>l34Ly;xwwwW!|&K_ zeaXk5XO)f1uk-o)e4Y_cqDntUhF+f+Z>U^7@j2{BgN|-PWgPGNf3CB#*+1VzmjAmN zVo6GdjH~*#9V`y?@kN9=$s7G++%nj2GPoCvl(C)E?v_HT+RdO+ zpwj5o)C<4KH(g+)qV433&f7ab)ZH_ME}{KA#Rubh`_Iw|bH{G9=bE>+akOi#Vl$_*z8uMj^o1j~2g4ZUY!;V>{_qcig)& zOy3jOhwG^mx@7EdQn?w`dq<(t=;UOZ-{j*b;Ib2<;;Zaa8OC!5t|2A?9ue_^!$3`( zdtu)1t&^x#MpI5)ImJq&whyVj{bOXpoWhNM`y-+%7d>%D??hA-&U{jelt-N9rcFd4 z^JBI(`%T^`1ed*T^q+MoLu{JngUi;4j_m=YM?D?)`A|nkd1B0MU(%)jRbV0sTn$}6&j-O+&16BqNl?7C2!v{CnVenWABkv-6DW}RP2t;E6GTM zBnNlsrPFW|QlnVIoEUUJ|9&^6GVDVb(5Sh?eOVWwVUf7X=L#(~kkpjM)5Q`W!K1s8 zEu;i@ir|h}&AvidNbJW#jEoJ@QVu84!bO<ZuRyT*Xv8kyGv9%?)+diVsTbj zd&j{ALAh==RZ#yiQVprEzAvaR7)t5w*Dn^-Lj_lnsI<=u=$FTHkotdFg7V5gTavsE zpUbLTUtRcf4Dt5F**&J+iQXWE*ar`9(R}NiQN Z60q*7yo!XVdP2bZD>HIMrcJ3y?Em8jOuzsD delta 4991 zcmXX{c|6mP|DQz3mA6WLQkc!Cg>|rzh+&5&D)+fkLeAVVM7*WU#vBcWMCDj1XGOgW z9W)hEuH}rwTJ z4eYr_Pd#_`O!(<=9*$!_wabz2A-jQ?i;vND^CTHXN_>IkC%9J%~Nlm|JDQ;>> zn`}w{|B<-S39uy%kD?G+9A#Kk^b=mxNON)!i$>A!W zYiEv{O?k{zF1yMaE?S~0@7(ieExfbwohj>n_ZI&b9z!UUGZrwl>(!DvlyeSmiXa}8v~ET)s38^elI2a_(pqu zose{1(ovao)W_F6=`pT`hV||KW>jfsCVPhZK4Xm)gy#F&kLKCwob}!q;E%uMNwy>( zbk|B3+uhqn6DZnHo1B`GRhtA!JMmO9tA8uO zx~)>`W6~*o)VS8i%Nf#%)45C|(l68Cc-CdyJ!+e|Vz2`1vf9U+BerSN3c>A(E(s&H zq+gnhdS!Jbsd_(CZL?qkN@)@gY`6GZ75P^)B2>K7)o7iExKD0uE6tDiCPVE5Zkz26 zd`YVJkaN*UPT*A;?$!3@$>#WXQu!h0N6ypLZ<$%pT2GCfA8MOSgab<&MlaZ)M8{A= z{gyZ!C=>G65E|$&z4T+x6C^FOFJ7&D%cz|Oe5`7xpO;69`|Pwa>$zuH#$yxfx8B+! zQzk)_?c6vjNWdQmO9&Jk?LmA+mTh+t$0u$xJTUUbsxxj;~Xts2RCCo>|dw8dvDhds5LCdX$CNS65g63 zWFC?pZIw4&R+!?99$13bccI(5G`qYq{Pns%v~{_dJKLY_SN^8DyfZ8N&)zuylv5Wh zoLnRmS}M!5vba54ZsJps;wi{4<(syx*X&R}qV-%gww3)UrH5aUyWZN`Jk!)PmV-QA zTsV{7J==JvL#)s(KX1!j$-;wCjQ9g@KktnQEP2qk=}|^kc9=mbjTlw@UNPh9;)pGa zdzrO^(viyyVREJ0iZ70u+>dx<)L>0r9@g&|U*wrHzXt3z%%5ysL&up;XZ|~AU|?8G zG`<8bYiuJLk1777rPc9_DA>^=qQaLHI@_u!MdE64?J05JI}iTjVZUD1qVGr=yw#`q zZ|S&Ap^G1Kr0yL5GTS^8bNLFwk)UL0Ei3NZbIjjuW>i)3cW^a%(M^snhEq|~u(C`q zQN08nFiFE3M9J(Z+aGQr?_z!B#@@IWN4Ht;F!NHyyBWp&+UEVykUg`uozZWTI{wuS zfIM`h^vE;l!>=(>{%O6NF;O;jCF|)mkhb~Um_-Vo_kQ;TujWPC%?EdRHCwLUbW<}e zx6m5>ta(uq#AMejI{?%-FdZ;@oD$Ks-%=nk%!Tjz`#lc36((}`mq)u(~ z7wmKA-kd+k|1I3LzI<43^1bfXG)#t3Q$=y^^ziJ&PPvOY|M{^G%Om#RiGifq95x}$6DTyj7#@;v(wMpy~N6E_TwhT35<^TWyx~* z8}PYxeB6>GJb|}QUvt=7?KHUOv{!R*>evf!UL;*d<>sh^llHYOH2LU16K-iOpG(`^ zUI#_vvg?)1Sf4hbpPxP@&7XNSOrmLMaZ~m{T>Aa$B$vd@anc_vTl^oVHghsBx5xDS z%x1i;8haD1ZVNqHNAHspBM^zoRVP>(&N6r}*|T##uc*YKb3RqUmQkQSx=-{Zb+7$|3Y1=0 z^KOuF{miq0U4|!_4|k4D-W;10dc)fw*g-r6C+6l#aSK=V$J-zXF^3 z4qXVfT2SxVI>M+K6Z-hp+X!=pWan%Gx)T+w^=HxyiknWFR8zcL)4Z^3p#N>tpuvu(4WS z$x`AtJ=nWD`CL`{_ab!38_eaxZ0Om{*ma?gd)JFXWGqb!FOav}i z-X-<|6R|%8nBCzE63xk0FMuzyD5q*-7JH!@arX;q#CQsXB+)nts+BIYHwCR11gI#) zz2PQKH&zgfj*z)2XpR3!ek}mw8yB*axPFn%jQM>KX>$y~c!IE$0S~gH3B<%E1gKMk z{T8T(Ea*5kC?OsS!}2b&7jh6y2_nV?WKcXS=Mq|D8>tDPy0nomxFDiLc6E@zBMRMs zljEn#Q>4xX0figmTt(IY5$Uz8oOpEUR#MXj>hv%Jbw~>lO%PEufNV`L*FiuO2V)%Q z#u(vsgiIiCZ$=IP#VPbH9DKWp;DZA_g}so8kO_f-s~f?K6uKTK$450ti&K}zUZ_F1 zbdcK~N2icnZlK0Iz_XG-RSRGr3=}HF^#sEdH*-{_9T38U&WnRRo}e$D0d{U^o)Xos zLIXWoV59^6QarT18k*-qW@~;}&`e|dWQhU`G){&3dOx#HimE(@I)p(4hz9d0m-M*^ zwZ#K;lmu$&pgI>Cml#?da;*aRDGM^i;y#%Ok%0L)l$=^^)IeybFBp zKrd(+p0jokh*)cf3JFpK*Pl8>fqNDNU~z*cg28GingAwC3=P82t)D7HfpHep?m&-^ zhZvsV5+2l%YF@%i5nAVBkwP&BI%osO!CO^$7#jqSJO^*Z{Zapt&TV z@*I#41O^r3(u086drM!40!4~oKbG&IDkNitsu-b}*uo}^nogGS5!9h#6o(Ezmjnu7 zQV=kx6xRupDo1`21=1A3c`Oey5nOfo&1Oqg|eLP4@5*UPl^kBdkhzo!PwZDHN3JfU%EH>zNJQU7@PI4g? ziJ@pN^iI(88-(ODp}p9kyp{*=IxhZKgO`6=iQUFL!WC!zq}BOaw2Q(upvV$~2K@WH&nXOmtZ1H7;q`plek^p-%NQ@YudX4(;=jRa&V0za&c zF4G|m%?SjaY>H#U)n}qMWZ+Mb??ON&c~P0;@RIzOQVJhLu*Gi~WKb!~AqmYm$etr$ zdGK%dfmI>=-a0kA0m&~zb+iEHA|T@nDpvg+yaj@9J_e9L^Zuh|T6K+AC$^HCR9By$ zb3+1N=pb#5=CzVePcVxM1$ZqgW7KX5|L>LgA2#UWYVl{}zqHlj@g93J$~3{#SY8(@ zh9O5iP5=jA%@Js(l}GMkfbE%(6PEW96(dLW6QGt?i`1|0qM-URi4s)qN!FocbRPk% zY7;TWR`M-TK9nK}>Rml@;WNM)240E)jXnPAKtH7@72R<_1Q_B$%Tpp-_tBjgKuHq} zb)a7n*<#c&*$a81)=$yK&51?!4QPHUx;s~-wVMD2tWIUj(|z@&dCr0UNaVN<{_nZE>Z@Sk z0a0Lp=1EZfbVW_}gaCtClOfzhEB`0)q|arjJ|1MTS|*3Ra7RQbP<-OA0n}=Oa#&uw zhxRW!EF&n9y>NLI+x!HcS?zA{XEk(M95k$k^0`o57B%w=so=c=7YtWxuK9f?%4a8`=c z;Gan7zL{$T19`c`0Hn9;(MSatIIh9}wzlGxYBa8|L1r&FKzZ8`5rnZ|I4kLB@D0~H zWh?*ktO;%R1Y*<soC6%u$x0{qOr-_hKW&Iqcs1r``9RQkqXM&^>*+!c+j^|e0jKS-FoHX3TZ3W zL^8aaB;}{@ZkVV=g@o?s%B#Dv1paBVU4Z`>-0PwB&wODcpVh!vxZ#YaC*Tq#OrkMt zq-MwmcD098R0ub`@rMB%tS1$@t9s|ZV8~iH-x6Z@IzSEWF~i8h88e9CGc>&O_cHdt z$ZHr;sG*&IB3E3Y*w3EeIZjRnz!x$%hl(<_v;8x_^<4h(0-`megCfCDhY1N7{=0iX zohWDRcT`lEUEll_I43NhsMAK_JeDf1VBcgWkb-so7~SWB-7{p$a64bkrDH-)r^w7MgXacvZKj3~Xm zlp)Hm9k|5rZm$sKYn9i+i=_C7@{yA}V0luWNPamI1LreJMd{}rOBDCKchop1if%eR z-dtR9Y|pVRs`miY3_R$y?U@oOcWYiW!agf-BA?rY=U}0<9FdS|ggh*?aaCyf(GF{P zJS(;^w`+bNp5HwgB{D${n}Kfz)hN<=Ul$HvwvUVJ>47x2xT6#BZ5rIzBT|+9248dj SC5}k-VChbVIHLb)(*FRgyFGOP diff --git a/cpld/XC95144XL/xst/work/hdllib.ref b/cpld/XC95144XL/xst/work/hdllib.ref index 0f0f1d8..552a543 100644 --- a/cpld/XC95144XL/xst/work/hdllib.ref +++ b/cpld/XC95144XL/xst/work/hdllib.ref @@ -1,7 +1,7 @@ -MO CNT NULL ../CNT.v vlg65/_c_n_t.bin 1681030875 -MO CS NULL ../CS.v vlg22/_c_s.bin 1681030875 -MO FSB NULL ../FSB.v vlg37/_f_s_b.bin 1681030875 -MO IOBM NULL ../IOBM.v vlg73/_i_o_b_m.bin 1681030875 -MO WarpSE NULL ../WarpSE.v vlg52/_warp_s_e.bin 1681030875 -MO IOBS NULL ../IOBS.v vlg79/_i_o_b_s.bin 1681030875 -MO RAM NULL ../RAM.v vlg14/_r_a_m.bin 1681030875 +MO CNT NULL ../CNT.v vlg65/_c_n_t.bin 1681097466 +MO CS NULL ../CS.v vlg22/_c_s.bin 1681097466 +MO FSB NULL ../FSB.v vlg37/_f_s_b.bin 1681097466 +MO IOBM NULL ../IOBM.v vlg73/_i_o_b_m.bin 1681097466 +MO WarpSE NULL ../WarpSE.v vlg52/_warp_s_e.bin 1681097466 +MO IOBS NULL ../IOBS.v vlg79/_i_o_b_s.bin 1681097466 +MO RAM NULL ../RAM.v vlg14/_r_a_m.bin 1681097466 diff --git a/cpld/XC95144XL/xst/work/vlg14/_r_a_m.bin b/cpld/XC95144XL/xst/work/vlg14/_r_a_m.bin index 06c4a6cfa4d15d20f99636f610d82e39bc37ab6c..ec7195d71c5634a13d07ab4d572e111bb3dd2682 100644 GIT binary patch delta 18 acmdnEjB(>K#tmNl%*IVmHv95FaRvZLq6drs delta 18 acmdnEjB(>K#tmNl%!Y1h&$N+_cvP zDyG*@t!o%L8`BX$yUF#_X4P@W-V`Wrnm&p19zchgiyEibB6ikvSbW7-HZ(QHY|KXi z712GMrM3YAy|KB2a521dTSE9#dmSh9F7x5D{A2bRbcjR|>~(IXE;$WuUNQ$B59 z*yWS!V~+zcZyWP585<3r4JKOvWlggtVb}mDpIjShUW$w@B&Tb0bVAU6z{$Y*!0o^T zz~jIVaGIL|^8hp(UI1PJAAn@5Dv%UcJq^BsW60I$_l zpdQ#2;0f*q%mQ`?_5}6@nmtUh%*I~Mu9S^rcHJhiGi4wuNTdfi^6liKO+Ut>#V!AH z#PBGO|F*R(R?Bn%ib-{ZuakmpTwAI3Ce8NBbm^NFvcRtp$O9LmwW;wcTc2yC`OmB* zpUqM=mD;`3#E(t0XX%sQ{Y~Rw_YQy@(G)EU`mXx|xsha;VP5g3cZR+?^6aQ|S-zi;FsoN!* z@l^ynzG}#$ytqG8N(8s|PpB#6sBFXgeV?oKg_~f{A z6-lO#e=kz_fm4FII%2!ishW-aeRtQ9{T`u zNMMYuVAMin3xUur#<+Me*ruOh&SRe0u~6#c9Dc<|;go}qPuT*;%{99bzJ)gL%n?WW z$VUz_Jocv(qc}eLiyU_VxW$eG6}O3_jqkz{NBjih1pdfjWUmLM9OmgRlExjJ#+gPF z#zRsb^K?y=uL~x73GxnO9uLgBW@iOGic24r$eH$1jLTeps-25XV~}$su-x==+Te}= zRwTT7Jrw-mz)@C5*W*C5yTQkG)Q#57YNUNf4l(-epqTVsp_uqRjab>oW4;ntB|RJC zhRlsM#5X)9%HW=N0&t?sIM(dr;5*6Y-7!zQ*Vlafz*3Bj>RvHv_v+e@-$nh?fYV*A zeE0F22ob6ppFtPRGdcq})A4*J&IZm(dCb$bS4RlToCBPj#=<_u>{Bs6&*nWaPgkV} zc^v7^D=yXQ$spuPGuoiil~PQ6XCPMgC753fTxvDM$Ccu4R#r25zVo$4=X)r11#qRS z#WlVRxZLrnexviXM*li!Tnk+9YEl0x;2Ot+%3KZH==iiR?WtNb*17?>+2w%B+yvZW ze7uIRc^_Q_-0JuX%)Su5+icFi>ZJF^2IO(1@5aa>PEU+t()&O$>RI;P$h!-;hea`p zXOCIo;d*4`;_2QC+~-p6F#AsU?zeewRgujRNBrvN1peL}aipgtE`2;BXZ0Rof^spk z-G_lk9si)&55f1C&HG@UE@>aVFPeK-_hAx_zafdolUXy07x>7-FD^Y9ap^~IpChgy^hLT$K||$yyt-z9nXb%26)c#@qUgUjWxQRRhrlLCEyj8!cs2-uR8t- zv!90V`!?^3dAi1ZTUulNt>a51@%Ryo@W8yl=eT%HjO%Bk-ow!bm#&>+^kFCaHR${d z__?bU*C@X8wlvR%P9@q-MKMy5{c9-v3iypv;d1{1_@&dxtJj#P%0``Iw85q4s2His zehUh30&gRp>#DA({yOkmtfe(P+ zTa79k+0;A0`(~?IP?`6DKN_z(`K20Vo&h$ht7wBuyHbq0lKl}B_#5S8r^2rO0O-uC z%nvH_C*TvaRVUxoX!C4jqq>SVxU?(9s4Ln0&B7c0GpE9?`1$my@mdp58UCLA+<4W= zcQwX58{4R^q75$XN-^q6Hb39_*sgIZ>}oaeh4ESwP#JEGUm34D`L5=gC;nj&k?Jbi z@TFZTMqQa5uv2lf3T>PUyW$5FX+8v66HGH-Bfi{t)y;P`&peyjsIH<7F6~M&>dNeZ z-8NJJ@pmv3rGl;kltMeJtu;YNXbW_7+WD^Ln`bi{)m5~?rCljTU6~!aLZJ(w7mB)P zSNLfk^aDiQvMYQahV`6wzN<#_Z0@>>w(CkU>dNfU6AJh&4tf=;dv>)h(A{dQTXuz? zMnT^ws+;d>fn^MIT}9h)h)Zi7f{eMsk-^D7P_uj zsS41YDFs#<0O;O`uSJr;Pci7~i2tG0{RwT>+4K8_K~2*aE0_33>=(ToLig!kjPyb%q0adrKoH z{zhR!rZpm?AutNiZYmL;NDVO3Y2?ITcaGMGkB7iGU>jG9;#&b*J04dtj0LuJe6FeH zE(iW%9cor; zc6`Uw#=JRk-8&MWf;u|`Q`20Wbyl3GKf-xhqp~aNOarF7T0E0ofO^O0PW^r7XpQ(R z2+Ra#yIK@)0CsacPkIKhhvRciz2_V(v^%hu<5_4=U~k9s=Gh6@$9O$TjGqY1F+Ofm zB=H|MwXkm?A8gYM1L4!>L^a~m%`fgR$m7SJHb3n-dg&-8y$Q)d9@8Lzszru6dA zFGe*LZP%1y)RfubA_!avTH)VaU~#%o2`)cL@r#;b0&DSF46N52@=RkYztmr60} z%IttYxrQr%E1e3vx&*k~cr6KaF9WW2+WD^H|6oVh7>iI>(FT`xr5JT(cDNo2*8w*; z6?SzMaINuL6LxhCaFg+>o4bH-n?ud@N^Q*3rWB*5%nr9f;8x&v%asV5x)Hd=cr7)X zx*51L)dHDo>K$|FI;yE?!fxEBKV0QWf&HgyMZxAAJ0P2B}N;Iwm1y=xAA z4XUYV!fcmx6u1CP2|Igt-j2j=NtZdH_L@EGuT8q4|07Jcye-3!oc)bZ1XYFthe9xN?pV1jT(FJ zy?3HUjfvefjYbn&5~Inz-+XuGn|EdwXHUvG{)fwZ-~HPC-`@vb{Oq9lg+iexkbrK3 z2M=j(9XzLKrdLP-t2@Xa3UCy+LKSXV=j7|C-RO0c|NpcHK}%oYe>EP4UR-1D`&@wQ z&N=%Nqk$%XapG6OydN+fm;@-6<9fgr&M{Yh&go|_`J>$mUKoe@TtI$}!@#Wpum?5G z1?1BhW5_X9W6V*!M&(goV;+b=I-1wcr0KUVYnJ$Nxqz_0>7c{jJq!K{0tAYcJ@!tCsU^&f|J; zjkWF?BNx}1eABUXk+&W?!rfUHUjc=JWwV8MHRe>(ECU4C7l0c6sd$vt(LhRJZuwDZi zT4%LcHs)&s-ON3dr8WS%wv20TosG$!K;jPe2D)t2)VwuDKK#42%$YfRpBcPo?h5o^ zy;28DDEAyWVsC^z3N*|rr|k^8%lH-(yb|VJEgzG0&ET$KvL?`Z*3^wLECX~I-()n8 zOTkx_({Hl%LeTEOsld6wO~Bp2L%^%p&EddzfkS{7fS181;8?&m_G=q<;5-L%FZU(4)Q(!#612++90k#6TgWCX8fo*~9fgORWn|JK&W1q}!kd0)j?iB1o zXAs>;#0?zzh2*16KgOEHJ^wSraO+}!cl9h*EA#+rwdx69F9rMfO{@- z>hhsyxAuDK7=PqFSFGq+S8CsSdw%IXSFXROQv220^H1KhfBij`x_ao@(B9hGO#{In zdd~qBJ?lyxRBz9Jde6c2_f+c8dVBuOdk(9=r&33Rp55D9Tivk!>^(rMQt_uR1lo=P1XdfKB1wmoXdqrABH*-Ad2)4!39hXU;xP$QW>J}8wl zP7@{D9l1s_eVsz`CV8^mT5BZJXU|IaZ>Hpm+p9apX`gxPwa2deH&=4SebSxcl+1f* zS3oZZ6Y^xcYt=}mk1q>K-ZD?N+fR*T`uKyBk|!y-;uhq|;v}25C%!i;d2*g?H-s9= z^mPx(Tj$AkW!FfiuSZCpqU4IJ+mppfHn04iA$eM!Y?o<`WcqrAbKAvjD-?a4!>@R2 z969)SmCek!8E&`1x0}y<$35#!65Hnp;I>E6v}OzYJl-3OD~1;Kq91c{yhrSvar=Oq zm2pg`*aLuL+_wlvY^H+~_{7C?R-C<8#W=*X|9-&!8IMnu!fbNfFxSg!tYI8{)OBEt zgUyY#k9K}hj@aUD&p5;||6n>XDlQ%@#pz6veJJ7&V;(om?XwBfxE|tSz4&=m%0vDU zz>!ug)B|@oa8%&c{}Axs1Lo3)QR{IK=5s>4j`hAC*9U&?v(9$=NP#aNGkv&JjEIR3 zEcxuE9`$6Oi1`V?N$TgLJr#I&PxhJ-GI-PE+sw_huTfF^?i@la*5OTE&W`l|=r5Jl~Ay)PUn4b?^=rzRK zO7WK3dHBd(GhavgT#8bc0GDO8xb7DL7iWCz-9`7cWEOyx#pW7aZl@2RxAR_qcs8d=L7(5%ajDje0gzdtqo~61Kk}iQ6MZ z(}Xwr+WSgNsY2 z7<D~d}b$(?Z)!l4xvAbf_UH0!$=Xby#vRcLNAoC_{ z{zu?FPguoAH8&evY_1qJm(A;hUu7R=wMxzNCTz|-%154{wE|W6E%3hc{d|-tv%y7C zijgSUyc6-`<Xg+gs~VMgpen(ex;U>@ zrz&1uUpv2=k5XkexTs1oQss8ScY4ByezK2Y)Kpwq)r_nQRpA>u^>kjVj^spNSLavv zQL4-a7gZ@ns@zVCpw7a8Zk>uNtJ)>&!p@5V`V`SopelS?Cv{jOQ)PChN-GCNbH7^!kQ;bkZ-59m!mab;C)UR@rl zY6R@=3@KV&s#*@vE8M_LmD!mp#YmOgsUPaB0_f~eTv^pjuPzT&^#`;@T0^Q@8PNS} zP^QZ4OqF7!%I!1|bp`flk$1ef92B)CFxYu5Aw{hLXz8>Q*C6PQ0EuxPsdNQ!-vF*j{s;J8Hfy>P!Q+%W4&yb6IrB zNj|%85A2X-bfo)q)Y%ExIjdFL^|vzzo6i9B_>!oOG@pq&ZNP3>k5cn@GY6aR4(yTf zT%{cWJ-sA~{9eGGSv*gksleXO>#}70R=_^a+f9lj{)`0nt>pEiSy~&uS?(K#`1mZ_ZdZj^csD<+<-iqRW{+vx!GnhhMNem>fw**{wBru9?_F55xCoXV86U(rtc z!*{UzhG+8a`x3s7f_&p(OTJ>Fe8uRC*6nmSdf^w+bcFi(SUTpqVT^ExnwSkPHc^b4 zxSfthgSo&lSrabAk-$;TE5FoSw@SVAtGU@(bH%8++v!9!H~}~*Yr^Ko0>?YA6~aQM zs8ay_r0e-4W=Xq1r60M|RO6`-o?fLokb-O>Sk z!yWcN6c8;@X2TbkN-+}UcDe%%ZU^qnno!iuz-`WJNhs=8;O?w>a@f&BF@4TLbhZgO07<|vWZ!Ci2%fndm*uDg>eH0fp7>7D9G8iL% JYfUdP=igNWJzD?( diff --git a/cpld/XC95144XL/xst/work/vlg37/_f_s_b.bin b/cpld/XC95144XL/xst/work/vlg37/_f_s_b.bin index b2504bc7add21eef6851b53ffd0ef6d39d7771f1..211bf55265c7d1271c58643f7fed90331406ee8c 100644 GIT binary patch delta 1138 zcmZva$4Wy%6oxaJoq-s|zA(Xs1|tZr#EmWl-@&C~@4a7*y_d1~vUDZBgb(1t2XHCa z3o7XUUuI++a^UBj^PR%nlicNA=dEOmvy0%l5GC?TEp;O4UA6Ru!^!75#TbfXo!W8zx8Ur}Zo@|C(K($bv?v){5a=AMJ8V5D%it?Oj zfa+uLX7DD(Q)Pv})Ca(UR;W!5`Ewj*9ZvSqYfv0f z#dLbu8>bk+xr{k%)MC8In8l)C3noMS#bJxRk~tRqh|zd&GvxdyOq;XF`It#)Dh8hg zpRqW1gy$?c0XR=aL#)4kTqXu`!%K>0D9;tk(3;5+zsq1Ctzgxp=vsl+Ee7O1{6w}T z1q)GpCQ(h9$_p#sM9UVLyh#c=@a`=MP{^W(nWxmuJf+Lf&$I19dmPg9iXXu5Tb$o~ bXs}`q?LbF_G{pMl8;G}|W5xC1B$($HN$2=a delta 1068 zcmZva$u2}e6o%_I3&NslG+LsqNU&gQ!O|1h=vWc+JkwnBJTzr!^8;9kSF!g367!Id zwEw@VqEfjh`TLyu&Z#=5>h@j5LwTaqxw?s7JF-3yl=n`dOgR~ubwY?LsO~l|@ZpqA zBTR#up>^mI@+<{wt?g>MtxRvolHp*rj0MAO0pz-vf-g|sLEHg#`tTm`ZpFj&us1Nl z08Xg|2cZG87HjfhXh`uwde{?~owd1c#{aRH0qg@w zeIt)?4clN6UNbh?gmz?ADB6gCwrv${fh8=)I^O6)@d!QalL;9QMO_wuDJpL01Fhx delta 115 zcmbOpygzt@G%KU#oA`DUSkCSpWGH0 diff --git a/cpld/XC95144XL/xst/work/vlg73/_i_o_b_m.bin b/cpld/XC95144XL/xst/work/vlg73/_i_o_b_m.bin index de7114c21bd5583e6c9b0d1150bbbb43609a71eb..4cad352db2d536daacb1eb010e1ff6f30c016db0 100644 GIT binary patch delta 18 ZcmX@u#(1!eaYH>1vvJdt%}qS}%>YSn2c7@` delta 18 ZcmX@u#(1!eaYH>1v!UCe%}qS}%>YL42R;A* diff --git a/cpld/XC95144XL/xst/work/vlg79/_i_o_b_s.bin b/cpld/XC95144XL/xst/work/vlg79/_i_o_b_s.bin index ceffd8d95397dfd0a3bddec0d7b8b565551f9305..d3bf616d9caf0c5bacdeb4943efc9fc6ef468d84 100644 GIT binary patch literal 13862 zcmdT}cbrzm72Sbda77TY0it5Bti5Adc2QSW78emaqM%|~tf<(q_givp}Q9=177wkz!~bSCXgPyi1Ka#+9x0;JC!ej@P}|r#UH4 zaXr`{`^t@z`Ag-Kwp`v)e2k^>(J#(}<50fnL%W>5l%Lj(bw~TXR6gb>*r$DHPfk16j%ZSmfYzbo{V2-Ai#+KnjC$z!lg|BXFZltm|?UCc# z4nTbm?ID?_FYK;u^>mpFKw|Lw!8!q`X`VQC+$u2s093b)vPkp6h8wfMx)|u*)Y976 zI&=$|YXe=jWVAa0te_2h0Bnr1bu?o=m~}L-jGDI*oSMl$40LPQYy8;#*t#D;+|jLB z+`(vK;=@O1;=FjZZCs==8;|75GF(k-%jTAFNi@2)jphL70J^m`PH1akYjpq#N70*0 zMw{s#1(1%D;^fa_SAFwPx;48^x2=IH-x4%ur*wtWWx&nYSr4JE1f4LdA1-1uv1a<;;2F3xq0=oly0DA%4 zANv5DE%(GE;9y`fa2Rj|Fa1ZR= zxZ`)8bN*b;ilhsMoZUOhsSE#w=j@luS&?+1N^}0;Iq|jhTcwLuD%6p)Smik-T_WVH zjU%u1jz9anmdfSm&~=$##Y7BU8};!(P>%n2j^#?^m`&*lip#L2<}uTA3@VX>TIxK8 zTvrM)dvvt#bn}1WIq=x|tw6!v9d-kM~AL!br$n49&??}W6E{C5VKcD zYtzde|Lr-}&*i8{x?!a`zxSN=mFJYSq0*c`dd{Jh=ah7lkh6E(1HHXts^@6R<>=6L zSZ6W+<}o+#Jf>V*I*U2OV{XxTOu5?2JL5vyC(gZ(C;QlAj>^UC&~W?z>e-k~VAM}HYH`}pE2%@`RP zwmrkkh}l;i#A7ASJ;z-vP7B6od`%GVBC!sAdmy`5oW$(w7R2L=VtcZd5wnlK%SyAG z3}vzBTp2O@@D|@Lo*=Ov1orH6u{aHzef%uw;{AIT#r9AuBW52zNhRL9D7HsX88Q2M z1o1?PbB`exi_@_Ah~h`1GVd#K?)l{(=&+oFN*EyP)5wYUO{}I#JMMgi^VB3 zpB{4q(i|j1j&@#`5wov%5Fb($+re8#%)WVo_)v*+hp~&rY1lld`v6+)!;4}&O3R4Z zH*XLhSrpruSw_sh`GWW;iF4rjs>>Heu}sI#)z_q@+F;6ZU^olfqHp!Qp0NrmuDO0pH!jT z1>8*n^`IymL=xp6^g_8eYnFHXEc2_nFF4l4h;`oaxjR14nd4vG@uAKfr@P}L!BO9E z@qRVVeIKJQY;nBoj~BQnz&%yqD5vko&acWEg|9+dWN~rJinG^P3gB)WYHp!t3mkJi z4LlQh*mmI}=lT~49QDrw&qW?~e5q1?`o09bSn##o6*>6O_Z8sf0-u{Z`uTG9D)7gG zAN8*RuNQbwwhr&0e&736XN_Vzh6eh?#arJv^t}bVO+OacYh+foha-nR-G=6a_PfA) z?oaKSCA7w&PjglK15h6Zt=9Do@NWVid2a2sC%wJ4YvLX?4jPte(GP8|-Sh%C1Kg(t z4wU(R>Ab$#oyO9FFdB!=M!&l;F`FG#zDjKRrEufYxs46;~DpDfdfU~WRFkS8?qn8 z&O;jF=!n7(Fus6b97*f45&cl&J#QTRaz1GDBkudm-D20fZHFLj^oxrhgT|qcXUGrH z4{d&J{OED0AL90O*jj&a@f*=NxG<(kP>cJS%MxNXxE-&txHxa)5J!2vov?1Hx)=`} zawmOm#tKpR~!9E;BU@6m++C5dgi%I3|_B0n|hvj zPZ>u~*Q$+sD)j>9F7R~aJcj|py|2mR#!xQURoF!2rS{+VXlp1G7h?~ z(FYoQBP4qncD~uQ_A_IFi|4QTLAxN(*EPHjo4Xyq1jR+Yaq#E77xFyLrT*XsgqXG_ zX!C5)nu?3}g|A&&1o}mTzQyesK`$=e1I9tS7_fNIk8nFa+r`CaySRAGjPrRe%{Z{d zanCIYEERd!@!2k4uG4bS4{@kp7FebPzXEuB$qV^l+P+yCeX9X$0qX%9y1tb)O58Wb zL8pD=?NkTdN}0RONx$30eIqVDqm6@h6~La4jDh%DdaSr_#6`Vv@aKByneAL!9h{!m zj5*3<#(g6$o}I>d%yoP`&ZV^xb6t+Q4& zv^oo^^?jRG1V0Ga$j9k(O88YI%?oVi{Pvz}YKU#zuiL2^I-M!ZwS#Np`WS~k?OU~Z z2DbpZuf#JA*M1bRHL!<|YsV6?je~!e=mX72Kzo+s8spkHC*#nk=f1boR-oeV)MH&6 z&l2OHW#Rtc0oaLtXz%R)aj%PuXQXk^Z3k%0so%x*abJs@&V-)FIB0hS#<+(1ao$f? zj%_?J!MXA77snu>oL{l{@N4RtH8t6*5z>YP4Pb4W3azrXXMyM;eOm7m;@Z+{d=-T ziDNMi{)ru^y`A<2wI4Ya@HQrx@hs3dmEYUx0B8?1VW!>N?RXX#hq%&uI~@e=!9m;R zb{w;DkZS$BohCzjXwdq7m?nbX2RMv<&`orosUg2{Xlot3osIx?r2A95kK1uP#s#g~ zyq-q^M^hs$YL;s7rvYaI=K$xskJdb1SL2}Jy>VQ@FOEZgT2pVQ6QDbhIu^v)KeKZC zz&P}2{@zX}gF40isa4G5!Jh=2>M;*+pSVAagGS@=b~+upGeS)5mAF5Q3tDfdv!FdY zXeYTnHTW9$>vlR9+Vg_euTwe({IP-e`!Veez74S7OcBq|`?LkPR^S3^z;Bw>sW-TJ zfD4`XZ-z9Nx3xFpcZG2rD|8n}KN9%6B+sQw!Cju`GBNmjowt1sJHB&j?{g1bQSgiJ zjPlbp^LDxlx~r*ULB_)~E0;rDe6JN3pGC$Y2FG%JjOTN{7W{RQhaG=&Qp~vb6;tC@ zoA=<&z%4PJtyopM3H+@Eo@;(1a6<|HcJQ}F9(G(i<m@GkE#u(Nyw4T1UxmE#?J7?~>cV^zaH}8GC_2a>>Ra8{82NKY(ckezm zbpuECo|F;kN7QYB!+@o|?*2c}o{TXp3$W&RT55Cr^k+S<{^iWKrTi`RuYqy(0RCTU z&SzDCYsoRM0IUd%@iw`kz#xFW^WrxZe!4!?69a&$ZnM2%us3p>9BqO&H5?nkeLUIi z?oHU@nyZ;N&Tlx{8lT2g91D4ItT`6eMAUPQ=*#}1JrW$(kNr*MSIqgW#=5!v-c&y3C)lTbpfPDL zs1~pB&2K)9V}9etanNq6%^d&jIJF+SzFOx&b5RgKd0oj9-|fZ0w*uM#3j!U1&H(xD zz%sz{z{)^Rpf|84ur9zcZwd?qh5%av!+?5V8-S$;(7L*oQ>z5pAobvSI&6`(hL5i1 zFna*)YU+lMt{*>k9M1%xKbzSqMO4@CU#;5vZ!2ICfk=7=HpP8%9~A#bCpYSzuiXw5_SDA5=eh z1kCk;R$DXL-2hh5hP@PQjIw?-V?C60)b>TqTM$mQ>;Y^&03hz@`Yi6o zXky~ShHK)yc(?t9Vf-fpUtiTwS(za>o(oC1uA??(43dj3Qku5w*uTcX95=k(}2HWw_$+k5&&zQ zUIAtRKcLSFbB*ga@LbBw~T8wYPus2q{}Yvg|EKs!;PR{LFJ! zm7i16#wpF~V0G!*m7i16_FBSu&G`?XXNU50O1f~lIluFqi5}E<{Ka$POKX0m%a$wr+;c8heojeO2szuu zk+<`XFFeOexg5>9uF_J>pFQSkEytAW>Mg~b^{5$BUJ9aygoHU9Y8>fAN?bv>a2e8-*z2}y>Hm7i{}ydF++p1KDRlJ?Xb`zE(lJQ&DV3dkHc7S_ko%qS(&p5@PmM2Ju*lbLX^+#c9EK zhF1mgZW8AXTo;Rzn1^wjARb>7+sRo%%s&2RE6pA>V4Vy<4KMIw3e~EMF zi;Klc%(JIM5Fc0++v!k3%)X96e6Ym16T-#fl$oc;!hke~$dIEwuSBmz1=lVtgCs=ojV7ex;Cbm|LS+|$Z&0o*R69}8^p=X<*wIG!NdaorSD4@48n*&DMVV7Ww{u)D>+ zg8y_nM-I(Dc>6Ngm%F_b>^PXE$fMYMG7a>Li^rmIuD!b8$Kyh|rH$vYv|RXWfNKl- zcp%7^W4s~yp-uhuz;#XVH-W#gz%$0p1@203UxmI~++NLV{UWKh=7evairawOgnTRNfK3EV{jbx(6r!)pn9vW@b0s!;9${zw9KuPE$A66Je(q1=}>&9QfM z?Cl+2W_}g-2gg3qQD0SGyW@kwv2S$j>m6UY`xT9C&4{k;3%i>C(f_K8ilVyHa1k^;+7R>udx)!4>h;Ya|Mn$o&lbXJZ!sg zk#qfv1&;a`fafC*JHAxenBYU-mw}fGzP7s}=f1C^ex<Dw6 z%~kCWL46doTGzM0zYTosxwY4x^0vOE;~q5*8kXtN4{fg9i~=_k+-C(2l=*(^y#3k? zJ6=!Y;AfvUsMY2hIUkj?3R=6pBL^R?p|pI{`;tB^u=|@acW%@ghd!;L+I;Ex+Wo0j zOulaOLGTUxP-}fY_4WYQ#5FVyzAUq&AKF~Q?+P5BaX%C|Q1nf9e8L{+dF*-UzQ)lJ zg&$yi0l_$u)}`7t@t!vhemNhs`4RV%`*WW5A6RJHL(ubyiywo=!Jp5NU!ot{{Mz_6 z#2oDQ4Deciaq%0`IJhvTNl=UXoy!tp4sknPV{vib#vzXKdOKm=Qe`n7IOI-Mf!A8| zIg4jtYQsL*y4G{Ny`^j7elU)?p=%fYNZ@b5JeTm1l{)0POblMHJ3~EByr+z#r)$;5 zJ(W5F3m160a-KthVcu8kapT$DbSV?kg@ne~p8737~7x4|h9$35tt)qITuYXj^0I2dzVx8uGM z7oVNRc}$(<&ZYGcbHfmGJGbLr5*MGH#(B(5sDbTV+88nITyuVVui?V;BUJ-KfEwp_ zV2u*5i*XdN1C_Va0O)i^k>8R1DDk=&7qs3^gP^tNI|b<9i8V^RCdLJ=x6|g(Zjrg0 z*7s;y1AH%FGxkB}&#;7FMbe^xp2=feJ1xXE?mye97P_t6pD}lFJFbs$=+nMco6q1n zK+hlXOvAMw1#AoK#hBp6HWAx6^tFmU(2NAOc7JMjbvw?3(r+;^KX1oa=XsTEyBF(3&ux#fDEDuef+0Y788c#^_wy9h}C&n7+PgJMh~B zy3Tw1_~ZUEj$`$4s?GhiH?U8EhpVm2k?5Q1efxUcIqZxa+bGkAJ`@0>-Y#g*& zKX0eW&>kMNwnpG40{a0+un#)TYg))}9NJn3Z>OU`O$jj%bUTj6xS&;=*YjxL7;4Zj zo@pz=pAMV_oC{pwK3cbUU5$f=`|$XJUmS=0bUnPCPK53x>R1qGQfB4O1mn=B`FlH^ z0_s%vr&cjf0Dm%Yn#VlEed7Kw4jPTe+vyDG&I~biz2p8cE@-`-&W84!ptZe?cD$C_ z@5<}#bRM+l2d!U^bS(Je0`K=@+82BSa3T9JmR+(sjR02Qg*@+$9J*I@?5$c+?9DQ6NA6c`6;YX;ydRQ67b|6y2@?iV8?ex z;}|jeKywXnEjbo+PtB}c4sr3lR$M%bjPtSF7~_HCoUaFNh&=50o0DS3y|0)RQHOZE z2X6&#EAUKp3vhdZXa1Xko0{P71b;{5VaK)87<3PLJKYW4J=C!vvG}{d+YhJCPs!?Z zHTdg*d!6_Fkgo8y_G;Wm#vwLJx-Fu?$zi=0)MaN zx%4`?H}hO320z_-J-_4cE8>2pcl2YPd^^1j>K$?{=(lG+%=kN&xERm45Z~Jgzmul- zEm#)sbho2cTzqaAhj`orA5jC_x%45pk3CM~7{R>){VTj|k`83ZZe0!!@c`g%!pX0pFvUraf2T#uPi-O-QMu;4mx?ZkJ zxX$S-`mmt?+|0_|W8&g}UmM4G_`~ll>6?N+=9aI<;_Za